{(1,727):{'5_2':0.75,'-3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0},(1,726):{'5_2':0.69,'-3':0.06,'7_2':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,725):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_7':0.0,'8_8':0.0},(1,724):{'5_2':0.72,'-3':0.03,'3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(1,723):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(1,722):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'8_8':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(1,721):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(1,720):{'5_2':0.57,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0},(1,719):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(1,718):{'5_2':0.66,'7_4':0.03,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,717):{'5_2':0.63,'3_1':0.06,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(1,716):{'5_2':0.69,'-3':0.06,'7_4':0.03,'7_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,715):{'5_2':0.63,'-3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(1,714):{'5_2':0.6,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,713):{'5_2':0.69,'-3':0.06,'7_4':0.03,'7_5':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(1,712):{'5_2':0.54,'3_1':0.06,'-3':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,711):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(1,710):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0},(1,709):{'5_2':0.51,'-3':0.09,'3_1':0.03,'7_5':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0},(1,708):{'5_2':0.66,'-3':0.06,'5_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_8':0.0,'8_16':0.0,'3_1#5_2':0.0},(1,707):{'5_2':0.57,'3_1':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,706):{'5_2':0.6,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(1,705):{'5_2':0.54,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(1,704):{'5_2':0.51,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(1,703):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0},(1,702):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,701):{'5_2':0.48,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(1,700):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,699):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(1,698):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(1,697):{'5_2':0.57,'3_1':0.06,'-3':0.06,'3_1#5_2':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,696):{'5_2':0.57,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(1,695):{'5_2':0.57,'3_1':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(1,694):{'5_2':0.48,'-3':0.15,'3_1':0.09,'7_3':0.03,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(1,693):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(1,692):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_4':0.0,'8_13':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(1,691):{'5_2':0.48,'3_1':0.15,'7_4':0.06,'-3':0.06,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(1,690):{'5_2':0.39,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(1,689):{'5_2':0.45,'3_1':0.21,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(1,688):{'5_2':0.42,'3_1':0.3,'7_4':0.03,'-3':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(1,687):{'5_2':0.3,'3_1':0.24,'-3':0.15,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(1,686):{'3_1':0.36,'5_2':0.36,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(1,685):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0},(1,684):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(1,683):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(1,682):{'3_1':0.42,'5_2':0.12,'7_4':0.12,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0},(1,681):{'3_1':0.51,'5_2':0.21,'-3':0.06,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,680):{'3_1':0.51,'5_2':0.24,'7_4':0.03,'-3':0.03,'6_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0},(1,679):{'3_1':0.42,'5_2':0.27,'7_4':0.09,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(1,678):{'3_1':0.57,'7_4':0.12,'5_2':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(1,677):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(1,676):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(1,675):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(1,674):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(1,673):{'3_1':0.6,'5_2':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(1,672):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(1,671):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(1,670):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(1,669):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(1,668):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0},(1,667):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(1,666):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(1,665):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(1,664):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(1,663):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(1,662):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,661):{'3_1':0.69,'5_2':0.15,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(1,660):{'3_1':0.57,'5_2':0.15,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(1,659):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'7_4':0.03,'8_8':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(1,658):{'3_1':0.51,'5_2':0.12,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,657):{'3_1':0.66,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(1,656):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(1,655):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'-3':0.0},(1,654):{'3_1':0.54,'5_2':0.15,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(1,653):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(1,652):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(1,651):{'3_1':0.54,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(1,650):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(1,649):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(1,648):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,647):{'3_1':0.39,'5_2':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(1,646):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'6_3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(1,645):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_3':0.03,'7_1':0.0,'6_3':0.0,'7_6':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(1,644):{'3_1':0.27,'5_2':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(1,643):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,642):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(1,641):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_1':0.0},(1,640):{'3_1':0.21,'5_2':0.18,'5_1':0.09,'7_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_1':0.0},(1,639):{'3_1':0.18,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_19':0.0},(1,638):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0},(1,637):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(1,636):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0},(1,635):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0},(1,634):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(1,633):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(1,632):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'8_15':0.0,'-3':0.0},(1,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_3':0.0},(1,630):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(1,629):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(1,628):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'7_4':0.0,'7_7':0.0,'8_8':0.0},(1,627):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(1,626):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(1,625):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(1,624):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(1,623):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(1,622):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(1,621):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(1,620):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,619):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'-3':0.0},(1,618):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(1,617):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'8_8':0.0,'-3':0.0},(1,616):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(1,615):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0},(1,614):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(1,613):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(1,612):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(1,611):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_6':0.0},(1,610):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(1,609):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(1,608):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(1,607):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(1,606):{'3_1':0.21,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(1,605):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(1,604):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'8_8':0.0},(1,603):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(1,602):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(1,601):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(1,600):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(1,599):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(1,598):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(1,597):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(1,596):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_5':0.0},(1,595):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(1,594):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(1,593):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'5_2':0.0},(1,592):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'5_2':0.0},(1,591):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0},(1,590):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(1,589):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0,'8_16':0.0},(1,588):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(1,587):{'4_1':0.15,'3_1':0.12,'5_2':0.0},(1,586):{'4_1':0.15,'3_1':0.09,'8_13':0.0,'5_2':0.0},(1,585):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(1,584):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,583):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0},(1,582):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(1,581):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0},(1,580):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(1,579):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(1,578):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(1,577):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(1,576):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(1,575):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(1,574):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(1,573):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(1,572):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(1,571):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(1,570):{'3_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0},(1,569):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(1,568):{'4_1':0.09,'6_1':0.0,'3_1':0.0,'5_2':0.0},(1,567):{'4_1':0.06,'3_1':0.06,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(1,566):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(1,565):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(1,564):{'4_1':0.12,'3_1':0.0,'8_21|3_1#4_1':0.0},(1,563):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(1,562):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(1,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(1,560):{'4_1':0.06,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(1,559):{'3_1':0.09,'4_1':0.0},(1,558):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(1,557):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(1,556):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(1,555):{'3_1':0.09,'4_1':0.03},(1,554):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(1,553):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(1,552):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(1,551):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(1,550):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(1,549):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(1,548):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(1,547):{'3_1':0.12,'4_1':0.0},(1,546):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(1,545):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(1,544):{'3_1':0.09,'6_3':0.0},(1,543):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(1,542):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(1,541):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(1,540):{'3_1':0.09,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_3':0.0},(1,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(1,538):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_1':0.0},(1,537):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(1,536):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(1,535):{'3_1':0.15,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(1,534):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(1,533):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,532):{'3_1':0.15},(1,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(1,530):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0},(1,528):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(1,527):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(1,526):{'3_1':0.12,'5_2':0.0},(1,525):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,524):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(1,523):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(1,522):{'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0},(1,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(1,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(1,519):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(1,518):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(1,517):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(1,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,515):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,513):{'3_1':0.06},(1,512):{'3_1':0.03},(1,511):{'3_1':0.03,'5_2':0.0},(1,510):{'3_1':0.03,'5_1':0.0},(1,509):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(1,508):{'3_1':0.03,'5_1':0.0},(1,507):{'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(1,506):{'3_1':0.03,'4_1':0.0},(1,505):{'3_1':0.03,'5_1':0.0},(1,504):{'3_1':0.0,'4_1':0.0},(1,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,502):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(1,501):{'3_1':0.0,'5_2':0.0},(1,500):{'3_1':0.03,'4_1':0.0},(1,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,498):{'3_1':0.0,'5_2':0.0},(1,497):{'3_1':0.03},(1,496):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(1,495):{'3_1':0.0},(1,494):{'3_1':0.06,'6_3':0.0},(1,493):{'3_1':0.0},(1,492):{'3_1':0.06,'4_1':0.0},(1,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(1,490):{'3_1':0.03,'5_2':0.0},(1,489):{'3_1':0.03,'5_2':0.0},(1,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(1,487):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(1,486):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(1,485):{'3_1':0.09,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,484):{'3_1':0.06,'5_1':0.0},(1,483):{'3_1':0.03,'5_1':0.0},(1,482):{'3_1':0.09,'3_1#5_1':0.0},(1,481):{'3_1':0.03,'7_1':0.0},(1,480):{'3_1':0.06,'4_1':0.0},(1,479):{'3_1':0.09,'4_1':0.0},(1,478):{'3_1':0.09,'8_20|3_1#3_1':0.0},(1,477):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(1,476):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,475):{'3_1':0.06},(1,474):{'3_1':0.03,'5_1':0.0},(1,473):{'3_1':0.03},(1,472):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(1,471):{'3_1':0.03,'5_1':0.0},(1,470):{'3_1':0.03,'4_1':0.0},(1,468):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(1,467):{'3_1':0.03},(1,466):{'3_1':0.06,'5_1':0.0},(1,465):{'3_1':0.0},(1,464):{'3_1':0.0,'5_1':0.0},(1,463):{'4_1':0.0},(1,462):{'3_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(1,461):{'3_1':0.03},(1,460):{'3_1':0.0,'5_1':0.0},(1,459):{'3_1':0.03,'7_3':0.0},(1,458):{'3_1':0.0,'5_1':0.0},(1,456):{'7_5':0.0,'3_1#5_1':0.0},(1,455):{'5_1':0.0},(1,454):{'3_1':0.03,'4_1':0.0},(1,453):{'3_1':0.03,'5_1':0.0},(1,452):{'3_1':0.03,'5_1':0.0},(1,451):{'3_1':0.0},(1,450):{'3_1':0.03,'6_2':0.0},(1,449):{'3_1':0.03},(1,448):{'3_1':0.03},(1,447):{'3_1':0.03,'5_1':0.0,'3_1#5_1':0.0},(1,446):{'3_1':0.0},(1,445):{'3_1':0.03,'4_1':0.0},(1,444):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(1,443):{'3_1':0.03},(1,442):{'3_1':0.0},(1,441):{'3_1':0.03,'4_1':0.0},(1,440):{'3_1':0.0},(1,439):{'3_1':0.03},(1,438):{'3_1':0.0},(1,437):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(1,436):{'3_1':0.0,'4_1':0.0},(1,435):{'3_1':0.0},(1,434):{'3_1':0.03},(1,433):{'3_1':0.0},(1,432):{'3_1':0.03},(1,431):{'3_1':0.0,'8_20|3_1#3_1':0.0},(1,430):{'3_1':0.06},(1,429):{'3_1':0.0,'4_1':0.0},(1,428):{'3_1':0.06},(1,427):{'3_1':0.03,'4_1':0.0},(1,426):{'3_1':0.0},(1,425):{'3_1':0.0},(1,424):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(1,423):{'3_1':0.03},(1,422):{'3_1':0.03},(1,421):{'3_1':0.0},(1,420):{'3_1':0.0,'4_1':0.0},(1,419):{'3_1':0.09,'4_1':0.0},(1,418):{'3_1':0.03},(1,417):{'3_1':0.03,'5_2':0.0},(1,416):{'3_1':0.0},(1,415):{'3_1':0.0,'4_1':0.0},(1,414):{'3_1':0.03},(1,413):{'3_1':0.0,'6_3':0.0,'7_1':0.0},(1,412):{'3_1':0.0},(1,411):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,410):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,409):{'3_1':0.03},(1,408):{'3_1':0.0,'5_1':0.0},(1,407):{'3_1':0.0},(1,406):{'3_1':0.03},(1,405):{'3_1':0.0,'8_20|3_1#3_1':0.0},(1,404):{'3_1':0.0},(1,403):{'3_1':0.0,'5_1':0.0},(1,402):{'4_1':0.0,'7_1':0.0},(1,401):{'3_1':0.0,'4_1':0.0},(1,400):{'3_1':0.0,'4_1':0.0},(1,399):{'3_1':0.0,'4_1':0.0},(1,398):{'3_1':0.03,'6_2':0.0},(1,397):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(1,396):{'3_1':0.0,'7_2':0.0},(1,395):{'3_1':0.0},(1,394):{'3_1':0.0},(1,393):{'3_1':0.0},(1,392):{'3_1':0.06,'5_1':0.0},(1,391):{'3_1':0.0},(1,390):{'3_1':0.0,'4_1':0.0},(1,389):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,388):{'3_1':0.03},(1,387):{'3_1':0.0,'4_1':0.0},(1,386):{'3_1':0.0},(1,385):{'3_1':0.03},(1,384):{'3_1':0.0},(1,383):{'3_1':0.0},(1,382):{'3_1':0.03},(1,381):{'5_1':0.0,'3_1':0.0},(1,380):{'3_1':0.03,'4_1':0.0},(1,379):{'3_1':0.03,'5_2':0.0},(1,378):{'3_1':0.03},(1,377):{'3_1':0.03},(1,376):{'3_1':0.0,'5_1':0.0},(1,375):{'3_1':0.0},(1,374):{'3_1':0.0,'4_1':0.0},(1,373):{'3_1':0.0},(1,372):{'3_1':0.03,'5_2':0.0},(1,371):{'3_1':0.03},(1,370):{'3_1':0.03},(1,369):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(1,368):{'3_1':0.0,'5_2':0.0},(1,367):{'3_1':0.03},(1,366):{'7_1':0.0},(1,365):{'3_1':0.0,'5_1':0.0},(1,364):{'3_1':0.0},(1,363):{'3_1':0.06},(1,362):{'3_1':0.0,'4_1':0.0},(1,361):{'3_1':0.0},(1,360):{'3_1':0.0},(1,359):{'3_1':0.0,'4_1':0.0},(1,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(1,357):{'3_1':0.03},(1,355):{'3_1':0.0,'5_1':0.0,'8_2':0.0},(1,354):{'5_1':0.0},(1,353):{'3_1':0.0,'5_1':0.0},(1,352):{'3_1':0.0},(1,351):{'3_1':0.0,'4_1':0.0},(1,350):{'3_1':0.0},(1,349):{'3_1':0.0,'5_1':0.0},(1,348):{'3_1':0.03,'5_1':0.0},(1,347):{'3_1':0.03},(1,346):{'3_1':0.0,'7_1':0.0},(1,345):{'3_1':0.0},(1,344):{'3_1':0.0},(1,343):{'3_1':0.03,'4_1':0.0},(1,342):{'3_1':0.0},(1,341):{'3_1':0.03},(1,340):{'3_1':0.0},(1,339):{'3_1':0.0,'5_1':0.0},(1,338):{'3_1':0.03},(1,337):{'3_1':0.03},(1,336):{'3_1':0.0},(1,335):{'3_1':0.03,'9_1':0.0},(1,334):{'3_1':0.0},(1,333):{'3_1':0.03,'4_1':0.0},(1,332):{'3_1':0.03,'-3':0.0},(1,331):{'3_1':0.03},(1,330):{'3_1':0.03,'5_2':0.0},(1,329):{'3_1':0.03},(1,328):{'3_1':0.06},(1,327):{'3_1':0.0},(1,326):{'3_1':0.0},(1,325):{'3_1':0.03},(1,324):{'3_1':0.03},(1,323):{'3_1':0.03},(1,322):{'3_1':0.0,'4_1':0.0},(1,321):{'3_1':0.03},(1,320):{'3_1':0.03},(1,319):{'3_1':0.03},(1,318):{'3_1':0.0},(1,317):{'3_1':0.0,'4_1':0.0},(1,316):{'3_1':0.0},(1,315):{'3_1':0.03},(1,314):{'3_1':0.0},(1,313):{'3_1':0.0},(1,312):{'3_1':0.0},(1,311):{'3_1':0.0},(1,310):{'3_1':0.03},(1,309):{'3_1':0.0,'5_2':0.0},(1,308):{'3_1':0.0},(1,307):{'3_1':0.03,'4_1':0.0},(1,306):{'3_1':0.0},(1,305):{'3_1':0.0},(1,304):{'3_1':0.0},(1,303):{'3_1':0.0},(1,301):{'3_1':0.0,'5_1':0.0},(1,299):{'3_1':0.0},(1,298):{'3_1':0.0},(1,297):{'3_1':0.03},(1,296):{'3_1':0.03},(1,295):{'3_1':0.03},(1,294):{'3_1':0.0},(1,293):{'3_1':0.06,'4_1':0.0},(1,292):{'3_1':0.0},(1,291):{'3_1':0.0},(1,290):{'3_1':0.0},(1,289):{'3_1':0.03,'4_1':0.0},(1,288):{'3_1':0.0},(1,287):{'3_1':0.0},(1,286):{'3_1':0.03,'5_2':0.0},(1,285):{'3_1':0.03},(1,284):{'3_1':0.06},(1,283):{'3_1':0.0},(1,282):{'3_1':0.0},(1,281):{'3_1':0.0},(1,280):{'3_1':0.0,'5_2':0.0},(1,279):{'3_1':0.0},(1,278):{'3_1':0.0},(1,277):{'3_1':0.0},(1,276):{'3_1':0.0},(1,275):{'3_1':0.03,'5_1':0.0},(1,274):{'3_1':0.0},(1,273):{'3_1':0.03},(1,272):{'3_1':0.03},(1,271):{'3_1':0.0},(1,270):{'3_1':0.03},(1,269):{'3_1':0.03},(1,268):{'3_1':0.0},(1,267):{'3_1':0.03,'7_1':0.0},(1,265):{'3_1':0.0,'4_1':0.0},(1,264):{'3_1':0.0},(1,263):{'3_1':0.0},(1,262):{'3_1':0.0},(1,261):{'3_1':0.03},(1,260):{'3_1':0.0,'5_2':0.0},(1,259):{'3_1':0.0,'5_2':0.0},(1,258):{'3_1':0.0,'4_1':0.0},(1,257):{'3_1':0.0},(1,256):{'3_1':0.0,'5_2':0.0},(1,255):{'3_1':0.03},(1,254):{'3_1':0.03},(1,253):{'3_1':0.0,'5_2':0.0},(1,252):{'3_1':0.03,'4_1':0.0},(1,251):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(1,250):{'3_1':0.03},(1,249):{'3_1':0.03,'4_1':0.0},(1,248):{'3_1':0.0},(1,247):{'3_1':0.0},(1,246):{'3_1':0.0},(1,245):{'3_1':0.0},(1,244):{'3_1':0.0},(1,243):{'3_1':0.0},(1,242):{'3_1':0.0,'4_1':0.0},(1,241):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,240):{'3_1':0.0},(1,239):{'3_1':0.03},(1,238):{'3_1':0.0,'5_2':0.0},(1,237):{'3_1':0.06,'5_2':0.0},(1,236):{'3_1':0.0},(1,235):{'3_1':0.0,'4_1':0.0},(1,234):{'3_1':0.0,'7_4':0.0},(1,233):{'3_1':0.0},(1,232):{'4_1':0.0},(1,231):{'3_1':0.0},(1,230):{'3_1':0.0},(1,229):{'3_1':0.03},(1,228):{'3_1':0.0},(1,227):{'3_1':0.03},(1,226):{'3_1':0.0},(1,225):{'3_1':0.0},(1,224):{'3_1':0.0},(1,223):{'4_1':0.0},(1,216):{'3_1':0.0},(1,215):{'3_1':0.0},(1,214):{'3_1':0.0},(1,213):{'3_1':0.0},(1,212):{'3_1':0.0,'4_1':0.0},(1,211):{'3_1':0.0},(1,209):{'3_1':0.0},(1,208):{'3_1':0.0},(1,207):{'3_1':0.0},(1,206):{'4_1':0.0},(1,205):{'3_1':0.0},(1,204):{'3_1':0.03,'4_1':0.0},(1,203):{'3_1':0.0,'5_1':0.0},(1,202):{'3_1':0.0},(1,201):{'3_1':0.0},(1,200):{'3_1':0.0},(1,199):{'3_1':0.03},(1,198):{'3_1':0.0,'5_1':0.0},(1,197):{'5_1':0.0},(1,196):{'3_1':0.03},(1,194):{'3_1':0.0},(1,193):{'3_1':0.0},(1,192):{'3_1':0.0},(1,191):{'3_1':0.03,'5_1':0.0},(1,190):{'3_1':0.0},(1,189):{'3_1':0.0},(1,188):{'3_1':0.0},(1,187):{'3_1':0.0},(1,186):{'3_1':0.0},(1,185):{'3_1':0.0},(1,184):{'3_1':0.0},(1,183):{'3_1':0.0},(1,181):{'3_1':0.0,'5_2':0.0},(1,180):{'3_1':0.0},(1,179):{'3_1':0.0},(1,178):{'3_1':0.0},(1,177):{'3_1':0.0,'6_2':0.0},(1,176):{'3_1':0.03},(1,175):{'4_1':0.0,'5_2':0.0,'6_2':0.0},(1,174):{'3_1':0.0,'4_1':0.0},(1,171):{'5_2':0.0},(1,170):{'3_1':0.0},(1,169):{'3_1':0.0},(1,168):{'3_1':0.0},(1,167):{'3_1':0.0},(1,166):{'3_1':0.03},(1,164):{'3_1':0.0},(1,161):{'3_1':0.0},(1,160):{'3_1':0.0,'4_1':0.0},(1,159):{'3_1':0.0},(1,158):{'4_1':0.0},(1,157):{'3_1':0.0,'4_1':0.0},(1,156):{'3_1':0.0,'4_1':0.0},(1,154):{'4_1':0.0},(1,153):{'3_1':0.0,'4_1':0.0},(1,152):{'4_1':0.0,'3_1':0.0},(1,151):{'3_1':0.0},(1,150):{'3_1':0.0},(1,149):{'3_1':0.0},(1,148):{'3_1':0.0},(1,147):{'3_1':0.0},(1,146):{'3_1':0.0},(1,144):{'3_1':0.0},(1,143):{'3_1':0.0},(1,142):{'3_1':0.0},(1,141):{'3_1':0.0},(1,140):{'3_1':0.0},(1,139):{'3_1':0.0},(1,138):{'5_1':0.0},(1,137):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(1,136):{'3_1':0.0},(1,135):{'3_1':0.0},(1,133):{'3_1':0.0},(1,132):{'3_1':0.0},(1,130):{'3_1':0.0},(1,128):{'3_1':0.0},(1,127):{'3_1':0.0},(1,126):{'3_1':0.0},(1,125):{'3_1':0.0},(1,124):{'5_2':0.0},(1,123):{'3_1':0.0},(1,122):{'3_1':0.0},(1,121):{'3_1':0.0},(1,120):{'3_1':0.0},(1,119):{'3_1':0.0},(1,118):{'3_1':0.0},(1,105):{'3_1':0.0},(1,104):{'3_1':0.0},(1,103):{'3_1':0.0},(1,102):{'3_1':0.0},(1,100):{'3_1':0.0},(2,727):{'5_2':0.69,'3_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(2,726):{'5_2':0.63,'3_1':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'1':-0.03},(2,725):{'5_2':0.63,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_13':0.0},(2,724):{'5_2':0.57,'5_1':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(2,723):{'5_2':0.69,'-3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(2,722):{'5_2':0.63,'3_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(2,721):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(2,720):{'5_2':0.6,'7_4':0.06,'-3':0.06,'3_1':0.03,'8_8':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(2,719):{'5_2':0.63,'-3':0.03,'5_1':0.03,'3_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(2,718):{'5_2':0.63,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0},(2,717):{'5_2':0.6,'7_4':0.03,'5_1':0.03,'7_2':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(2,716):{'5_2':0.72,'3_1':0.03,'-3':0.03,'7_4':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(2,715):{'5_2':0.63,'3_1':0.03,'-3':0.03,'7_4':0.0,'8_8':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(2,714):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(2,713):{'5_2':0.66,'7_4':0.03,'5_1':0.03,'3_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(2,712):{'5_2':0.48,'3_1':0.12,'7_2':0.03,'-3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(2,711):{'5_2':0.51,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(2,710):{'5_2':0.39,'-3':0.21,'7_5':0.09,'5_1':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(2,709):{'5_2':0.6,'-3':0.12,'7_5':0.03,'5_1':0.03,'3_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0},(2,708):{'5_2':0.63,'-3':0.09,'7_5':0.03,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'1':-0.03},(2,707):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'1':-0.03},(2,706):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(2,705):{'5_2':0.51,'3_1':0.06,'-3':0.06,'3_1#5_2':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(2,704):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_2':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(2,703):{'5_2':0.54,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(2,702):{'5_2':0.69,'3_1':0.06,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(2,701):{'5_2':0.48,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(2,700):{'5_2':0.51,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_8':0.0},(2,699):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_6':0.03,'7_5':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_13':0.0},(2,698):{'5_2':0.54,'3_1':0.09,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(2,697):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(2,696):{'5_2':0.39,'3_1':0.15,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'8_8':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,695):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_14':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(2,694):{'5_2':0.39,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'8_14':0.0},(2,693):{'5_2':0.39,'3_1':0.18,'5_1':0.03,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_14':0.0},(2,692):{'5_2':0.48,'3_1':0.18,'-3':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(2,691):{'5_2':0.33,'3_1':0.24,'-3':0.12,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(2,690):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(2,689):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,688):{'5_2':0.36,'3_1':0.3,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_13':0.0,'7_2':0.0,'7_7':0.0},(2,687):{'5_2':0.36,'3_1':0.24,'7_4':0.06,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(2,686):{'5_2':0.39,'3_1':0.27,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(2,685):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(2,684):{'3_1':0.33,'5_2':0.3,'7_4':0.09,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(2,683):{'5_2':0.45,'3_1':0.24,'7_4':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(2,682):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(2,681):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(2,680):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'8_8':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(2,679):{'3_1':0.54,'5_2':0.21,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(2,678):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(2,677):{'3_1':0.6,'5_2':0.15,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_16':0.0},(2,676):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'8_20|3_1#3_1':0.03,'5_1':0.03,'6_3':0.0,'8_8':0.0,'-3':0.0},(2,675):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(2,674):{'3_1':0.6,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(2,673):{'3_1':0.66,'5_2':0.09,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(2,672):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(2,671):{'3_1':0.69,'7_4':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(2,670):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(2,669):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0},(2,668):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(2,667):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0},(2,666):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(2,665):{'3_1':0.66,'5_2':0.12,'7_4':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(2,664):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0},(2,663):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'-3':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,662):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,661):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0},(2,660):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(2,659):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(2,658):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(2,657):{'3_1':0.66,'5_2':0.09,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0},(2,656):{'3_1':0.57,'5_2':0.09,'4_1':0.03,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(2,655):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(2,654):{'3_1':0.63,'5_2':0.15,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_15':0.0},(2,653):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,652):{'3_1':0.51,'5_2':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(2,651):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(2,650):{'3_1':0.54,'5_2':0.12,'-3':0.03,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,649):{'3_1':0.45,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(2,648):{'3_1':0.45,'5_2':0.12,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,647):{'3_1':0.36,'5_2':0.18,'5_1':0.09,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_15':0.0,'8_16':0.0},(2,646):{'3_1':0.39,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(2,645):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0},(2,644):{'3_1':0.27,'5_2':0.18,'5_1':0.09,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_16':0.0,'3_1#5_2':0.0,'-3':0.0},(2,643):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(2,642):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(2,641):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(2,640):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0},(2,639):{'3_1':0.21,'5_2':0.18,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(2,638):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_1':0.0,'3_1#5_2':0.0},(2,637):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(2,636):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(2,635):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_8':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(2,634):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(2,633):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,632):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(2,631):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0},(2,630):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0},(2,629):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(2,628):{'5_2':0.06,'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(2,627):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(2,626):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(2,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(2,624):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(2,623):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_16':0.0,'-3':0.0},(2,622):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(2,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0},(2,620):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_10':0.0},(2,619):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0,'7_7':0.0,'-3':0.0},(2,618):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,617):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0,'8_16':0.0},(2,616):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'8_8':0.0},(2,615):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_3':0.0,'-3':0.0},(2,614):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0},(2,613):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'8_10':0.0,'8_16':0.0},(2,612):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(2,611):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,610):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.03,'8_8':0.0,'8_19':0.0},(2,609):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(2,608):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(2,607):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'8_19':0.0},(2,606):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(2,605):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(2,604):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(2,603):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(2,602):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_6':0.0},(2,601):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(2,600):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(2,599):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(2,598):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_5':0.0},(2,597):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'-3':0.0},(2,596):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0},(2,595):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(2,594):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(2,593):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(2,592):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(2,591):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(2,590):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(2,589):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(2,588):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(2,587):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(2,586):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(2,585):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_7':0.0},(2,584):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(2,583):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0},(2,582):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(2,581):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(2,580):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(2,579):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(2,578):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(2,577):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(2,576):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(2,575):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(2,574):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'7_7':0.0},(2,573):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(2,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(2,571):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0},(2,570):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(2,569):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(2,568):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(2,567):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0},(2,566):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'7_5':0.0},(2,565):{'4_1':0.03,'3_1':0.03},(2,564):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(2,563):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0},(2,562):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,561):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(2,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(2,559):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(2,558):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(2,557):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,556):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(2,554):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(2,553):{'3_1':0.03,'4_1':0.0},(2,552):{'3_1':0.12,'5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0},(2,551):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(2,550):{'3_1':0.15,'7_3':0.0},(2,549):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(2,548):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,547):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(2,546):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(2,545):{'3_1':0.09,'4_1':0.0},(2,544):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(2,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(2,542):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_2':0.0},(2,541):{'3_1':0.15,'4_1':0.0},(2,540):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(2,539):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(2,538):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,537):{'3_1':0.12,'8_20|3_1#3_1':0.0},(2,536):{'3_1':0.18,'5_1':0.0},(2,535):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(2,534):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,533):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(2,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,530):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(2,529):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(2,527):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(2,526):{'3_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(2,525):{'3_1':0.15,'4_1':0.0,'3_1#5_1':0.0},(2,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(2,523):{'3_1':0.03,'5_1':0.0},(2,522):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(2,521):{'3_1':0.06,'5_1':0.0,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,520):{'3_1':0.06,'5_2':0.0,'8_7':0.0},(2,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(2,518):{'3_1':0.06},(2,517):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(2,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(2,515):{'3_1':0.06},(2,514):{'3_1':0.06,'3_1#5_1':0.0},(2,513):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,512):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(2,511):{'3_1':0.0,'4_1':0.0},(2,510):{'3_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(2,509):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,508):{'3_1':0.03,'5_2':0.0},(2,507):{'3_1':0.0},(2,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,505):{'3_1':0.03,'4_1':0.0},(2,504):{'3_1':0.03},(2,503):{'3_1':0.03,'5_1':0.0},(2,502):{'3_1':0.0},(2,501):{'3_1':0.0,'4_1':0.0},(2,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,499):{'3_1':0.03},(2,498):{'3_1':0.0},(2,497):{'3_1':0.0},(2,496):{'3_1':0.03},(2,495):{'3_1':0.03},(2,494):{'3_1':0.03,'5_1':0.0},(2,493):{'3_1':0.03},(2,492):{'3_1':0.03},(2,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,490):{'3_1':0.03},(2,489):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,488):{'3_1':0.03,'4_1':0.0},(2,487):{'3_1':0.06,'4_1':0.0},(2,486):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(2,485):{'3_1':0.09,'5_2':0.0},(2,484):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(2,483):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(2,482):{'3_1':0.09},(2,481):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0},(2,480):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(2,479):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(2,478):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(2,477):{'3_1':0.03},(2,476):{'3_1':0.06},(2,475):{'3_1':0.09,'5_1':0.0},(2,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,473):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(2,472):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(2,471):{'3_1':0.03},(2,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,469):{'3_1':0.06,'8_20|3_1#3_1':0.0},(2,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,467):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,466):{'3_1':0.0,'4_1':0.0},(2,465):{'3_1':0.03,'8_20|3_1#3_1':0.0},(2,464):{'3_1':0.0},(2,463):{'3_1':0.09,'5_1':0.0},(2,462):{'3_1':0.03},(2,461):{'3_1':0.0,'5_2':0.0},(2,460):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,459):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0},(2,458):{'3_1':0.0,'4_1':0.0},(2,457):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,456):{'3_1':0.0,'8_20|3_1#3_1':0.0},(2,455):{'3_1':0.0,'4_1':0.0},(2,454):{'3_1':0.0},(2,453):{'3_1':0.0,'6_3':0.0},(2,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(2,451):{'8_20|3_1#3_1':0.0},(2,450):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(2,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(2,448):{'3_1':0.06,'4_1':0.0},(2,447):{'3_1':0.0,'5_1':0.0},(2,446):{'3_1':0.0,'4_1':0.0,'8_10':0.0},(2,445):{'3_1':0.06},(2,444):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,443):{'3_1':0.03},(2,442):{'3_1':0.03,'8_20|3_1#3_1':0.0},(2,441):{'3_1':0.03},(2,440):{'3_1':0.0,'4_1':0.0},(2,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,438):{'3_1':0.0},(2,437):{'3_1':0.09,'8_20|3_1#3_1':0.0},(2,436):{'3_1':0.09},(2,435):{'3_1':0.03},(2,434):{'3_1':0.0},(2,433):{'3_1':0.03,'4_1':0.0},(2,432):{'3_1':0.06,'5_2':0.0},(2,431):{'3_1':0.03,'5_2':0.0},(2,430):{'3_1':0.09},(2,429):{'3_1':0.0},(2,428):{'3_1':0.06,'4_1':0.0},(2,427):{'3_1':0.06},(2,426):{'3_1':0.03,'4_1':0.0},(2,425):{'3_1':0.03},(2,424):{'3_1':0.06,'4_1':0.0},(2,423):{'3_1':0.06,'4_1':0.0},(2,422):{'3_1':0.03},(2,421):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,420):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,418):{'3_1':0.0},(2,417):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(2,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(2,415):{'3_1':0.03},(2,414):{'3_1':0.03},(2,413):{'3_1':0.06,'-3':0.0},(2,412):{'3_1':0.0},(2,411):{'3_1':0.0},(2,410):{'3_1':0.03,'5_1':0.0},(2,409):{'3_1':0.06,'5_2':0.0},(2,408):{'3_1':0.03},(2,407):{'3_1':0.0},(2,406):{'3_1':0.03,'4_1':0.0},(2,405):{'3_1':0.03,'4_1':0.0},(2,404):{'3_1':0.0,'4_1':0.0},(2,403):{'3_1':0.0},(2,402):{'3_1':0.03},(2,401):{'3_1':0.03},(2,400):{'3_1':0.0,'4_1':0.0},(2,399):{'3_1':0.03,'4_1':0.0},(2,398):{'3_1':0.0},(2,397):{'3_1':0.03,'5_2':0.0},(2,396):{'3_1':0.03},(2,395):{'3_1':0.03,'4_1':0.0},(2,394):{'3_1':0.0},(2,393):{'3_1':0.0},(2,392):{'3_1':0.06},(2,391):{'3_1':0.0},(2,390):{'3_1':0.03},(2,389):{'3_1':0.06},(2,388):{'3_1':0.03,'4_1':0.0},(2,387):{'3_1':0.0},(2,386):{'3_1':0.03},(2,385):{'3_1':0.0,'4_1':0.0},(2,384):{'3_1':0.0},(2,383):{'3_1':0.06},(2,382):{'3_1':0.03},(2,381):{'3_1':0.03},(2,380):{'3_1':0.03},(2,379):{'3_1':0.03},(2,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(2,377):{'3_1':0.03},(2,376):{'3_1':0.03},(2,375):{'3_1':0.0},(2,374):{'3_1':0.03,'4_1':0.0},(2,373):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,372):{'3_1':0.03},(2,371):{'5_1':0.0,'3_1':0.0},(2,370):{'3_1':0.06,'5_1':0.0},(2,369):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(2,368):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,367):{'3_1':0.0,'5_2':0.0},(2,366):{'3_1':0.0,'7_1':0.0,'4_1':0.0},(2,365):{'3_1':0.0},(2,364):{'3_1':0.03},(2,363):{'3_1':0.0,'4_1':0.0},(2,362):{'3_1':0.06,'4_1':0.0},(2,361):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(2,360):{'3_1':0.0,'5_1':0.0},(2,359):{'3_1':0.0},(2,358):{'3_1':0.03,'5_1':0.0},(2,357):{'3_1':0.0,'5_1':0.0},(2,356):{'8_2':0.0},(2,355):{'3_1':0.0},(2,354):{'3_1':0.0},(2,353):{'3_1':0.03},(2,352):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,351):{'3_1':0.06,'7_1':0.0},(2,350):{'3_1':0.0},(2,349):{'3_1':0.0},(2,348):{'3_1':0.06},(2,347):{'3_1':0.03,'4_1':0.0},(2,346):{'3_1':0.0,'6_3':0.0},(2,345):{'3_1':0.03,'4_1':0.0},(2,344):{'3_1':0.06},(2,343):{'3_1':0.0},(2,342):{'3_1':0.0},(2,341):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,340):{'3_1':0.0},(2,339):{'3_1':0.0},(2,338):{'3_1':0.0},(2,337):{'3_1':0.0},(2,336):{'3_1':0.03},(2,335):{'3_1':0.0},(2,334):{'3_1':0.0},(2,333):{'3_1':0.0,'9_1':0.0},(2,332):{'3_1':0.03},(2,331):{'3_1':0.03},(2,330):{'3_1':0.0},(2,329):{'3_1':0.0},(2,328):{'3_1':0.0},(2,327):{'3_1':0.03},(2,326):{'3_1':0.0,'4_1':0.0},(2,325):{'3_1':0.03,'4_1':0.0},(2,324):{'3_1':0.03},(2,323):{'3_1':0.03},(2,322):{'3_1':0.03,'5_1':0.0},(2,321):{'3_1':0.0,'5_2':0.0},(2,320):{'3_1':0.03,'5_2':0.0},(2,319):{'3_1':0.03,'4_1':0.0},(2,318):{'3_1':0.03,'5_2':0.0},(2,317):{'3_1':0.03,'4_1':0.0},(2,316):{'3_1':0.0,'4_1':0.0},(2,315):{'3_1':0.03},(2,314):{'3_1':0.0,'4_1':0.0},(2,313):{'4_1':0.0,'3_1':0.0},(2,312):{'3_1':0.0},(2,311):{'3_1':0.0},(2,310):{'3_1':0.03,'4_1':0.0},(2,309):{'3_1':0.03,'5_2':0.0},(2,308):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(2,307):{'3_1':0.03},(2,306):{'3_1':0.0},(2,305):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(2,304):{'3_1':0.06,'5_2':0.0},(2,303):{'3_1':0.0},(2,302):{'3_1':0.0},(2,301):{'3_1':0.0},(2,300):{'3_1':0.0},(2,299):{'3_1':0.0},(2,298):{'3_1':0.0},(2,297):{'3_1':0.0},(2,296):{'3_1':0.0},(2,295):{'3_1':0.0},(2,294):{'3_1':0.0,'5_1':0.0},(2,293):{'3_1':0.0},(2,292):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,291):{'3_1':0.03},(2,290):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(2,289):{'3_1':0.0},(2,288):{'3_1':0.0},(2,287):{'3_1':0.0},(2,286):{'3_1':0.0,'8_20|3_1#3_1':0.0},(2,285):{'3_1':0.0},(2,284):{'3_1':0.03,'4_1':0.0},(2,283):{'3_1':0.0},(2,282):{'3_1':0.0},(2,281):{'3_1':0.0,'5_1':0.0},(2,280):{'3_1':0.03},(2,279):{'3_1':0.03},(2,278):{'3_1':0.0},(2,277):{'3_1':0.06,'5_1':0.0},(2,276):{'3_1':0.06},(2,275):{'3_1':0.0},(2,274):{'3_1':0.03,'7_5':0.0},(2,273):{'3_1':0.03,'4_1':0.0},(2,272):{'3_1':0.03},(2,271):{'3_1':0.03},(2,270):{'3_1':0.06},(2,269):{'3_1':0.03},(2,268):{'3_1':0.0},(2,267):{'3_1':0.03},(2,266):{'3_1':0.0},(2,265):{'3_1':0.0},(2,264):{'3_1':0.0,'5_2':0.0},(2,263):{'3_1':0.03,'5_2':0.0},(2,262):{'3_1':0.0,'5_2':0.0},(2,261):{'3_1':0.0},(2,260):{'3_1':0.03,'5_2':0.0},(2,259):{'3_1':0.06},(2,258):{'3_1':0.03},(2,257):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(2,256):{'3_1':0.0,'5_1':0.0},(2,255):{'3_1':0.03},(2,254):{'3_1':0.0},(2,253):{'3_1':0.0},(2,252):{'3_1':0.03},(2,251):{'3_1':0.0},(2,250):{'3_1':0.0,'5_2':0.0},(2,249):{'3_1':0.0,'4_1':0.0},(2,248):{'3_1':0.0,'4_1':0.0},(2,247):{'3_1':0.03,'5_2':0.0},(2,246):{'3_1':0.0,'4_1':0.0},(2,245):{'3_1':0.0},(2,244):{'3_1':0.0},(2,243):{'3_1':0.03,'5_2':0.0},(2,242):{'3_1':0.0},(2,241):{'3_1':0.0},(2,240):{'3_1':0.0,'5_2':0.0},(2,239):{'3_1':0.0},(2,238):{'3_1':0.03},(2,237):{'3_1':0.0},(2,236):{'3_1':0.0},(2,235):{'3_1':0.0},(2,234):{'3_1':0.0},(2,233):{'3_1':0.0,'6_2':0.0},(2,232):{'3_1':0.0},(2,231):{'3_1':0.03},(2,230):{'3_1':0.0},(2,229):{'3_1':0.0},(2,228):{'3_1':0.03},(2,227):{'3_1':0.0},(2,224):{'3_1':0.0},(2,223):{'3_1':0.0},(2,222):{'3_1':0.0},(2,221):{'3_1':0.0},(2,220):{'3_1':0.0},(2,219):{'3_1':0.0},(2,218):{'3_1':0.0},(2,216):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(2,214):{'3_1':0.0},(2,213):{'3_1':0.0},(2,212):{'3_1':0.0},(2,211):{'3_1':0.0},(2,210):{'3_1':0.03},(2,208):{'3_1':0.03},(2,207):{'3_1':0.0},(2,206):{'3_1':0.0},(2,205):{'3_1':0.0},(2,203):{'3_1':0.0},(2,202):{'3_1':0.0,'4_1':0.0},(2,201):{'3_1':0.0},(2,200):{'3_1':0.0},(2,197):{'3_1':0.03},(2,195):{'3_1':0.0},(2,194):{'3_1':0.0},(2,193):{'3_1':0.0},(2,192):{'3_1':0.0},(2,191):{'3_1':0.0},(2,190):{'3_1':0.03},(2,189):{'3_1':0.0},(2,187):{'3_1':0.0},(2,185):{'3_1':0.0},(2,184):{'3_1':0.0},(2,183):{'3_1':0.0},(2,182):{'3_1':0.0},(2,181):{'3_1':0.03},(2,180):{'3_1':0.0},(2,179):{'3_1':0.03,'4_1':0.0},(2,178):{'3_1':0.0},(2,177):{'3_1':0.0,'6_2':0.0},(2,176):{'3_1':0.0},(2,175):{'3_1':0.0,'4_1':0.0},(2,174):{'4_1':0.0},(2,172):{'3_1':0.03},(2,171):{'3_1':0.0},(2,168):{'3_1':0.0},(2,167):{'3_1':0.0},(2,166):{'3_1':0.0},(2,165):{'3_1':0.0},(2,163):{'3_1':0.0},(2,162):{'3_1':0.0},(2,161):{'3_1':0.03},(2,160):{'3_1':0.0},(2,159):{'3_1':0.0,'5_1':0.0},(2,158):{'3_1':0.0},(2,155):{'3_1':0.0},(2,154):{'3_1':0.03},(2,153):{'3_1':0.0},(2,152):{'3_1':0.0},(2,151):{'3_1':0.0},(2,150):{'3_1':0.0},(2,149):{'3_1':0.0},(2,148):{'3_1':0.0},(2,147):{'3_1':0.0},(2,146):{'3_1':0.03},(2,145):{'3_1':0.0},(2,144):{'3_1':0.03},(2,143):{'3_1':0.0},(2,142):{'3_1':0.0},(2,140):{'3_1':0.0,'4_1':0.0},(2,139):{'3_1':0.0},(2,138):{'3_1':0.0},(2,137):{'3_1':0.0},(2,136):{'3_1':0.0},(2,135):{'3_1':0.0},(2,132):{'3_1':0.03},(2,131):{'3_1':0.0},(2,130):{'3_1':0.03},(2,129):{'3_1':0.0,'4_1':0.0},(2,127):{'3_1':0.0},(2,126):{'3_1':0.0},(2,124):{'3_1':0.0,'5_1':0.0},(2,123):{'3_1':0.0},(2,122):{'3_1':0.0},(2,121):{'3_1':0.0},(2,120):{'3_1':0.0},(2,116):{'3_1':0.0},(2,110):{'3_1':0.0},(2,109):{'3_1':0.0},(2,108):{'3_1':0.0},(2,100):{'3_1':0.0},(2,99):{'3_1':0.0},(2,96):{'3_1':0.0},(2,95):{'3_1':0.0},(2,93):{'3_1':0.0,'5_2':0.0},(2,92):{'3_1':0.0},(2,90):{'3_1':0.0},(2,74):{'3_1':0.0},(2,71):{'4_1':0.0},(2,68):{'3_1':0.0},(2,65):{'3_1':0.0},(3,727):{'5_2':0.75,'-3':0.06,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0},(3,726):{'5_2':0.66,'-3':0.06,'7_4':0.06,'5_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(3,725):{'5_2':0.66,'-3':0.06,'8_20|3_1#3_1':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(3,724):{'5_2':0.63,'7_5':0.03,'8_13':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,723):{'5_2':0.72,'7_4':0.06,'-3':0.03,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_13':0.0},(3,722):{'5_2':0.72,'7_5':0.03,'-3':0.03,'7_4':0.0,'3_1#5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(3,721):{'5_2':0.63,'-3':0.06,'3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(3,720):{'5_2':0.78,'7_4':0.03,'-3':0.0,'3_1':0.0,'8_8':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,719):{'5_2':0.6,'7_4':0.06,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0},(3,718):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_13':0.0,'1':-0.03},(3,717):{'5_2':0.63,'7_4':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(3,716):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_4':0.03,'8_8':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(3,715):{'5_2':0.66,'-3':0.03,'3_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(3,714):{'5_2':0.57,'3_1':0.03,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(3,713):{'5_2':0.57,'3_1':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'8_13':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(3,712):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_13':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(3,711):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_1':0.0},(3,710):{'5_2':0.51,'3_1':0.09,'-3':0.09,'5_1':0.03,'7_5':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_13':0.0},(3,709):{'5_2':0.66,'-3':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'8_13':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(3,708):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(3,707):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_5':0.0,'8_13':0.0},(3,706):{'5_2':0.54,'7_4':0.09,'3_1':0.09,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_8':0.0,'8_15':0.0},(3,705):{'5_2':0.57,'-3':0.09,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'9_1':0.0},(3,704):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_6':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(3,703):{'5_2':0.57,'3_1':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_15':0.0},(3,702):{'5_2':0.66,'3_1':0.06,'3_1#5_2':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,701):{'5_2':0.57,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(3,700):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_6':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_14':0.0},(3,699):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(3,698):{'5_2':0.57,'3_1':0.15,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(3,697):{'5_2':0.39,'3_1':0.12,'7_3':0.09,'-3':0.06,'5_1':0.03,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(3,696):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(3,695):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0},(3,694):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(3,693):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(3,692):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(3,691):{'5_2':0.39,'3_1':0.24,'-3':0.09,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_8':0.0},(3,690):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_6':0.0},(3,689):{'3_1':0.33,'5_2':0.33,'-3':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0},(3,688):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,687):{'5_2':0.33,'3_1':0.3,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(3,686):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'7_3':0.06,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,685):{'3_1':0.36,'5_2':0.27,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_3':0.03,'7_7':0.0},(3,684):{'3_1':0.36,'5_2':0.33,'8_20|3_1#3_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_8':0.0,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(3,683):{'3_1':0.48,'5_2':0.24,'7_4':0.06,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(3,682):{'3_1':0.45,'5_2':0.21,'7_4':0.09,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(3,681):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(3,680):{'3_1':0.48,'5_2':0.21,'7_4':0.09,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(3,679):{'3_1':0.69,'5_2':0.09,'7_4':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(3,678):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(3,677):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0},(3,676):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(3,675):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(3,674):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0},(3,673):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(3,672):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(3,671):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(3,670):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0},(3,669):{'3_1':0.6,'5_2':0.18,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(3,668):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(3,667):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(3,666):{'3_1':0.63,'5_2':0.06,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(3,665):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(3,664):{'3_1':0.57,'5_2':0.15,'7_4':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'8_10':0.0},(3,663):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,662):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'-3':0.0},(3,661):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,660):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0},(3,659):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0},(3,658):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,657):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0},(3,656):{'3_1':0.57,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,655):{'3_1':0.6,'5_2':0.09,'5_1':0.06,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,654):{'3_1':0.66,'5_2':0.09,'5_1':0.03,'8_15':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0},(3,653):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(3,652):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(3,651):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(3,650):{'3_1':0.48,'5_2':0.15,'7_7':0.03,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(3,649):{'3_1':0.45,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(3,648):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_4':0.0,'8_15':0.0,'-3':0.0},(3,647):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,646):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(3,645):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(3,644):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(3,643):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'-3':0.0},(3,642):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(3,641):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(3,640):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_1':0.0},(3,639):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(3,638):{'3_1':0.15,'5_2':0.09,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'-3':0.0,'6_3':0.0,'8_14':0.0},(3,636):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(3,635):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(3,634):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(3,633):{'5_2':0.18,'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(3,632):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(3,631):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(3,630):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(3,629):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(3,628):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(3,627):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(3,626):{'3_1':0.21,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(3,625):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0},(3,624):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(3,623):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(3,622):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(3,621):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(3,620):{'3_1':0.15,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(3,619):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(3,618):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0},(3,617):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(3,616):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0},(3,615):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(3,614):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0},(3,613):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03},(3,612):{'3_1':0.12,'4_1':0.03},(3,611):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(3,610):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(3,609):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'5_2':0.0,'8_8':0.0},(3,608):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(3,607):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_7':0.0},(3,606):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(3,605):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(3,604):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(3,603):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_3':0.0},(3,602):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(3,601):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(3,600):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_3':0.0,'7_6':0.0,'5_1':0.0},(3,599):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'9_1':0.0},(3,598):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0},(3,597):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(3,596):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(3,595):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(3,594):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(3,593):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(3,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(3,591):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0},(3,590):{'3_1':0.21,'4_1':0.21,'5_1':0.0,'5_2':0.0,'8_16':0.0},(3,589):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'8_7':0.0},(3,588):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(3,587):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(3,586):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(3,585):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(3,584):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(3,583):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(3,582):{'4_1':0.12,'3_1':0.03,'6_1':0.0},(3,581):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(3,580):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(3,579):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(3,578):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0},(3,577):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_7':0.0,'-3':0.0},(3,576):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,575):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(3,574):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(3,573):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(3,572):{'4_1':0.09,'3_1':0.03,'5_1':0.0},(3,571):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'7_7':0.0,'5_2':0.0,'6_1':0.0},(3,570):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'9_1':0.0},(3,569):{'3_1':0.09,'4_1':0.03},(3,568):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(3,567):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(3,566):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0},(3,565):{'4_1':0.09,'3_1':0.03,'7_1':0.0},(3,564):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(3,563):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0},(3,562):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(3,561):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(3,560):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,559):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(3,558):{'3_1':0.12,'4_1':0.03,'-3':0.0},(3,557):{'3_1':0.12,'4_1':0.0},(3,556):{'3_1':0.15,'4_1':0.03},(3,555):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(3,554):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(3,553):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,552):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(3,551):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(3,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,549):{'3_1':0.09,'5_2':0.0},(3,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(3,547):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(3,546):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,545):{'3_1':0.12,'6_2':0.0},(3,544):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(3,543):{'3_1':0.09,'4_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(3,542):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,541):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(3,540):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(3,539):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,538):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,537):{'3_1':0.18,'4_1':0.03,'6_3':0.0},(3,536):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(3,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(3,534):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(3,533):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(3,532):{'3_1':0.12,'8_13':0.0},(3,531):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(3,530):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(3,529):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_3':0.0},(3,528):{'3_1':0.06,'5_1':0.0},(3,527):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,526):{'3_1':0.09},(3,525):{'3_1':0.06},(3,524):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0},(3,523):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,522):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,521):{'3_1':0.09,'4_1':0.0},(3,520):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(3,519):{'3_1':0.03,'4_1':0.0},(3,518):{'3_1':0.09,'4_1':0.0},(3,517):{'3_1':0.09,'4_1':0.0},(3,516):{'3_1':0.03,'5_1':0.0},(3,515):{'3_1':0.03},(3,514):{'3_1':0.0,'4_1':0.0},(3,513):{'3_1':0.03},(3,512):{'3_1':0.03},(3,511):{'3_1':0.09,'4_1':0.0},(3,510):{'3_1':0.03},(3,509):{'3_1':0.06,'5_2':0.0},(3,508):{'3_1':0.03},(3,507):{'3_1':0.03,'5_1':0.0},(3,506):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(3,505):{'3_1':0.03},(3,504):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,503):{'3_1':0.03,'5_1':0.0},(3,502):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(3,501):{'3_1':0.03},(3,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,499):{'3_1':0.03,'5_2':0.0},(3,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,497):{'3_1':0.0,'4_1':0.0},(3,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,495):{'3_1':0.0},(3,494):{'3_1':0.03,'4_1':0.0},(3,493):{'3_1':0.03},(3,492):{'3_1':0.03,'4_1':0.0},(3,491):{'3_1':0.09,'5_1':0.0},(3,490):{'3_1':0.0},(3,489):{'3_1':0.09,'5_1':0.0},(3,488):{'3_1':0.03},(3,487):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,486):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(3,485):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(3,484):{'3_1':0.03,'4_1':0.0},(3,483):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,482):{'3_1':0.03},(3,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(3,480):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(3,479):{'3_1':0.12,'4_1':0.0},(3,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,477):{'3_1':0.06,'7_1':0.0},(3,476):{'3_1':0.12,'8_20|3_1#3_1':0.0},(3,475):{'3_1':0.06,'4_1':0.0},(3,474):{'3_1':0.06,'4_1':0.0},(3,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,472):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,471):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(3,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,469):{'3_1':0.03,'5_2':0.0},(3,468):{'3_1':0.03,'6_3':0.0},(3,467):{'3_1':0.06,'8_20|3_1#3_1':0.0},(3,466):{'3_1':0.03,'4_1':0.0},(3,465):{'3_1':0.06},(3,464):{'3_1':0.0,'4_1':0.0},(3,463):{'3_1':0.0},(3,462):{'3_1':0.0},(3,461):{'3_1':0.03},(3,460):{'3_1':0.0},(3,459):{'3_1':0.03},(3,458):{'3_1':0.03,'4_1':0.0},(3,457):{'3_1':0.03},(3,456):{'3_1':0.03},(3,455):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(3,454):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,453):{'4_1':0.0},(3,452):{'3_1':0.03},(3,451):{'3_1':0.0},(3,450):{'3_1':0.0},(3,449):{'3_1':0.03},(3,448):{'3_1':0.0,'4_1':0.0},(3,447):{'3_1':0.06,'-3':0.0},(3,446):{'3_1':0.03,'6_3':0.0},(3,445):{'3_1':0.0},(3,444):{'3_1':0.03,'5_2':0.0},(3,443):{'3_1':0.03},(3,442):{'3_1':0.0},(3,441):{'3_1':0.03},(3,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,439):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(3,438):{'3_1':0.06,'6_1':0.0},(3,437):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(3,436):{'3_1':0.06,'5_2':0.0},(3,435):{'3_1':0.0,'5_1':0.0},(3,434):{'3_1':0.03,'5_2':0.0},(3,433):{'3_1':0.03},(3,432):{'3_1':0.09,'8_7':0.0},(3,431):{'3_1':0.0},(3,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,429):{'3_1':0.03},(3,428):{'3_1':0.09,'4_1':0.0},(3,427):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(3,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,425):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,424):{'3_1':0.0,'6_3':0.0},(3,423):{'3_1':0.06,'5_2':0.0},(3,422):{'3_1':0.03},(3,421):{'3_1':0.06},(3,420):{'3_1':0.03},(3,419):{'3_1':0.03,'6_1':0.0},(3,418):{'3_1':0.06,'8_20|3_1#3_1':0.0},(3,417):{'3_1':0.03},(3,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(3,415):{'3_1':0.09,'4_1':0.0},(3,414):{'3_1':0.06},(3,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,412):{'3_1':0.0},(3,411):{'3_1':0.0,'4_1':0.0},(3,410):{'3_1':0.03},(3,409):{'4_1':0.0,'3_1':0.0},(3,408):{'3_1':0.0},(3,407):{'3_1':0.03,'4_1':0.0},(3,406):{'3_1':0.0},(3,405):{'3_1':0.03,'6_1':0.0},(3,404):{'3_1':0.0},(3,403):{'5_2':0.0},(3,402):{'3_1':0.0},(3,401):{'3_1':0.03},(3,400):{'3_1':0.0},(3,399):{'3_1':0.03,'4_1':0.0},(3,398):{'3_1':0.06,'8_3':0.0},(3,397):{'3_1':0.06},(3,396):{'3_1':0.0},(3,395):{'3_1':0.0},(3,394):{'3_1':0.0},(3,393):{'3_1':0.03},(3,392):{'3_1':0.0,'6_1':0.0},(3,391):{'3_1':0.03,'4_1':0.0},(3,390):{'3_1':0.03},(3,389):{'3_1':0.06},(3,388):{'3_1':0.0,'4_1':0.0},(3,387):{'3_1':0.03},(3,386):{'3_1':0.03},(3,385):{'3_1':0.0},(3,384):{'3_1':0.03,'4_1':0.0},(3,383):{'3_1':0.03,'8_20|3_1#3_1':0.0},(3,382):{'3_1':0.03},(3,381):{'3_1':0.03},(3,380):{'3_1':0.0,'5_1':0.0},(3,379):{'3_1':0.0},(3,378):{'3_1':0.03,'5_1':0.0},(3,377):{'3_1':0.06,'4_1':0.0},(3,376):{'3_1':0.03,'5_1':0.0},(3,375):{'3_1':0.03},(3,374):{'3_1':0.0,'5_2':0.0},(3,373):{'3_1':0.03,'8_20|3_1#3_1':0.0},(3,372):{'3_1':0.03},(3,371):{'3_1':0.06},(3,370):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,369):{'3_1':0.0,'5_1':0.0},(3,368):{'3_1':0.03},(3,367):{'3_1':0.0,'4_1':0.0},(3,366):{'3_1':0.0},(3,365):{'3_1':0.03},(3,364):{'3_1':0.03},(3,363):{'3_1':0.0},(3,362):{'3_1':0.0},(3,361):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,360):{'3_1':0.0,'5_2':0.0},(3,359):{'3_1':0.03,'5_1':0.0},(3,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,357):{'3_1':0.0,'4_1':0.0},(3,356):{'3_1':0.03,'4_1':0.0},(3,355):{'3_1':0.0,'5_1':0.0},(3,354):{'3_1':0.03,'7_1':0.0},(3,353):{'3_1':0.0},(3,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(3,351):{'3_1':0.03,'5_1':0.0},(3,350):{'3_1':0.0},(3,349):{'3_1':0.0},(3,348):{'3_1':0.0},(3,347):{'3_1':0.0,'6_1':0.0},(3,346):{'3_1':0.0,'4_1':0.0},(3,345):{'3_1':0.06},(3,344):{'3_1':0.03},(3,343):{'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(3,342):{'3_1':0.0},(3,341):{'3_1':0.0},(3,340):{'3_1':0.0,'7_1':0.0},(3,339):{'3_1':0.0},(3,338):{'3_1':0.0},(3,337):{'4_1':0.0,'3_1':0.0},(3,336):{'3_1':0.0},(3,335):{'3_1':0.0},(3,334):{'3_1':0.0,'4_1':0.0},(3,333):{'3_1':0.03},(3,332):{'3_1':0.0},(3,331):{'3_1':0.0,'-3':0.0},(3,330):{'3_1':0.0},(3,329):{'3_1':0.06},(3,328):{'3_1':0.0},(3,327):{'3_1':0.0},(3,326):{'3_1':0.0},(3,325):{'3_1':0.0},(3,324):{'3_1':0.0,'4_1':0.0},(3,323):{'3_1':0.0},(3,322):{'5_1':0.0},(3,321):{'3_1':0.03},(3,320):{'3_1':0.0},(3,319):{'3_1':0.03,'4_1':0.0},(3,318):{'3_1':0.03,'4_1':0.0},(3,317):{'3_1':0.0},(3,316):{'3_1':0.0},(3,315):{'3_1':0.0},(3,314):{'4_1':0.0},(3,313):{'8_20|3_1#3_1':0.0},(3,312):{'3_1':0.0},(3,311):{'3_1':0.0},(3,310):{'3_1':0.0},(3,309):{'3_1':0.03},(3,308):{'3_1':0.0,'4_1':0.0},(3,307):{'3_1':0.0,'5_1':0.0},(3,306):{'3_1':0.0,'5_1':0.0},(3,305):{'3_1':0.0,'4_1':0.0},(3,304):{'3_1':0.0},(3,303):{'3_1':0.0,'4_1':0.0},(3,302):{'3_1':0.0},(3,301):{'3_1':0.0,'4_1':0.0},(3,300):{'3_1':0.0},(3,299):{'3_1':0.0},(3,297):{'3_1':0.0,'4_1':0.0},(3,296):{'3_1':0.03},(3,295):{'3_1':0.0,'4_1':0.0},(3,294):{'3_1':0.0},(3,293):{'3_1':0.03,'4_1':0.0},(3,292):{'3_1':0.0},(3,291):{'3_1':0.0},(3,290):{'3_1':0.0,'5_2':0.0},(3,289):{'3_1':0.03,'4_1':0.0},(3,288):{'3_1':0.0},(3,287):{'3_1':0.0},(3,286):{'3_1':0.03,'5_2':0.0},(3,285):{'3_1':0.0},(3,284):{'3_1':0.0,'4_1':0.0},(3,283):{'3_1':0.03},(3,282):{'3_1':0.0,'5_1':0.0},(3,281):{'3_1':0.0},(3,280):{'3_1':0.03,'5_1':0.0},(3,279):{'3_1':0.0,'5_2':0.0},(3,278):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(3,277):{'3_1':0.03,'5_1':0.0},(3,276):{'3_1':0.03},(3,275):{'3_1':0.0,'8_20|3_1#3_1':0.0},(3,274):{'3_1':0.03},(3,273):{'3_1':0.0},(3,272):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(3,271):{'3_1':0.03},(3,270):{'3_1':0.0},(3,269):{'5_1':0.0},(3,268):{'3_1':0.0,'8_8':0.0},(3,267):{'3_1':0.0},(3,266):{'3_1':0.0},(3,265):{'4_1':0.0,'5_2':0.0},(3,264):{'3_1':0.03},(3,263):{'3_1':0.03,'5_1':0.0},(3,262):{'3_1':0.0,'5_2':0.0},(3,261):{'3_1':0.03},(3,260):{'3_1':0.0},(3,259):{'4_1':0.0},(3,258):{'3_1':0.0},(3,257):{'3_1':0.0},(3,256):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(3,255):{'3_1':0.0,'5_2':0.0},(3,254):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(3,253):{'3_1':0.0},(3,252):{'3_1':0.03},(3,251):{'3_1':0.03},(3,250):{'3_1':0.03,'5_2':0.0},(3,249):{'3_1':0.0,'5_2':0.0},(3,248):{'3_1':0.0},(3,247):{'3_1':0.03},(3,246):{'3_1':0.0,'4_1':0.0},(3,245):{'3_1':0.03},(3,244):{'3_1':0.0},(3,243):{'3_1':0.0,'8_8':0.0},(3,242):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,240):{'3_1':0.03,'8_8':0.0},(3,239):{'3_1':0.0,'5_2':0.0},(3,238):{'3_1':0.0,'4_1':0.0},(3,237):{'3_1':0.0},(3,236):{'3_1':0.0,'5_2':0.0},(3,235):{'3_1':0.0},(3,234):{'3_1':0.0},(3,233):{'4_1':0.0},(3,232):{'3_1':0.03,'5_2':0.0},(3,231):{'3_1':0.03,'4_1':0.0},(3,230):{'3_1':0.0},(3,229):{'4_1':0.0,'5_2':0.0},(3,228):{'3_1':0.0},(3,227):{'3_1':0.0},(3,226):{'3_1':0.0},(3,225):{'3_1':0.0},(3,224):{'3_1':0.03,'8_7':0.0},(3,223):{'3_1':0.0},(3,222):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(3,221):{'3_1':0.0},(3,220):{'3_1':0.03},(3,219):{'3_1':0.0},(3,218):{'3_1':0.0},(3,216):{'3_1':0.0},(3,215):{'3_1':0.0},(3,214):{'3_1':0.0},(3,210):{'3_1':0.0},(3,209):{'3_1':0.03},(3,207):{'3_1':0.0},(3,206):{'3_1':0.0},(3,205):{'3_1':0.0},(3,204):{'3_1':0.0},(3,202):{'3_1':0.0},(3,201):{'3_1':0.0},(3,199):{'3_1':0.0,'6_1':0.0},(3,198):{'3_1':0.0},(3,197):{'3_1':0.0},(3,196):{'3_1':0.0},(3,195):{'3_1':0.0},(3,194):{'3_1':0.0},(3,193):{'3_1':0.0},(3,192):{'3_1':0.03},(3,191):{'3_1':0.0},(3,190):{'3_1':0.0},(3,189):{'3_1':0.0},(3,188):{'3_1':0.0,'7_2':0.0},(3,187):{'3_1':0.0},(3,186):{'3_1':0.0},(3,185):{'3_1':0.0,'4_1':0.0},(3,184):{'3_1':0.0},(3,183):{'3_1':0.0},(3,182):{'3_1':0.0},(3,181):{'3_1':0.0},(3,180):{'3_1':0.0},(3,179):{'3_1':0.06},(3,178):{'3_1':0.0},(3,177):{'3_1':0.0,'4_1':0.0},(3,176):{'3_1':0.0},(3,175):{'3_1':0.0},(3,174):{'3_1':0.03,'4_1':0.0},(3,173):{'3_1':0.0},(3,172):{'3_1':0.0,'6_3':0.0},(3,171):{'3_1':0.0},(3,170):{'3_1':0.0},(3,169):{'3_1':0.0,'4_1':0.0},(3,168):{'3_1':0.0},(3,166):{'3_1':0.0,'6_2':0.0},(3,165):{'3_1':0.0,'4_1':0.0},(3,164):{'3_1':0.03},(3,163):{'4_1':0.0},(3,162):{'3_1':0.0},(3,161):{'3_1':0.0},(3,160):{'3_1':0.0},(3,159):{'3_1':0.0},(3,156):{'3_1':0.0},(3,154):{'3_1':0.0},(3,153):{'3_1':0.0},(3,152):{'3_1':0.0},(3,151):{'3_1':0.0},(3,150):{'3_1':0.0},(3,149):{'3_1':0.0},(3,148):{'3_1':0.0},(3,147):{'3_1':0.0},(3,146):{'3_1':0.03},(3,145):{'3_1':0.0},(3,144):{'3_1':0.0},(3,143):{'3_1':0.0},(3,142):{'3_1':0.0},(3,141):{'3_1':0.0},(3,140):{'3_1':0.0},(3,139):{'3_1':0.0},(3,138):{'4_1':0.0},(3,137):{'3_1':0.0},(3,134):{'3_1':0.0,'5_1':0.0},(3,133):{'3_1':0.0},(3,132):{'5_2':0.0},(3,131):{'3_1':0.0},(3,130):{'3_1':0.0},(3,128):{'3_1':0.0},(3,127):{'3_1':0.0},(3,126):{'3_1':0.0},(3,125):{'3_1':0.0},(3,124):{'3_1':0.0},(3,123):{'3_1':0.0},(3,122):{'3_1':0.0},(3,119):{'3_1':0.0},(3,116):{'3_1':0.0},(3,114):{'3_1':0.0},(3,112):{'3_1':0.0},(3,109):{'3_1':0.0},(3,106):{'3_1':0.0},(3,103):{'3_1':0.0},(3,102):{'3_1':0.0},(3,100):{'3_1':0.0},(3,99):{'5_2':0.0},(3,95):{'3_1':0.0},(3,94):{'3_1':0.0},(3,93):{'5_2':0.0},(3,91):{'3_1':0.0},(3,73):{'3_1':0.0},(3,71):{'4_1':0.0},(4,727):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(4,726):{'5_2':0.72,'-3':0.06,'8_20|3_1#3_1':0.03,'6_1':0.0,'8_8':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(4,725):{'5_2':0.69,'7_4':0.03,'3_1':0.03,'-3':0.03,'6_1':0.0,'8_8':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,724):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,723):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(4,722):{'5_2':0.66,'3_1':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(4,721):{'5_2':0.75,'-3':0.06,'7_4':0.03,'3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(4,720):{'5_2':0.51,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'3_1':0.0,'8_13':0.0,'8_15':0.0,'7_5':0.0,'8_8':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(4,719):{'5_2':0.69,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(4,718):{'5_2':0.63,'3_1#5_2':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0},(4,717):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0},(4,716):{'5_2':0.66,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(4,715):{'5_2':0.57,'7_4':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'1':-0.03},(4,714):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'8_8':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(4,713):{'5_2':0.63,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(4,712):{'5_2':0.54,'7_4':0.06,'3_1':0.06,'7_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(4,711):{'5_2':0.54,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,710):{'5_2':0.66,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(4,709):{'5_2':0.57,'-3':0.18,'5_1':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,708):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'7_2':0.0},(4,707):{'5_2':0.66,'3_1':0.06,'-3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_4':0.0},(4,706):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(4,705):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0},(4,704):{'5_2':0.66,'3_1':0.06,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'2':-0.03},(4,703):{'5_2':0.54,'3_1':0.12,'-3':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(4,702):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(4,701):{'5_2':0.57,'7_4':0.06,'3_1':0.06,'7_3':0.03,'3_1#5_2':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(4,700):{'5_2':0.45,'3_1':0.09,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0},(4,699):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(4,698):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(4,697):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(4,696):{'5_2':0.39,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(4,695):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(4,694):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,693):{'5_2':0.33,'3_1':0.21,'7_3':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(4,692):{'5_2':0.45,'3_1':0.15,'7_4':0.09,'3_1#5_2':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(4,691):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(4,690):{'5_2':0.33,'3_1':0.27,'7_3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_2':0.0},(4,689):{'3_1':0.33,'5_2':0.3,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(4,688):{'5_2':0.45,'3_1':0.21,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(4,687):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(4,686):{'3_1':0.3,'5_2':0.27,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(4,685):{'3_1':0.45,'5_2':0.3,'7_4':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,684):{'5_2':0.36,'3_1':0.3,'7_4':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_13':0.0},(4,683):{'3_1':0.42,'5_2':0.24,'7_4':0.12,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0},(4,682):{'3_1':0.45,'5_2':0.21,'8_20|3_1#3_1':0.06,'-3':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0},(4,681):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(4,680):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(4,679):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_15':0.0},(4,678):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,677):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(4,676):{'3_1':0.6,'5_2':0.12,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0},(4,675):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_8':0.0},(4,674):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'4_1':0.0,'7_7':0.0,'8_10':0.0},(4,673):{'3_1':0.69,'5_2':0.12,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,672):{'3_1':0.6,'5_2':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(4,671):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,670):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(4,669):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(4,668):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0},(4,667):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(4,666):{'3_1':0.54,'7_4':0.09,'5_2':0.06,'5_1':0.03,'-3':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0},(4,665):{'3_1':0.57,'5_2':0.21,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(4,664):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(4,663):{'3_1':0.54,'5_2':0.24,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_8':0.0},(4,662):{'3_1':0.54,'5_2':0.18,'7_4':0.09,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(4,661):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(4,660):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,659):{'3_1':0.54,'5_2':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(4,658):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'7_5':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(4,657):{'3_1':0.63,'5_2':0.12,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,656):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(4,655):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(4,654):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(4,653):{'3_1':0.57,'5_2':0.09,'5_1':0.06,'7_3':0.0,'-3':0.0,'3_1#5_2':0.0},(4,652):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'-3':0.0},(4,651):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(4,650):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(4,649):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,648):{'3_1':0.39,'5_2':0.18,'-3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(4,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(4,646):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,645):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,644):{'3_1':0.3,'5_2':0.27,'5_1':0.09,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(4,643):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(4,642):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_2':0.0},(4,641):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'3_1#5_1':0.0},(4,640):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,639):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_7':0.0,'8_17':0.0},(4,638):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,637):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(4,636):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0},(4,635):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(4,634):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(4,633):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'6_3':0.0,'4_1':0.0,'7_1':0.0},(4,632):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(4,631):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(4,630):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(4,629):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(4,628):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0},(4,627):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(4,626):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(4,625):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0},(4,624):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_16':0.0},(4,623):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(4,622):{'3_1':0.21,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_5':0.0},(4,621):{'3_1':0.15,'5_2':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(4,620):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_16':0.0},(4,619):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_8':0.0},(4,618):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(4,617):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'3_1#5_1':0.0},(4,616):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0},(4,615):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(4,614):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(4,613):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(4,612):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(4,611):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,610):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_15':0.0},(4,609):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(4,608):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(4,607):{'3_1':0.27,'4_1':0.03,'5_2':0.03},(4,606):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,605):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0},(4,604):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(4,603):{'3_1':0.12,'4_1':0.09,'5_2':0.06},(4,602):{'3_1':0.15,'4_1':0.09,'5_2':0.03},(4,601):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(4,600):{'3_1':0.06,'4_1':0.06,'6_3':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,599):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_5':0.0},(4,598):{'3_1':0.09,'4_1':0.06,'8_21|3_1#4_1':0.0,'-3':0.0},(4,597):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(4,596):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'7_6':0.0},(4,595):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_13':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(4,594):{'3_1':0.21,'4_1':0.03,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(4,593):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(4,592):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(4,591):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(4,590):{'4_1':0.15,'3_1':0.09,'7_6':0.0,'5_1':0.0,'5_2':0.0},(4,589):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(4,588):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(4,587):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,586):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(4,585):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(4,584):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'-3':0.0},(4,583):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(4,582):{'4_1':0.15,'5_1':0.0,'3_1':0.0,'6_1':0.0,'8_19':0.0},(4,581):{'4_1':0.12,'5_1':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(4,580):{'3_1':0.09,'4_1':0.03,'7_5':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(4,579):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(4,578):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(4,577):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(4,576):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(4,575):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(4,574):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_3':0.0},(4,573):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(4,572):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(4,571):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(4,570):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(4,569):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'8_7':0.0},(4,568):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'-3':0.0},(4,567):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(4,566):{'3_1':0.09,'4_1':0.06},(4,565):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(4,564):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'-3':0.0},(4,563):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(4,562):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(4,561):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0},(4,560):{'4_1':0.09,'3_1':0.06,'6_3':0.0},(4,559):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(4,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(4,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(4,556):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(4,555):{'3_1':0.09,'4_1':0.0},(4,554):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(4,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,552):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(4,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(4,550):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(4,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(4,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(4,547):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(4,546):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(4,545):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(4,544):{'3_1':0.12,'4_1':0.0},(4,543):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(4,542):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(4,541):{'3_1':0.12,'4_1':0.03},(4,540):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(4,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(4,538):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(4,537):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,536):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,535):{'3_1':0.18},(4,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(4,533):{'3_1':0.18,'5_2':0.0,'6_3':0.0},(4,532):{'3_1':0.12,'5_1':0.0},(4,531):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(4,530):{'3_1':0.09,'4_1':0.0},(4,529):{'3_1':0.09,'4_1':0.0},(4,528):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(4,527):{'3_1':0.09,'4_1':0.0},(4,526):{'3_1':0.06,'4_1':0.0},(4,525):{'3_1':0.03,'4_1':0.0},(4,524):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(4,523):{'3_1':0.06,'4_1':0.0},(4,522):{'3_1':0.09,'5_2':0.0},(4,521):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(4,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,517):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,516):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,515):{'3_1':0.03,'4_1':0.0},(4,514):{'3_1':0.0},(4,513):{'3_1':0.03,'5_2':0.0},(4,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,511):{'3_1':0.03,'5_2':0.0},(4,510):{'3_1':0.03},(4,509):{'3_1':0.03},(4,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,507):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(4,506):{'3_1':0.03},(4,505):{'3_1':0.03},(4,504):{'3_1':0.03},(4,503):{'3_1':0.03},(4,502):{'3_1':0.0,'5_2':0.0},(4,501):{'4_1':0.0,'8_20|3_1#3_1':0.0},(4,500):{'3_1':0.03,'4_1':0.0},(4,499):{'3_1':0.03,'4_1':0.0},(4,498):{'3_1':0.06,'8_20|3_1#3_1':0.0},(4,497):{'3_1':0.03,'8_20|3_1#3_1':0.0},(4,496):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(4,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,494):{'3_1':0.03},(4,493):{'3_1':0.03,'4_1':0.0},(4,492):{'3_1':0.03},(4,491):{'3_1':0.03,'5_2':0.0},(4,490):{'3_1':0.0,'4_1':0.0},(4,489):{'3_1':0.0,'8_20|3_1#3_1':0.0},(4,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(4,487):{'3_1':0.03,'4_1':0.0},(4,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(4,485):{'3_1':0.06,'4_1':0.0},(4,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(4,483):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(4,482):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(4,481):{'3_1':0.03},(4,480):{'3_1':0.09,'5_2':0.0},(4,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(4,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(4,477):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(4,476):{'3_1':0.09,'8_20|3_1#3_1':0.0},(4,475):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,474):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,473):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,472):{'3_1':0.03},(4,471):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,470):{'3_1':0.06,'4_1':0.0},(4,469):{'3_1':0.0,'4_1':0.0},(4,468):{'3_1':0.06},(4,467):{'3_1':0.03},(4,466):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,465):{'3_1':0.06,'5_1':0.0},(4,464):{'3_1':0.0,'5_2':0.0},(4,463):{'3_1':0.0},(4,462):{'3_1':0.0,'5_2':0.0},(4,461):{'3_1':0.0},(4,460):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(4,459):{'3_1':0.03},(4,458):{'3_1':0.03},(4,457):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,456):{'3_1':0.03,'4_1':0.0},(4,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(4,454):{'3_1':0.0,'8_20|3_1#3_1':0.0},(4,453):{'3_1':0.0},(4,452):{'3_1':0.03,'8_20|3_1#3_1':0.0},(4,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,450):{'3_1':0.0,'4_1':0.0},(4,449):{'3_1':0.0,'4_1':0.0},(4,448):{'3_1':0.03,'4_1':0.0},(4,447):{'3_1':0.06,'4_1':0.0,'-3':0.0},(4,446):{'3_1':0.0},(4,445):{'3_1':0.03},(4,444):{'3_1':0.0,'4_1':0.0},(4,443):{'3_1':0.03},(4,442):{'3_1':0.0,'5_2':0.0},(4,441):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(4,440):{'3_1':0.03},(4,439):{'3_1':0.06,'5_2':0.0},(4,438):{'3_1':0.06},(4,437):{'3_1':0.03},(4,436):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,435):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,434):{'3_1':0.06},(4,433):{'3_1':0.03,'5_2':0.0},(4,432):{'3_1':0.06,'5_2':0.0},(4,431):{'3_1':0.06,'4_1':0.0},(4,430):{'3_1':0.03},(4,429):{'3_1':0.03},(4,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(4,427):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,426):{'3_1':0.03},(4,425):{'3_1':0.0,'4_1':0.0},(4,424):{'3_1':0.06},(4,423):{'3_1':0.06,'4_1':0.0},(4,422):{'4_1':0.0,'5_2':0.0},(4,421):{'3_1':0.03,'4_1':0.0},(4,420):{'3_1':0.0,'8_20|3_1#3_1':0.0},(4,419):{'3_1':0.06,'4_1':0.0},(4,418):{'3_1':0.03},(4,417):{'3_1':0.03},(4,416):{'3_1':0.0,'4_1':0.0},(4,415):{'3_1':0.03,'4_1':0.0},(4,414):{'3_1':0.03},(4,413):{'3_1':0.0},(4,412):{'3_1':0.0,'9_1':0.0},(4,411):{'3_1':0.03,'4_1':0.0},(4,410):{'3_1':0.0,'4_1':0.0},(4,409):{'3_1':0.03},(4,408):{'3_1':0.03},(4,407):{'3_1':0.0},(4,406):{'3_1':0.06},(4,405):{'3_1':0.03,'6_1':0.0},(4,404):{'3_1':0.03},(4,403):{'3_1':0.03,'4_1':0.0},(4,402):{'3_1':0.0},(4,401):{'3_1':0.03},(4,400):{'3_1':0.03,'4_1':0.0},(4,399):{'3_1':0.03,'5_1':0.0},(4,398):{'3_1':0.03,'4_1':0.0},(4,397):{'3_1':0.03},(4,396):{'3_1':0.03},(4,395):{'3_1':0.03},(4,394):{'3_1':0.0},(4,392):{'3_1':0.03},(4,391):{'3_1':0.0,'5_2':0.0},(4,390):{'3_1':0.0},(4,389):{'3_1':0.0,'4_1':0.0},(4,388):{'3_1':0.0,'5_1':0.0},(4,387):{'3_1':0.03},(4,386):{'3_1':0.0},(4,385):{'3_1':0.0},(4,384):{'3_1':0.0,'4_1':0.0},(4,383):{'3_1':0.0,'6_1':0.0},(4,382):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(4,381):{'3_1':0.0},(4,380):{'3_1':0.0},(4,379):{'3_1':0.0},(4,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,377):{'3_1':0.06},(4,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,375):{'3_1':0.03},(4,374):{'3_1':0.0,'5_1':0.0},(4,373):{'3_1':0.03,'5_2':0.0},(4,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(4,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,370):{'3_1':0.03},(4,369):{'3_1':0.03,'4_1':0.0,'-3':0.0},(4,368):{'3_1':0.03},(4,367):{'3_1':0.0,'7_1':0.0},(4,366):{'3_1':0.03,'5_2':0.0},(4,365):{'3_1':0.0},(4,364):{'3_1':0.0,'9_1':0.0},(4,363):{'3_1':0.03,'5_2':0.0},(4,362):{'3_1':0.0,'4_1':0.0},(4,361):{'3_1':0.0,'5_1':0.0},(4,360):{'3_1':0.0},(4,359):{'3_1':0.03,'7_1':0.0},(4,357):{'3_1':0.0},(4,356):{'3_1':0.03,'4_1':0.0},(4,355):{'4_1':0.0,'3_1':0.0},(4,354):{'3_1':0.03},(4,353):{'3_1':0.0},(4,352):{'3_1':0.03,'4_1':0.0},(4,351):{'3_1':0.0,'4_1':0.0},(4,350):{'3_1':0.0,'5_1':0.0},(4,349):{'3_1':0.0,'5_2':0.0},(4,348):{'3_1':0.0},(4,347):{'3_1':0.0},(4,346):{'3_1':0.06},(4,345):{'3_1':0.0},(4,344):{'3_1':0.06},(4,343):{'3_1':0.0},(4,342):{'3_1':0.03},(4,341):{'3_1':0.0},(4,340):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(4,339):{'3_1':0.0,'4_1':0.0},(4,338):{'3_1':0.0,'7_2':0.0},(4,337):{'3_1':0.0},(4,336):{'3_1':0.0},(4,335):{'3_1':0.03,'9_1':0.0},(4,334):{'3_1':0.0,'4_1':0.0},(4,333):{'3_1':0.03,'-3':0.0},(4,332):{'3_1':0.0,'4_1':0.0},(4,331):{'3_1':0.0},(4,330):{'3_1':0.03},(4,329):{'3_1':0.0,'4_1':0.0},(4,328):{'3_1':0.03},(4,327):{'3_1':0.0},(4,326):{'3_1':0.06,'5_2':0.0},(4,325):{'3_1':0.03},(4,324):{'3_1':0.0},(4,323):{'3_1':0.03},(4,322):{'3_1':0.06,'4_1':0.0},(4,321):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(4,320):{'3_1':0.0},(4,319):{'3_1':0.03},(4,318):{'3_1':0.0,'5_2':0.0},(4,317):{'3_1':0.03,'5_2':0.0},(4,316):{'3_1':0.0},(4,315):{'3_1':0.0},(4,314):{'3_1':0.03},(4,313):{'3_1':0.0},(4,312):{'3_1':0.0,'6_2':0.0},(4,311):{'3_1':0.03},(4,310):{'3_1':0.0,'8_14':0.0},(4,309):{'3_1':0.03},(4,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,307):{'3_1':0.0,'5_1':0.0},(4,306):{'3_1':0.0},(4,305):{'3_1':0.03},(4,304):{'3_1':0.03},(4,303):{'3_1':0.0,'7_3':0.0},(4,302):{'3_1':0.0,'5_2':0.0},(4,301):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(4,300):{'3_1':0.03},(4,299):{'3_1':0.0},(4,298):{'3_1':0.03,'5_1':0.0},(4,297):{'3_1':0.0},(4,296):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,295):{'3_1':0.03},(4,294):{'3_1':0.03},(4,293):{'3_1':0.06,'4_1':0.0},(4,292):{'3_1':0.03,'5_1':0.0},(4,291):{'3_1':0.0},(4,290):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(4,289):{'3_1':0.03},(4,288):{'3_1':0.03,'4_1':0.0},(4,287):{'3_1':0.03},(4,286):{'3_1':0.03},(4,285):{'3_1':0.0},(4,284):{'3_1':0.0,'4_1':0.0},(4,283):{'3_1':0.0},(4,282):{'3_1':0.0},(4,281):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(4,279):{'3_1':0.03},(4,278):{'3_1':0.0},(4,277):{'3_1':0.03,'5_1':0.0},(4,276):{'3_1':0.03},(4,275):{'3_1':0.06},(4,274):{'3_1':0.03,'5_1':0.0},(4,273):{'3_1':0.03},(4,272):{'3_1':0.0,'5_1':0.0},(4,271):{'3_1':0.0},(4,270):{'3_1':0.06},(4,269):{'3_1':0.06},(4,268):{'3_1':0.0,'5_2':0.0},(4,267):{'3_1':0.0},(4,266):{'3_1':0.0,'5_2':0.0},(4,265):{'3_1':0.03},(4,264):{'3_1':0.03},(4,263):{'3_1':0.0},(4,262):{'3_1':0.03},(4,261):{'3_1':0.0},(4,260):{'3_1':0.0},(4,259):{'3_1':0.0},(4,258):{'3_1':0.06},(4,257):{'3_1':0.0},(4,256):{'3_1':0.03,'4_1':0.0},(4,255):{'3_1':0.0,'4_1':0.0},(4,254):{'3_1':0.0},(4,253):{'3_1':0.0},(4,252):{'3_1':0.0},(4,251):{'3_1':0.0},(4,250):{'3_1':0.0,'4_1':0.0},(4,249):{'3_1':0.03,'5_2':0.0},(4,248):{'3_1':0.0},(4,247):{'3_1':0.03},(4,246):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(4,245):{'3_1':0.0},(4,244):{'3_1':0.0},(4,243):{'3_1':0.0},(4,242):{'3_1':0.03,'5_2':0.0},(4,241):{'3_1':0.0,'5_2':0.0},(4,240):{'3_1':0.0},(4,239):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,238):{'3_1':0.0},(4,237):{'3_1':0.0},(4,236):{'3_1':0.03},(4,235):{'3_1':0.0,'5_2':0.0},(4,234):{'3_1':0.03},(4,233):{'3_1':0.0,'5_2':0.0},(4,232):{'3_1':0.0},(4,231):{'3_1':0.03},(4,230):{'3_1':0.0},(4,229):{'3_1':0.0,'5_1':0.0},(4,228):{'3_1':0.0,'4_1':0.0},(4,227):{'3_1':0.0},(4,226):{'3_1':0.0,'4_1':0.0},(4,225):{'3_1':0.0,'4_1':0.0},(4,224):{'3_1':0.0},(4,223):{'3_1':0.0},(4,222):{'3_1':0.0},(4,221):{'3_1':0.0},(4,220):{'3_1':0.0},(4,219):{'3_1':0.03},(4,218):{'3_1':0.03},(4,217):{'3_1':0.0},(4,216):{'3_1':0.0},(4,215):{'3_1':0.0},(4,214):{'3_1':0.0},(4,212):{'3_1':0.0},(4,210):{'3_1':0.0},(4,209):{'3_1':0.0},(4,208):{'3_1':0.0},(4,207):{'3_1':0.0},(4,206):{'3_1':0.0},(4,205):{'3_1':0.03},(4,204):{'3_1':0.0},(4,203):{'3_1':0.0},(4,202):{'3_1':0.03},(4,201):{'3_1':0.0},(4,200):{'3_1':0.0},(4,199):{'3_1':0.0,'6_3':0.0},(4,198):{'3_1':0.0,'5_1':0.0},(4,197):{'3_1':0.0},(4,195):{'3_1':0.0},(4,194):{'3_1':0.0},(4,192):{'3_1':0.0},(4,191):{'3_1':0.0},(4,190):{'3_1':0.0},(4,189):{'3_1':0.0},(4,187):{'3_1':0.0},(4,186):{'3_1':0.03},(4,185):{'3_1':0.0},(4,184):{'3_1':0.0},(4,183):{'3_1':0.03},(4,182):{'3_1':0.0},(4,181):{'3_1':0.0},(4,180):{'3_1':0.0},(4,179):{'4_1':0.0},(4,178):{'3_1':0.0,'5_1':0.0},(4,177):{'4_1':0.0},(4,176):{'3_1':0.03},(4,175):{'3_1':0.0,'4_1':0.0},(4,173):{'3_1':0.0},(4,172):{'3_1':0.0,'6_1':0.0},(4,171):{'3_1':0.0},(4,170):{'3_1':0.0},(4,169):{'3_1':0.0},(4,166):{'3_1':0.0},(4,165):{'3_1':0.0,'4_1':0.0},(4,164):{'3_1':0.0},(4,163):{'3_1':0.0},(4,162):{'3_1':0.0},(4,160):{'3_1':0.0,'4_1':0.0},(4,157):{'3_1':0.0},(4,156):{'3_1':0.03},(4,155):{'3_1':0.0},(4,154):{'3_1':0.0},(4,153):{'3_1':0.0},(4,151):{'3_1':0.0},(4,149):{'3_1':0.0},(4,147):{'3_1':0.0,'4_1':0.0},(4,146):{'3_1':0.0},(4,145):{'3_1':0.0,'4_1':0.0},(4,143):{'3_1':0.0},(4,142):{'3_1':0.0},(4,141):{'3_1':0.0},(4,140):{'3_1':0.0},(4,139):{'3_1':0.06},(4,138):{'3_1':0.0},(4,137):{'3_1':0.0},(4,134):{'3_1':0.0},(4,133):{'3_1':0.0,'5_2':0.0},(4,131):{'3_1':0.0},(4,130):{'4_1':0.0},(4,129):{'3_1':0.0},(4,128):{'3_1':0.0},(4,127):{'3_1':0.0,'4_1':0.0},(4,124):{'3_1':0.0,'4_1':0.0},(4,123):{'3_1':0.0},(4,122):{'3_1':0.0},(4,121):{'3_1':0.0},(4,120):{'3_1':0.03},(4,119):{'3_1':0.03},(4,118):{'3_1':0.0},(4,116):{'3_1':0.0},(4,115):{'3_1':0.0},(4,114):{'3_1':0.0},(4,113):{'3_1':0.0},(4,112):{'3_1':0.0,'5_2':0.0},(4,111):{'3_1':0.0},(4,110):{'3_1':0.0},(4,106):{'3_1':0.0},(4,100):{'3_1':0.0},(4,94):{'3_1':0.0},(4,92):{'3_1':0.0},(4,90):{'3_1':0.0},(4,88):{'5_2':0.0},(4,75):{'3_1':0.0},(4,74):{'3_1':0.0},(4,72):{'4_1':0.0},(4,70):{'4_1':0.0},(4,69):{'4_1':0.0},(4,68):{'3_1':0.0},(4,63):{'3_1':0.0},(5,727):{'5_2':0.72,'-3':0.06,'7_4':0.03,'7_5':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'1':-0.03},(5,726):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_8':0.0},(5,725):{'5_2':0.66,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0,'2':-0.03},(5,724):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(5,723):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(5,722):{'5_2':0.6,'7_4':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(5,721):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(5,720):{'5_2':0.63,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(5,719):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(5,718):{'5_2':0.6,'3_1':0.06,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(5,717):{'5_2':0.69,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(5,716):{'5_2':0.66,'7_2':0.03,'-3':0.03,'7_5':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0},(5,715):{'5_2':0.66,'3_1':0.03,'-3':0.03,'7_2':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(5,714):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(5,713):{'5_2':0.57,'7_4':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(5,712):{'5_2':0.51,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'6_1':0.0,'6_3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(5,711):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(5,710):{'5_2':0.54,'-3':0.09,'7_5':0.06,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_10':0.0},(5,709):{'5_2':0.54,'-3':0.15,'3_1':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(5,708):{'5_2':0.66,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0},(5,707):{'5_2':0.57,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(5,706):{'5_2':0.66,'3_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(5,705):{'5_2':0.45,'3_1':0.15,'-3':0.09,'8_20|3_1#3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'9_1':0.0},(5,704):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(5,703):{'5_2':0.57,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_14':0.0},(5,702):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0},(5,701):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,700):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0},(5,699):{'5_2':0.57,'3_1':0.09,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_13':0.0},(5,698):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0},(5,697):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_13':0.0},(5,696):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(5,695):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_2':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0},(5,694):{'5_2':0.39,'3_1':0.15,'-3':0.09,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(5,693):{'5_2':0.48,'3_1':0.21,'7_4':0.03,'3_1#5_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(5,692):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_6':0.03,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(5,691):{'5_2':0.39,'3_1':0.15,'-3':0.15,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(5,690):{'5_2':0.48,'3_1':0.24,'-3':0.06,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(5,689):{'5_2':0.36,'3_1':0.27,'7_3':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(5,688):{'5_2':0.39,'3_1':0.24,'7_4':0.06,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0},(5,687):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(5,686):{'3_1':0.27,'5_2':0.27,'7_4':0.06,'-3':0.06,'7_3':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(5,685):{'3_1':0.36,'5_2':0.33,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(5,684):{'5_2':0.33,'3_1':0.3,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(5,683):{'3_1':0.42,'5_2':0.24,'-3':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_10':0.0,'8_13':0.0,'3_1#5_2':0.0},(5,682):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'5_1':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0},(5,681):{'3_1':0.48,'5_2':0.3,'8_20|3_1#3_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0},(5,680):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'8_11':0.0},(5,679):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'7_3':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(5,678):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(5,677):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(5,676):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(5,675):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,674):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(5,673):{'3_1':0.63,'5_2':0.12,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,672):{'3_1':0.63,'5_2':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(5,671):{'3_1':0.54,'5_2':0.12,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(5,670):{'3_1':0.6,'5_2':0.12,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(5,669):{'3_1':0.66,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_19':0.0},(5,668):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(5,667):{'3_1':0.63,'5_2':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(5,666):{'3_1':0.57,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'8_19':0.0},(5,665):{'3_1':0.69,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(5,664):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'8_15':0.0},(5,663):{'3_1':0.6,'5_2':0.09,'7_4':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,662):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,661):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(5,660):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(5,659):{'3_1':0.51,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(5,658):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(5,657):{'3_1':0.57,'5_2':0.12,'7_4':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(5,656):{'3_1':0.57,'5_1':0.06,'5_2':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(5,655):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(5,654):{'3_1':0.51,'5_2':0.12,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(5,653):{'3_1':0.48,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,652):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'3_1#5_2':0.0},(5,651):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(5,650):{'3_1':0.42,'5_2':0.12,'5_1':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,649):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,648):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0,'-3':0.0},(5,647):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(5,646):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,645):{'3_1':0.42,'5_2':0.06,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(5,644):{'3_1':0.36,'5_2':0.18,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(5,643):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,642):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,641):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'-3':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,640):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,639):{'3_1':0.21,'5_2':0.15,'7_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(5,638):{'3_1':0.24,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(5,637):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0},(5,636):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0},(5,635):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(5,634):{'5_2':0.15,'3_1':0.15,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(5,633):{'3_1':0.15,'5_2':0.12,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(5,632):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(5,631):{'3_1':0.12,'5_2':0.12,'5_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(5,630):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0},(5,629):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_15':0.0},(5,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(5,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_3':0.0},(5,626):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0},(5,625):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0},(5,624):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(5,623):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(5,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(5,621):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(5,620):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_15':0.0},(5,619):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,618):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(5,617):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03},(5,616):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(5,615):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(5,614):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(5,613):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0},(5,612):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(5,611):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(5,610):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'8_15':0.0},(5,609):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(5,608):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(5,607):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(5,606):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0},(5,605):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_4':0.0},(5,604):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(5,603):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'9_1':0.0},(5,602):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(5,601):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_4':0.0},(5,600):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0},(5,599):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(5,598):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(5,597):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(5,596):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(5,595):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0},(5,594):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(5,593):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(5,592):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(5,591):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(5,590):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(5,589):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(5,588):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(5,587):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(5,586):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(5,585):{'4_1':0.12,'3_1':0.12,'5_2':0.03},(5,584):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(5,583):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(5,582):{'4_1':0.21,'6_1':0.03,'3_1':0.03},(5,581):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,580):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(5,579):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(5,578):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(5,577):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(5,576):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(5,575):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0},(5,574):{'4_1':0.09,'3_1':0.06},(5,573):{'4_1':0.18,'3_1':0.09,'5_1':0.0},(5,572):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_3':0.0,'7_3':0.0},(5,571):{'4_1':0.09,'5_2':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(5,570):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(5,569):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0},(5,568):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(5,567):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0},(5,566):{'3_1':0.09,'4_1':0.06,'7_5':0.0,'5_2':0.0,'6_1':0.0},(5,565):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(5,564):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_1':0.0},(5,563):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(5,562):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(5,561):{'5_2':0.03,'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,560):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(5,559):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_7':0.0,'6_1':0.0,'6_3':0.0,'8_13':0.0},(5,558):{'3_1':0.12,'4_1':0.03},(5,557):{'3_1':0.06,'5_2':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(5,556):{'3_1':0.03,'4_1':0.03},(5,555):{'3_1':0.09,'5_1':0.0},(5,554):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(5,553):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(5,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,551):{'3_1':0.15},(5,550):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(5,549):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(5,548):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(5,547):{'3_1':0.15,'6_3':0.0},(5,546):{'3_1':0.15,'4_1':0.03},(5,545):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(5,544):{'3_1':0.06,'4_1':0.0},(5,543):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_13':0.0},(5,542):{'3_1':0.15,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(5,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(5,540):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(5,539):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(5,538):{'3_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0},(5,537):{'3_1':0.18,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(5,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(5,534):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(5,533):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(5,532):{'3_1':0.15,'5_2':0.0},(5,531):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(5,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(5,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(5,528):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,527):{'3_1':0.15,'8_20|3_1#3_1':0.0},(5,526):{'3_1':0.09,'5_2':0.0},(5,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(5,524):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(5,523):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(5,522):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(5,521):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(5,519):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(5,518):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,517):{'3_1':0.03,'4_1':0.0},(5,516):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(5,515):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0},(5,514):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,513):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(5,512):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(5,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,510):{'4_1':0.0,'8_20|3_1#3_1':0.0},(5,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,508):{'3_1':0.03,'4_1':0.0},(5,507):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,506):{'3_1':0.03,'6_1':0.0},(5,505):{'3_1':0.03},(5,504):{'3_1':0.03},(5,503):{'3_1':0.06},(5,502):{'3_1':0.03,'4_1':0.0},(5,501):{'3_1':0.03,'5_2':0.0},(5,500):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_10':0.0},(5,499):{'3_1':0.03},(5,498):{'3_1':0.06,'4_1':0.0},(5,497):{'3_1':0.09},(5,496):{'3_1':0.03,'5_2':0.0},(5,495):{'3_1':0.06,'4_1':0.0},(5,494):{'3_1':0.03,'4_1':0.0},(5,493):{'3_1':0.03},(5,492):{'3_1':0.03},(5,491):{'3_1':0.06,'5_2':0.0},(5,490):{'3_1':0.09,'8_20|3_1#3_1':0.0},(5,489):{'3_1':0.09,'5_2':0.0},(5,488):{'3_1':0.03,'5_1':0.0},(5,487):{'3_1':0.03,'5_2':0.0},(5,486):{'3_1':0.06,'4_1':0.0,'8_6':0.0},(5,485):{'3_1':0.06,'5_2':0.0},(5,484):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(5,483):{'3_1':0.06,'5_1':0.0},(5,482):{'3_1':0.06,'5_2':0.0},(5,481):{'3_1':0.03},(5,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(5,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(5,478):{'3_1':0.09},(5,477):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(5,476):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(5,475):{'3_1':0.06,'8_20|3_1#3_1':0.0},(5,474):{'3_1':0.03},(5,473):{'3_1':0.09,'4_1':0.0},(5,472):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(5,471):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(5,470):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(5,469):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(5,468):{'3_1':0.03,'4_1':0.0},(5,467):{'3_1':0.03},(5,466):{'3_1':0.0},(5,465):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(5,464):{'3_1':0.03},(5,463):{'3_1':0.03},(5,462):{'3_1':0.0,'4_1':0.0},(5,461):{'3_1':0.0},(5,460):{'3_1':0.03},(5,459):{'3_1':0.0},(5,458):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(5,457):{'3_1':0.03},(5,456):{'3_1':0.06},(5,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,454):{'3_1':0.03},(5,453):{'3_1':0.06},(5,452):{'3_1':0.0,'4_1':0.0},(5,451):{'3_1':0.03},(5,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,449):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(5,448):{'3_1':0.03,'4_1':0.0},(5,447):{'3_1':0.03,'4_1':0.0},(5,446):{'3_1':0.09},(5,445):{'3_1':0.03,'4_1':0.0},(5,444):{'3_1':0.06},(5,443):{'3_1':0.0,'4_1':0.0},(5,442):{'3_1':0.03,'-3':0.0},(5,441):{'3_1':0.0},(5,440):{'3_1':0.09},(5,439):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(5,438):{'3_1':0.03,'4_1':0.0},(5,437):{'3_1':0.03,'5_2':0.0},(5,436):{'3_1':0.0,'6_3':0.0},(5,435):{'3_1':0.03},(5,434):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(5,433):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(5,432):{'3_1':0.06,'5_1':0.0},(5,431):{'3_1':0.0,'5_2':0.0},(5,430):{'3_1':0.03},(5,429):{'3_1':0.09},(5,428):{'3_1':0.06,'4_1':0.0},(5,427):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(5,426):{'3_1':0.0,'5_2':0.0},(5,425):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(5,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(5,423):{'3_1':0.03},(5,422):{'3_1':0.06,'4_1':0.0},(5,421):{'3_1':0.03,'4_1':0.0},(5,420):{'3_1':0.06,'5_1':0.0},(5,419):{'3_1':0.03,'4_1':0.0},(5,418):{'3_1':0.03,'4_1':0.0},(5,417):{'3_1':0.06,'4_1':0.0},(5,416):{'3_1':0.03,'6_1':0.0},(5,415):{'3_1':0.09,'5_1':0.0},(5,414):{'3_1':0.06,'4_1':0.0},(5,413):{'3_1':0.03,'8_20|3_1#3_1':0.0},(5,412):{'3_1':0.03,'9_1':0.0},(5,411):{'3_1':0.03,'6_1':0.0},(5,410):{'3_1':0.06},(5,409):{'3_1':0.0},(5,408):{'3_1':0.03},(5,407):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(5,406):{'3_1':0.03},(5,405):{'3_1':0.0},(5,404):{'3_1':0.0},(5,403):{'3_1':0.0},(5,402):{'3_1':0.03},(5,401):{'3_1':0.0},(5,400):{'3_1':0.03,'4_1':0.0},(5,399):{'3_1':0.0},(5,398):{'3_1':0.0},(5,397):{'3_1':0.06},(5,396):{'3_1':0.0},(5,395):{'3_1':0.0,'4_1':0.0},(5,394):{'3_1':0.0},(5,393):{'3_1':0.0,'4_1':0.0},(5,392):{'3_1':0.03},(5,391):{'3_1':0.0,'4_1':0.0},(5,390):{'3_1':0.0,'5_1':0.0},(5,389):{'3_1':0.0,'4_1':0.0},(5,388):{'3_1':0.0},(5,387):{'3_1':0.0,'5_1':0.0},(5,386):{'3_1':0.0},(5,385):{'3_1':0.0,'5_1':0.0},(5,384):{'3_1':0.0},(5,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,382):{'3_1':0.03},(5,381):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(5,380):{'3_1':0.0,'4_1':0.0},(5,379):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(5,378):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(5,377):{'3_1':0.03},(5,376):{'4_1':0.0},(5,375):{'3_1':0.03},(5,374):{'3_1':0.06,'8_20|3_1#3_1':0.0},(5,373):{'3_1':0.03,'5_1':0.0},(5,372):{'3_1':0.0,'4_1':0.0},(5,371):{'3_1':0.03},(5,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,369):{'3_1':0.0,'5_1':0.0},(5,368):{'3_1':0.0,'5_2':0.0},(5,367):{'3_1':0.0},(5,366):{'3_1':0.03},(5,365):{'3_1':0.0},(5,364):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(5,363):{'3_1':0.03,'4_1':0.0},(5,362):{'3_1':0.0},(5,361):{'3_1':0.0,'5_1':0.0},(5,360):{'3_1':0.09,'4_1':0.0},(5,359):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(5,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,357):{'3_1':0.03,'4_1':0.0},(5,356):{'3_1':0.06,'4_1':0.0},(5,355):{'3_1':0.03},(5,354):{'3_1':0.0,'7_1':0.0},(5,353):{'3_1':0.03},(5,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(5,351):{'6_3':0.0},(5,350):{'3_1':0.03},(5,349):{'3_1':0.0},(5,348):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,347):{'3_1':0.0,'4_1':0.0},(5,346):{'3_1':0.03,'4_1':0.0},(5,345):{'3_1':0.0},(5,344):{'3_1':0.0,'5_2':0.0},(5,343):{'3_1':0.0,'4_1':0.0},(5,342):{'3_1':0.03},(5,341):{'3_1':0.03},(5,340):{'3_1':0.0,'4_1':0.0},(5,339):{'3_1':0.03,'4_1':0.0},(5,338):{'3_1':0.0},(5,337):{'3_1':0.0,'4_1':0.0},(5,336):{'3_1':0.0},(5,335):{'3_1':0.0},(5,334):{'3_1':0.0},(5,333):{'-3':0.0},(5,332):{'3_1':0.0},(5,331):{'3_1':0.03},(5,330):{'3_1':0.06},(5,329):{'3_1':0.0,'4_1':0.0},(5,328):{'3_1':0.0},(5,327):{'3_1':0.03},(5,326):{'3_1':0.0},(5,325):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(5,324):{'3_1':0.03,'4_1':0.0},(5,323):{'3_1':0.0},(5,322):{'3_1':0.03,'5_1':0.0},(5,321):{'3_1':0.03},(5,320):{'4_1':0.0},(5,319):{'3_1':0.0,'5_1':0.0},(5,318):{'3_1':0.03},(5,317):{'3_1':0.0},(5,316):{'3_1':0.0},(5,314):{'3_1':0.0,'5_2':0.0},(5,313):{'3_1':0.03},(5,312):{'3_1':0.03},(5,311):{'3_1':0.03},(5,310):{'3_1':0.03},(5,309):{'3_1':0.06},(5,308):{'3_1':0.03,'3_1#5_1':0.0},(5,307):{'3_1':0.0},(5,306):{'3_1':0.0},(5,305):{'3_1':0.03,'5_2':0.0},(5,304):{'3_1':0.0},(5,303):{'3_1':0.0,'4_1':0.0},(5,302):{'3_1':0.03,'6_2':0.0},(5,301):{'3_1':0.0},(5,300):{'3_1':0.0},(5,299):{'3_1':0.03},(5,298):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(5,297):{'3_1':0.03},(5,296):{'3_1':0.03,'4_1':0.0},(5,295):{'3_1':0.03},(5,293):{'7_1':0.0},(5,292):{'3_1':0.03},(5,291):{'3_1':0.0,'4_1':0.0},(5,290):{'3_1':0.0},(5,289):{'3_1':0.0},(5,288):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(5,287):{'3_1':0.0},(5,286):{'3_1':0.0,'5_2':0.0},(5,285):{'3_1':0.0,'4_1':0.0},(5,284):{'3_1':0.03},(5,283):{'3_1':0.0},(5,282):{'3_1':0.03,'7_3':0.0},(5,281):{'3_1':0.03,'7_3':0.0},(5,280):{'3_1':0.03},(5,279):{'3_1':0.03},(5,278):{'3_1':0.06},(5,277):{'3_1':0.0},(5,276):{'3_1':0.06,'4_1':0.0},(5,275):{'3_1':0.06},(5,274):{'3_1':0.0,'5_2':0.0},(5,273):{'3_1':0.06},(5,272):{'3_1':0.03},(5,271):{'3_1':0.03},(5,270):{'3_1':0.03},(5,269):{'3_1':0.0},(5,268):{'3_1':0.06,'5_2':0.0},(5,267):{'3_1':0.03},(5,266):{'3_1':0.03,'4_1':0.0},(5,265):{'3_1':0.0,'5_1':0.0},(5,264):{'3_1':0.03},(5,263):{'3_1':0.03},(5,262):{'3_1':0.0},(5,261):{'3_1':0.0},(5,260):{'3_1':0.0},(5,259):{'3_1':0.03},(5,258):{'3_1':0.0},(5,257):{'3_1':0.0,'5_2':0.0,'8_19':0.0},(5,256):{'3_1':0.03},(5,255):{'3_1':0.0},(5,254):{'3_1':0.03,'5_2':0.0},(5,253):{'3_1':0.0},(5,252):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(5,251):{'3_1':0.0,'5_2':0.0},(5,250):{'3_1':0.03},(5,249):{'3_1':0.0},(5,248):{'3_1':0.0},(5,247):{'3_1':0.0,'5_2':0.0},(5,246):{'3_1':0.0},(5,245):{'3_1':0.0,'5_2':0.0},(5,244):{'5_2':0.0,'3_1':0.0},(5,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,242):{'3_1':0.0,'5_2':0.0},(5,241):{'3_1':0.0,'5_2':0.0},(5,240):{'3_1':0.03,'4_1':0.0},(5,239):{'3_1':0.0},(5,238):{'3_1':0.03,'4_1':0.0},(5,237):{'3_1':0.0},(5,236):{'3_1':0.0},(5,235):{'3_1':0.0,'5_2':0.0},(5,234):{'3_1':0.03},(5,233):{'4_1':0.0,'5_2':0.0},(5,232):{'3_1':0.0},(5,231):{'3_1':0.03},(5,230):{'3_1':0.03},(5,229):{'3_1':0.0,'5_1':0.0},(5,228):{'3_1':0.0},(5,227):{'3_1':0.0},(5,226):{'3_1':0.0},(5,225):{'3_1':0.0},(5,224):{'3_1':0.0},(5,223):{'3_1':0.03},(5,222):{'3_1':0.03},(5,221):{'3_1':0.0},(5,220):{'3_1':0.0},(5,219):{'3_1':0.0},(5,218):{'3_1':0.0},(5,217):{'3_1':0.0},(5,216):{'3_1':0.0},(5,215):{'3_1':0.0},(5,214):{'3_1':0.0},(5,213):{'3_1':0.0},(5,212):{'3_1':0.0},(5,211):{'3_1':0.0},(5,210):{'3_1':0.0},(5,209):{'3_1':0.0},(5,208):{'3_1':0.03},(5,207):{'3_1':0.03},(5,206):{'3_1':0.0},(5,205):{'3_1':0.0},(5,204):{'3_1':0.03},(5,203):{'3_1':0.0,'4_1':0.0},(5,201):{'3_1':0.0},(5,200):{'3_1':0.0},(5,199):{'3_1':0.03},(5,198):{'3_1':0.0},(5,197):{'3_1':0.0,'4_1':0.0},(5,196):{'3_1':0.0},(5,195):{'3_1':0.0},(5,194):{'3_1':0.0,'5_1':0.0},(5,193):{'4_1':0.0},(5,192):{'3_1':0.03},(5,191):{'3_1':0.0},(5,190):{'3_1':0.0},(5,189):{'3_1':0.0},(5,188):{'3_1':0.0},(5,187):{'3_1':0.0},(5,186):{'3_1':0.0},(5,185):{'5_2':0.0},(5,183):{'6_2':0.0},(5,182):{'3_1':0.0},(5,181):{'3_1':0.0},(5,180):{'3_1':0.0},(5,179):{'3_1':0.0},(5,178):{'3_1':0.0,'4_1':0.0},(5,176):{'3_1':0.06},(5,175):{'3_1':0.0},(5,174):{'3_1':0.0,'4_1':0.0},(5,173):{'3_1':0.0},(5,172):{'3_1':0.0,'4_1':0.0},(5,171):{'3_1':0.0},(5,170):{'3_1':0.0},(5,169):{'3_1':0.0},(5,168):{'3_1':0.0},(5,167):{'3_1':0.0,'4_1':0.0},(5,166):{'3_1':0.0},(5,165):{'3_1':0.0},(5,163):{'3_1':0.03},(5,162):{'3_1':0.0,'4_1':0.0},(5,161):{'3_1':0.0},(5,160):{'3_1':0.0},(5,159):{'3_1':0.0},(5,158):{'3_1':0.0,'4_1':0.0},(5,157):{'3_1':0.03,'4_1':0.0},(5,156):{'3_1':0.0},(5,155):{'3_1':0.0},(5,153):{'3_1':0.0},(5,152):{'3_1':0.0},(5,151):{'3_1':0.0},(5,150):{'3_1':0.0},(5,148):{'3_1':0.0},(5,147):{'3_1':0.0},(5,146):{'3_1':0.03},(5,145):{'3_1':0.0},(5,143):{'3_1':0.0},(5,142):{'3_1':0.0},(5,141):{'3_1':0.0},(5,140):{'3_1':0.0},(5,139):{'3_1':0.0},(5,138):{'4_1':0.0},(5,136):{'3_1':0.0},(5,135):{'3_1':0.0},(5,132):{'3_1':0.0},(5,131):{'3_1':0.0},(5,130):{'3_1':0.0},(5,128):{'3_1':0.0},(5,127):{'3_1':0.0},(5,126):{'4_1':0.0},(5,125):{'3_1':0.0,'4_1':0.0},(5,124):{'3_1':0.0},(5,123):{'3_1':0.0},(5,122):{'3_1':0.0},(5,121):{'3_1':0.0},(5,120):{'3_1':0.0},(5,119):{'3_1':0.0},(5,118):{'3_1':0.0},(5,116):{'3_1':0.0},(5,115):{'3_1':0.0},(5,114):{'3_1':0.0},(5,113):{'3_1':0.0},(5,111):{'3_1':0.0},(5,110):{'3_1':0.0},(5,108):{'3_1':0.0},(5,106):{'3_1':0.0},(5,105):{'3_1':0.0},(5,104):{'3_1':0.0},(5,103):{'3_1':0.0},(5,101):{'3_1':0.0},(5,100):{'3_1':0.0},(5,99):{'3_1':0.0},(5,98):{'3_1':0.0},(5,97):{'4_1':0.0},(5,96):{'3_1':0.0},(5,94):{'3_1':0.0},(5,90):{'3_1':0.0},(5,76):{'4_1':0.0},(5,69):{'3_1':0.0},(5,68):{'4_1':0.0},(5,66):{'3_1':0.0},(5,63):{'3_1':0.0},(6,727):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,726):{'5_2':0.78,'-3':0.06,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(6,725):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(6,724):{'5_2':0.6,'-3':0.09,'3_1':0.06,'3_1#5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_1':0.0,'1':-0.03},(6,723):{'5_2':0.69,'-3':0.09,'7_4':0.03,'3_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(6,722):{'5_2':0.6,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_8':0.0},(6,721):{'5_2':0.66,'-3':0.12,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(6,720):{'5_2':0.63,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,719):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_5':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(6,718):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0},(6,717):{'5_2':0.63,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(6,716):{'5_2':0.69,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0},(6,715):{'5_2':0.57,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_16':0.0},(6,714):{'5_2':0.6,'-3':0.09,'7_2':0.03,'3_1#5_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0},(6,713):{'5_2':0.54,'-3':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'3_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0},(6,712):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'4_1':0.0,'8_10':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(6,711):{'5_2':0.51,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(6,710):{'5_2':0.45,'-3':0.15,'7_5':0.06,'3_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(6,709):{'5_2':0.51,'-3':0.21,'5_1':0.03,'8_20|3_1#3_1':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'8_10':0.0,'3_1#5_2':0.0,'1':-0.03},(6,708):{'5_2':0.54,'-3':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'8_19':0.0},(6,707):{'5_2':0.57,'-3':0.09,'3_1':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,706):{'5_2':0.54,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(6,705):{'5_2':0.51,'3_1':0.06,'8_20|3_1#3_1':0.06,'7_2':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(6,704):{'5_2':0.48,'3_1':0.06,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_2':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_1':0.0},(6,703):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0},(6,702):{'5_2':0.51,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_5':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0},(6,701):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(6,700):{'5_2':0.45,'3_1':0.21,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(6,699):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(6,698):{'5_2':0.54,'3_1':0.12,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0,'7_6':0.0,'8_14':0.0},(6,697):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_6':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_5':0.0,'8_14':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(6,696):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(6,695):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(6,694):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0},(6,693):{'5_2':0.57,'3_1':0.12,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(6,692):{'5_2':0.54,'3_1':0.18,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(6,691):{'5_2':0.33,'3_1':0.24,'7_4':0.09,'-3':0.09,'7_3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_8':0.0},(6,690):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0},(6,689):{'3_1':0.3,'5_2':0.3,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'8_13':0.0,'1':-0.03},(6,688):{'5_2':0.33,'3_1':0.15,'7_4':0.06,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_11':0.0,'8_14':0.0},(6,687):{'5_2':0.36,'3_1':0.27,'7_4':0.12,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(6,686):{'5_2':0.36,'3_1':0.24,'7_4':0.06,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(6,685):{'3_1':0.42,'5_2':0.3,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(6,684):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(6,683):{'5_2':0.3,'3_1':0.3,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(6,682):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(6,681):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0},(6,680):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(6,679):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(6,678):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(6,677):{'3_1':0.51,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(6,676):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'-3':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0},(6,675):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0},(6,674):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(6,673):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(6,672):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'6_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0},(6,671):{'3_1':0.66,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(6,670):{'3_1':0.69,'5_2':0.09,'-3':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,669):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(6,668):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(6,667):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(6,666):{'3_1':0.66,'5_1':0.06,'7_4':0.03,'5_2':0.03,'-3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,665):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(6,664):{'3_1':0.54,'5_2':0.12,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(6,663):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'6_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(6,662):{'3_1':0.57,'5_2':0.21,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(6,661):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(6,660):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(6,659):{'3_1':0.48,'5_2':0.21,'-3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(6,658):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,657):{'3_1':0.57,'5_2':0.09,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(6,656):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'7_4':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(6,655):{'3_1':0.6,'5_2':0.12,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0,'-3':0.0},(6,654):{'3_1':0.57,'5_2':0.12,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(6,653):{'3_1':0.48,'5_2':0.09,'8_20|3_1#3_1':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(6,652):{'3_1':0.54,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(6,651):{'3_1':0.54,'5_2':0.12,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0},(6,650):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(6,649):{'3_1':0.57,'5_2':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(6,648):{'3_1':0.36,'5_2':0.15,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(6,647):{'3_1':0.27,'5_2':0.24,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,646):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(6,645):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(6,644):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'7_7':0.0,'8_15':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(6,643):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(6,642):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(6,641):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(6,640):{'3_1':0.12,'5_2':0.12,'5_1':0.09,'7_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(6,639):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0},(6,638):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0},(6,637):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(6,636):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(6,635):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0},(6,634):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,633):{'3_1':0.12,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(6,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(6,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(6,630):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'7_7':0.0,'5_1':0.0,'-3':0.0},(6,629):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'8_15':0.0,'-3':0.0},(6,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(6,627):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(6,626):{'3_1':0.15,'5_2':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(6,625):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_3':0.0,'7_4':0.0},(6,624):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(6,623):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'8_8':0.0},(6,622):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0},(6,621):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(6,620):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03},(6,619):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(6,618):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(6,617):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(6,616):{'3_1':0.18,'4_1':0.06,'5_2':0.03},(6,615):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0},(6,614):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(6,613):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(6,612):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(6,611):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(6,610):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0},(6,609):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(6,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(6,607):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0},(6,606):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(6,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(6,604):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(6,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(6,602):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(6,601):{'4_1':0.12,'3_1':0.09},(6,600):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,599):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(6,598):{'3_1':0.18,'4_1':0.09,'6_3':0.0,'-3':0.0},(6,597):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,596):{'4_1':0.15,'3_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(6,595):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_7':0.0,'6_2':0.0},(6,594):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(6,593):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(6,592):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(6,591):{'4_1':0.15,'3_1':0.09,'7_6':0.0},(6,590):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(6,589):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(6,588):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(6,587):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(6,586):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0},(6,585):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,584):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(6,583):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(6,582):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(6,581):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(6,580):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(6,579):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0},(6,578):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(6,577):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(6,576):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(6,575):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(6,574):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,573):{'4_1':0.12,'3_1':0.09,'6_2':0.0},(6,572):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(6,571):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(6,570):{'4_1':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(6,569):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(6,568):{'3_1':0.09,'4_1':0.09,'6_1':0.0},(6,567):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(6,566):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_7':0.0},(6,565):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(6,564):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_7':0.0},(6,563):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(6,562):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(6,561):{'3_1':0.15,'5_2':0.0,'7_1':0.0},(6,560):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(6,559):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_3':0.0},(6,558):{'3_1':0.06,'4_1':0.03},(6,557):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(6,556):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(6,555):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(6,554):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(6,553):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(6,552):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(6,551):{'3_1':0.12,'4_1':0.03},(6,550):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(6,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(6,548):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(6,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(6,546):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(6,545):{'3_1':0.15,'4_1':0.0},(6,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(6,543):{'3_1':0.18,'4_1':0.0},(6,542):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(6,541):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0},(6,540):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,539):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(6,538):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'8_13':0.0},(6,537):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(6,536):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(6,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,534):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(6,533):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(6,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(6,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(6,528):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(6,527):{'3_1':0.18,'7_1':0.0},(6,526):{'3_1':0.06,'4_1':0.0},(6,525):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(6,524):{'3_1':0.12,'4_1':0.0},(6,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,522):{'3_1':0.09,'8_20|3_1#3_1':0.0},(6,521):{'3_1':0.06},(6,520):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,519):{'3_1':0.06,'5_1':0.0},(6,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,517):{'3_1':0.03,'5_2':0.0},(6,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,515):{'3_1':0.09,'6_3':0.0},(6,514):{'3_1':0.0},(6,513):{'3_1':0.06,'4_1':0.0},(6,512):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,510):{'3_1':0.0},(6,509):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(6,508):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(6,507):{'3_1':0.03},(6,506):{'3_1':0.03,'4_1':0.0},(6,505):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(6,504):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(6,503):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,502):{'3_1':0.0},(6,501):{'3_1':0.03},(6,500):{'3_1':0.0,'5_2':0.0},(6,499):{'3_1':0.03},(6,498):{'3_1':0.06,'4_1':0.0},(6,497):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(6,496):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(6,495):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,494):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,493):{'3_1':0.06},(6,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(6,491):{'3_1':0.03,'4_1':0.0},(6,490):{'3_1':0.03,'5_2':0.0},(6,489):{'3_1':0.0,'6_2':0.0},(6,488):{'3_1':0.0,'5_1':0.0},(6,487):{'3_1':0.03},(6,486):{'3_1':0.03},(6,485):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(6,484):{'3_1':0.06,'4_1':0.0,'-3':0.0},(6,483):{'3_1':0.06,'8_20|3_1#3_1':0.0},(6,482):{'3_1':0.09,'4_1':0.0},(6,481):{'3_1':0.0,'7_1':0.0},(6,480):{'3_1':0.06,'4_1':0.0},(6,479):{'3_1':0.06},(6,478):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(6,477):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(6,476):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(6,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(6,474):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,473):{'3_1':0.06,'4_1':0.0},(6,472):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,470):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(6,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(6,467):{'3_1':0.03,'4_1':0.0},(6,466):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,465):{'3_1':0.03,'5_2':0.0},(6,464):{'3_1':0.06,'4_1':0.0},(6,463):{'3_1':0.03,'5_1':0.0},(6,462):{'3_1':0.0,'4_1':0.0},(6,461):{'3_1':0.0,'4_1':0.0},(6,460):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(6,459):{'3_1':0.03,'5_2':0.0},(6,458):{'3_1':0.03},(6,457):{'3_1':0.03,'6_3':0.0},(6,456):{'3_1':0.0,'5_2':0.0},(6,455):{'3_1':0.0,'4_1':0.0},(6,454):{'3_1':0.03},(6,453):{'3_1':0.0},(6,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(6,451):{'3_1':0.06,'4_1':0.0},(6,450):{'3_1':0.03,'4_1':0.0},(6,449):{'3_1':0.03},(6,448):{'3_1':0.03},(6,447):{'3_1':0.0},(6,446):{'3_1':0.03},(6,445):{'3_1':0.09,'6_1':0.0},(6,444):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(6,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,442):{'3_1':0.03,'4_1':0.0},(6,441):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,440):{'3_1':0.06},(6,439):{'3_1':0.03},(6,438):{'3_1':0.0},(6,437):{'3_1':0.06},(6,436):{'3_1':0.09,'4_1':0.0},(6,435):{'3_1':0.03},(6,434):{'3_1':0.06,'5_1':0.0,'7_4':0.0},(6,433):{'3_1':0.06,'5_2':0.0},(6,432):{'3_1':0.12},(6,431):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(6,430):{'3_1':0.03,'5_2':0.0},(6,429):{'3_1':0.03,'4_1':0.0},(6,428):{'3_1':0.06,'6_1':0.0},(6,427):{'3_1':0.03},(6,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,425):{'3_1':0.06},(6,424):{'3_1':0.0},(6,423):{'3_1':0.06},(6,422):{'3_1':0.03},(6,421):{'3_1':0.0,'5_2':0.0},(6,420):{'3_1':0.06,'5_2':0.0},(6,419):{'3_1':0.03,'5_1':0.0},(6,418):{'3_1':0.0,'4_1':0.0},(6,417):{'3_1':0.03},(6,416):{'3_1':0.03},(6,415):{'3_1':0.03},(6,414):{'3_1':0.06},(6,413):{'3_1':0.03},(6,412):{'3_1':0.0},(6,411):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(6,410):{'3_1':0.0,'4_1':0.0},(6,409):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(6,408):{'3_1':0.06},(6,407):{'3_1':0.0},(6,406):{'3_1':0.03},(6,405):{'3_1':0.0},(6,404):{'3_1':0.0,'4_1':0.0},(6,403):{'3_1':0.0,'4_1':0.0},(6,402):{'3_1':0.03,'5_2':0.0},(6,401):{'3_1':0.0,'4_1':0.0},(6,400):{'4_1':0.0,'5_1':0.0},(6,399):{'3_1':0.0},(6,398):{'3_1':0.06},(6,397):{'3_1':0.0,'7_4':0.0},(6,396):{'3_1':0.03},(6,395):{'3_1':0.03,'4_1':0.0},(6,394):{'3_1':0.0},(6,393):{'3_1':0.0},(6,392):{'3_1':0.0},(6,391):{'3_1':0.0},(6,390):{'3_1':0.06},(6,389):{'3_1':0.0,'4_1':0.0},(6,388):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(6,387):{'3_1':0.03},(6,386):{'3_1':0.03,'5_2':0.0},(6,385):{'3_1':0.0},(6,384):{'3_1':0.03},(6,383):{'3_1':0.03,'5_2':0.0},(6,382):{'3_1':0.03,'4_1':0.0},(6,381):{'3_1':0.0,'4_1':0.0},(6,380):{'3_1':0.06},(6,379):{'3_1':0.03,'6_2':0.0},(6,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,377):{'3_1':0.0},(6,376):{'3_1':0.03},(6,375):{'3_1':0.0,'4_1':0.0},(6,374):{'3_1':0.0,'8_20|3_1#3_1':0.0},(6,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,371):{'3_1':0.03,'3_1#5_1':0.0},(6,370):{'3_1':0.03,'5_1':0.0},(6,369):{'3_1':0.03,'7_1':0.0},(6,368):{'4_1':0.0},(6,367):{'3_1':0.0,'4_1':0.0},(6,366):{'3_1':0.03,'4_1':0.0},(6,365):{'3_1':0.03,'4_1':0.0},(6,363):{'3_1':0.03},(6,362):{'3_1':0.0,'4_1':0.0},(6,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,360):{'3_1':0.03,'4_1':0.0},(6,359):{'3_1':0.0},(6,358):{'3_1':0.0,'5_2':0.0},(6,357):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(6,356):{'3_1':0.03},(6,355):{'3_1':0.03,'4_1':0.0},(6,354):{'3_1':0.03,'4_1':0.0},(6,353):{'3_1':0.0,'4_1':0.0},(6,352):{'3_1':0.03},(6,351):{'3_1':0.0},(6,350):{'3_1':0.0},(6,349):{'3_1':0.0,'4_1':0.0},(6,348):{'3_1':0.0},(6,347):{'3_1':0.0},(6,346):{'3_1':0.03,'4_1':0.0},(6,345):{'3_1':0.03},(6,343):{'3_1':0.0},(6,342):{'3_1':0.03},(6,341):{'3_1':0.0,'4_1':0.0},(6,340):{'3_1':0.0},(6,339):{'3_1':0.0,'4_1':0.0},(6,338):{'3_1':0.0},(6,337):{'3_1':0.0},(6,336):{'3_1':0.0},(6,335):{'3_1':0.03},(6,334):{'3_1':0.06},(6,333):{'3_1':0.0},(6,332):{'3_1':0.03},(6,331):{'3_1':0.0,'-3':0.0},(6,330):{'3_1':0.03,'4_1':0.0},(6,329):{'3_1':0.0},(6,328):{'3_1':0.0,'5_2':0.0},(6,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,326):{'3_1':0.03,'4_1':0.0},(6,325):{'3_1':0.03},(6,324):{'3_1':0.03},(6,323):{'3_1':0.06},(6,322):{'7_1':0.0,'3_1':0.0,'5_1':0.0},(6,321):{'3_1':0.03},(6,320):{'3_1':0.03},(6,319):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(6,318):{'3_1':0.03,'4_1':0.0},(6,317):{'3_1':0.0,'5_2':0.0},(6,316):{'3_1':0.0},(6,315):{'3_1':0.03,'4_1':0.0},(6,314):{'4_1':0.0},(6,313):{'3_1':0.06},(6,312):{'3_1':0.0},(6,310):{'3_1':0.0},(6,309):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(6,308):{'3_1':0.0,'4_1':0.0},(6,307):{'3_1':0.03},(6,306):{'4_1':0.0},(6,305):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,304):{'3_1':0.03},(6,303):{'3_1':0.0},(6,302):{'3_1':0.03,'4_1':0.0},(6,301):{'3_1':0.0},(6,300):{'3_1':0.0},(6,299):{'3_1':0.06},(6,298):{'3_1':0.03,'5_2':0.0},(6,297):{'3_1':0.03},(6,296):{'3_1':0.0},(6,295):{'3_1':0.03},(6,294):{'3_1':0.0},(6,293):{'3_1':0.03},(6,292):{'3_1':0.06},(6,291):{'3_1':0.03},(6,290):{'3_1':0.0},(6,289):{'3_1':0.03},(6,288):{'3_1':0.0},(6,287):{'3_1':0.03,'4_1':0.0},(6,286):{'3_1':0.03},(6,285):{'3_1':0.03,'4_1':0.0},(6,284):{'3_1':0.0},(6,283):{'3_1':0.0},(6,282):{'3_1':0.03,'5_2':0.0},(6,281):{'3_1':0.03,'5_1':0.0},(6,280):{'3_1':0.0,'4_1':0.0},(6,279):{'3_1':0.03},(6,278):{'3_1':0.03},(6,277):{'3_1':0.03,'8_20|3_1#3_1':0.0},(6,276):{'3_1':0.03},(6,275):{'3_1':0.0,'5_1':0.0},(6,274):{'3_1':0.0},(6,273):{'3_1':0.03},(6,272):{'3_1':0.0},(6,271):{'3_1':0.06,'5_1':0.0},(6,270):{'3_1':0.03,'5_2':0.0},(6,269):{'3_1':0.03,'5_2':0.0},(6,268):{'3_1':0.0,'4_1':0.0},(6,267):{'3_1':0.0},(6,266):{'3_1':0.0},(6,265):{'3_1':0.03,'6_2':0.0},(6,264):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,263):{'3_1':0.03,'5_2':0.0},(6,262):{'3_1':0.0,'7_2':0.0},(6,261):{'3_1':0.0},(6,260):{'3_1':0.03,'5_1':0.0},(6,259):{'3_1':0.03},(6,258):{'3_1':0.0},(6,257):{'5_1':0.0},(6,256):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(6,255):{'3_1':0.0,'5_2':0.0},(6,253):{'3_1':0.0},(6,252):{'3_1':0.0,'4_1':0.0},(6,251):{'3_1':0.03},(6,250):{'3_1':0.0},(6,249):{'3_1':0.03},(6,248):{'3_1':0.03},(6,247):{'3_1':0.0},(6,246):{'3_1':0.0,'4_1':0.0},(6,245):{'3_1':0.03,'5_2':0.0},(6,244):{'3_1':0.0},(6,243):{'3_1':0.03},(6,242):{'3_1':0.03},(6,241):{'3_1':0.0},(6,240):{'3_1':0.0},(6,239):{'3_1':0.0},(6,238):{'3_1':0.0},(6,237):{'3_1':0.0,'5_2':0.0},(6,236):{'3_1':0.0},(6,235):{'3_1':0.03,'5_2':0.0},(6,234):{'3_1':0.0},(6,233):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,232):{'3_1':0.0,'4_1':0.0},(6,231):{'3_1':0.0},(6,230):{'3_1':0.03},(6,229):{'3_1':0.03},(6,228):{'3_1':0.0,'5_2':0.0},(6,227):{'3_1':0.0},(6,226):{'5_2':0.0,'3_1':0.0},(6,225):{'3_1':0.03},(6,223):{'3_1':0.0},(6,222):{'3_1':0.03},(6,221):{'3_1':0.0},(6,220):{'3_1':0.03},(6,219):{'3_1':0.03},(6,218):{'3_1':0.0},(6,217):{'3_1':0.0},(6,216):{'3_1':0.0},(6,215):{'3_1':0.03},(6,214):{'3_1':0.0},(6,213):{'3_1':0.0},(6,212):{'3_1':0.0,'4_1':0.0},(6,211):{'3_1':0.0},(6,210):{'3_1':0.0},(6,209):{'3_1':0.0},(6,208):{'3_1':0.0},(6,207):{'3_1':0.0},(6,205):{'3_1':0.0},(6,203):{'3_1':0.0},(6,202):{'3_1':0.03},(6,201):{'3_1':0.03},(6,199):{'3_1':0.03,'4_1':0.0},(6,198):{'3_1':0.0},(6,197):{'3_1':0.0},(6,195):{'3_1':0.0},(6,194):{'3_1':0.0},(6,193):{'3_1':0.0,'6_3':0.0},(6,192):{'3_1':0.0},(6,191):{'3_1':0.0},(6,189):{'3_1':0.03},(6,188):{'3_1':0.0},(6,186):{'3_1':0.0},(6,184):{'3_1':0.0},(6,183):{'3_1':0.0},(6,182):{'3_1':0.0},(6,180):{'3_1':0.0},(6,179):{'3_1':0.0,'4_1':0.0},(6,178):{'3_1':0.0},(6,177):{'3_1':0.0},(6,176):{'3_1':0.0,'4_1':0.0},(6,175):{'3_1':0.0,'4_1':0.0},(6,174):{'3_1':0.0},(6,173):{'3_1':0.0},(6,172):{'3_1':0.0,'4_1':0.0},(6,171):{'3_1':0.0},(6,170):{'3_1':0.0},(6,169):{'3_1':0.0},(6,168):{'3_1':0.0,'4_1':0.0},(6,167):{'3_1':0.0},(6,166):{'3_1':0.0},(6,164):{'3_1':0.0},(6,162):{'3_1':0.0},(6,161):{'3_1':0.0},(6,160):{'3_1':0.0},(6,159):{'3_1':0.0},(6,158):{'3_1':0.0},(6,157):{'3_1':0.0},(6,156):{'3_1':0.0,'4_1':0.0},(6,155):{'3_1':0.0},(6,154):{'3_1':0.0},(6,153):{'3_1':0.0},(6,150):{'3_1':0.0},(6,149):{'3_1':0.0},(6,148):{'3_1':0.0},(6,147):{'3_1':0.0},(6,146):{'3_1':0.0},(6,145):{'3_1':0.0},(6,144):{'3_1':0.0},(6,143):{'3_1':0.0},(6,142):{'3_1':0.0},(6,141):{'3_1':0.0},(6,140):{'3_1':0.0},(6,139):{'3_1':0.0},(6,138):{'3_1':0.0},(6,137):{'3_1':0.03},(6,135):{'3_1':0.0},(6,134):{'3_1':0.0},(6,132):{'3_1':0.0},(6,131):{'3_1':0.0},(6,130):{'3_1':0.0},(6,129):{'3_1':0.0},(6,128):{'3_1':0.0},(6,127):{'3_1':0.0},(6,123):{'3_1':0.0},(6,115):{'3_1':0.0},(6,113):{'3_1':0.0},(6,112):{'3_1':0.0},(6,111):{'3_1':0.0},(6,110):{'3_1':0.0},(6,108):{'3_1':0.0},(6,98):{'3_1':0.0},(6,97):{'3_1':0.0},(6,92):{'4_1':0.0},(6,89):{'3_1':0.0},(6,76):{'3_1':0.0},(6,75):{'3_1':0.0},(6,74):{'3_1':0.0},(6,72):{'3_1':0.0},(6,67):{'4_1':0.0},(6,63):{'3_1':0.0},(7,727):{'5_2':0.54,'-3':0.09,'3_1':0.06,'8_20|3_1#3_1':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0},(7,726):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(7,725):{'5_2':0.75,'-3':0.06,'8_20|3_1#3_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(7,724):{'5_2':0.57,'-3':0.12,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0},(7,723):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(7,722):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(7,721):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_5':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(7,720):{'5_2':0.63,'-3':0.06,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(7,719):{'5_2':0.66,'-3':0.06,'8_20|3_1#3_1':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(7,718):{'5_2':0.66,'3_1':0.06,'-3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(7,717):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(7,716):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(7,715):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(7,714):{'5_2':0.66,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(7,713):{'5_2':0.6,'3_1':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0},(7,712):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0,'8_19':0.0},(7,711):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(7,710):{'5_2':0.45,'3_1':0.09,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_19':0.0,'1':-0.03},(7,709):{'5_2':0.51,'-3':0.18,'5_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'1':-0.03},(7,708):{'5_2':0.63,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,707):{'5_2':0.57,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(7,706):{'5_2':0.42,'7_4':0.09,'-3':0.09,'3_1':0.06,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(7,705):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(7,704):{'5_2':0.57,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(7,703):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(7,702):{'5_2':0.54,'3_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(7,701):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_8':0.0},(7,700):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(7,699):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0,'1':-0.03},(7,698):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_2':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(7,697):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_6':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(7,696):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_5':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(7,695):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(7,694):{'5_2':0.36,'3_1':0.24,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(7,693):{'5_2':0.39,'3_1':0.18,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_19':0.0},(7,692):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(7,691):{'5_2':0.48,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(7,690):{'5_2':0.39,'3_1':0.27,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0},(7,689):{'5_2':0.39,'3_1':0.27,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(7,688):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(7,687):{'5_2':0.36,'3_1':0.24,'7_4':0.12,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(7,686):{'3_1':0.27,'5_2':0.24,'7_4':0.12,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(7,685):{'3_1':0.45,'5_2':0.24,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(7,684):{'3_1':0.36,'5_2':0.33,'7_4':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(7,683):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'-3':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(7,682):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(7,681):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(7,680):{'3_1':0.39,'5_2':0.21,'7_4':0.12,'7_3':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(7,679):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(7,678):{'3_1':0.57,'5_2':0.15,'-3':0.03,'7_4':0.03,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(7,677):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0},(7,676):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0},(7,675):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'7_7':0.03,'6_3':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(7,674):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(7,673):{'3_1':0.54,'5_2':0.09,'8_20|3_1#3_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0},(7,672):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0},(7,671):{'3_1':0.6,'5_2':0.15,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(7,670):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(7,669):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0},(7,668):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(7,667):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(7,666):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(7,665):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'7_6':0.0,'8_19':0.0,'5_1':0.0,'3_1#5_2':0.0},(7,664):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(7,663):{'3_1':0.54,'5_2':0.21,'7_4':0.03,'-3':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(7,662):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0},(7,661):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0},(7,660):{'3_1':0.54,'5_2':0.18,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(7,659):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_7':0.03,'-3':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(7,658):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(7,657):{'3_1':0.6,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_7':0.0},(7,656):{'3_1':0.48,'5_2':0.12,'8_20|3_1#3_1':0.03,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(7,655):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'7_7':0.0,'8_19':0.0},(7,654):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,653):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(7,652):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(7,651):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(7,650):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(7,649):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(7,648):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(7,647):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(7,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(7,645):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(7,644):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(7,643):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(7,642):{'3_1':0.27,'5_2':0.09,'7_4':0.03,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0},(7,641):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_9':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(7,640):{'3_1':0.27,'5_2':0.21,'5_1':0.09,'4_1':0.0,'7_4':0.0,'6_3':0.0,'8_15':0.0,'-3':0.0},(7,639):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(7,638):{'3_1':0.27,'5_2':0.15,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(7,637):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,636):{'3_1':0.12,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_6':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(7,635):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_4':0.0,'5_1':0.0,'-3':0.0},(7,634):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'7_7':0.0,'7_3':0.0,'7_5':0.0},(7,633):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(7,632):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0},(7,631):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(7,630):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(7,629):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(7,628):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(7,627):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(7,626):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_6':0.0,'-3':0.0},(7,625):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(7,624):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(7,623):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(7,622):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(7,621):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(7,620):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(7,619):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'1':-0.03},(7,618):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(7,617):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0},(7,616):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0},(7,615):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0},(7,614):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(7,613):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0},(7,612):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0},(7,611):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(7,610):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(7,609):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(7,608):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(7,607):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(7,606):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(7,605):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(7,604):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'7_7':0.0},(7,603):{'3_1':0.15,'4_1':0.06,'7_7':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(7,602):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_1':0.0},(7,601):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_7':0.0},(7,600):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(7,599):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(7,598):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(7,597):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(7,596):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(7,595):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(7,594):{'3_1':0.15,'4_1':0.15,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,593):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0},(7,592):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(7,591):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(7,590):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(7,589):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(7,588):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(7,587):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(7,586):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'7_3':0.0,'8_19':0.0},(7,585):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_6':0.0},(7,584):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'5_2':0.0,'6_2':0.0},(7,583):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(7,582):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(7,581):{'4_1':0.24,'3_1':0.06,'5_2':0.0,'8_4':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(7,580):{'4_1':0.15,'6_2':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(7,579):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(7,578):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0},(7,577):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'7_6':0.0,'6_1':0.0,'6_3':0.0},(7,576):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(7,575):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_7':0.0,'8_19':0.0},(7,574):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(7,573):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,572):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(7,571):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(7,570):{'4_1':0.09,'3_1':0.06},(7,569):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(7,568):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(7,567):{'4_1':0.15,'3_1':0.12,'5_1':0.0},(7,566):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(7,565):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(7,564):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,563):{'4_1':0.09,'3_1':0.03,'8_8':0.0,'8_9':0.0},(7,562):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(7,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(7,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(7,559):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(7,558):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_7':0.0},(7,557):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(7,556):{'3_1':0.09,'4_1':0.03,'7_3':0.0,'7_7':0.0},(7,555):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_6':0.0},(7,554):{'3_1':0.12,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(7,553):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(7,551):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0},(7,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(7,549):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(7,548):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0},(7,547):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(7,546):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(7,545):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_4':0.0},(7,544):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0},(7,543):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(7,542):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(7,541):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(7,540):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(7,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(7,538):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(7,537):{'3_1':0.18,'5_2':0.03},(7,536):{'3_1':0.18,'4_1':0.03},(7,535):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(7,534):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(7,533):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(7,532):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(7,531):{'3_1':0.09,'5_2':0.03},(7,530):{'3_1':0.18},(7,529):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(7,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(7,527):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(7,526):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(7,525):{'3_1':0.06,'4_1':0.0},(7,524):{'3_1':0.03,'4_1':0.0},(7,523):{'3_1':0.06,'4_1':0.0},(7,522):{'3_1':0.06},(7,521):{'3_1':0.03,'6_3':0.0},(7,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,519):{'3_1':0.06,'4_1':0.0},(7,518):{'3_1':0.06},(7,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,516):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(7,515):{'3_1':0.03},(7,514):{'3_1':0.0,'4_1':0.0},(7,513):{'3_1':0.03,'4_1':0.0},(7,512):{'3_1':0.0},(7,511):{'3_1':0.03,'4_1':0.0},(7,510):{'3_1':0.03,'4_1':0.0},(7,509):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(7,508):{'3_1':0.03,'4_1':0.0},(7,507):{'3_1':0.03,'4_1':0.0},(7,506):{'3_1':0.03},(7,505):{'3_1':0.03},(7,504):{'3_1':0.0},(7,503):{'3_1':0.0},(7,502):{'3_1':0.0},(7,501):{'3_1':0.03,'4_1':0.0},(7,500):{'4_1':0.0,'3_1':0.0},(7,499):{'3_1':0.0},(7,498):{'3_1':0.03},(7,497):{'3_1':0.03},(7,496):{'3_1':0.0,'4_1':0.0},(7,495):{'3_1':0.0},(7,494):{'3_1':0.06},(7,493):{'3_1':0.0},(7,492):{'3_1':0.06,'4_1':0.0},(7,491):{'3_1':0.09},(7,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,489):{'3_1':0.06},(7,488):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(7,487):{'3_1':0.06,'4_1':0.0},(7,486):{'3_1':0.03,'4_1':0.0},(7,485):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(7,484):{'3_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(7,483):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,482):{'3_1':0.06},(7,481):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,480):{'3_1':0.09,'5_2':0.0},(7,479):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(7,478):{'3_1':0.09,'5_2':0.0},(7,477):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(7,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,475):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(7,474):{'3_1':0.03,'5_1':0.0},(7,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(7,472):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(7,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,470):{'3_1':0.06,'4_1':0.0},(7,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(7,468):{'3_1':0.0},(7,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,466):{'3_1':0.03},(7,465):{'5_1':0.0},(7,464):{'3_1':0.0},(7,463):{'3_1':0.03},(7,462):{'3_1':0.03},(7,461):{'3_1':0.06},(7,460):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,459):{'4_1':0.03,'3_1':0.0},(7,458):{'3_1':0.0,'4_1':0.0},(7,457):{'3_1':0.03,'4_1':0.0},(7,456):{'3_1':0.0,'4_1':0.0},(7,455):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(7,454):{'3_1':0.03,'4_1':0.0},(7,453):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,452):{'3_1':0.03,'5_2':0.0},(7,451):{'3_1':0.06},(7,450):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(7,449):{'3_1':0.03},(7,448):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,447):{'3_1':0.03},(7,446):{'3_1':0.06},(7,445):{'3_1':0.0},(7,444):{'3_1':0.0},(7,443):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(7,442):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,441):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(7,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,439):{'3_1':0.06,'5_1':0.0},(7,438):{'3_1':0.0},(7,437):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(7,436):{'3_1':0.06},(7,435):{'3_1':0.03},(7,434):{'3_1':0.06},(7,433):{'3_1':0.03},(7,432):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(7,431):{'3_1':0.0},(7,430):{'3_1':0.03,'4_1':0.0},(7,429):{'3_1':0.03},(7,428):{'3_1':0.03,'5_2':0.0},(7,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,426):{'3_1':0.0,'4_1':0.0},(7,425):{'3_1':0.03,'4_1':0.0},(7,424):{'3_1':0.03,'4_1':0.0},(7,423):{'3_1':0.06,'5_2':0.0},(7,422):{'3_1':0.03},(7,421):{'3_1':0.03,'4_1':0.0},(7,420):{'3_1':0.09,'5_1':0.0},(7,419):{'3_1':0.06},(7,418):{'3_1':0.06},(7,417):{'3_1':0.03,'4_1':0.0},(7,416):{'3_1':0.03},(7,415):{'3_1':0.03,'5_2':0.0},(7,414):{'3_1':0.03,'5_2':0.0},(7,413):{'3_1':0.03,'5_2':0.0},(7,412):{'3_1':0.0,'6_3':0.0},(7,411):{'3_1':0.0},(7,410):{'3_1':0.0},(7,409):{'3_1':0.0,'4_1':0.0},(7,408):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,407):{'3_1':0.0,'6_1':0.0,'7_2':0.0},(7,406):{'3_1':0.06,'5_1':0.0},(7,405):{'3_1':0.0},(7,404):{'3_1':0.0},(7,403):{'3_1':0.0},(7,402):{'3_1':0.03},(7,401):{'3_1':0.06,'4_1':0.0},(7,400):{'3_1':0.0,'4_1':0.0},(7,399):{'3_1':0.0},(7,398):{'3_1':0.03},(7,397):{'3_1':0.06,'4_1':0.0},(7,396):{'3_1':0.03},(7,395):{'3_1':0.06,'4_1':0.0},(7,394):{'3_1':0.03,'5_2':0.0},(7,393):{'3_1':0.0},(7,392):{'3_1':0.0,'5_2':0.0},(7,391):{'3_1':0.03},(7,390):{'3_1':0.0,'4_1':0.0},(7,389):{'3_1':0.0,'5_1':0.0},(7,388):{'3_1':0.0,'5_2':0.0},(7,387):{'3_1':0.03},(7,386):{'3_1':0.0},(7,385):{'3_1':0.0},(7,384):{'3_1':0.0,'4_1':0.0},(7,383):{'3_1':0.03},(7,382):{'3_1':0.03,'4_1':0.0},(7,381):{'3_1':0.0},(7,380):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,379):{'3_1':0.0},(7,378):{'3_1':0.03,'5_1':0.0},(7,377):{'3_1':0.03,'4_1':0.0},(7,376):{'3_1':0.0,'5_1':0.0},(7,375):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,374):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(7,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(7,372):{'3_1':0.0},(7,371):{'3_1':0.03,'4_1':0.0},(7,370):{'3_1':0.03,'5_2':0.0},(7,369):{'3_1':0.0,'4_1':0.0},(7,368):{'3_1':0.03},(7,366):{'3_1':0.0},(7,365):{'3_1':0.03},(7,364):{'3_1':0.0,'9_1':0.0},(7,363):{'3_1':0.03,'6_1':0.0},(7,362):{'3_1':0.0,'7_3':0.0},(7,361):{'3_1':0.03},(7,360):{'3_1':0.0,'5_2':0.0},(7,359):{'3_1':0.0,'7_1':0.0},(7,358):{'3_1':0.0,'4_1':0.0},(7,357):{'3_1':0.0},(7,356):{'3_1':0.0},(7,355):{'5_1':0.0},(7,354):{'3_1':0.0,'5_1':0.0},(7,353):{'3_1':0.0,'5_1':0.0},(7,352):{'3_1':0.0,'5_1':0.0},(7,351):{'3_1':0.0},(7,350):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(7,349):{'3_1':0.0},(7,348):{'3_1':0.0},(7,347):{'3_1':0.0},(7,346):{'3_1':0.0,'4_1':0.0},(7,345):{'3_1':0.03},(7,344):{'3_1':0.0},(7,343):{'3_1':0.0},(7,342):{'3_1':0.03,'4_1':0.0,'8_7':0.0},(7,341):{'3_1':0.0,'6_2':0.0},(7,340):{'3_1':0.03},(7,339):{'3_1':0.0,'4_1':0.0},(7,338):{'3_1':0.0},(7,337):{'3_1':0.0},(7,336):{'3_1':0.0,'4_1':0.0},(7,335):{'3_1':0.0},(7,334):{'3_1':0.0},(7,333):{'4_1':0.0,'3_1':0.0,'-3':0.0},(7,332):{'3_1':0.03},(7,331):{'3_1':0.0},(7,330):{'3_1':0.03,'4_1':0.0},(7,329):{'3_1':0.06},(7,328):{'3_1':0.03},(7,326):{'3_1':0.03},(7,325):{'3_1':0.0},(7,324):{'3_1':0.0,'4_1':0.0},(7,323):{'3_1':0.03},(7,322):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(7,321):{'3_1':0.03},(7,319):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,318):{'3_1':0.03},(7,317):{'3_1':0.0,'7_4':0.0},(7,316):{'3_1':0.03,'4_1':0.0},(7,315):{'3_1':0.0},(7,314):{'3_1':0.0},(7,313):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(7,312):{'3_1':0.03},(7,311):{'3_1':0.03},(7,310):{'3_1':0.0,'4_1':0.0},(7,309):{'3_1':0.0},(7,308):{'3_1':0.03,'4_1':0.0},(7,307):{'3_1':0.0,'6_2':0.0},(7,306):{'3_1':0.0},(7,305):{'3_1':0.03},(7,304):{'3_1':0.03},(7,303):{'3_1':0.0},(7,302):{'3_1':0.0,'4_1':0.0},(7,301):{'3_1':0.0,'5_2':0.0},(7,300):{'3_1':0.03,'5_2':0.0},(7,299):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(7,298):{'3_1':0.0,'5_2':0.0},(7,297):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(7,296):{'3_1':0.0,'7_1':0.0},(7,295):{'6_1':0.0},(7,294):{'3_1':0.0,'5_2':0.0},(7,293):{'3_1':0.0},(7,292):{'3_1':0.0},(7,291):{'4_1':0.0,'5_2':0.0},(7,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(7,289):{'3_1':0.0,'5_2':0.0},(7,288):{'3_1':0.0,'5_2':0.0},(7,287):{'3_1':0.0},(7,286):{'3_1':0.03},(7,285):{'3_1':0.0},(7,284):{'3_1':0.0,'4_1':0.0},(7,283):{'3_1':0.06,'5_2':0.0},(7,282):{'3_1':0.0},(7,281):{'3_1':0.0},(7,280):{'3_1':0.06},(7,279):{'3_1':0.03},(7,278):{'3_1':0.03},(7,277):{'3_1':0.03,'5_2':0.0},(7,276):{'3_1':0.03},(7,275):{'3_1':0.06,'5_2':0.0},(7,274):{'3_1':0.03,'5_2':0.0},(7,273):{'3_1':0.03,'4_1':0.0},(7,272):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(7,271):{'3_1':0.0},(7,270):{'3_1':0.0},(7,269):{'3_1':0.03},(7,268):{'3_1':0.0},(7,267):{'3_1':0.0},(7,266):{'3_1':0.03},(7,265):{'3_1':0.06},(7,264):{'3_1':0.06},(7,263):{'3_1':0.06},(7,262):{'3_1':0.0,'5_1':0.0},(7,261):{'3_1':0.0},(7,260):{'3_1':0.03},(7,259):{'3_1':0.03},(7,258):{'3_1':0.06},(7,257):{'3_1':0.0},(7,256):{'3_1':0.0,'7_1':0.0},(7,255):{'3_1':0.06},(7,254):{'3_1':0.0,'5_2':0.0},(7,253):{'3_1':0.03},(7,252):{'3_1':0.0},(7,251):{'3_1':0.03},(7,250):{'3_1':0.06},(7,249):{'3_1':0.03},(7,248):{'3_1':0.06},(7,247):{'3_1':0.03},(7,246):{'3_1':0.0},(7,245):{'3_1':0.0},(7,244):{'3_1':0.0},(7,243):{'3_1':0.0},(7,242):{'3_1':0.0},(7,241):{'3_1':0.03},(7,240):{'3_1':0.0},(7,239):{'3_1':0.03},(7,238):{'3_1':0.0},(7,237):{'3_1':0.03},(7,236):{'3_1':0.06},(7,235):{'3_1':0.0},(7,234):{'3_1':0.0},(7,233):{'3_1':0.0},(7,232):{'3_1':0.0},(7,231):{'3_1':0.03},(7,230):{'3_1':0.0,'5_2':0.0},(7,229):{'3_1':0.03,'5_2':0.0},(7,228):{'3_1':0.03},(7,227):{'3_1':0.03},(7,226):{'3_1':0.03,'4_1':0.0},(7,225):{'3_1':0.0},(7,224):{'3_1':0.0},(7,223):{'3_1':0.0},(7,222):{'3_1':0.0},(7,221):{'3_1':0.0},(7,220):{'3_1':0.0},(7,219):{'3_1':0.0},(7,218):{'3_1':0.03},(7,217):{'3_1':0.0},(7,216):{'3_1':0.0},(7,215):{'3_1':0.0,'4_1':0.0},(7,214):{'3_1':0.0},(7,213):{'3_1':0.0},(7,212):{'3_1':0.0},(7,211):{'3_1':0.0},(7,210):{'3_1':0.03},(7,209):{'3_1':0.0,'5_1':0.0},(7,208):{'3_1':0.0},(7,207):{'3_1':0.0},(7,206):{'3_1':0.0},(7,205):{'3_1':0.0},(7,203):{'3_1':0.03},(7,202):{'3_1':0.0,'5_1':0.0},(7,201):{'3_1':0.0},(7,199):{'3_1':0.03},(7,197):{'3_1':0.0,'5_1':0.0},(7,196):{'3_1':0.03},(7,195):{'3_1':0.0},(7,194):{'3_1':0.0},(7,193):{'3_1':0.03,'4_1':0.0},(7,192):{'3_1':0.0},(7,191):{'3_1':0.0},(7,190):{'3_1':0.0},(7,189):{'3_1':0.0},(7,187):{'3_1':0.0},(7,186):{'3_1':0.0},(7,185):{'3_1':0.0,'4_1':0.0},(7,184):{'3_1':0.0},(7,183):{'3_1':0.0,'5_2':0.0},(7,181):{'3_1':0.0},(7,180):{'3_1':0.0},(7,179):{'3_1':0.0},(7,176):{'3_1':0.0},(7,175):{'3_1':0.0,'8_20|3_1#3_1':0.0},(7,174):{'3_1':0.0,'4_1':0.0},(7,173):{'3_1':0.0,'4_1':0.0},(7,172):{'3_1':0.0},(7,170):{'4_1':0.0},(7,169):{'3_1':0.03},(7,168):{'3_1':0.0},(7,166):{'3_1':0.03},(7,165):{'4_1':0.0,'3_1':0.0},(7,164):{'4_1':0.0},(7,163):{'3_1':0.0,'4_1':0.0},(7,162):{'3_1':0.0},(7,161):{'3_1':0.0},(7,160):{'3_1':0.0,'4_1':0.0},(7,159):{'3_1':0.0,'6_2':0.0},(7,158):{'3_1':0.0,'4_1':0.0},(7,157):{'3_1':0.0},(7,156):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(7,154):{'3_1':0.0},(7,153):{'3_1':0.0},(7,152):{'3_1':0.0},(7,151):{'3_1':0.0},(7,150):{'3_1':0.0},(7,149):{'3_1':0.0},(7,148):{'3_1':0.0},(7,147):{'3_1':0.0},(7,146):{'3_1':0.03},(7,145):{'3_1':0.0},(7,143):{'3_1':0.0},(7,142):{'3_1':0.0},(7,139):{'3_1':0.0},(7,138):{'3_1':0.0},(7,137):{'3_1':0.03},(7,136):{'3_1':0.0},(7,135):{'3_1':0.0,'4_1':0.0},(7,134):{'3_1':0.03},(7,133):{'3_1':0.0},(7,130):{'3_1':0.0},(7,129):{'3_1':0.0,'4_1':0.0},(7,128):{'3_1':0.0,'4_1':0.0},(7,126):{'3_1':0.0},(7,123):{'3_1':0.0},(7,115):{'3_1':0.0,'5_2':0.0},(7,114):{'3_1':0.0},(7,111):{'3_1':0.0},(7,109):{'3_1':0.0},(7,102):{'3_1':0.0},(7,97):{'3_1':0.0},(7,96):{'3_1':0.0},(7,93):{'3_1':0.0},(7,78):{'4_1':0.0},(7,76):{'3_1':0.0},(7,75):{'4_1':0.0},(7,71):{'3_1':0.0},(7,68):{'3_1':0.0,'4_1':0.0},(7,65):{'4_1':0.0},(7,64):{'3_1':0.0},(7,61):{'3_1':0.0},(7,60):{'3_1':0.0},(7,59):{'3_1':0.0},(7,58):{'3_1':0.0},(8,727):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'2':-0.03},(8,726):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,725):{'5_2':0.69,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(8,724):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'8_13':0.0},(8,723):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(8,722):{'5_2':0.63,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0,'3_1#5_2':0.0},(8,721):{'5_2':0.63,'-3':0.06,'3_1':0.06,'3_1#5_2':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'8_13':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(8,720):{'5_2':0.72,'-3':0.03,'7_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_7':0.0,'3_1#5_2':0.0},(8,719):{'5_2':0.66,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,718):{'5_2':0.66,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(8,717):{'5_2':0.69,'3_1':0.03,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(8,716):{'5_2':0.69,'3_1':0.03,'3_1#5_2':0.03,'-3':0.03,'7_4':0.0,'8_13':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(8,715):{'5_2':0.63,'7_4':0.09,'3_1':0.03,'-3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(8,714):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(8,713):{'5_2':0.63,'3_1#5_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(8,712):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(8,711):{'5_2':0.6,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,710):{'5_2':0.45,'-3':0.18,'7_5':0.09,'3_1':0.06,'7_2':0.03,'8_13':0.0,'7_4':0.0},(8,709):{'5_2':0.6,'-3':0.12,'5_1':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(8,708):{'5_2':0.54,'-3':0.12,'5_1':0.06,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'8_10':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(8,707):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(8,706):{'5_2':0.54,'3_1':0.12,'-3':0.06,'8_20|3_1#3_1':0.06,'7_4':0.03,'7_6':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(8,705):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_8':0.0,'9_1':0.0},(8,704):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_3':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(8,703):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_13':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(8,702):{'5_2':0.63,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(8,701):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(8,700):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_4':0.06,'3_1#5_2':0.03,'7_5':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(8,699):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(8,698):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_3':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'1':-0.03},(8,697):{'5_2':0.51,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_3':0.03,'7_6':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_14':0.0,'8_15':0.0,'2':-0.03},(8,696):{'5_2':0.57,'-3':0.15,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0},(8,695):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.0,'8_13':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(8,694):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_6':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_13':0.0,'8_14':0.0},(8,693):{'5_2':0.51,'3_1':0.12,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(8,692):{'5_2':0.54,'3_1':0.12,'-3':0.09,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(8,691):{'5_2':0.57,'3_1':0.15,'-3':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(8,690):{'5_2':0.39,'3_1':0.24,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,689):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_2':0.0,'6_3':0.0,'7_5':0.0},(8,688):{'5_2':0.39,'3_1':0.3,'-3':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(8,687):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.06,'7_5':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0},(8,686):{'5_2':0.42,'3_1':0.24,'7_3':0.06,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(8,685):{'5_2':0.36,'3_1':0.27,'7_3':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(8,684):{'5_2':0.33,'3_1':0.27,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(8,683):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'-3':0.06,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(8,682):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0,'8_14':0.0},(8,681):{'3_1':0.39,'5_2':0.3,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(8,680):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0},(8,679):{'3_1':0.51,'5_2':0.24,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'8_14':0.0,'3_1#5_2':0.0},(8,678):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_10':0.0,'8_19':0.0},(8,677):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_8':0.0},(8,676):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,675):{'3_1':0.6,'5_2':0.12,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'8_8':0.0,'8_10':0.0,'8_13':0.0},(8,674):{'3_1':0.6,'5_2':0.09,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0},(8,673):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(8,672):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(8,671):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(8,670):{'3_1':0.66,'7_4':0.03,'5_2':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(8,669):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(8,668):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0},(8,667):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(8,666):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(8,665):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(8,664):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(8,663):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(8,662):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0},(8,661):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'6_3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,660):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(8,659):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(8,658):{'3_1':0.57,'5_2':0.18,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0},(8,657):{'3_1':0.54,'5_2':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(8,656):{'3_1':0.57,'5_2':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(8,655):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,654):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_6':0.0,'6_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,653):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0},(8,652):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_6':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'8_15':0.0},(8,651):{'3_1':0.42,'5_2':0.12,'5_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(8,650):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,649):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,648):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_1':0.0},(8,647):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(8,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(8,645):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,644):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(8,643):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(8,642):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(8,641):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(8,640):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(8,639):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(8,638):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(8,637):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(8,636):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(8,635):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0},(8,634):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(8,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(8,632):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(8,631):{'5_2':0.12,'3_1':0.09,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(8,630):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0},(8,629):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(8,628):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(8,627):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,626):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(8,625):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,624):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(8,623):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_17':0.0},(8,622):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(8,621):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(8,620):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(8,619):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(8,618):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0},(8,617):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_6':0.0,'7_7':0.0},(8,616):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,615):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(8,614):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(8,613):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(8,612):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(8,611):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(8,610):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(8,609):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(8,608):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(8,607):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,606):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,605):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(8,604):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(8,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,602):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_5':0.0},(8,601):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(8,600):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(8,599):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(8,598):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(8,597):{'4_1':0.18,'3_1':0.09},(8,596):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(8,595):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(8,594):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,593):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(8,592):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,591):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(8,590):{'3_1':0.21,'4_1':0.18,'5_2':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(8,589):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(8,588):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(8,587):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(8,586):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(8,585):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0},(8,584):{'4_1':0.24,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(8,583):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_7':0.0},(8,582):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(8,581):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_2':0.0},(8,580):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0},(8,579):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0},(8,578):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(8,577):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(8,576):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0},(8,575):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(8,574):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(8,573):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(8,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(8,571):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(8,570):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(8,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(8,568):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0},(8,567):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(8,566):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(8,565):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(8,564):{'4_1':0.12,'3_1':0.03,'8_11':0.0},(8,563):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(8,562):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(8,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(8,560):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(8,559):{'3_1':0.09,'4_1':0.03,'8_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(8,558):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(8,557):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(8,556):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(8,555):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(8,554):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(8,553):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(8,552):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(8,551):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_1':0.0},(8,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_1':0.0},(8,549):{'3_1':0.15,'4_1':0.0,'8_3':0.0},(8,548):{'3_1':0.09,'4_1':0.0},(8,547):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(8,546):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(8,545):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(8,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(8,543):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(8,542):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(8,541):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(8,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0},(8,539):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(8,538):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(8,537):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(8,536):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_19':0.0},(8,535):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(8,534):{'3_1':0.15,'4_1':0.0},(8,533):{'3_1':0.18,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(8,532):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(8,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(8,530):{'3_1':0.12},(8,529):{'3_1':0.09,'4_1':0.0},(8,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(8,527):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,526):{'3_1':0.06,'4_1':0.0},(8,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(8,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(8,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,522):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(8,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,520):{'3_1':0.03,'4_1':0.0},(8,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,518):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(8,517):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(8,516):{'4_1':0.0,'3_1':0.0},(8,515):{'3_1':0.0,'4_1':0.0},(8,514):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(8,513):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,512):{'3_1':0.0},(8,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(8,510):{'3_1':0.0,'4_1':0.0},(8,509):{'4_1':0.0,'5_2':0.0},(8,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(8,507):{'3_1':0.03},(8,506):{'3_1':0.0,'4_1':0.0},(8,505):{'3_1':0.03,'4_1':0.0},(8,504):{'3_1':0.0},(8,503):{'3_1':0.03},(8,502):{'3_1':0.03},(8,501):{'3_1':0.06},(8,500):{'3_1':0.03},(8,499):{'3_1':0.0},(8,498):{'3_1':0.03,'6_3':0.0},(8,497):{'3_1':0.06,'4_1':0.0},(8,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(8,495):{'3_1':0.03,'4_1':0.0},(8,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,493):{'3_1':0.09,'6_3':0.0},(8,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,491):{'3_1':0.0},(8,490):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(8,489):{'3_1':0.06,'4_1':0.0},(8,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(8,487):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,486):{'3_1':0.06,'4_1':0.0},(8,485):{'3_1':0.03,'4_1':0.0},(8,484):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,483):{'3_1':0.03},(8,482):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(8,481):{'3_1':0.03},(8,480):{'3_1':0.03,'5_2':0.0},(8,479):{'3_1':0.12,'4_1':0.0},(8,478):{'3_1':0.09,'4_1':0.0},(8,477):{'3_1':0.06,'5_1':0.0},(8,476):{'3_1':0.12,'4_1':0.0},(8,475):{'3_1':0.09,'5_2':0.0},(8,474):{'3_1':0.12,'4_1':0.0},(8,473):{'3_1':0.0,'4_1':0.0},(8,472):{'3_1':0.03},(8,471):{'3_1':0.03},(8,470):{'3_1':0.0},(8,469):{'3_1':0.06,'4_1':0.0},(8,468):{'3_1':0.03,'6_3':0.0},(8,467):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(8,466):{'3_1':0.03,'4_1':0.0},(8,465):{'3_1':0.06},(8,464):{'3_1':0.0,'5_2':0.0},(8,463):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(8,462):{'3_1':0.0},(8,461):{'3_1':0.0,'4_1':0.0},(8,460):{'3_1':0.03,'4_1':0.0},(8,459):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(8,458):{'3_1':0.03,'4_1':0.0},(8,457):{'3_1':0.03},(8,456):{'3_1':0.03},(8,455):{'3_1':0.0},(8,454):{'3_1':0.0,'5_2':0.0},(8,453):{'3_1':0.06},(8,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,451):{'3_1':0.03,'6_2':0.0},(8,450):{'4_1':0.0},(8,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,448):{'3_1':0.0,'6_3':0.0},(8,447):{'3_1':0.0,'4_1':0.0},(8,446):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(8,445):{'3_1':0.03,'4_1':0.0},(8,444):{'3_1':0.0},(8,443):{'3_1':0.0,'4_1':0.0},(8,442):{'4_1':0.0,'3_1':0.0},(8,441):{'3_1':0.0,'4_1':0.0},(8,440):{'3_1':0.0},(8,439):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,438):{'3_1':0.03,'4_1':0.0},(8,437):{'3_1':0.0},(8,436):{'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(8,435):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,434):{'3_1':0.0},(8,433):{'3_1':0.0,'5_1':0.0},(8,432):{'3_1':0.09,'4_1':0.0},(8,431):{'3_1':0.0},(8,430):{'3_1':0.0,'6_3':0.0},(8,429):{'3_1':0.09,'4_1':0.0},(8,428):{'3_1':0.06},(8,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,426):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(8,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,424):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(8,423):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,422):{'3_1':0.03,'4_1':0.0},(8,421):{'3_1':0.06,'4_1':0.0},(8,420):{'3_1':0.03,'4_1':0.0},(8,419):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(8,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,417):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(8,416):{'3_1':0.06,'4_1':0.0},(8,415):{'3_1':0.06,'4_1':0.0},(8,414):{'3_1':0.03},(8,413):{'3_1':0.0},(8,412):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(8,411):{'3_1':0.06,'4_1':0.0},(8,410):{'3_1':0.03},(8,409):{'3_1':0.03},(8,408):{'3_1':0.03},(8,407):{'3_1':0.0,'5_1':0.0},(8,406):{'3_1':0.03},(8,405):{'3_1':0.0,'6_1':0.0},(8,404):{'3_1':0.0},(8,403):{'3_1':0.0},(8,402):{'3_1':0.03},(8,401):{'3_1':0.03},(8,400):{'3_1':0.0,'5_2':0.0},(8,399):{'3_1':0.03},(8,398):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,397):{'3_1':0.06},(8,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,395):{'3_1':0.0},(8,394):{'3_1':0.0},(8,393):{'3_1':0.03},(8,392):{'3_1':0.03},(8,391):{'3_1':0.03},(8,390):{'3_1':0.0,'4_1':0.0},(8,389):{'3_1':0.03,'4_1':0.0},(8,388):{'3_1':0.0},(8,387):{'3_1':0.0,'4_1':0.0},(8,386):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(8,385):{'3_1':0.03},(8,383):{'3_1':0.0,'5_2':0.0},(8,382):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,381):{'3_1':0.03,'4_1':0.0},(8,380):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(8,379):{'3_1':0.0,'6_3':0.0},(8,378):{'3_1':0.06,'5_1':0.0},(8,377):{'3_1':0.03,'5_2':0.0},(8,376):{'3_1':0.0},(8,375):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(8,374):{'3_1':0.0,'5_1':0.0},(8,373):{'3_1':0.03},(8,372):{'3_1':0.0,'7_3':0.0},(8,371):{'3_1':0.03},(8,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,369):{'3_1':0.0,'4_1':0.0},(8,368):{'3_1':0.03,'5_2':0.0},(8,367):{'3_1':0.0,'4_1':0.0},(8,366):{'3_1':0.03,'5_2':0.0},(8,365):{'3_1':0.03},(8,364):{'3_1':0.0,'5_2':0.0},(8,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,362):{'3_1':0.0,'4_1':0.0},(8,361):{'3_1':0.03},(8,360):{'3_1':0.0},(8,359):{'3_1':0.03,'5_1':0.0},(8,358):{'3_1':0.03},(8,357):{'3_1':0.0,'4_1':0.0},(8,356):{'3_1':0.0,'4_1':0.0,'-3':0.0},(8,355):{'3_1':0.0,'4_1':0.0},(8,354):{'3_1':0.0},(8,353):{'3_1':0.0},(8,352):{'3_1':0.0,'4_1':0.0},(8,351):{'3_1':0.0},(8,350):{'3_1':0.03,'4_1':0.0},(8,349):{'3_1':0.03,'4_1':0.0},(8,348):{'3_1':0.0},(8,347):{'3_1':0.03},(8,346):{'3_1':0.03},(8,345):{'3_1':0.0},(8,344):{'3_1':0.03},(8,343):{'3_1':0.0},(8,342):{'3_1':0.03},(8,341):{'3_1':0.06},(8,340):{'3_1':0.0,'7_1':0.0},(8,339):{'3_1':0.0},(8,338):{'3_1':0.0,'5_1':0.0},(8,337):{'3_1':0.0},(8,336):{'3_1':0.0},(8,335):{'3_1':0.0},(8,334):{'3_1':0.0},(8,333):{'3_1':0.0},(8,332):{'3_1':0.0,'4_1':0.0},(8,331):{'3_1':0.03,'4_1':0.0},(8,330):{'3_1':0.03},(8,329):{'3_1':0.03},(8,328):{'3_1':0.03},(8,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,326):{'3_1':0.03},(8,325):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(8,324):{'3_1':0.0},(8,323):{'3_1':0.0,'5_2':0.0},(8,322):{'3_1':0.0},(8,321):{'3_1':0.03},(8,320):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(8,319):{'3_1':0.03},(8,318):{'3_1':0.06},(8,317):{'3_1':0.0},(8,316):{'3_1':0.0},(8,315):{'3_1':0.0},(8,314):{'3_1':0.06},(8,313):{'3_1':0.03},(8,312):{'3_1':0.0},(8,311):{'3_1':0.06},(8,310):{'3_1':0.03},(8,309):{'3_1':0.06},(8,308):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,307):{'3_1':0.0},(8,306):{'3_1':0.03},(8,305):{'3_1':0.0},(8,304):{'3_1':0.03,'5_1':0.0},(8,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,302):{'3_1':0.06,'6_1':0.0},(8,301):{'3_1':0.0},(8,300):{'3_1':0.0},(8,299):{'3_1':0.0},(8,298):{'3_1':0.03},(8,297):{'3_1':0.0},(8,295):{'3_1':0.0,'5_1':0.0},(8,294):{'3_1':0.0,'7_3':0.0},(8,293):{'3_1':0.03},(8,292):{'3_1':0.03},(8,291):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(8,290):{'5_1':0.0},(8,289):{'3_1':0.0,'4_1':0.0},(8,288):{'3_1':0.03},(8,287):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(8,286):{'3_1':0.0},(8,285):{'3_1':0.03,'4_1':0.0},(8,284):{'3_1':0.0},(8,283):{'3_1':0.03},(8,282):{'3_1':0.03,'5_1':0.03},(8,281):{'3_1':0.0},(8,280):{'3_1':0.03},(8,279):{'3_1':0.03,'5_2':0.0},(8,278):{'3_1':0.0,'5_2':0.0},(8,277):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(8,276):{'3_1':0.03,'5_1':0.0},(8,275):{'3_1':0.09,'5_2':0.0},(8,274):{'3_1':0.03,'5_1':0.0},(8,273):{'3_1':0.03},(8,272):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(8,271):{'3_1':0.03},(8,270):{'3_1':0.0},(8,269):{'3_1':0.03,'5_1':0.0},(8,268):{'3_1':0.03,'5_1':0.0},(8,267):{'3_1':0.03,'5_2':0.0},(8,266):{'3_1':0.0},(8,265):{'3_1':0.03,'5_2':0.0},(8,264):{'3_1':0.06,'4_1':0.0},(8,263):{'3_1':0.0},(8,262):{'3_1':0.0,'5_1':0.0},(8,261):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(8,260):{'3_1':0.03},(8,259):{'3_1':0.03,'6_2':0.0},(8,258):{'3_1':0.0},(8,257):{'3_1':0.03,'4_1':0.0},(8,256):{'3_1':0.03,'4_1':0.0},(8,255):{'3_1':0.03},(8,254):{'3_1':0.03},(8,253):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(8,252):{'3_1':0.06},(8,251):{'3_1':0.03},(8,250):{'3_1':0.03},(8,249):{'3_1':0.0},(8,248):{'3_1':0.03},(8,247):{'3_1':0.03,'4_1':0.0},(8,246):{'3_1':0.06},(8,245):{'3_1':0.0},(8,244):{'3_1':0.03},(8,243):{'3_1':0.03},(8,242):{'3_1':0.0},(8,241):{'3_1':0.0},(8,240):{'3_1':0.03},(8,239):{'3_1':0.0},(8,238):{'3_1':0.0,'4_1':0.0},(8,237):{'3_1':0.06},(8,236):{'3_1':0.03},(8,235):{'3_1':0.03},(8,234):{'3_1':0.0},(8,233):{'3_1':0.03},(8,232):{'3_1':0.0},(8,231):{'3_1':0.03,'5_1':0.0},(8,230):{'3_1':0.03},(8,229):{'3_1':0.0},(8,228):{'3_1':0.03},(8,227):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(8,226):{'3_1':0.0},(8,225):{'3_1':0.0},(8,224):{'3_1':0.0},(8,223):{'3_1':0.0},(8,222):{'3_1':0.06,'5_2':0.0},(8,221):{'3_1':0.03},(8,220):{'3_1':0.03},(8,219):{'3_1':0.0},(8,218):{'3_1':0.0},(8,217):{'3_1':0.0},(8,216):{'3_1':0.03},(8,215):{'3_1':0.0,'4_1':0.0},(8,214):{'3_1':0.0},(8,213):{'3_1':0.0},(8,212):{'3_1':0.03},(8,211):{'3_1':0.0},(8,210):{'3_1':0.0},(8,209):{'3_1':0.0},(8,208):{'3_1':0.0,'5_1':0.0},(8,206):{'3_1':0.03,'5_2':0.0},(8,205):{'3_1':0.0,'5_1':0.0},(8,204):{'3_1':0.0},(8,203):{'3_1':0.0},(8,202):{'3_1':0.0,'5_1':0.0},(8,201):{'3_1':0.0},(8,200):{'3_1':0.0},(8,199):{'3_1':0.0,'4_1':0.0},(8,197):{'3_1':0.0,'5_1':0.0},(8,196):{'3_1':0.0},(8,195):{'3_1':0.0,'-3':0.0},(8,194):{'3_1':0.0},(8,193):{'3_1':0.0},(8,192):{'3_1':0.0},(8,191):{'3_1':0.0},(8,190):{'3_1':0.0},(8,189):{'3_1':0.0},(8,188):{'3_1':0.03},(8,187):{'3_1':0.03},(8,186):{'3_1':0.03},(8,184):{'3_1':0.0},(8,183):{'3_1':0.0},(8,180):{'3_1':0.0},(8,179):{'3_1':0.0,'4_1':0.0},(8,178):{'3_1':0.0},(8,177):{'3_1':0.0,'5_2':0.0},(8,176):{'3_1':0.0},(8,175):{'3_1':0.0},(8,174):{'3_1':0.03},(8,172):{'3_1':0.03},(8,171):{'3_1':0.0,'5_2':0.0},(8,170):{'3_1':0.0},(8,169):{'3_1':0.0,'4_1':0.0},(8,168):{'3_1':0.0},(8,166):{'3_1':0.0},(8,165):{'3_1':0.0},(8,164):{'3_1':0.0},(8,163):{'3_1':0.0},(8,162):{'3_1':0.0},(8,161):{'3_1':0.03},(8,160):{'3_1':0.0},(8,159):{'3_1':0.0},(8,158):{'3_1':0.0,'4_1':0.0},(8,155):{'3_1':0.0},(8,153):{'3_1':0.0,'4_1':0.0},(8,152):{'3_1':0.0},(8,151):{'3_1':0.0},(8,150):{'3_1':0.0},(8,149):{'3_1':0.0},(8,148):{'3_1':0.0},(8,147):{'3_1':0.0},(8,146):{'3_1':0.0,'5_2':0.0},(8,145):{'3_1':0.0},(8,144):{'3_1':0.0},(8,143):{'3_1':0.0},(8,142):{'3_1':0.0},(8,141):{'3_1':0.0},(8,139):{'3_1':0.0},(8,138):{'4_1':0.0},(8,137):{'3_1':0.0,'4_1':0.0},(8,136):{'3_1':0.0},(8,135):{'4_1':0.0},(8,134):{'3_1':0.0},(8,133):{'3_1':0.0},(8,132):{'3_1':0.0},(8,131):{'3_1':0.0},(8,130):{'4_1':0.0},(8,129):{'3_1':0.0},(8,128):{'3_1':0.03},(8,127):{'3_1':0.0},(8,126):{'3_1':0.0},(8,125):{'3_1':0.0},(8,124):{'5_1':0.0},(8,115):{'3_1':0.0},(8,114):{'3_1':0.0},(8,113):{'3_1':0.0,'7_2':0.0},(8,112):{'3_1':0.0},(8,110):{'3_1':0.0},(8,109):{'3_1':0.0},(8,107):{'3_1':0.0},(8,106):{'3_1':0.0},(8,104):{'3_1':0.0},(8,100):{'3_1':0.0},(8,99):{'3_1':0.0},(8,98):{'3_1':0.0},(8,93):{'3_1':0.0},(8,90):{'3_1':0.0},(8,89):{'3_1':0.0},(8,88):{'3_1':0.0},(8,81):{'3_1':0.0},(8,77):{'3_1':0.0},(8,75):{'3_1':0.0},(8,74):{'3_1':0.0},(8,73):{'3_1':0.0},(8,72):{'3_1':0.0,'4_1':0.0},(8,69):{'4_1':0.0},(8,66):{'4_1':0.0},(8,62):{'3_1':0.0},(9,727):{'5_2':0.66,'-3':0.09,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_5':0.0},(9,726):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(9,725):{'5_2':0.6,'-3':0.06,'3_1':0.06,'3_1#5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_5':0.0},(9,724):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(9,723):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(9,722):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(9,721):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'3_1#5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'2':-0.03},(9,720):{'5_2':0.63,'-3':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(9,719):{'5_2':0.72,'-3':0.06,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(9,718):{'5_2':0.69,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0},(9,717):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(9,716):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0},(9,715):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_2':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_3':0.0},(9,714):{'5_2':0.6,'7_4':0.03,'3_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0},(9,713):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'7_1':0.0,'8_10':0.0,'3_1#5_2':0.0},(9,712):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(9,711):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_2':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(9,710):{'5_2':0.48,'-3':0.12,'7_5':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(9,709):{'5_2':0.51,'-3':0.15,'5_1':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(9,708):{'5_2':0.6,'5_1':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(9,707):{'5_2':0.66,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(9,706):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(9,705):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(9,704):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0},(9,703):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(9,702):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0},(9,701):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(9,700):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'3_1#5_2':0.0},(9,699):{'5_2':0.45,'3_1':0.12,'-3':0.06,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(9,698):{'5_2':0.54,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(9,697):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_14':0.0,'1':-0.03},(9,696):{'5_2':0.48,'3_1':0.09,'-3':0.09,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_1':0.0},(9,695):{'5_2':0.54,'3_1':0.12,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'6_1':0.0,'6_3':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(9,694):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(9,693):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(9,692):{'5_2':0.42,'3_1':0.12,'-3':0.09,'8_20|3_1#3_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_15':0.0},(9,691):{'5_2':0.51,'3_1':0.15,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_13':0.0},(9,690):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(9,689):{'5_2':0.3,'3_1':0.3,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_1':0.0},(9,688):{'5_2':0.36,'3_1':0.3,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(9,687):{'5_2':0.36,'3_1':0.21,'7_3':0.09,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(9,686):{'5_2':0.33,'3_1':0.27,'7_3':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(9,685):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(9,684):{'3_1':0.33,'5_2':0.3,'7_4':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'7_2':0.0,'8_13':0.0},(9,683):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(9,682):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(9,681):{'3_1':0.51,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(9,680):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(9,679):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(9,678):{'3_1':0.48,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0,'8_15':0.0},(9,677):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0},(9,676):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(9,675):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(9,674):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(9,673):{'3_1':0.6,'5_2':0.09,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(9,672):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(9,671):{'3_1':0.63,'5_2':0.12,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(9,670):{'3_1':0.6,'5_2':0.12,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(9,669):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(9,668):{'3_1':0.66,'5_2':0.09,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0},(9,667):{'3_1':0.63,'5_2':0.15,'5_1':0.03,'7_4':0.03,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(9,666):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(9,665):{'3_1':0.69,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0},(9,664):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0},(9,663):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0},(9,662):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(9,661):{'3_1':0.66,'5_2':0.09,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,660):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(9,659):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(9,658):{'3_1':0.54,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(9,657):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(9,656):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0,'1':-0.03},(9,655):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0},(9,654):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(9,653):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(9,652):{'3_1':0.45,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_8':0.0,'-3':0.0},(9,651):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(9,650):{'3_1':0.54,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_6':0.0,'8_19':0.0},(9,649):{'3_1':0.36,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(9,648):{'3_1':0.42,'5_2':0.12,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(9,647):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0,'3_1#5_1':0.0},(9,646):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,645):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(9,644):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(9,643):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,642):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_1':0.0,'8_16':0.0},(9,641):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(9,640):{'3_1':0.3,'5_2':0.12,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(9,639):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_15':0.0},(9,638):{'3_1':0.24,'5_2':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_7':0.0},(9,637):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0},(9,636):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_13':0.0,'-3':0.0},(9,635):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_8':0.0},(9,634):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(9,633):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(9,632):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(9,631):{'5_2':0.12,'3_1':0.09,'7_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(9,630):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(9,629):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(9,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(9,627):{'5_2':0.09,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_8':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(9,626):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'8_15':0.0},(9,625):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(9,624):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0,'-3':0.0},(9,623):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_8':0.0,'-3':0.0},(9,622):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,621):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(9,620):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_10':0.0},(9,619):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(9,618):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(9,617):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(9,616):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(9,615):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(9,614):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(9,613):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(9,612):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_7':0.0,'8_19':0.0},(9,611):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_15':0.0,'8_16':0.0,'-3':0.0},(9,610):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0},(9,609):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,608):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(9,607):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,606):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(9,605):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(9,604):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(9,603):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(9,602):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(9,601):{'4_1':0.12,'3_1':0.06,'7_7':0.0,'5_1':0.0,'5_2':0.0},(9,600):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(9,599):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(9,598):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(9,597):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(9,596):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(9,595):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(9,594):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(9,593):{'4_1':0.12,'3_1':0.06,'7_6':0.03,'6_1':0.0,'5_2':0.0,'6_3':0.0},(9,592):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0},(9,591):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_7':0.0,'7_5':0.0},(9,590):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(9,589):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(9,588):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_5':0.0,'6_1':0.0},(9,587):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(9,586):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(9,585):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(9,584):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(9,583):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_14':0.0},(9,582):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(9,581):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(9,580):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(9,579):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(9,578):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(9,577):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(9,576):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(9,575):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0},(9,574):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'7_6':0.0,'7_1':0.0},(9,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(9,572):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(9,571):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'8_13':0.0},(9,570):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(9,569):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(9,568):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(9,567):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(9,566):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(9,565):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'7_7':0.0,'5_1':0.0},(9,564):{'4_1':0.12,'3_1':0.03,'5_1':0.0},(9,563):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(9,562):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(9,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(9,560):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(9,559):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(9,558):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(9,557):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(9,556):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(9,555):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(9,554):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(9,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,551):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(9,549):{'3_1':0.09,'5_2':0.0},(9,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(9,547):{'3_1':0.15,'5_2':0.0},(9,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(9,545):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_1':0.0},(9,544):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,543):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(9,542):{'3_1':0.21,'5_2':0.0,'6_2':0.0},(9,541):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(9,540):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_4':0.0},(9,539):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_3':0.0},(9,538):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(9,537):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_1':0.0},(9,536):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(9,535):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(9,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(9,533):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(9,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(9,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(9,529):{'3_1':0.21,'4_1':0.0},(9,528):{'3_1':0.09},(9,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(9,526):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(9,525):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(9,524):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(9,523):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(9,522):{'3_1':0.0,'8_20|3_1#3_1':0.0},(9,521):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(9,520):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(9,519):{'3_1':0.03},(9,518):{'3_1':0.03},(9,517):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(9,516):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(9,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,514):{'3_1':0.06},(9,513):{'3_1':0.0,'4_1':0.0},(9,512):{'3_1':0.03,'4_1':0.0},(9,511):{'3_1':0.09},(9,510):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(9,509):{'3_1':0.03,'4_1':0.0},(9,508):{'3_1':0.03},(9,507):{'3_1':0.03,'4_1':0.0},(9,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,505):{'3_1':0.0},(9,504):{'3_1':0.03,'4_1':0.0},(9,503):{'3_1':0.03,'5_1':0.0},(9,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_3':0.0},(9,501):{'3_1':0.0},(9,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,499):{'3_1':0.06,'4_1':0.0},(9,498):{'3_1':0.0,'4_1':0.0},(9,497):{'3_1':0.03,'4_1':0.0},(9,496):{'3_1':0.03,'7_2':0.0},(9,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,494):{'3_1':0.12,'4_1':0.0},(9,493):{'3_1':0.06,'4_1':0.0},(9,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(9,490):{'3_1':0.06,'4_1':0.03},(9,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(9,487):{'3_1':0.0,'4_1':0.0},(9,486):{'3_1':0.06},(9,485):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(9,484):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(9,483):{'3_1':0.06,'5_2':0.0},(9,482):{'3_1':0.06,'5_2':0.0},(9,481):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(9,480):{'3_1':0.09,'5_1':0.0},(9,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,477):{'3_1':0.03},(9,476):{'3_1':0.06,'4_1':0.0},(9,475):{'3_1':0.09,'5_2':0.0},(9,474):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(9,473):{'3_1':0.06,'5_2':0.0},(9,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(9,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,469):{'3_1':0.0},(9,468):{'3_1':0.03,'5_2':0.0},(9,467):{'3_1':0.06},(9,466):{'3_1':0.03},(9,465):{'3_1':0.03,'5_2':0.0},(9,464):{'3_1':0.06},(9,463):{'3_1':0.03,'4_1':0.0},(9,462):{'3_1':0.03,'5_1':0.0},(9,461):{'3_1':0.06,'4_1':0.0},(9,460):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(9,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,458):{'3_1':0.03,'4_1':0.0},(9,457):{'3_1':0.03,'4_1':0.0},(9,456):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(9,455):{'3_1':0.06},(9,454):{'3_1':0.06,'4_1':0.0},(9,453):{'3_1':0.0,'5_2':0.0},(9,452):{'3_1':0.06,'5_1':0.0},(9,451):{'3_1':0.03},(9,450):{'3_1':0.03},(9,449):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(9,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,446):{'3_1':0.03,'5_1':0.0},(9,445):{'3_1':0.06},(9,444):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,443):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(9,442):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(9,441):{'3_1':0.0,'4_1':0.0},(9,440):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(9,439):{'3_1':0.12},(9,438):{'3_1':0.03},(9,437):{'3_1':0.06,'4_1':0.0},(9,436):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,434):{'3_1':0.03,'4_1':0.0},(9,433):{'3_1':0.0,'4_1':0.0},(9,432):{'3_1':0.06,'-3':0.0},(9,431):{'3_1':0.06,'4_1':0.0},(9,430):{'3_1':0.0,'4_1':0.0},(9,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,428):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,427):{'3_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0},(9,426):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(9,425):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(9,424):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0},(9,423):{'3_1':0.09,'4_1':0.0},(9,422):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(9,421):{'3_1':0.03},(9,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(9,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(9,418):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(9,417):{'3_1':0.06,'3_1#5_2':0.0},(9,416):{'3_1':0.03},(9,415):{'3_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(9,414):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(9,413):{'3_1':0.03,'7_2':0.0,'5_1':0.0},(9,412):{'3_1':0.06},(9,411):{'3_1':0.0,'7_2':0.0},(9,410):{'3_1':0.03,'7_2':0.0},(9,409):{'3_1':0.03},(9,408):{'3_1':0.0},(9,407):{'3_1':0.03},(9,406):{'3_1':0.0},(9,405):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,404):{'3_1':0.0},(9,403):{'3_1':0.0,'4_1':0.0,'-3':0.0},(9,402):{'3_1':0.0,'4_1':0.0},(9,401):{'3_1':0.0},(9,400):{'3_1':0.03},(9,399):{'3_1':0.06},(9,398):{'3_1':0.06},(9,397):{'3_1':0.0},(9,396):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(9,395):{'3_1':0.03},(9,394):{'3_1':0.0},(9,393):{'3_1':0.03},(9,392):{'3_1':0.0},(9,391):{'3_1':0.03,'4_1':0.0},(9,390):{'3_1':0.0},(9,389):{'3_1':0.0,'5_2':0.0},(9,388):{'3_1':0.0,'4_1':0.0},(9,387):{'3_1':0.0,'7_3':0.0},(9,386):{'3_1':0.03,'4_1':0.0},(9,385):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(9,384):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,383):{'3_1':0.0},(9,382):{'3_1':0.06,'5_2':0.0},(9,381):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(9,380):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,379):{'3_1':0.03},(9,378):{'3_1':0.03,'5_1':0.0},(9,377):{'3_1':0.03,'4_1':0.0},(9,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,375):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,374):{'3_1':0.0},(9,373):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(9,372):{'3_1':0.03},(9,371):{'3_1':0.03,'5_1':0.0},(9,370):{'3_1':0.03,'4_1':0.0},(9,369):{'3_1':0.03,'5_2':0.0},(9,368):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(9,367):{'3_1':0.03,'4_1':0.0},(9,366):{'3_1':0.03,'4_1':0.0},(9,365):{'3_1':0.0,'5_2':0.0},(9,364):{'3_1':0.0,'4_1':0.0},(9,363):{'3_1':0.06,'5_2':0.0},(9,362):{'3_1':0.03},(9,361):{'3_1':0.0,'5_2':0.0},(9,360):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(9,359):{'3_1':0.03},(9,358):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(9,357):{'3_1':0.0,'5_2':0.0},(9,356):{'3_1':0.03,'5_1':0.0},(9,355):{'3_1':0.03},(9,354):{'3_1':0.03,'7_1':0.0},(9,353):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,352):{'3_1':0.03,'4_1':0.0},(9,351):{'3_1':0.03},(9,350):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(9,349):{'3_1':0.03},(9,348):{'3_1':0.0},(9,347):{'3_1':0.03},(9,346):{'3_1':0.0},(9,345):{'3_1':0.03},(9,344):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(9,343):{'3_1':0.06},(9,342):{'3_1':0.0,'4_1':0.0},(9,341):{'3_1':0.03},(9,340):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,339):{'3_1':0.0,'4_1':0.0},(9,338):{'3_1':0.0,'5_1':0.0},(9,337):{'3_1':0.0},(9,336):{'3_1':0.03,'5_2':0.0,'9_1':0.0},(9,335):{'3_1':0.03},(9,334):{'3_1':0.0,'5_1':0.0},(9,333):{'3_1':0.06},(9,332):{'3_1':0.03,'-3':0.0},(9,331):{'3_1':0.03,'5_2':0.0},(9,330):{'3_1':0.03},(9,329):{'3_1':0.0,'5_2':0.0},(9,328):{'3_1':0.0,'4_1':0.0},(9,327):{'3_1':0.03},(9,326):{'3_1':0.0,'5_2':0.0},(9,325):{'3_1':0.0},(9,324):{'3_1':0.03},(9,323):{'3_1':0.03},(9,322):{'3_1':0.0,'5_1':0.0},(9,321):{'3_1':0.03,'4_1':0.0},(9,320):{'3_1':0.0,'5_2':0.0},(9,319):{'3_1':0.03},(9,318):{'3_1':0.06},(9,317):{'3_1':0.0},(9,316):{'3_1':0.03},(9,315):{'3_1':0.0},(9,314):{'3_1':0.0,'4_1':0.0},(9,313):{'3_1':0.03},(9,312):{'3_1':0.0,'4_1':0.0},(9,311):{'3_1':0.0},(9,310):{'3_1':0.09},(9,309):{'3_1':0.06,'5_2':0.0},(9,308):{'3_1':0.03,'5_2':0.0},(9,307):{'3_1':0.03},(9,306):{'3_1':0.03},(9,305):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(9,304):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(9,303):{'3_1':0.03,'5_2':0.0},(9,302):{'3_1':0.03,'7_3':0.0},(9,301):{'3_1':0.0},(9,300):{'3_1':0.0},(9,299):{'3_1':0.03,'5_2':0.0},(9,298):{'3_1':0.03},(9,297):{'3_1':0.03},(9,296):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,295):{'3_1':0.0},(9,294):{'3_1':0.0,'5_2':0.0},(9,293):{'3_1':0.06},(9,292):{'3_1':0.03},(9,291):{'3_1':0.03},(9,290):{'3_1':0.03,'5_2':0.0},(9,289):{'3_1':0.0},(9,288):{'3_1':0.03,'5_2':0.0},(9,287):{'3_1':0.03},(9,286):{'3_1':0.03,'5_2':0.0},(9,284):{'3_1':0.03},(9,283):{'3_1':0.0},(9,282):{'3_1':0.06},(9,281):{'3_1':0.03},(9,280):{'3_1':0.03,'4_1':0.0},(9,279):{'3_1':0.0},(9,278):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(9,277):{'3_1':0.0,'5_1':0.0},(9,276):{'3_1':0.06},(9,275):{'3_1':0.0},(9,274):{'3_1':0.0},(9,273):{'3_1':0.0},(9,272):{'3_1':0.0},(9,271):{'3_1':0.03},(9,270):{'3_1':0.03},(9,269):{'3_1':0.0,'5_2':0.0},(9,268):{'3_1':0.06},(9,267):{'3_1':0.0,'5_1':0.0},(9,266):{'3_1':0.0,'5_1':0.0},(9,265):{'3_1':0.06,'5_1':0.0},(9,264):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,263):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(9,262):{'3_1':0.0},(9,261):{'3_1':0.03,'5_2':0.0},(9,260):{'3_1':0.0,'5_2':0.0},(9,259):{'3_1':0.06},(9,258):{'3_1':0.0},(9,257):{'3_1':0.0,'7_1':0.0},(9,256):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(9,255):{'3_1':0.0},(9,254):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(9,253):{'3_1':0.0,'4_1':0.0},(9,252):{'3_1':0.0,'5_2':0.0},(9,251):{'3_1':0.03},(9,250):{'3_1':0.0},(9,249):{'3_1':0.03},(9,248):{'3_1':0.06,'4_1':0.0},(9,247):{'3_1':0.03,'5_2':0.0},(9,246):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(9,245):{'3_1':0.03,'5_2':0.0},(9,244):{'3_1':0.03},(9,242):{'3_1':0.0,'5_2':0.0},(9,241):{'3_1':0.03,'4_1':0.0},(9,240):{'3_1':0.03},(9,239):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,238):{'3_1':0.0,'4_1':0.0},(9,237):{'3_1':0.03},(9,236):{'3_1':0.0,'4_1':0.0},(9,235):{'3_1':0.03},(9,234):{'3_1':0.0,'5_2':0.0},(9,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,232):{'3_1':0.06},(9,231):{'3_1':0.06,'5_1':0.0},(9,230):{'3_1':0.0},(9,229):{'3_1':0.0},(9,228):{'3_1':0.0},(9,227):{'3_1':0.03},(9,226):{'3_1':0.0,'5_2':0.0},(9,225):{'3_1':0.0},(9,223):{'3_1':0.0},(9,222):{'3_1':0.03},(9,221):{'3_1':0.0},(9,220):{'3_1':0.0,'4_1':0.0},(9,219):{'3_1':0.0},(9,218):{'3_1':0.0},(9,217):{'3_1':0.0},(9,216):{'3_1':0.03,'5_1':0.0},(9,215):{'3_1':0.0},(9,214):{'3_1':0.03},(9,213):{'3_1':0.0},(9,209):{'3_1':0.0},(9,208):{'3_1':0.0},(9,207):{'3_1':0.0},(9,206):{'3_1':0.0},(9,204):{'3_1':0.0},(9,203):{'3_1':0.0},(9,202):{'3_1':0.0},(9,201):{'3_1':0.0},(9,200):{'3_1':0.0},(9,199):{'3_1':0.0},(9,198):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(9,197):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,196):{'3_1':0.03},(9,194):{'3_1':0.0},(9,193):{'3_1':0.0},(9,192):{'3_1':0.0},(9,191):{'3_1':0.0,'5_1':0.0},(9,189):{'3_1':0.0},(9,188):{'3_1':0.0},(9,186):{'3_1':0.0},(9,185):{'3_1':0.0},(9,184):{'3_1':0.0},(9,183):{'3_1':0.0},(9,182):{'3_1':0.0},(9,181):{'3_1':0.0,'4_1':0.0},(9,180):{'3_1':0.0},(9,179):{'3_1':0.0},(9,178):{'3_1':0.0},(9,177):{'3_1':0.03,'5_2':0.0},(9,176):{'3_1':0.0},(9,175):{'3_1':0.0},(9,174):{'3_1':0.0},(9,173):{'4_1':0.0},(9,171):{'3_1':0.0,'5_2':0.0},(9,170):{'3_1':0.0},(9,169):{'3_1':0.0},(9,168):{'3_1':0.0},(9,167):{'3_1':0.0},(9,166):{'3_1':0.0},(9,165):{'3_1':0.0},(9,162):{'3_1':0.0},(9,161):{'3_1':0.0},(9,160):{'3_1':0.0},(9,159):{'3_1':0.0},(9,158):{'3_1':0.0},(9,157):{'3_1':0.0,'4_1':0.0},(9,156):{'3_1':0.0},(9,155):{'3_1':0.0},(9,154):{'3_1':0.0},(9,152):{'3_1':0.0,'4_1':0.0},(9,151):{'3_1':0.0},(9,150):{'3_1':0.0},(9,149):{'3_1':0.0},(9,148):{'3_1':0.0},(9,147):{'3_1':0.0},(9,146):{'3_1':0.03},(9,145):{'3_1':0.0},(9,142):{'3_1':0.03,'4_1':0.0},(9,141):{'4_1':0.0},(9,139):{'3_1':0.0},(9,137):{'3_1':0.0},(9,136):{'3_1':0.03},(9,135):{'3_1':0.0},(9,133):{'3_1':0.0},(9,131):{'3_1':0.0},(9,130):{'3_1':0.03},(9,129):{'3_1':0.0},(9,128):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(9,125):{'3_1':0.0},(9,124):{'5_1':0.0},(9,123):{'3_1':0.0},(9,116):{'3_1':0.0,'5_2':0.0},(9,115):{'3_1':0.0},(9,112):{'3_1':0.0},(9,110):{'3_1':0.0},(9,107):{'3_1':0.0},(9,101):{'3_1':0.0},(9,99):{'3_1':0.0,'5_2':0.0},(9,98):{'3_1':0.0},(9,96):{'3_1':0.0},(9,94):{'3_1':0.0},(9,92):{'3_1':0.0},(9,75):{'3_1':0.0},(9,72):{'4_1':0.0},(9,71):{'4_1':0.0},(9,70):{'3_1':0.0},(9,68):{'3_1':0.0},(9,67):{'3_1':0.0},(9,66):{'4_1':0.0},(9,59):{'3_1':0.0},(10,727):{'5_2':0.63,'-3':0.12,'7_4':0.03,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(10,726):{'5_2':0.69,'-3':0.12,'8_8':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_2':0.0},(10,725):{'5_2':0.63,'-3':0.12,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'8_8':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(10,724):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_8':0.0,'5_1':0.0,'7_6':0.0},(10,723):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(10,722):{'5_2':0.57,'-3':0.12,'7_4':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(10,721):{'5_2':0.6,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(10,720):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0},(10,719):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(10,718):{'5_2':0.63,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(10,717):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(10,716):{'5_2':0.72,'-3':0.06,'3_1#5_2':0.03,'7_5':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'5_1':0.0,'8_13':0.0},(10,715):{'5_2':0.6,'7_4':0.06,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(10,714):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(10,713):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(10,712):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,711):{'5_2':0.57,'3_1':0.09,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(10,710):{'5_2':0.57,'-3':0.09,'7_5':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_1':0.0,'1':-0.03},(10,709):{'5_2':0.54,'-3':0.15,'5_1':0.06,'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'1':-0.03},(10,708):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(10,707):{'5_2':0.54,'7_4':0.09,'-3':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_10':0.0},(10,706):{'5_2':0.45,'3_1':0.15,'-3':0.12,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0},(10,705):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(10,704):{'5_2':0.54,'-3':0.12,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(10,703):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_6':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(10,702):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0},(10,701):{'5_2':0.51,'-3':0.18,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(10,700):{'5_2':0.51,'3_1':0.15,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0},(10,699):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_6':0.0,'8_9':0.0,'8_14':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,698):{'5_2':0.42,'-3':0.12,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(10,697):{'5_2':0.42,'-3':0.09,'7_4':0.06,'3_1':0.06,'7_3':0.06,'5_1':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(10,696):{'5_2':0.42,'-3':0.18,'3_1':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(10,695):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0,'8_14':0.0},(10,694):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_11':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(10,693):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(10,692):{'5_2':0.42,'3_1':0.21,'-3':0.12,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(10,691):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0},(10,690):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(10,689):{'5_2':0.33,'3_1':0.24,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_16':0.0},(10,688):{'5_2':0.42,'3_1':0.21,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(10,687):{'5_2':0.36,'3_1':0.3,'-3':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(10,686):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(10,685):{'3_1':0.33,'5_2':0.33,'7_4':0.06,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(10,684):{'3_1':0.3,'5_2':0.27,'-3':0.12,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(10,683):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(10,682):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,681):{'3_1':0.51,'5_2':0.21,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0},(10,680):{'3_1':0.45,'5_2':0.15,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(10,679):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.03,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0},(10,678):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(10,677):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(10,676):{'3_1':0.57,'5_2':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(10,675):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(10,674):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(10,673):{'3_1':0.69,'5_2':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(10,672):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_15':0.0},(10,671):{'3_1':0.57,'5_2':0.09,'7_7':0.03,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(10,670):{'3_1':0.66,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0},(10,669):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(10,668):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(10,667):{'3_1':0.6,'5_2':0.18,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0},(10,666):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(10,665):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(10,664):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(10,663):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(10,662):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.03,'6_3':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(10,661):{'3_1':0.54,'5_2':0.15,'8_20|3_1#3_1':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(10,660):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_7':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,659):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(10,658):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(10,657):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(10,656):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(10,655):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(10,654):{'3_1':0.51,'5_2':0.15,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0},(10,653):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_7':0.0,'4_1':0.0,'-3':0.0},(10,652):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(10,651):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'6_3':0.0},(10,650):{'3_1':0.54,'5_2':0.09,'5_1':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(10,649):{'3_1':0.33,'5_2':0.21,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,648):{'3_1':0.33,'5_2':0.12,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0},(10,647):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(10,646):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(10,645):{'3_1':0.39,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0},(10,644):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(10,643):{'5_2':0.21,'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(10,642):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'9_1':0.0},(10,641):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(10,640):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(10,639):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(10,638):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(10,637):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_19':0.0,'7_3':0.0,'8_15':0.0,'-3':0.0},(10,636):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(10,635):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(10,634):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'8_11':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(10,633):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(10,632):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'3_1#5_2':0.0},(10,631):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(10,630):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(10,629):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0},(10,628):{'3_1':0.15,'5_2':0.12,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(10,627):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'-3':0.0},(10,626):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0},(10,625):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'7_3':0.0,'7_7':0.0,'7_6':0.0,'8_15':0.0},(10,624):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(10,623):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0},(10,622):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0},(10,621):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0},(10,620):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(10,619):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(10,618):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(10,617):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(10,616):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(10,615):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(10,614):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_7':0.0},(10,613):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(10,612):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(10,611):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(10,610):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(10,609):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0},(10,608):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_1':0.0},(10,607):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(10,606):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(10,605):{'3_1':0.18,'4_1':0.09,'7_7':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(10,604):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_8':0.0},(10,603):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0},(10,602):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0},(10,601):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(10,600):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(10,599):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(10,598):{'4_1':0.12,'3_1':0.12,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(10,597):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(10,596):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(10,595):{'4_1':0.15,'3_1':0.03,'6_2':0.0},(10,594):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_2':0.0},(10,593):{'4_1':0.12,'3_1':0.09,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(10,592):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0,'8_9':0.0,'-3':0.0},(10,591):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(10,590):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(10,589):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(10,588):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_9':0.0,'8_11':0.0},(10,587):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(10,586):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(10,585):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'8_1':0.0},(10,584):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(10,583):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(10,582):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(10,581):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(10,580):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(10,579):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(10,578):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(10,577):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(10,576):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(10,575):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(10,574):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(10,573):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_5':0.0},(10,572):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(10,571):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.0,'3_1#5_2':0.0},(10,570):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(10,569):{'4_1':0.09,'3_1':0.03,'8_11':0.0,'8_20|3_1#3_1':0.0},(10,568):{'3_1':0.09,'4_1':0.06,'-3':0.0,'7_7':0.0},(10,567):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(10,566):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(10,565):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(10,564):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(10,563):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(10,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(10,561):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(10,560):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_6':0.0},(10,559):{'4_1':0.09,'3_1':0.06,'6_2':0.0},(10,558):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(10,557):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(10,556):{'3_1':0.09,'4_1':0.06},(10,555):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(10,554):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(10,553):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(10,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(10,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(10,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(10,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(10,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(10,547):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(10,546):{'3_1':0.12,'4_1':0.03},(10,545):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(10,544):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(10,543):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0},(10,542):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(10,541):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(10,540):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(10,539):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(10,538):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(10,537):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(10,536):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,535):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(10,534):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(10,533):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(10,532):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(10,531):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(10,530):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'-3':0.0},(10,529):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(10,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(10,527):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(10,526):{'3_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_4':0.0},(10,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(10,524):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(10,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(10,522):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(10,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_7':0.0},(10,520):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(10,519):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(10,518):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(10,517):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(10,516):{'3_1':0.0},(10,515):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(10,514):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,513):{'3_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0},(10,512):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(10,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,510):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(10,509):{'3_1':0.0},(10,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,507):{'3_1':0.06},(10,506):{'3_1':0.03,'4_1':0.0},(10,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,503):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(10,502):{'3_1':0.03,'4_1':0.0},(10,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,500):{'3_1':0.0},(10,498):{'3_1':0.03,'5_2':0.0},(10,497):{'3_1':0.06},(10,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,495):{'3_1':0.0,'5_2':0.0},(10,494):{'3_1':0.03,'4_1':0.03},(10,493):{'3_1':0.06},(10,492):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(10,491):{'3_1':0.06,'4_1':0.03,'7_2':0.0,'5_2':0.0},(10,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,489):{'3_1':0.03,'4_1':0.0},(10,488):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,487):{'3_1':0.03,'4_1':0.0},(10,486):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,485):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0},(10,484):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(10,483):{'3_1':0.09,'4_1':0.0},(10,482):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(10,481):{'3_1':0.03},(10,480):{'3_1':0.06,'4_1':0.0},(10,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,478):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0},(10,477):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(10,476):{'3_1':0.09,'5_1':0.0},(10,475):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(10,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,473):{'3_1':0.03,'5_1':0.0,'-3':0.0},(10,472):{'3_1':0.09,'6_1':0.0},(10,471):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(10,470):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(10,469):{'3_1':0.03,'5_2':0.0},(10,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,467):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,466):{'3_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(10,465):{'3_1':0.03,'4_1':0.0},(10,464):{'4_1':0.0},(10,463):{'3_1':0.03,'7_4':0.0},(10,462):{'3_1':0.0,'4_1':0.0},(10,461):{'3_1':0.06,'5_2':0.0},(10,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,459):{'3_1':0.03,'7_2':0.0,'4_1':0.0},(10,458):{'3_1':0.0},(10,457):{'3_1':0.03,'4_1':0.0},(10,456):{'3_1':0.0,'-3':0.0},(10,455):{'3_1':0.0,'4_1':0.0},(10,454):{'3_1':0.03,'4_1':0.0},(10,453):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(10,452):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(10,451):{'3_1':0.03},(10,450):{'3_1':0.03},(10,449):{'3_1':0.03,'4_1':0.0},(10,448):{'3_1':0.0,'4_1':0.0},(10,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,446):{'3_1':0.03,'4_1':0.0},(10,445):{'5_2':0.0,'3_1':0.0},(10,444):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(10,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,442):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(10,441):{'3_1':0.06,'5_1':0.0},(10,440):{'3_1':0.06},(10,439):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(10,438):{'3_1':0.06,'5_1':0.0},(10,437):{'3_1':0.0,'5_2':0.0},(10,436):{'3_1':0.03,'5_1':0.0},(10,435):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(10,434):{'3_1':0.03,'5_2':0.03},(10,433):{'3_1':0.0,'5_2':0.0},(10,432):{'3_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(10,431):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,430):{'3_1':0.0},(10,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(10,428):{'3_1':0.06,'4_1':0.0},(10,427):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,426):{'3_1':0.06,'4_1':0.0},(10,425):{'3_1':0.09,'5_1':0.0},(10,424):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(10,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(10,422):{'3_1':0.03,'5_2':0.0},(10,421):{'3_1':0.06,'5_2':0.0},(10,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(10,419):{'3_1':0.03,'5_1':0.0},(10,418):{'3_1':0.03,'5_2':0.0},(10,417):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(10,416):{'3_1':0.0,'4_1':0.0},(10,415):{'3_1':0.03,'5_1':0.0},(10,414):{'3_1':0.06,'5_2':0.0},(10,413):{'3_1':0.0,'5_2':0.0},(10,412):{'3_1':0.0},(10,411):{'3_1':0.06,'5_2':0.0,'7_4':0.0},(10,410):{'3_1':0.0,'6_1':0.0},(10,409):{'3_1':0.03},(10,408):{'3_1':0.0},(10,407):{'3_1':0.03,'6_1':0.0},(10,406):{'3_1':0.03},(10,405):{'3_1':0.0,'4_1':0.0},(10,404):{'3_1':0.0,'5_2':0.0},(10,403):{'3_1':0.03,'4_1':0.0},(10,402):{'3_1':0.06},(10,401):{'3_1':0.0,'6_1':0.0,'5_1':0.0},(10,400):{'3_1':0.03},(10,399):{'3_1':0.03},(10,398):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(10,397):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(10,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(10,395):{'3_1':0.0,'6_3':0.0},(10,394):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,393):{'3_1':0.0,'5_2':0.0},(10,392):{'3_1':0.03},(10,391):{'3_1':0.06,'5_2':0.0},(10,390):{'3_1':0.03,'5_2':0.0},(10,389):{'3_1':0.0},(10,388):{'3_1':0.0,'5_2':0.0},(10,387):{'3_1':0.06,'5_2':0.0},(10,386):{'3_1':0.03,'5_2':0.0},(10,385):{'3_1':0.0,'5_2':0.0},(10,384):{'3_1':0.03},(10,383):{'3_1':0.06,'4_1':0.0},(10,382):{'3_1':0.0,'5_2':0.0},(10,381):{'3_1':0.03},(10,380):{'3_1':0.0,'5_2':0.0},(10,379):{'3_1':0.03,'5_2':0.0},(10,378):{'3_1':0.03,'5_2':0.0},(10,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,376):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(10,375):{'3_1':0.03},(10,374):{'3_1':0.0,'5_2':0.0},(10,373):{'3_1':0.03,'4_1':0.0},(10,372):{'3_1':0.0,'5_1':0.0},(10,371):{'5_1':0.0},(10,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,368):{'3_1':0.03,'7_1':0.0},(10,367):{'3_1':0.03,'5_1':0.0},(10,366):{'3_1':0.03,'5_2':0.0},(10,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,364):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(10,363):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,362):{'3_1':0.0,'5_2':0.0,'8_4':0.0},(10,361):{'3_1':0.0},(10,360):{'3_1':0.06},(10,359):{'3_1':0.0,'5_2':0.0},(10,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,357):{'3_1':0.0},(10,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,355):{'3_1':0.0},(10,354):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(10,353):{'3_1':0.0,'5_1':0.0},(10,352):{'3_1':0.03},(10,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,350):{'3_1':0.06,'5_2':0.0},(10,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,348):{'3_1':0.0,'7_4':0.0},(10,347):{'3_1':0.06,'4_1':0.0},(10,346):{'3_1':0.09,'5_1':0.0},(10,345):{'3_1':0.0},(10,344):{'3_1':0.0,'4_1':0.0},(10,343):{'3_1':0.06,'4_1':0.0},(10,342):{'3_1':0.0,'5_1':0.0},(10,341):{'3_1':0.06},(10,340):{'3_1':0.03,'7_1':0.0,'-3':0.0},(10,339):{'3_1':0.0,'6_1':0.0},(10,338):{'3_1':0.0,'5_1':0.0},(10,337):{'5_2':0.0,'3_1':0.0},(10,336):{'3_1':0.03},(10,335):{'3_1':0.03},(10,334):{'3_1':0.03,'5_2':0.0},(10,333):{'3_1':0.03},(10,332):{'3_1':0.0},(10,331):{'3_1':0.0,'4_1':0.0,'-3':0.0},(10,330):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,329):{'3_1':0.03,'5_2':0.0},(10,328):{'3_1':0.0,'5_2':0.0},(10,327):{'3_1':0.0,'7_1':0.0},(10,326):{'3_1':0.03,'5_2':0.0},(10,325):{'3_1':0.0,'9_1':0.0},(10,324):{'3_1':0.0},(10,323):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,322):{'3_1':0.03,'5_1':0.0},(10,321):{'3_1':0.0,'4_1':0.0},(10,320):{'3_1':0.0},(10,319):{'3_1':0.06,'5_2':0.0},(10,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(10,317):{'3_1':0.03},(10,316):{'3_1':0.03},(10,315):{'3_1':0.0},(10,314):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,313):{'3_1':0.0,'4_1':0.0},(10,312):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(10,311):{'3_1':0.0},(10,310):{'3_1':0.0,'5_2':0.0},(10,309):{'3_1':0.0,'5_2':0.0},(10,308):{'3_1':0.0,'5_2':0.0},(10,307):{'3_1':0.0,'5_2':0.0},(10,306):{'3_1':0.03,'4_1':0.0},(10,305):{'3_1':0.03},(10,304):{'3_1':0.06},(10,303):{'3_1':0.0},(10,302):{'3_1':0.0},(10,301):{'3_1':0.0,'4_1':0.0},(10,300):{'3_1':0.0},(10,299):{'3_1':0.06,'5_2':0.0},(10,298):{'3_1':0.0,'5_1':0.0},(10,297):{'3_1':0.0},(10,296):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(10,295):{'3_1':0.03},(10,294):{'3_1':0.06},(10,293):{'3_1':0.0},(10,292):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(10,291):{'3_1':0.0,'5_2':0.0},(10,290):{'3_1':0.03},(10,289):{'3_1':0.03,'5_1':0.0},(10,288):{'3_1':0.0},(10,287):{'3_1':0.0},(10,286):{'3_1':0.03,'5_2':0.0},(10,285):{'3_1':0.03},(10,284):{'3_1':0.0},(10,283):{'3_1':0.0},(10,282):{'3_1':0.0},(10,281):{'3_1':0.03},(10,280):{'3_1':0.0},(10,279):{'3_1':0.0},(10,278):{'3_1':0.03},(10,277):{'3_1':0.03,'7_4':0.0},(10,276):{'3_1':0.06},(10,275):{'3_1':0.03},(10,274):{'3_1':0.03},(10,273):{'3_1':0.0},(10,272):{'3_1':0.03},(10,271):{'3_1':0.03},(10,270):{'3_1':0.03,'5_2':0.0},(10,269):{'3_1':0.0},(10,268):{'3_1':0.06},(10,267):{'3_1':0.03},(10,266):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(10,265):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,264):{'3_1':0.0},(10,263):{'3_1':0.0},(10,262):{'3_1':0.06},(10,261):{'3_1':0.03},(10,260):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,259):{'3_1':0.0},(10,258):{'3_1':0.0},(10,257):{'3_1':0.03},(10,256):{'3_1':0.03,'7_1':0.0},(10,255):{'3_1':0.03},(10,254):{'3_1':0.0},(10,253):{'5_1':0.0},(10,252):{'3_1':0.0},(10,251):{'3_1':0.03,'4_1':0.0},(10,250):{'3_1':0.0},(10,249):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(10,248):{'3_1':0.0},(10,247):{'3_1':0.03},(10,246):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(10,245):{'3_1':0.0,'5_2':0.0},(10,244):{'3_1':0.03},(10,243):{'3_1':0.0},(10,242):{'3_1':0.06},(10,241):{'3_1':0.0},(10,240):{'3_1':0.0},(10,239):{'3_1':0.0},(10,238):{'4_1':0.0,'3_1':0.0},(10,237):{'3_1':0.06},(10,236):{'3_1':0.0},(10,235):{'3_1':0.0},(10,234):{'3_1':0.03},(10,233):{'3_1':0.03},(10,232):{'3_1':0.03},(10,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(10,230):{'4_1':0.0,'5_2':0.0},(10,229):{'3_1':0.0,'4_1':0.0},(10,228):{'3_1':0.0},(10,227):{'3_1':0.06,'5_2':0.0},(10,225):{'3_1':0.0},(10,224):{'3_1':0.03,'4_1':0.0},(10,223):{'3_1':0.0},(10,222):{'3_1':0.0},(10,220):{'3_1':0.0},(10,219):{'3_1':0.0},(10,218):{'3_1':0.06},(10,217):{'3_1':0.0,'5_1':0.0},(10,216):{'3_1':0.0},(10,215):{'3_1':0.03},(10,214):{'3_1':0.0},(10,213):{'3_1':0.0},(10,212):{'3_1':0.03},(10,211):{'3_1':0.0},(10,210):{'3_1':0.0},(10,208):{'3_1':0.0},(10,207):{'4_1':0.0},(10,206):{'3_1':0.0},(10,205):{'5_1':0.0},(10,204):{'3_1':0.0},(10,202):{'3_1':0.0,'5_1':0.0},(10,201):{'3_1':0.0},(10,199):{'3_1':0.0},(10,198):{'3_1':0.0},(10,197):{'5_1':0.0},(10,196):{'3_1':0.0},(10,195):{'3_1':0.0},(10,194):{'3_1':0.0},(10,193):{'3_1':0.0},(10,192):{'3_1':0.06},(10,191):{'3_1':0.0},(10,190):{'3_1':0.03},(10,189):{'3_1':0.0},(10,188):{'3_1':0.0},(10,181):{'3_1':0.0,'4_1':0.0},(10,180):{'3_1':0.0,'4_1':0.0},(10,179):{'3_1':0.0},(10,178):{'3_1':0.0,'4_1':0.0},(10,177):{'3_1':0.0,'6_1':0.0},(10,176):{'3_1':0.0},(10,173):{'3_1':0.0},(10,171):{'3_1':0.0},(10,170):{'3_1':0.0},(10,168):{'3_1':0.0},(10,167):{'3_1':0.0},(10,166):{'3_1':0.0},(10,165):{'3_1':0.0,'4_1':0.0},(10,164):{'3_1':0.03},(10,163):{'3_1':0.0},(10,162):{'3_1':0.0},(10,161):{'3_1':0.0},(10,160):{'3_1':0.0,'4_1':0.0},(10,159):{'3_1':0.03},(10,158):{'3_1':0.03},(10,156):{'3_1':0.0},(10,154):{'3_1':0.0},(10,153):{'3_1':0.0},(10,152):{'3_1':0.0},(10,151):{'3_1':0.0},(10,150):{'3_1':0.0},(10,149):{'3_1':0.03},(10,148):{'3_1':0.0},(10,147):{'3_1':0.0},(10,146):{'3_1':0.0},(10,145):{'3_1':0.0},(10,143):{'3_1':0.0},(10,142):{'3_1':0.03},(10,140):{'3_1':0.0},(10,139):{'3_1':0.0},(10,138):{'3_1':0.03,'5_2':0.0},(10,137):{'3_1':0.0},(10,136):{'3_1':0.03},(10,134):{'3_1':0.0},(10,133):{'3_1':0.0},(10,131):{'3_1':0.0},(10,129):{'3_1':0.0},(10,128):{'3_1':0.0,'4_1':0.0},(10,126):{'3_1':0.0},(10,125):{'3_1':0.0},(10,123):{'3_1':0.0},(10,116):{'3_1':0.0},(10,115):{'3_1':0.0},(10,114):{'3_1':0.0},(10,110):{'3_1':0.0},(10,108):{'3_1':0.0},(10,107):{'3_1':0.03},(10,103):{'3_1':0.0},(10,102):{'3_1':0.0},(10,99):{'3_1':0.0,'5_2':0.0},(10,98):{'3_1':0.0},(10,81):{'3_1':0.0},(10,74):{'3_1':0.0},(10,66):{'3_1':0.0},(10,61):{'3_1':0.0},(10,59):{'3_1':0.0},(11,727):{'5_2':0.75,'-3':0.06,'3_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_13':0.0},(11,726):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0},(11,725):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0},(11,724):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'1':-0.03},(11,723):{'5_2':0.66,'-3':0.09,'3_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(11,722):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(11,721):{'5_2':0.63,'3_1':0.06,'-3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'8_13':0.0,'1':-0.03},(11,720):{'5_2':0.63,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(11,719):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(11,718):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(11,717):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(11,716):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(11,715):{'5_2':0.54,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_9':0.0,'8_13':0.0},(11,714):{'5_2':0.54,'-3':0.09,'8_20|3_1#3_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(11,713):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(11,712):{'5_2':0.54,'-3':0.15,'3_1':0.09,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(11,711):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0},(11,710):{'5_2':0.51,'-3':0.15,'7_5':0.09,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(11,709):{'5_2':0.6,'-3':0.12,'5_1':0.06,'3_1':0.03,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(11,708):{'5_2':0.66,'-3':0.09,'7_4':0.03,'5_1':0.0,'3_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(11,707):{'5_2':0.54,'-3':0.15,'7_4':0.06,'3_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(11,706):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'2':-0.03},(11,705):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_5':0.03,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(11,704):{'5_2':0.6,'3_1':0.12,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(11,703):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(11,702):{'5_2':0.51,'3_1':0.09,'7_4':0.03,'-3':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(11,701):{'5_2':0.51,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0},(11,700):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_5':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(11,699):{'5_2':0.48,'3_1':0.15,'-3':0.09,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(11,698):{'5_2':0.51,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0},(11,697):{'5_2':0.39,'-3':0.15,'3_1':0.15,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(11,696):{'5_2':0.42,'-3':0.15,'3_1':0.15,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(11,695):{'5_2':0.45,'3_1':0.21,'-3':0.06,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(11,694):{'5_2':0.45,'-3':0.18,'3_1':0.12,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'1':-0.03},(11,693):{'5_2':0.36,'3_1':0.21,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_13':0.0},(11,692):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0},(11,691):{'3_1':0.27,'5_2':0.27,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(11,690):{'5_2':0.48,'3_1':0.21,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(11,689):{'5_2':0.36,'3_1':0.24,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(11,688):{'5_2':0.42,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(11,687):{'5_2':0.33,'3_1':0.24,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(11,686):{'3_1':0.36,'5_2':0.27,'7_4':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0},(11,685):{'3_1':0.36,'5_2':0.3,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_13':0.0},(11,684):{'3_1':0.3,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_14':0.0},(11,683):{'3_1':0.33,'5_2':0.3,'-3':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(11,682):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(11,681):{'3_1':0.48,'5_2':0.21,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0},(11,680):{'3_1':0.45,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(11,679):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(11,678):{'3_1':0.45,'7_4':0.12,'5_2':0.12,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(11,677):{'3_1':0.54,'5_2':0.15,'7_4':0.09,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(11,676):{'3_1':0.51,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(11,675):{'3_1':0.6,'5_2':0.12,'5_1':0.06,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(11,674):{'3_1':0.6,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0},(11,673):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(11,672):{'3_1':0.63,'5_2':0.09,'-3':0.06,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(11,671):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(11,670):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_2':0.0,'8_8':0.0},(11,669):{'3_1':0.6,'5_2':0.09,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0},(11,668):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_4':0.0,'-3':0.0,'8_13':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(11,667):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_19':0.0},(11,666):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(11,665):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_13':0.0},(11,664):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(11,663):{'3_1':0.54,'5_2':0.21,'5_1':0.03,'-3':0.03,'7_7':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,662):{'3_1':0.6,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(11,661):{'3_1':0.57,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(11,660):{'3_1':0.63,'5_2':0.15,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(11,659):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(11,658):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(11,657):{'3_1':0.51,'5_2':0.18,'7_7':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(11,656):{'3_1':0.54,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(11,655):{'3_1':0.48,'5_2':0.24,'5_1':0.03,'7_7':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(11,654):{'3_1':0.66,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0},(11,653):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,652):{'3_1':0.48,'5_2':0.12,'5_1':0.09,'7_7':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,651):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0},(11,650):{'3_1':0.48,'5_2':0.09,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_1':0.0,'8_4':0.0},(11,649):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(11,648):{'3_1':0.45,'5_2':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(11,647):{'3_1':0.27,'5_2':0.27,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(11,646):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'1':-0.03},(11,645):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0},(11,644):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'8_19':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(11,643):{'3_1':0.33,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(11,642):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(11,641):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(11,640):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,639):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_7':0.0,'8_8':0.0,'8_19':0.0},(11,638):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(11,637):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0},(11,636):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(11,635):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,634):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(11,633):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(11,632):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(11,631):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(11,630):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'8_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(11,629):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(11,628):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(11,627):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(11,626):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(11,625):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(11,624):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(11,623):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(11,622):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_3':0.0},(11,621):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,620):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_3':0.0,'-3':0.0},(11,619):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(11,618):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(11,617):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,616):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(11,615):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,614):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(11,613):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(11,612):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(11,611):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(11,610):{'3_1':0.24,'4_1':0.06,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_15':0.0},(11,609):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(11,608):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'-3':0.0},(11,607):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(11,606):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(11,605):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(11,604):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(11,603):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(11,602):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0},(11,601):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(11,600):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(11,599):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(11,598):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0},(11,597):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(11,596):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(11,595):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_1':0.0},(11,594):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(11,593):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(11,592):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_6':0.0},(11,591):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(11,590):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'7_6':0.0},(11,589):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(11,588):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(11,587):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,586):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0,'8_19':0.0},(11,585):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(11,584):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(11,583):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(11,582):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'-3':0.0},(11,581):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'-3':0.0},(11,580):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(11,579):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(11,578):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(11,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(11,576):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(11,575):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0},(11,574):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(11,573):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(11,572):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(11,571):{'4_1':0.18,'5_2':0.0,'6_1':0.0,'3_1':0.0},(11,570):{'4_1':0.09,'3_1':0.0,'6_2':0.0,'8_3':0.0},(11,569):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(11,568):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(11,567):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(11,566):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0},(11,565):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0},(11,564):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(11,563):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_5':0.0},(11,562):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(11,561):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(11,560):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(11,559):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(11,558):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'6_1':0.0},(11,557):{'3_1':0.06,'4_1':0.06,'6_3':0.0,'7_7':0.0},(11,556):{'3_1':0.09,'4_1':0.03,'7_4':0.0},(11,555):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(11,554):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_3':0.0},(11,553):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(11,552):{'3_1':0.09,'4_1':0.0},(11,551):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0},(11,550):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(11,549):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_19':0.0},(11,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(11,547):{'3_1':0.12,'4_1':0.03},(11,546):{'3_1':0.12,'4_1':0.0},(11,545):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(11,544):{'3_1':0.06,'4_1':0.03},(11,543):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,542):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,541):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(11,540):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(11,539):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'8_3':0.0},(11,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,536):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(11,535):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(11,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(11,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_3':0.0},(11,532):{'3_1':0.18,'4_1':0.0},(11,531):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,530):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,529):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(11,528):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,527):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(11,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(11,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_3':0.0},(11,524):{'3_1':0.09,'4_1':0.03,'-3':0.0},(11,523):{'3_1':0.06,'4_1':0.0},(11,522):{'3_1':0.03,'5_2':0.0},(11,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,520):{'3_1':0.06,'4_1':0.0,'8_8':0.0},(11,519):{'3_1':0.06,'4_1':0.03,'7_4':0.0,'8_3':0.0},(11,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(11,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,516):{'3_1':0.03,'5_2':0.0},(11,515):{'3_1':0.0},(11,514):{'3_1':0.0},(11,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,511):{'3_1':0.06,'5_2':0.0},(11,510):{'3_1':0.03,'-3':0.0},(11,509):{'4_1':0.0,'5_2':0.0},(11,508):{'3_1':0.0,'4_1':0.0},(11,507):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(11,506):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(11,505):{'3_1':0.0,'4_1':0.0},(11,504):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(11,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,502):{'3_1':0.0,'4_1':0.0},(11,501):{'3_1':0.03},(11,500):{'3_1':0.03,'4_1':0.0},(11,499):{'3_1':0.03,'5_1':0.0},(11,498):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(11,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(11,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,495):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,494):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,493):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,492):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,491):{'3_1':0.06,'4_1':0.0,'-3':0.0},(11,490):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(11,489):{'3_1':0.06,'5_2':0.0},(11,488):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,487):{'3_1':0.09},(11,486):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_3':0.0},(11,485):{'3_1':0.06},(11,484):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(11,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,482):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_5':0.0},(11,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_19':0.0},(11,479):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(11,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(11,477):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,476):{'3_1':0.09},(11,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(11,473):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,472):{'3_1':0.12,'5_2':0.0},(11,471):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(11,470):{'3_1':0.06,'4_1':0.0},(11,469):{'3_1':0.03,'4_1':0.0},(11,468):{'3_1':0.03,'4_1':0.0},(11,467):{'3_1':0.03},(11,466):{'3_1':0.06},(11,465):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(11,464):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(11,463):{'3_1':0.0,'4_1':0.0},(11,462):{'3_1':0.0,'5_1':0.0},(11,461):{'3_1':0.03},(11,460):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_4':0.0},(11,459):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(11,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,457):{'3_1':0.0},(11,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,455):{'3_1':0.03,'4_1':0.0},(11,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,453):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,451):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(11,450):{'3_1':0.03,'4_1':0.0},(11,449):{'4_1':0.0},(11,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,447):{'3_1':0.0,'5_1':0.0},(11,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,445):{'3_1':0.0,'4_1':0.0},(11,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,443):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(11,442):{'3_1':0.06,'4_1':0.0},(11,441):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(11,440):{'3_1':0.09,'6_3':0.0},(11,439):{'3_1':0.0,'4_1':0.0},(11,438):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(11,437):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(11,436):{'3_1':0.03,'8_20|3_1#3_1':0.0},(11,435):{'3_1':0.03,'4_1':0.0},(11,434):{'3_1':0.0,'4_1':0.0},(11,433):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(11,432):{'3_1':0.0,'5_2':0.0},(11,431):{'3_1':0.03},(11,430):{'3_1':0.06,'5_2':0.0},(11,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,428):{'3_1':0.06,'4_1':0.0},(11,427):{'3_1':0.06},(11,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,425):{'3_1':0.03,'4_1':0.0},(11,424):{'3_1':0.03,'5_1':0.0},(11,423):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,422):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(11,421):{'3_1':0.03},(11,420):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(11,419):{'3_1':0.03},(11,418):{'3_1':0.03,'5_2':0.0},(11,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,416):{'3_1':0.0,'6_1':0.0,'7_2':0.0},(11,415):{'3_1':0.03,'8_20|3_1#3_1':0.0},(11,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,412):{'3_1':0.0,'-3':0.0},(11,411):{'3_1':0.03,'-3':0.0},(11,410):{'3_1':0.0,'4_1':0.0},(11,409):{'3_1':0.03},(11,408):{'3_1':0.0,'-3':0.0},(11,407):{'3_1':0.03,'5_2':0.0,'-3':0.0},(11,406):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(11,405):{'3_1':0.03,'4_1':0.0},(11,404):{'3_1':0.03},(11,403):{'3_1':0.0},(11,402):{'3_1':0.0,'4_1':0.0},(11,401):{'3_1':0.0,'4_1':0.0},(11,400):{'3_1':0.0},(11,399):{'3_1':0.03,'-3':0.0},(11,398):{'3_1':0.06,'-3':0.0},(11,397):{'3_1':0.03,'4_1':0.0},(11,396):{'3_1':0.03,'4_1':0.0},(11,395):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(11,394):{'3_1':0.0,'4_1':0.0},(11,393):{'3_1':0.03},(11,392):{'3_1':0.0},(11,391):{'3_1':0.0,'4_1':0.0},(11,390):{'3_1':0.0,'4_1':0.0},(11,389):{'3_1':0.03},(11,388):{'3_1':0.0,'5_2':0.0},(11,387):{'3_1':0.0,'7_2':0.0},(11,386):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(11,385):{'3_1':0.0},(11,384):{'3_1':0.0,'5_2':0.0},(11,383):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(11,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,380):{'3_1':0.06},(11,379):{'3_1':0.03},(11,378):{'3_1':0.09},(11,377):{'3_1':0.0,'4_1':0.0},(11,376):{'3_1':0.0,'4_1':0.0},(11,375):{'3_1':0.0,'5_2':0.0},(11,374):{'3_1':0.03,'5_1':0.0},(11,373):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,372):{'3_1':0.0},(11,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(11,370):{'3_1':0.03,'3_1#5_1':0.0},(11,369):{'3_1':0.03,'5_2':0.0},(11,368):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,367):{'3_1':0.03,'4_1':0.0},(11,366):{'3_1':0.0},(11,365):{'3_1':0.0,'5_2':0.0},(11,364):{'3_1':0.0,'5_2':0.0},(11,363):{'3_1':0.0},(11,362):{'3_1':0.0,'4_1':0.0},(11,361):{'3_1':0.03,'4_1':0.0},(11,360):{'3_1':0.03,'5_2':0.0},(11,359):{'3_1':0.0,'4_1':0.0},(11,358):{'3_1':0.06},(11,357):{'3_1':0.0,'6_2':0.0},(11,356):{'3_1':0.0},(11,354):{'3_1':0.0,'4_1':0.0},(11,353):{'3_1':0.03},(11,352):{'3_1':0.0,'4_1':0.0},(11,351):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(11,350):{'3_1':0.0,'4_1':0.0},(11,349):{'3_1':0.0,'5_2':0.0},(11,348):{'3_1':0.0},(11,347):{'3_1':0.06},(11,346):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(11,345):{'3_1':0.0},(11,344):{'3_1':0.0,'7_2':0.0},(11,343):{'3_1':0.03},(11,342):{'3_1':0.0,'7_5':0.0},(11,341):{'3_1':0.0,'7_2':0.0},(11,340):{'3_1':0.0},(11,339):{'4_1':0.0},(11,338):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,337):{'3_1':0.0,'5_2':0.0},(11,336):{'3_1':0.03,'9_1':0.0},(11,335):{'3_1':0.03},(11,334):{'3_1':0.0},(11,333):{'3_1':0.03,'4_1':0.0},(11,332):{'3_1':0.0},(11,331):{'3_1':0.0,'7_2':0.0,'-3':0.0},(11,330):{'3_1':0.0,'4_1':0.0},(11,329):{'3_1':0.0},(11,328):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,327):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,326):{'3_1':0.06},(11,325):{'3_1':0.03,'5_2':0.0},(11,324):{'3_1':0.0,'4_1':0.0},(11,323):{'3_1':0.0},(11,322):{'3_1':0.0,'5_1':0.0},(11,321):{'4_1':0.0,'5_2':0.0},(11,320):{'3_1':0.0},(11,319):{'3_1':0.0,'5_1':0.0},(11,318):{'3_1':0.0},(11,317):{'3_1':0.03},(11,316):{'3_1':0.0},(11,315):{'3_1':0.06,'7_4':0.0,'4_1':0.0},(11,314):{'3_1':0.03},(11,313):{'3_1':0.03,'5_1':0.0},(11,312):{'3_1':0.03},(11,311):{'3_1':0.03},(11,310):{'3_1':0.03},(11,309):{'3_1':0.03,'5_2':0.0},(11,308):{'3_1':0.03,'4_1':0.0},(11,307):{'3_1':0.03},(11,306):{'3_1':0.03,'4_1':0.0},(11,305):{'3_1':0.03},(11,304):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(11,303):{'3_1':0.03},(11,302):{'3_1':0.0,'4_1':0.0},(11,301):{'3_1':0.0},(11,300):{'3_1':0.03},(11,298):{'3_1':0.03},(11,297):{'3_1':0.03},(11,296):{'3_1':0.03,'4_1':0.0},(11,295):{'4_1':0.0,'3_1':0.0},(11,294):{'3_1':0.0,'5_2':0.0},(11,293):{'3_1':0.0,'5_2':0.0},(11,292):{'3_1':0.03},(11,291):{'3_1':0.03,'5_2':0.0},(11,290):{'3_1':0.0,'5_2':0.0},(11,289):{'3_1':0.03},(11,288):{'3_1':0.03},(11,287):{'3_1':0.0,'5_2':0.0},(11,286):{'3_1':0.0,'5_2':0.0},(11,285):{'3_1':0.03,'5_2':0.0},(11,284):{'3_1':0.0,'5_2':0.0},(11,283):{'3_1':0.03,'5_1':0.0},(11,282):{'3_1':0.0},(11,281):{'3_1':0.03,'5_2':0.03},(11,280):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(11,279):{'3_1':0.0},(11,278):{'3_1':0.0,'5_2':0.0},(11,277):{'3_1':0.03},(11,276):{'3_1':0.06,'5_2':0.0},(11,275):{'3_1':0.03},(11,274):{'3_1':0.03,'5_1':0.0},(11,273):{'3_1':0.0,'8_20|3_1#3_1':0.0},(11,272):{'3_1':0.0,'5_2':0.0},(11,271):{'3_1':0.0},(11,270):{'3_1':0.03},(11,269):{'3_1':0.0,'5_2':0.0},(11,268):{'3_1':0.03,'5_2':0.0},(11,267):{'3_1':0.06,'5_2':0.0},(11,266):{'3_1':0.0,'5_2':0.0},(11,265):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(11,264):{'3_1':0.0,'5_2':0.0},(11,263):{'3_1':0.03},(11,262):{'3_1':0.03},(11,261):{'3_1':0.0},(11,260):{'3_1':0.0},(11,259):{'3_1':0.0,'5_2':0.0},(11,258):{'3_1':0.0},(11,257):{'3_1':0.0,'4_1':0.0},(11,256):{'3_1':0.03},(11,255):{'3_1':0.0},(11,254):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(11,252):{'3_1':0.0,'4_1':0.0},(11,251):{'3_1':0.0},(11,250):{'3_1':0.0},(11,249):{'3_1':0.0},(11,248):{'3_1':0.0,'4_1':0.0},(11,247):{'3_1':0.0},(11,246):{'3_1':0.0},(11,245):{'3_1':0.03},(11,244):{'3_1':0.0,'5_2':0.0},(11,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(11,242):{'4_1':0.0},(11,241):{'3_1':0.0},(11,240):{'3_1':0.03,'4_1':0.0},(11,239):{'3_1':0.0},(11,238):{'3_1':0.03},(11,237):{'3_1':0.0},(11,236):{'3_1':0.03},(11,235):{'3_1':0.0,'6_1':0.0},(11,234):{'3_1':0.03},(11,233):{'3_1':0.0,'4_1':0.0},(11,232):{'3_1':0.0},(11,231):{'3_1':0.0,'5_2':0.0},(11,230):{'3_1':0.0,'5_2':0.0},(11,229):{'3_1':0.0,'5_1':0.0},(11,228):{'3_1':0.0},(11,227):{'3_1':0.0},(11,225):{'3_1':0.0},(11,224):{'3_1':0.0},(11,223):{'3_1':0.0},(11,221):{'3_1':0.0},(11,220):{'3_1':0.0},(11,219):{'3_1':0.0},(11,218):{'3_1':0.03,'5_1':0.0},(11,217):{'3_1':0.0,'4_1':0.0},(11,216):{'3_1':0.0},(11,215):{'3_1':0.03},(11,214):{'3_1':0.0,'4_1':0.0},(11,213):{'3_1':0.0},(11,212):{'3_1':0.0},(11,211):{'3_1':0.0},(11,210):{'3_1':0.0},(11,209):{'3_1':0.0},(11,207):{'3_1':0.0},(11,206):{'3_1':0.0},(11,205):{'3_1':0.0},(11,204):{'3_1':0.0},(11,203):{'3_1':0.0},(11,202):{'3_1':0.0},(11,201):{'3_1':0.0},(11,199):{'3_1':0.0},(11,198):{'3_1':0.0},(11,197):{'5_1':0.0,'3_1':0.0},(11,195):{'3_1':0.0,'4_1':0.0},(11,194):{'3_1':0.03},(11,193):{'3_1':0.0},(11,192):{'3_1':0.0},(11,191):{'3_1':0.03,'4_1':0.0},(11,190):{'3_1':0.0},(11,189):{'3_1':0.0},(11,188):{'3_1':0.0},(11,187):{'3_1':0.0},(11,184):{'3_1':0.0},(11,183):{'3_1':0.0},(11,182):{'3_1':0.0},(11,181):{'3_1':0.0},(11,180):{'3_1':0.0},(11,178):{'3_1':0.03},(11,177):{'3_1':0.0},(11,176):{'3_1':0.0},(11,175):{'3_1':0.0},(11,174):{'3_1':0.0},(11,172):{'4_1':0.0},(11,171):{'3_1':0.0},(11,169):{'3_1':0.0,'4_1':0.0},(11,168):{'3_1':0.03},(11,167):{'3_1':0.0},(11,166):{'3_1':0.0,'6_2':0.0},(11,165):{'3_1':0.0},(11,164):{'3_1':0.0},(11,163):{'3_1':0.0,'4_1':0.0},(11,162):{'3_1':0.03},(11,161):{'3_1':0.0},(11,160):{'3_1':0.0,'4_1':0.0},(11,159):{'3_1':0.0},(11,158):{'4_1':0.0},(11,157):{'3_1':0.03},(11,156):{'3_1':0.0},(11,155):{'3_1':0.0,'4_1':0.0},(11,154):{'3_1':0.0},(11,153):{'3_1':0.03},(11,152):{'3_1':0.0},(11,151):{'3_1':0.03},(11,150):{'3_1':0.03},(11,149):{'3_1':0.0,'5_1':0.0},(11,148):{'3_1':0.0},(11,146):{'3_1':0.0},(11,145):{'3_1':0.0},(11,143):{'3_1':0.0},(11,142):{'3_1':0.0},(11,141):{'4_1':0.0,'5_2':0.0},(11,139):{'3_1':0.0},(11,138):{'3_1':0.0},(11,137):{'3_1':0.0},(11,136):{'3_1':0.03},(11,134):{'3_1':0.0},(11,132):{'5_2':0.0},(11,131):{'3_1':0.0},(11,130):{'4_1':0.0},(11,129):{'3_1':0.0},(11,128):{'3_1':0.03},(11,127):{'3_1':0.0,'5_2':0.0},(11,126):{'3_1':0.0},(11,123):{'3_1':0.0},(11,110):{'4_1':0.0},(11,109):{'3_1':0.0},(11,107):{'3_1':0.0},(11,102):{'3_1':0.0},(11,101):{'5_2':0.0},(11,100):{'3_1':0.0},(11,98):{'3_1':0.0},(11,77):{'3_1':0.0},(11,76):{'3_1':0.0},(11,74):{'3_1':0.0,'7_2':0.0},(11,72):{'3_1':0.0,'4_1':0.0},(11,71):{'3_1':0.0},(11,68):{'3_1':0.0,'4_1':0.0},(11,66):{'3_1':0.0},(11,65):{'3_1':0.0},(11,64):{'3_1':0.0},(11,63):{'3_1':0.03},(11,62):{'3_1':0.0},(11,61):{'3_1':0.0},(11,59):{'3_1':0.0},(11,58):{'3_1':0.0},(12,727):{'5_2':0.72,'-3':0.06,'7_4':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_7':0.0},(12,726):{'5_2':0.75,'3_1':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'8_13':0.0},(12,725):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,724):{'5_2':0.66,'-3':0.12,'3_1':0.0,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(12,723):{'5_2':0.63,'-3':0.09,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(12,722):{'5_2':0.66,'7_4':0.06,'-3':0.03,'7_2':0.03,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(12,721):{'5_2':0.6,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(12,720):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(12,719):{'5_2':0.69,'-3':0.06,'7_4':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,718):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(12,717):{'5_2':0.6,'7_4':0.09,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,716):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(12,715):{'5_2':0.69,'-3':0.06,'7_4':0.03,'7_2':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_15':0.0},(12,714):{'5_2':0.63,'7_4':0.12,'3_1':0.06,'7_5':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(12,713):{'5_2':0.6,'-3':0.09,'7_4':0.03,'3_1':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(12,712):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0},(12,711):{'5_2':0.51,'-3':0.12,'7_5':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0},(12,710):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(12,709):{'5_2':0.6,'-3':0.18,'3_1':0.03,'7_5':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(12,708):{'5_2':0.63,'-3':0.12,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(12,707):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(12,706):{'5_2':0.51,'3_1':0.18,'-3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(12,705):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.06,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'7_6':0.0,'8_10':0.0},(12,704):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_3':0.0},(12,703):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(12,702):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0},(12,701):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_6':0.0,'8_14':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(12,700):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_6':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(12,699):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0},(12,698):{'5_2':0.51,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0},(12,697):{'5_2':0.45,'-3':0.18,'3_1':0.09,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(12,696):{'5_2':0.33,'-3':0.15,'3_1':0.15,'7_3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0},(12,695):{'5_2':0.48,'3_1':0.15,'-3':0.09,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(12,694):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_15':0.0},(12,693):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_3':0.03,'5_1':0.03,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,692):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_6':0.03,'7_3':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,691):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(12,690):{'5_2':0.33,'3_1':0.24,'-3':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(12,689):{'3_1':0.27,'5_2':0.27,'-3':0.09,'7_4':0.06,'7_3':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,688):{'5_2':0.33,'3_1':0.3,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(12,687):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(12,686):{'5_2':0.36,'3_1':0.21,'7_4':0.09,'-3':0.09,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(12,685):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_10':0.0},(12,684):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'-3':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(12,683):{'3_1':0.45,'5_2':0.21,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(12,682):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(12,681):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(12,680):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_8':0.0,'8_10':0.0},(12,679):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(12,678):{'3_1':0.57,'5_2':0.09,'5_1':0.06,'7_4':0.03,'7_7':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,677):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,676):{'3_1':0.6,'5_2':0.09,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(12,675):{'3_1':0.54,'5_2':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(12,674):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(12,673):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(12,672):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0},(12,671):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(12,670):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(12,669):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'6_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(12,668):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(12,667):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_19':0.0},(12,666):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(12,665):{'3_1':0.72,'5_2':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(12,664):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(12,663):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_3':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(12,662):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(12,661):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'7_7':0.0,'6_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,660):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'8_10':0.0},(12,659):{'3_1':0.48,'5_2':0.06,'7_4':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(12,658):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(12,657):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0},(12,656):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(12,655):{'3_1':0.51,'5_2':0.21,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(12,654):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(12,653):{'3_1':0.48,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,652):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(12,651):{'3_1':0.45,'5_2':0.18,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(12,650):{'3_1':0.51,'5_2':0.12,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(12,649):{'3_1':0.42,'5_2':0.12,'7_7':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(12,648):{'3_1':0.48,'5_2':0.15,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(12,647):{'3_1':0.3,'5_2':0.12,'7_7':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(12,646):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(12,645):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(12,644):{'3_1':0.39,'5_2':0.15,'-3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0},(12,643):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_1':0.0,'-3':0.0},(12,642):{'3_1':0.24,'5_2':0.15,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(12,641):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'-3':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(12,640):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(12,639):{'5_1':0.15,'5_2':0.15,'3_1':0.12,'7_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(12,638):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(12,637):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(12,636):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_3':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(12,635):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_3':0.0},(12,634):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(12,633):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0},(12,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(12,631):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(12,630):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(12,629):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(12,628):{'5_2':0.18,'3_1':0.09,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(12,627):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0},(12,626):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(12,625):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(12,624):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(12,623):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'8_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(12,622):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(12,621):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(12,620):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(12,619):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(12,618):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(12,617):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(12,616):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0},(12,615):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(12,614):{'3_1':0.21,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0},(12,613):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(12,612):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(12,611):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(12,610):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_10':0.0,'8_14':0.0},(12,609):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(12,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0,'8_19':0.0},(12,607):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(12,606):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'-3':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(12,605):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(12,604):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'-3':0.0},(12,603):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'8_10':0.0},(12,602):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_1':0.0,'8_12':0.0},(12,601):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,600):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(12,599):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(12,598):{'4_1':0.18,'3_1':0.06,'7_7':0.0},(12,597):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(12,596):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(12,595):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(12,594):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'-3':0.0},(12,593):{'4_1':0.18,'3_1':0.06,'7_5':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(12,591):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(12,590):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(12,589):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0},(12,588):{'4_1':0.15,'3_1':0.06,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(12,587):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'-3':0.0},(12,586):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(12,585):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(12,584):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(12,583):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(12,582):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(12,581):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(12,580):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(12,579):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0},(12,578):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(12,577):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0},(12,576):{'4_1':0.09,'5_2':0.0,'3_1':0.0,'6_2':0.0,'-3':0.0,'7_6':0.0},(12,575):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(12,574):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(12,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(12,572):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(12,571):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(12,570):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(12,569):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_8':0.0},(12,568):{'4_1':0.15,'3_1':0.06},(12,567):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(12,566):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'8_3':0.0},(12,565):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0},(12,564):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'8_1':0.0},(12,563):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(12,562):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(12,561):{'3_1':0.09,'4_1':0.03,'8_3':0.0},(12,560):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_14':0.0},(12,559):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(12,558):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(12,557):{'3_1':0.09,'4_1':0.0,'8_3':0.0,'8_14':0.0},(12,556):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_14':0.0},(12,555):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(12,554):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'8_3':0.0,'8_8':0.0,'-3':0.0},(12,553):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(12,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_3':0.0},(12,551):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(12,550):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(12,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(12,548):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(12,547):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(12,546):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0},(12,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(12,544):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(12,543):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'8_8':0.0},(12,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_3':0.0,'5_2':0.0,'6_2':0.0},(12,541):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(12,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(12,539):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_8':0.0},(12,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(12,537):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_3':0.0},(12,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(12,535):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(12,534):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(12,533):{'3_1':0.21},(12,532):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(12,531):{'3_1':0.15,'4_1':0.0,'7_6':0.0},(12,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,529):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,527):{'3_1':0.09,'4_1':0.0},(12,526):{'3_1':0.09,'5_2':0.0},(12,525):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(12,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(12,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(12,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,521):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(12,520):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(12,519):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(12,518):{'3_1':0.06,'8_1':0.0},(12,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,516):{'3_1':0.06,'5_1':0.0},(12,515):{'3_1':0.03,'4_1':0.0},(12,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,513):{'3_1':0.06,'4_1':0.0},(12,512):{'3_1':0.06,'8_8':0.0},(12,511):{'3_1':0.06,'8_20|3_1#3_1':0.0},(12,510):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,509):{'3_1':0.03,'5_1':0.0},(12,508):{'3_1':0.03,'4_1':0.0},(12,507):{'3_1':0.03,'4_1':0.0},(12,506):{'3_1':0.09},(12,505):{'3_1':0.03,'4_1':0.0,'-3':0.0},(12,504):{'3_1':0.03,'4_1':0.0},(12,503):{'3_1':0.03,'5_1':0.0},(12,502):{'3_1':0.06,'4_1':0.0},(12,501):{'3_1':0.03},(12,500):{'3_1':0.03,'4_1':0.0},(12,499):{'3_1':0.0,'8_8':0.0,'4_1':0.0},(12,498):{'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(12,497):{'3_1':0.03,'-3':0.0},(12,496):{'3_1':0.03,'4_1':0.0},(12,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(12,494):{'3_1':0.06,'8_8':0.0,'4_1':0.0,'6_3':0.0},(12,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,492):{'3_1':0.09,'4_1':0.0},(12,491):{'3_1':0.09,'4_1':0.0},(12,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_8':0.0},(12,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,488):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(12,487):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(12,486):{'3_1':0.06,'4_1':0.0},(12,485):{'3_1':0.12},(12,484):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(12,483):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,482):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'9_1':0.0},(12,481):{'3_1':0.0,'4_1':0.0},(12,480):{'3_1':0.03},(12,479):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0},(12,478):{'3_1':0.09,'4_1':0.0,'8_8':0.0,'9_1':0.0},(12,477):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(12,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(12,475):{'3_1':0.03,'5_1':0.0,'-3':0.0},(12,474):{'3_1':0.09,'5_2':0.0},(12,473):{'3_1':0.03},(12,472):{'3_1':0.09,'4_1':0.0},(12,471):{'3_1':0.09,'4_1':0.0},(12,470):{'3_1':0.03,'5_2':0.0},(12,469):{'3_1':0.03,'4_1':0.0},(12,468):{'3_1':0.06,'4_1':0.0},(12,467):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(12,466):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,465):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,464):{'3_1':0.03},(12,463):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(12,462):{'3_1':0.06},(12,461):{'3_1':0.0,'6_3':0.0},(12,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,459):{'3_1':0.03,'4_1':0.0},(12,458):{'3_1':0.0,'4_1':0.0},(12,457):{'3_1':0.06},(12,456):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,455):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(12,454):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(12,453):{'3_1':0.03},(12,452):{'3_1':0.0,'5_1':0.0},(12,451):{'3_1':0.06},(12,450):{'3_1':0.03,'4_1':0.0,'8_8':0.0,'-3':0.0},(12,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,448):{'3_1':0.0,'6_3':0.0},(12,447):{'3_1':0.06},(12,446):{'3_1':0.03},(12,445):{'3_1':0.03},(12,444):{'3_1':0.06,'4_1':0.0},(12,443):{'3_1':0.09,'-3':0.0},(12,442):{'3_1':0.03,'4_1':0.0},(12,441):{'3_1':0.03,'5_1':0.0},(12,440):{'3_1':0.03,'8_8':0.0,'4_1':0.0,'5_2':0.0},(12,439):{'3_1':0.06,'5_1':0.0},(12,438):{'3_1':0.0,'5_1':0.0},(12,437):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(12,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,435):{'3_1':0.09,'5_2':0.0},(12,434):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(12,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,432):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(12,431):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(12,430):{'3_1':0.03,'4_1':0.0},(12,429):{'3_1':0.03,'4_1':0.0},(12,428):{'3_1':0.06,'5_2':0.0},(12,427):{'3_1':0.06},(12,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,425):{'3_1':0.03,'6_1':0.0},(12,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,423):{'3_1':0.09,'6_1':0.0},(12,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,421):{'3_1':0.0,'6_2':0.0},(12,420):{'3_1':0.06,'5_2':0.0},(12,419):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(12,418):{'3_1':0.0,'5_2':0.0},(12,417):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(12,416):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,415):{'3_1':0.03,'5_1':0.0},(12,414):{'3_1':0.06,'6_1':0.0,'5_2':0.0},(12,413):{'3_1':0.09,'4_1':0.0},(12,412):{'3_1':0.03},(12,411):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,410):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(12,409):{'3_1':0.06,'4_1':0.0},(12,408):{'3_1':0.03},(12,407):{'3_1':0.0,'4_1':0.0},(12,406):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(12,405):{'3_1':0.0,'5_1':0.0},(12,404):{'3_1':0.0,'5_1':0.0},(12,403):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,402):{'5_1':0.0},(12,401):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,400):{'3_1':0.0},(12,399):{'3_1':0.03,'7_2':0.0},(12,398):{'3_1':0.03},(12,397):{'3_1':0.0,'5_1':0.0},(12,396):{'3_1':0.0},(12,395):{'3_1':0.03,'-3':0.0},(12,394):{'3_1':0.06},(12,393):{'3_1':0.03,'6_1':0.0},(12,392):{'3_1':0.03},(12,391):{'3_1':0.0,'4_1':0.0},(12,390):{'3_1':0.0},(12,389):{'3_1':0.0},(12,388):{'3_1':0.03,'4_1':0.0},(12,387):{'3_1':0.06},(12,386):{'3_1':0.0,'7_4':0.0},(12,385):{'3_1':0.03,'4_1':0.0},(12,384):{'3_1':0.0},(12,383):{'3_1':0.03,'5_2':0.0},(12,382):{'3_1':0.03,'5_1':0.0,'7_4':0.0},(12,381):{'3_1':0.0,'5_1':0.0,'7_4':0.0},(12,380):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,379):{'3_1':0.0,'5_1':0.0},(12,378):{'3_1':0.03,'-3':0.0},(12,377):{'3_1':0.0},(12,376):{'3_1':0.03,'5_2':0.0},(12,375):{'7_4':0.0},(12,374):{'3_1':0.03},(12,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(12,372):{'3_1':0.03,'5_2':0.0},(12,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,370):{'3_1':0.03,'7_4':0.0},(12,369):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(12,368):{'3_1':0.03,'7_4':0.0,'4_1':0.0},(12,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(12,366):{'3_1':0.03,'5_2':0.0},(12,365):{'3_1':0.03,'5_2':0.0},(12,364):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'9_1':0.0},(12,363):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(12,362):{'3_1':0.0,'5_2':0.0},(12,361):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(12,360):{'3_1':0.06,'4_1':0.0},(12,359):{'3_1':0.0,'-3':0.0},(12,358):{'3_1':0.0,'5_2':0.0},(12,357):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(12,356):{'3_1':0.03,'5_1':0.0},(12,355):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(12,354):{'3_1':0.03,'5_2':0.0},(12,353):{'3_1':0.06},(12,352):{'3_1':0.0,'-3':0.0},(12,351):{'3_1':0.03},(12,350):{'3_1':0.0,'5_1':0.0},(12,349):{'7_4':0.0},(12,348):{'3_1':0.03,'-3':0.0},(12,347):{'3_1':0.0},(12,346):{'3_1':0.03,'5_1':0.0},(12,345):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(12,344):{'3_1':0.0,'5_2':0.0},(12,343):{'3_1':0.03},(12,342):{'3_1':0.0},(12,341):{'3_1':0.03},(12,340):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(12,339):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(12,338):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,337):{'3_1':0.03,'5_2':0.0},(12,336):{'3_1':0.0,'4_1':0.0},(12,335):{'3_1':0.0,'5_1':0.0},(12,334):{'3_1':0.06,'5_2':0.0},(12,333):{'3_1':0.0,'7_2':0.0},(12,332):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(12,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,330):{'3_1':0.03,'4_1':0.0},(12,329):{'3_1':0.0},(12,328):{'3_1':0.0,'4_1':0.0},(12,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,326):{'3_1':0.06,'5_2':0.03},(12,325):{'3_1':0.03},(12,324):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(12,323):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(12,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,321):{'3_1':0.03,'4_1':0.0},(12,320):{'3_1':0.03},(12,319):{'3_1':0.09},(12,318):{'3_1':0.03},(12,317):{'3_1':0.0,'4_1':0.0},(12,316):{'3_1':0.0,'5_2':0.0},(12,315):{'3_1':0.0,'4_1':0.0},(12,314):{'3_1':0.0,'-3':0.0},(12,313):{'3_1':0.0,'5_2':0.0},(12,312):{'3_1':0.0,'5_2':0.0},(12,311):{'3_1':0.0,'5_2':0.0},(12,310):{'3_1':0.0},(12,309):{'3_1':0.06},(12,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,307):{'3_1':0.03},(12,306):{'3_1':0.03},(12,305):{'3_1':0.03,'5_2':0.0},(12,304):{'3_1':0.06,'5_2':0.0},(12,303):{'3_1':0.06},(12,302):{'3_1':0.03},(12,301):{'3_1':0.03,'5_2':0.0},(12,300):{'3_1':0.0},(12,299):{'3_1':0.03},(12,298):{'3_1':0.06},(12,297):{'3_1':0.06,'4_1':0.0},(12,296):{'3_1':0.03,'4_1':0.0},(12,295):{'3_1':0.03,'5_2':0.0},(12,294):{'3_1':0.0},(12,293):{'3_1':0.03,'5_1':0.0},(12,292):{'3_1':0.03},(12,291):{'3_1':0.0},(12,290):{'3_1':0.03},(12,289):{'3_1':0.03,'5_2':0.03},(12,288):{'3_1':0.0},(12,287):{'3_1':0.03,'5_2':0.0},(12,286):{'3_1':0.0},(12,285):{'3_1':0.0},(12,284):{'3_1':0.03},(12,283):{'3_1':0.0,'5_2':0.0},(12,282):{'3_1':0.0,'5_1':0.0},(12,281):{'3_1':0.03},(12,280):{'3_1':0.03,'5_2':0.0},(12,279):{'3_1':0.0},(12,278):{'3_1':0.03,'4_1':0.0},(12,277):{'3_1':0.03},(12,276):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_2':0.0},(12,275):{'3_1':0.03},(12,274):{'3_1':0.03,'5_2':0.0},(12,273):{'3_1':0.03,'5_2':0.0},(12,272):{'3_1':0.0},(12,271):{'3_1':0.0},(12,270):{'3_1':0.03,'5_2':0.0},(12,269):{'3_1':0.0},(12,268):{'3_1':0.03},(12,267):{'3_1':0.0,'5_1':0.0},(12,266):{'3_1':0.03,'5_2':0.0},(12,265):{'3_1':0.0},(12,264):{'3_1':0.06,'4_1':0.0},(12,263):{'3_1':0.03},(12,262):{'3_1':0.03,'5_2':0.0},(12,261):{'3_1':0.03,'5_2':0.0},(12,260):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,259):{'3_1':0.0,'4_1':0.0},(12,258):{'3_1':0.03},(12,257):{'3_1':0.0,'5_2':0.0},(12,256):{'3_1':0.03,'5_2':0.0},(12,255):{'3_1':0.03},(12,254):{'3_1':0.0},(12,253):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,252):{'3_1':0.03,'4_1':0.0},(12,251):{'3_1':0.0},(12,250):{'4_1':0.0},(12,249):{'3_1':0.03,'4_1':0.0},(12,248):{'3_1':0.03},(12,247):{'3_1':0.0,'6_2':0.0},(12,246):{'3_1':0.0,'4_1':0.0},(12,245):{'3_1':0.0,'4_1':0.0},(12,244):{'3_1':0.0,'5_2':0.0},(12,243):{'3_1':0.0},(12,242):{'3_1':0.0},(12,241):{'3_1':0.0,'4_1':0.0},(12,240):{'3_1':0.0},(12,239):{'3_1':0.0},(12,238):{'3_1':0.0,'6_1':0.0},(12,237):{'3_1':0.03},(12,236):{'3_1':0.0},(12,235):{'3_1':0.0,'5_2':0.0},(12,234):{'3_1':0.03,'5_2':0.0},(12,233):{'3_1':0.03,'5_2':0.0},(12,232):{'3_1':0.0},(12,231):{'3_1':0.0},(12,230):{'3_1':0.03},(12,229):{'3_1':0.0,'4_1':0.0},(12,228):{'3_1':0.03},(12,227):{'3_1':0.0,'5_2':0.0},(12,226):{'3_1':0.03},(12,225):{'3_1':0.0},(12,224):{'3_1':0.0},(12,223):{'3_1':0.03},(12,222):{'3_1':0.0},(12,221):{'3_1':0.0},(12,220):{'3_1':0.0},(12,219):{'3_1':0.0},(12,218):{'3_1':0.0},(12,217):{'3_1':0.0,'5_2':0.0},(12,216):{'3_1':0.0,'5_1':0.0},(12,215):{'3_1':0.0},(12,214):{'3_1':0.0,'4_1':0.0},(12,213):{'3_1':0.0},(12,212):{'3_1':0.0},(12,210):{'3_1':0.0},(12,209):{'3_1':0.0},(12,208):{'3_1':0.0,'5_2':0.0},(12,207):{'3_1':0.0},(12,206):{'3_1':0.0},(12,205):{'3_1':0.0},(12,204):{'3_1':0.0},(12,203):{'3_1':0.0},(12,202):{'3_1':0.0},(12,201):{'3_1':0.0,'6_2':0.0},(12,200):{'3_1':0.0},(12,199):{'3_1':0.0},(12,198):{'3_1':0.0,'5_2':0.0},(12,197):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'-3':0.0},(12,195):{'3_1':0.0},(12,194):{'3_1':0.0},(12,193):{'3_1':0.0},(12,192):{'3_1':0.03,'5_2':0.0},(12,191):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(12,190):{'3_1':0.03},(12,189):{'3_1':0.0},(12,188):{'3_1':0.0},(12,187):{'3_1':0.0},(12,186):{'3_1':0.0},(12,185):{'3_1':0.0},(12,182):{'3_1':0.0},(12,181):{'3_1':0.0},(12,180):{'3_1':0.0},(12,179):{'4_1':0.0},(12,178):{'4_1':0.0},(12,175):{'3_1':0.0},(12,174):{'3_1':0.0},(12,173):{'3_1':0.0},(12,171):{'3_1':0.0},(12,170):{'3_1':0.0},(12,169):{'3_1':0.0},(12,168):{'3_1':0.0},(12,167):{'3_1':0.0},(12,166):{'3_1':0.03},(12,165):{'3_1':0.0},(12,164):{'3_1':0.0,'4_1':0.0},(12,163):{'3_1':0.03},(12,162):{'3_1':0.0},(12,161):{'3_1':0.0},(12,160):{'3_1':0.0},(12,159):{'3_1':0.0,'4_1':0.0},(12,158):{'3_1':0.0},(12,157):{'4_1':0.0},(12,156):{'3_1':0.0},(12,154):{'3_1':0.0},(12,153):{'3_1':0.03},(12,152):{'3_1':0.0},(12,151):{'3_1':0.0},(12,150):{'3_1':0.0},(12,149):{'3_1':0.03},(12,148):{'3_1':0.0},(12,146):{'3_1':0.0},(12,145):{'3_1':0.0,'5_1':0.0},(12,139):{'3_1':0.0},(12,138):{'3_1':0.0},(12,136):{'3_1':0.03},(12,134):{'3_1':0.03},(12,133):{'3_1':0.0},(12,132):{'3_1':0.0},(12,128):{'4_1':0.0},(12,127):{'3_1':0.0},(12,126):{'3_1':0.0},(12,125):{'3_1':0.03,'4_1':0.0},(12,123):{'5_1':0.0},(12,110):{'3_1':0.0},(12,108):{'3_1':0.0},(12,106):{'3_1':0.0},(12,102):{'3_1':0.0},(12,101):{'3_1':0.0},(12,100):{'3_1':0.0},(12,99):{'3_1':0.0},(12,78):{'3_1':0.0},(12,77):{'3_1':0.0},(12,73):{'4_1':0.0},(12,71):{'3_1':0.0},(12,69):{'4_1':0.0},(12,68):{'4_1':0.0},(12,65):{'3_1':0.0},(12,64):{'3_1':0.0},(12,63):{'3_1':0.0},(12,62):{'3_1':0.0},(12,61):{'3_1':0.0},(12,60):{'3_1':0.0},(12,59):{'3_1':0.0},(12,57):{'3_1':0.0},(12,56):{'3_1':0.0},(12,55):{'3_1':0.0},(13,727):{'5_2':0.57,'8_20|3_1#3_1':0.12,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0},(13,726):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_1':0.0},(13,725):{'5_2':0.69,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(13,724):{'5_2':0.63,'-3':0.12,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0},(13,723):{'5_2':0.6,'-3':0.12,'7_5':0.03,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(13,722):{'5_2':0.6,'-3':0.09,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'8_8':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(13,721):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(13,720):{'5_2':0.6,'-3':0.15,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_10':0.0},(13,719):{'5_2':0.6,'-3':0.15,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(13,718):{'5_2':0.54,'-3':0.12,'8_20|3_1#3_1':0.06,'3_1#5_2':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_7':0.0},(13,717):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(13,716):{'5_2':0.66,'-3':0.15,'7_4':0.06,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(13,715):{'5_2':0.63,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(13,714):{'5_2':0.6,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(13,713):{'5_2':0.57,'-3':0.12,'3_1':0.03,'7_5':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(13,712):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(13,711):{'5_2':0.57,'-3':0.15,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(13,710):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0},(13,709):{'5_2':0.54,'-3':0.18,'8_20|3_1#3_1':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(13,708):{'5_2':0.51,'-3':0.15,'5_1':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(13,707):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(13,706):{'5_2':0.54,'3_1':0.09,'-3':0.06,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0},(13,705):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_6':0.03,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'9_1':0.0},(13,704):{'5_2':0.39,'-3':0.15,'3_1':0.12,'8_20|3_1#3_1':0.06,'7_4':0.03,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(13,703):{'5_2':0.54,'3_1':0.18,'-3':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(13,702):{'5_2':0.54,'3_1':0.12,'-3':0.12,'8_20|3_1#3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(13,701):{'5_2':0.51,'-3':0.12,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0},(13,700):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(13,699):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_6':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'3_1#5_2':0.0,'1':-0.03},(13,698):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_6':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(13,697):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_6':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0},(13,696):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0},(13,695):{'5_2':0.48,'3_1':0.18,'-3':0.12,'8_20|3_1#3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(13,694):{'5_2':0.33,'-3':0.18,'3_1':0.15,'7_4':0.06,'3_1#5_2':0.03,'7_3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(13,693):{'5_2':0.33,'3_1':0.18,'-3':0.12,'5_1':0.06,'7_3':0.06,'3_1#5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0},(13,692):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'6_1':0.0,'1':-0.03},(13,691):{'5_2':0.42,'3_1':0.18,'-3':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(13,690):{'5_2':0.39,'3_1':0.18,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.03,'7_7':0.03,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(13,689):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(13,688):{'5_2':0.33,'3_1':0.21,'-3':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0},(13,687):{'5_2':0.33,'3_1':0.27,'-3':0.06,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'8_14':0.0},(13,686):{'3_1':0.33,'5_2':0.3,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(13,685):{'3_1':0.42,'5_2':0.3,'-3':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_10':0.0,'8_13':0.0,'3_1#5_2':0.0},(13,684):{'5_2':0.33,'3_1':0.3,'8_20|3_1#3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(13,683):{'3_1':0.3,'5_2':0.24,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'8_8':0.0},(13,682):{'3_1':0.39,'5_2':0.21,'5_1':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0},(13,681):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(13,680):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(13,679):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(13,678):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(13,677):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(13,676):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_8':0.0},(13,675):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'8_20|3_1#3_1':0.06,'7_7':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0},(13,674):{'3_1':0.66,'5_2':0.09,'-3':0.03,'7_4':0.03,'6_3':0.0,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(13,673):{'3_1':0.66,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(13,672):{'3_1':0.54,'8_20|3_1#3_1':0.09,'5_2':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(13,671):{'3_1':0.6,'7_4':0.06,'-3':0.06,'7_7':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(13,670):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'8_13':0.0},(13,669):{'3_1':0.63,'7_4':0.06,'-3':0.03,'5_2':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(13,668):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(13,667):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,666):{'3_1':0.54,'5_1':0.09,'5_2':0.09,'-3':0.06,'7_4':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(13,665):{'3_1':0.63,'7_7':0.06,'5_2':0.03,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(13,664):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(13,663):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'3_1#5_1':0.0},(13,662):{'3_1':0.48,'5_2':0.12,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(13,661):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(13,660):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(13,659):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_7':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(13,658):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_15':0.0},(13,657):{'3_1':0.54,'5_2':0.12,'7_7':0.06,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(13,656):{'3_1':0.57,'5_2':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(13,655):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(13,654):{'3_1':0.54,'7_7':0.06,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(13,653):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0},(13,652):{'3_1':0.48,'5_2':0.15,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_1':0.0},(13,651):{'3_1':0.51,'5_1':0.06,'5_2':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(13,650):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(13,649):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(13,648):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(13,647):{'3_1':0.3,'5_2':0.21,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(13,646):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(13,645):{'3_1':0.48,'5_2':0.06,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,644):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(13,643):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(13,642):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(13,641):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(13,640):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'-3':0.0},(13,639):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(13,638):{'3_1':0.15,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_7':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(13,637):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_7':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0},(13,636):{'3_1':0.09,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(13,635):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_6':0.0},(13,634):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,633):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,632):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_15':0.0},(13,631):{'5_2':0.18,'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(13,630):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(13,629):{'5_2':0.12,'3_1':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(13,628):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0},(13,627):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(13,626):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(13,625):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_15':0.0,'-3':0.0},(13,624):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(13,623):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(13,622):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(13,621):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(13,620):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,619):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_4':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(13,618):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(13,617):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(13,616):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_7':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(13,615):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0,'8_10':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(13,614):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(13,613):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(13,612):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,611):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0},(13,610):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(13,609):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(13,608):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(13,607):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(13,606):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(13,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(13,604):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_16':0.0},(13,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(13,602):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(13,601):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(13,600):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0},(13,599):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(13,598):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(13,597):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0},(13,596):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(13,595):{'4_1':0.21,'3_1':0.15,'6_2':0.03,'5_2':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,594):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(13,593):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(13,592):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(13,591):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(13,590):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(13,589):{'4_1':0.24,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(13,588):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(13,587):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(13,586):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,585):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(13,584):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(13,583):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(13,582):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_11':0.0},(13,581):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'5_2':0.0,'7_5':0.0},(13,580):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(13,579):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0},(13,578):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_9':0.0},(13,577):{'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(13,576):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(13,575):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(13,574):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(13,573):{'4_1':0.09,'3_1':0.06,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0},(13,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(13,571):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(13,570):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'-3':0.0},(13,569):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(13,568):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(13,567):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(13,566):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,565):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'8_1':0.0},(13,564):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(13,563):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,562):{'3_1':0.03,'5_2':0.03,'4_1':0.03,'8_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(13,561):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0},(13,560):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(13,559):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(13,558):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(13,557):{'3_1':0.15,'4_1':0.06,'6_3':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(13,556):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,555):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(13,554):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_3':0.0,'6_1':0.0},(13,553):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,552):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(13,551):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(13,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(13,549):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(13,548):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(13,547):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(13,546):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(13,545):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(13,544):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(13,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(13,542):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(13,541):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(13,540):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(13,539):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(13,538):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,537):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(13,536):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(13,535):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(13,534):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(13,533):{'3_1':0.09,'4_1':0.03,'-3':0.0},(13,532):{'3_1':0.21,'4_1':0.0},(13,531):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(13,530):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(13,529):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(13,528):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(13,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(13,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(13,525):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(13,524):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(13,523):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(13,522):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(13,521):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,520):{'3_1':0.06,'4_1':0.0},(13,519):{'3_1':0.0,'8_20|3_1#3_1':0.0},(13,518):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(13,517):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(13,516):{'3_1':0.06,'4_1':0.0},(13,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(13,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,512):{'3_1':0.03,'5_2':0.0},(13,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(13,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(13,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,508):{'3_1':0.03},(13,507):{'3_1':0.03,'4_1':0.0},(13,506):{'3_1':0.03,'4_1':0.03},(13,505):{'3_1':0.06,'4_1':0.0},(13,504):{'3_1':0.03,'6_2':0.0,'-3':0.0},(13,503):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(13,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,501):{'3_1':0.06,'6_2':0.0},(13,500):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,499):{'3_1':0.06,'4_1':0.0},(13,498):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(13,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,496):{'3_1':0.06},(13,495):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(13,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,493):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(13,492):{'3_1':0.0},(13,491):{'3_1':0.0,'5_2':0.0},(13,490):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(13,489):{'3_1':0.06,'4_1':0.0},(13,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(13,487):{'3_1':0.06,'4_1':0.03},(13,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,484):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(13,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(13,482):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(13,481):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0},(13,480):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(13,479):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(13,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,477):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(13,476):{'3_1':0.12,'4_1':0.0},(13,475):{'3_1':0.12,'-3':0.0},(13,474):{'3_1':0.12,'4_1':0.0},(13,473):{'3_1':0.09,'5_2':0.0},(13,472):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(13,471):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(13,470):{'3_1':0.09},(13,469):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(13,468):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_4':0.0},(13,467):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_13':0.0},(13,466):{'3_1':0.06,'5_1':0.0},(13,465):{'3_1':0.0,'4_1':0.0},(13,464):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(13,463):{'3_1':0.03,'7_3':0.0},(13,462):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(13,461):{'3_1':0.03,'4_1':0.0},(13,460):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(13,459):{'3_1':0.03},(13,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(13,457):{'5_2':0.0,'3_1':0.0,'7_4':0.0},(13,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,455):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(13,454):{'3_1':0.06,'4_1':0.0},(13,453):{'3_1':0.06,'6_3':0.0},(13,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,451):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(13,450):{'3_1':0.03},(13,449):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(13,448):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,447):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(13,446):{'3_1':0.03},(13,445):{'3_1':0.03,'4_1':0.0},(13,444):{'3_1':0.06},(13,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(13,442):{'3_1':0.03,'4_1':0.0},(13,441):{'3_1':0.03,'4_1':0.0},(13,440):{'3_1':0.06,'8_20|3_1#3_1':0.0},(13,439):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,438):{'3_1':0.03,'5_2':0.0},(13,437):{'3_1':0.06,'5_1':0.0,'8_10':0.0},(13,436):{'3_1':0.03,'6_3':0.0},(13,435):{'3_1':0.12,'4_1':0.0},(13,434):{'3_1':0.03,'7_2':0.0},(13,433):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(13,432):{'3_1':0.09},(13,431):{'3_1':0.06,'5_2':0.0},(13,430):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(13,429):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(13,428):{'3_1':0.03,'5_1':0.0},(13,427):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,426):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0},(13,425):{'3_1':0.09,'7_3':0.0},(13,424):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(13,423):{'3_1':0.03,'5_2':0.0},(13,422):{'3_1':0.03},(13,421):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,420):{'3_1':0.06,'8_20|3_1#3_1':0.0},(13,419):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(13,418):{'3_1':0.03,'7_3':0.0},(13,417):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(13,416):{'3_1':0.06},(13,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(13,414):{'3_1':0.06,'5_2':0.0},(13,413):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(13,412):{'3_1':0.03,'5_1':0.0},(13,411):{'3_1':0.06,'5_2':0.0},(13,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(13,409):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(13,408):{'3_1':0.03},(13,407):{'3_1':0.03},(13,406):{'3_1':0.03},(13,405):{'3_1':0.03,'5_2':0.0},(13,404):{'3_1':0.06,'4_1':0.0},(13,403):{'3_1':0.03},(13,402):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(13,401):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(13,400):{'3_1':0.06},(13,399):{'3_1':0.06,'4_1':0.0},(13,398):{'3_1':0.03},(13,397):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(13,396):{'3_1':0.06,'5_1':0.0},(13,395):{'3_1':0.03},(13,394):{'3_1':0.03,'7_6':0.0},(13,393):{'3_1':0.06,'4_1':0.0},(13,392):{'3_1':0.03,'4_1':0.0},(13,391):{'3_1':0.0,'4_1':0.0},(13,390):{'3_1':0.06,'4_1':0.0},(13,389):{'3_1':0.09,'4_1':0.0},(13,388):{'3_1':0.03},(13,387):{'3_1':0.03,'4_1':0.0},(13,386):{'3_1':0.0,'6_1':0.0},(13,385):{'3_1':0.03},(13,384):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,383):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(13,382):{'3_1':0.03,'4_1':0.0},(13,381):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(13,380):{'3_1':0.03,'4_1':0.0},(13,379):{'3_1':0.06},(13,378):{'3_1':0.06,'4_1':0.0},(13,377):{'3_1':0.06,'7_2':0.0},(13,376):{'3_1':0.03,'4_1':0.0},(13,375):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(13,374):{'3_1':0.06},(13,373):{'3_1':0.0,'4_1':0.0},(13,372):{'3_1':0.03},(13,371):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(13,370):{'3_1':0.03},(13,369):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(13,368):{'3_1':0.0,'6_3':0.0,'7_1':0.0},(13,367):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(13,366):{'3_1':0.03,'4_1':0.0},(13,365):{'3_1':0.03,'4_1':0.0},(13,364):{'3_1':0.0,'8_11':0.0,'9_1':0.0},(13,363):{'3_1':0.06,'4_1':0.0},(13,362):{'3_1':0.0},(13,361):{'3_1':0.03,'7_2':0.0},(13,360):{'3_1':0.03,'5_2':0.0},(13,359):{'3_1':0.03},(13,358):{'3_1':0.0,'4_1':0.0},(13,357):{'7_2':0.0},(13,356):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(13,355):{'3_1':0.0,'5_2':0.0},(13,354):{'3_1':0.0},(13,353):{'3_1':0.06,'4_1':0.0},(13,352):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(13,351):{'3_1':0.03},(13,350):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,349):{'3_1':0.03},(13,348):{'3_1':0.03,'5_2':0.0},(13,347):{'3_1':0.06},(13,346):{'3_1':0.0},(13,345):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(13,344):{'3_1':0.03,'5_2':0.0},(13,343):{'3_1':0.03},(13,342):{'3_1':0.0},(13,341):{'3_1':0.0,'6_2':0.0},(13,340):{'3_1':0.03,'7_2':0.0},(13,339):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,338):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(13,337):{'3_1':0.03,'5_1':0.0},(13,336):{'3_1':0.0},(13,335):{'3_1':0.03,'5_1':0.0},(13,334):{'3_1':0.03},(13,333):{'3_1':0.03,'5_2':0.0},(13,332):{'3_1':0.06,'-3':0.0},(13,331):{'3_1':0.03,'7_4':0.0},(13,330):{'3_1':0.03,'-3':0.0},(13,329):{'3_1':0.06},(13,328):{'3_1':0.03},(13,327):{'3_1':0.0,'4_1':0.0},(13,326):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,325):{'3_1':0.03,'6_3':0.0,'9_1':0.0},(13,324):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(13,323):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0},(13,322):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(13,321):{'3_1':0.03,'4_1':0.0},(13,320):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(13,319):{'3_1':0.0,'7_2':0.0},(13,318):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,317):{'3_1':0.03,'5_2':0.0},(13,316):{'3_1':0.06},(13,315):{'3_1':0.03,'8_21|3_1#4_1':0.0},(13,314):{'3_1':0.06,'4_1':0.0},(13,313):{'3_1':0.03},(13,312):{'3_1':0.03,'5_2':0.0},(13,311):{'3_1':0.03},(13,310):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(13,309):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(13,308):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(13,307):{'3_1':0.03,'5_2':0.0},(13,306):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,305):{'3_1':0.03},(13,304):{'3_1':0.12,'5_1':0.0},(13,303):{'3_1':0.03,'4_1':0.0},(13,302):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(13,301):{'3_1':0.03,'5_2':0.0},(13,300):{'3_1':0.0,'4_1':0.0},(13,299):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(13,298):{'3_1':0.03,'5_2':0.0},(13,297):{'3_1':0.03,'5_1':0.0},(13,296):{'3_1':0.03,'5_2':0.0},(13,295):{'3_1':0.03,'5_2':0.0},(13,294):{'3_1':0.0,'5_1':0.0},(13,293):{'3_1':0.0},(13,292):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(13,291):{'3_1':0.06,'4_1':0.0},(13,290):{'3_1':0.03},(13,289):{'3_1':0.03},(13,288):{'3_1':0.0,'5_1':0.0},(13,287):{'3_1':0.03},(13,286):{'3_1':0.06,'5_1':0.0},(13,285):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(13,284):{'3_1':0.0,'5_2':0.0},(13,283):{'3_1':0.03,'5_1':0.0},(13,282):{'3_1':0.06,'5_1':0.0},(13,281):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(13,280):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(13,279):{'3_1':0.0,'5_1':0.0},(13,278):{'3_1':0.0},(13,277):{'3_1':0.03,'4_1':0.0},(13,276):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(13,275):{'3_1':0.12},(13,274):{'3_1':0.03,'5_1':0.0},(13,273):{'3_1':0.0,'5_2':0.0},(13,272):{'3_1':0.0,'5_2':0.0},(13,271):{'3_1':0.03,'5_2':0.0},(13,270):{'3_1':0.0,'5_1':0.0},(13,269):{'3_1':0.0},(13,268):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(13,267):{'3_1':0.03,'5_1':0.0},(13,266):{'3_1':0.0,'5_1':0.0},(13,265):{'3_1':0.03,'5_2':0.0},(13,264):{'3_1':0.0,'5_1':0.0},(13,263):{'3_1':0.03},(13,262):{'3_1':0.03},(13,261):{'3_1':0.03},(13,260):{'3_1':0.03},(13,259):{'3_1':0.03,'4_1':0.0},(13,258):{'3_1':0.03},(13,257):{'3_1':0.03},(13,256):{'3_1':0.03},(13,255):{'3_1':0.06},(13,254):{'3_1':0.03},(13,253):{'3_1':0.03},(13,252):{'3_1':0.0},(13,251):{'3_1':0.06},(13,250):{'3_1':0.03},(13,249):{'3_1':0.0},(13,248):{'3_1':0.0},(13,247):{'3_1':0.0},(13,246):{'3_1':0.0},(13,245):{'3_1':0.0},(13,244):{'3_1':0.0,'8_20|3_1#3_1':0.0},(13,243):{'3_1':0.03},(13,242):{'3_1':0.0,'4_1':0.0},(13,241):{'3_1':0.0},(13,240):{'3_1':0.0},(13,239):{'3_1':0.0},(13,238):{'3_1':0.0},(13,237):{'3_1':0.0,'4_1':0.0},(13,236):{'3_1':0.0},(13,235):{'3_1':0.0},(13,234):{'3_1':0.0},(13,233):{'3_1':0.0},(13,232):{'3_1':0.0},(13,231):{'3_1':0.03},(13,230):{'3_1':0.0},(13,229):{'3_1':0.0},(13,227):{'3_1':0.0},(13,226):{'3_1':0.0},(13,224):{'3_1':0.03},(13,223):{'3_1':0.03},(13,222):{'3_1':0.0},(13,221):{'3_1':0.03},(13,220):{'3_1':0.0},(13,219):{'3_1':0.0},(13,218):{'3_1':0.0},(13,217):{'3_1':0.0},(13,216):{'3_1':0.0},(13,215):{'3_1':0.0},(13,214):{'3_1':0.0},(13,213):{'3_1':0.0},(13,211):{'3_1':0.0},(13,210):{'3_1':0.0},(13,209):{'3_1':0.0},(13,208):{'3_1':0.0},(13,207):{'3_1':0.0,'4_1':0.0},(13,205):{'3_1':0.03},(13,204):{'3_1':0.03},(13,202):{'3_1':0.0,'5_2':0.0},(13,201):{'3_1':0.03},(13,200):{'3_1':0.0},(13,199):{'3_1':0.0},(13,198):{'3_1':0.0},(13,197):{'3_1':0.0,'4_1':0.0},(13,196):{'3_1':0.0,'5_2':0.0},(13,195):{'3_1':0.03,'5_1':0.0},(13,194):{'3_1':0.0,'4_1':0.0},(13,192):{'3_1':0.0},(13,191):{'5_1':0.0},(13,190):{'3_1':0.0},(13,189):{'3_1':0.0},(13,188):{'3_1':0.0},(13,187):{'3_1':0.0},(13,186):{'3_1':0.0},(13,185):{'3_1':0.0},(13,184):{'3_1':0.03},(13,183):{'3_1':0.0},(13,182):{'3_1':0.03},(13,181):{'3_1':0.06,'4_1':0.0},(13,180):{'3_1':0.03,'4_1':0.0},(13,178):{'3_1':0.0,'5_2':0.0},(13,176):{'3_1':0.03},(13,175):{'3_1':0.0},(13,174):{'3_1':0.0},(13,173):{'3_1':0.0},(13,172):{'3_1':0.0},(13,171):{'3_1':0.0},(13,167):{'3_1':0.0,'6_3':0.0},(13,166):{'3_1':0.0},(13,165):{'3_1':0.0},(13,164):{'3_1':0.0},(13,163):{'3_1':0.03},(13,162):{'3_1':0.0,'4_1':0.0},(13,161):{'3_1':0.06},(13,160):{'3_1':0.03},(13,159):{'3_1':0.0},(13,158):{'3_1':0.0},(13,157):{'3_1':0.0,'5_1':0.0},(13,156):{'3_1':0.0},(13,154):{'3_1':0.03},(13,153):{'3_1':0.0},(13,152):{'3_1':0.03},(13,151):{'3_1':0.0},(13,150):{'3_1':0.0},(13,149):{'3_1':0.0},(13,148):{'3_1':0.03},(13,146):{'3_1':0.03},(13,145):{'3_1':0.0},(13,144):{'3_1':0.0},(13,143):{'3_1':0.0},(13,142):{'3_1':0.0},(13,141):{'3_1':0.0},(13,140):{'3_1':0.0,'4_1':0.0},(13,138):{'3_1':0.0},(13,137):{'3_1':0.0},(13,136):{'3_1':0.0},(13,134):{'3_1':0.03},(13,133):{'3_1':0.0},(13,132):{'3_1':0.0},(13,131):{'3_1':0.0},(13,130):{'3_1':0.0},(13,129):{'3_1':0.0},(13,128):{'3_1':0.0,'5_2':0.0},(13,126):{'3_1':0.0,'5_2':0.0},(13,125):{'3_1':0.0},(13,124):{'3_1':0.0,'5_2':0.0},(13,123):{'3_1':0.0},(13,111):{'3_1':0.0},(13,110):{'3_1':0.0},(13,107):{'3_1':0.0},(13,102):{'3_1':0.0},(13,81):{'3_1':0.0},(13,78):{'3_1':0.0},(13,76):{'3_1':0.0},(13,74):{'4_1':0.0},(13,71):{'4_1':0.0},(13,70):{'4_1':0.0},(13,69):{'3_1':0.0,'4_1':0.0},(13,68):{'3_1':0.0},(13,66):{'3_1':0.0},(13,65):{'3_1':0.0,'4_1':0.0},(13,63):{'3_1':0.03},(13,60):{'3_1':0.0},(13,59):{'3_1':0.0},(13,58):{'3_1':0.0},(14,727):{'5_2':0.63,'-3':0.12,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(14,726):{'5_2':0.63,'-3':0.15,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0},(14,725):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(14,724):{'5_2':0.57,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'8_8':0.0},(14,723):{'5_2':0.69,'-3':0.09,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(14,722):{'5_2':0.6,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0},(14,721):{'5_2':0.63,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(14,720):{'5_2':0.63,'-3':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_15':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(14,719):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(14,718):{'5_2':0.63,'-3':0.06,'7_2':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0},(14,717):{'5_2':0.57,'-3':0.09,'7_4':0.09,'7_5':0.0,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'8_13':0.0},(14,716):{'5_2':0.66,'-3':0.06,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_5':0.0,'6_3':0.0},(14,715):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_8':0.0},(14,714):{'5_2':0.57,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(14,713):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_13':0.0},(14,712):{'5_2':0.54,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(14,711):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'1':-0.03},(14,710):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_5':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.0,'8_13':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(14,709):{'5_2':0.57,'-3':0.15,'3_1':0.03,'7_5':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(14,708):{'5_2':0.57,'-3':0.12,'5_1':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(14,707):{'5_2':0.48,'-3':0.12,'7_4':0.12,'3_1':0.06,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(14,706):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(14,705):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_10':0.0,'8_13':0.0},(14,704):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(14,703):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'1':-0.03},(14,702):{'5_2':0.51,'3_1':0.12,'-3':0.06,'3_1#5_2':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(14,701):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(14,700):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_6':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(14,699):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_3':0.06,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_10':0.0,'8_11':0.0},(14,698):{'5_2':0.57,'-3':0.12,'3_1':0.09,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(14,697):{'5_2':0.36,'-3':0.18,'3_1':0.12,'5_1':0.03,'7_4':0.03,'7_6':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'7_5':0.0},(14,696):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(14,695):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'1':-0.03},(14,694):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_3':0.06,'7_4':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_1':0.0},(14,693):{'5_2':0.48,'3_1':0.18,'-3':0.12,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'2':-0.03},(14,692):{'5_2':0.48,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_14':0.0},(14,691):{'5_2':0.3,'3_1':0.27,'-3':0.12,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'8_8':0.0,'8_13':0.0,'1':-0.03},(14,690):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(14,689):{'3_1':0.3,'5_2':0.3,'7_4':0.06,'-3':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(14,688):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'8_15':0.0},(14,687):{'5_2':0.3,'3_1':0.24,'-3':0.12,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_13':0.0},(14,686):{'5_2':0.36,'3_1':0.3,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_16':0.0},(14,685):{'3_1':0.36,'5_2':0.21,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_10':0.0,'8_14':0.0,'8_15':0.0},(14,684):{'3_1':0.36,'5_2':0.33,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(14,683):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(14,682):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0},(14,681):{'3_1':0.39,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(14,680):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(14,679):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(14,678):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(14,677):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(14,676):{'3_1':0.54,'7_4':0.12,'-3':0.06,'5_2':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(14,675):{'3_1':0.54,'7_4':0.09,'5_2':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(14,674):{'3_1':0.54,'5_2':0.15,'7_4':0.12,'-3':0.03,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(14,673):{'3_1':0.6,'7_4':0.06,'-3':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0},(14,672):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(14,671):{'3_1':0.63,'7_4':0.09,'5_2':0.09,'-3':0.03,'7_7':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(14,670):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(14,669):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'-3':0.0},(14,668):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(14,667):{'3_1':0.63,'7_4':0.09,'5_2':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0},(14,666):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(14,665):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'7_4':0.03,'6_3':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(14,664):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'7_7':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(14,663):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(14,662):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(14,661):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(14,660):{'3_1':0.54,'5_2':0.12,'-3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(14,659):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,658):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(14,657):{'3_1':0.6,'5_2':0.12,'7_7':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(14,656):{'3_1':0.54,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,655):{'3_1':0.57,'5_2':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(14,654):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'7_6':0.0},(14,653):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'6_3':0.0,'8_8':0.0},(14,652):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(14,651):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(14,650):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(14,649):{'3_1':0.45,'5_2':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(14,648):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,647):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(14,646):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'-3':0.0},(14,645):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0},(14,644):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_10':0.0,'8_15':0.0},(14,643):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'3_1#5_2':0.0},(14,642):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_7':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_4':0.0},(14,641):{'3_1':0.24,'5_2':0.21,'5_1':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(14,640):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(14,639):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,638):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(14,637):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(14,636):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0},(14,635):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'8_8':0.0,'-3':0.0},(14,634):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(14,633):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_10':0.0},(14,632):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(14,631):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0},(14,630):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(14,629):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0},(14,628):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'7_6':0.0,'8_20|3_1#3_1':0.0},(14,627):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(14,626):{'5_2':0.09,'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,625):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,624):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,623):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(14,622):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(14,621):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(14,620):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(14,619):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(14,618):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(14,617):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,616):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_7':0.0},(14,615):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0},(14,614):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0,'-3':0.0},(14,613):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(14,612):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,611):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_7':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(14,610):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(14,609):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(14,608):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(14,607):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,606):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(14,605):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0},(14,604):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(14,603):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(14,602):{'3_1':0.09,'4_1':0.09,'7_7':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(14,601):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(14,600):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(14,599):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(14,598):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,597):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(14,596):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,595):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0},(14,594):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,593):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'8_11':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(14,592):{'4_1':0.12,'3_1':0.09,'-3':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(14,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(14,590):{'4_1':0.18,'3_1':0.15,'6_1':0.0,'5_2':0.0},(14,589):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(14,588):{'4_1':0.18,'3_1':0.03,'5_2':0.0},(14,587):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0},(14,586):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_19':0.0,'5_1':0.0},(14,585):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_6':0.0},(14,584):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,583):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_3':0.0},(14,582):{'4_1':0.24,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(14,581):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(14,580):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(14,579):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(14,578):{'4_1':0.15,'3_1':0.03,'8_11':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(14,577):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(14,576):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(14,575):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_5':0.0,'7_6':0.0},(14,574):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(14,573):{'4_1':0.21,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_1':0.0},(14,572):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(14,571):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(14,570):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(14,569):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(14,568):{'4_1':0.15,'3_1':0.06,'6_1':0.0},(14,567):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0},(14,566):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0},(14,565):{'3_1':0.06,'4_1':0.03},(14,564):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0},(14,563):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0},(14,562):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(14,561):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(14,560):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(14,559):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_3':0.0},(14,558):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(14,557):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(14,556):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'8_14':0.0},(14,555):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(14,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(14,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(14,552):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(14,551):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(14,550):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(14,549):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(14,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(14,547):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(14,546):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(14,545):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(14,544):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(14,543):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_2':0.0},(14,542):{'3_1':0.18,'6_1':0.0},(14,541):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(14,540):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,539):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(14,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(14,537):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0},(14,536):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(14,535):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(14,534):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(14,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(14,532):{'3_1':0.12,'4_1':0.0},(14,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(14,530):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(14,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(14,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(14,527):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(14,526):{'3_1':0.09,'4_1':0.0},(14,525):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,524):{'3_1':0.12,'4_1':0.0},(14,523):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,522):{'3_1':0.06,'5_2':0.0},(14,521):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(14,520):{'3_1':0.09,'5_1':0.0},(14,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,518):{'3_1':0.03,'4_1':0.0},(14,517):{'3_1':0.0,'4_1':0.0},(14,516):{'3_1':0.03},(14,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,513):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,512):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(14,511):{'3_1':0.03},(14,510):{'3_1':0.0,'8_20|3_1#3_1':0.0},(14,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,508):{'3_1':0.0,'4_1':0.0},(14,507):{'3_1':0.03,'4_1':0.0},(14,506):{'3_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(14,505):{'3_1':0.06,'4_1':0.0},(14,504):{'3_1':0.06,'5_2':0.0},(14,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,502):{'3_1':0.0},(14,501):{'3_1':0.03,'4_1':0.03},(14,500):{'3_1':0.0},(14,499):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,498):{'3_1':0.06,'5_2':0.0},(14,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,496):{'3_1':0.06,'4_1':0.0},(14,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(14,493):{'3_1':0.03,'4_1':0.0},(14,492):{'3_1':0.03,'4_1':0.0},(14,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,490):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,489):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(14,488):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(14,487):{'3_1':0.03,'4_1':0.0},(14,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_8':0.0},(14,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,484):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(14,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(14,482):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(14,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,480):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(14,479):{'3_1':0.06,'7_1':0.0,'-3':0.0},(14,478):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(14,477):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(14,476):{'3_1':0.09,'5_1':0.0},(14,475):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(14,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(14,473):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(14,472):{'3_1':0.06,'4_1':0.0},(14,471):{'3_1':0.06,'8_20|3_1#3_1':0.0},(14,470):{'3_1':0.03,'4_1':0.0},(14,469):{'5_1':0.03,'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,468):{'3_1':0.03,'4_1':0.0},(14,467):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(14,466):{'3_1':0.03},(14,465):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,464):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(14,463):{'3_1':0.03,'4_1':0.0},(14,462):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(14,461):{'3_1':0.03,'5_2':0.0},(14,460):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(14,459):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(14,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,457):{'3_1':0.0},(14,456):{'3_1':0.0,'5_2':0.0},(14,455):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(14,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,453):{'3_1':0.03},(14,452):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(14,451):{'3_1':0.0},(14,450):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,449):{'3_1':0.0,'4_1':0.0},(14,448):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(14,447):{'3_1':0.06,'5_2':0.0},(14,446):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(14,445):{'3_1':0.0,'5_2':0.0},(14,444):{'3_1':0.06,'4_1':0.0},(14,443):{'5_2':0.0,'3_1':0.0},(14,442):{'3_1':0.0,'4_1':0.0},(14,441):{'3_1':0.06},(14,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,439):{'3_1':0.03},(14,438):{'3_1':0.0,'5_2':0.0},(14,437):{'3_1':0.06,'4_1':0.0,'-3':0.0},(14,436):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(14,435):{'3_1':0.0,'5_1':0.0},(14,434):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,433):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_2':0.0},(14,432):{'3_1':0.03,'8_20|3_1#3_1':0.0},(14,431):{'3_1':0.0},(14,430):{'3_1':0.0,'5_2':0.0},(14,429):{'3_1':0.06,'5_2':0.0},(14,428):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(14,427):{'3_1':0.0},(14,426):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(14,425):{'3_1':0.06,'5_1':0.0},(14,424):{'3_1':0.06,'8_20|3_1#3_1':0.0},(14,423):{'3_1':0.09,'5_1':0.0},(14,422):{'3_1':0.09,'5_2':0.0},(14,421):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(14,420):{'3_1':0.0,'5_1':0.0},(14,419):{'3_1':0.03,'5_2':0.0},(14,418):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,417):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(14,416):{'3_1':0.06,'5_1':0.0},(14,415):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(14,414):{'3_1':0.03,'5_2':0.0},(14,413):{'3_1':0.06,'5_2':0.0},(14,412):{'3_1':0.03,'7_5':0.0},(14,411):{'3_1':0.0,'5_1':0.0},(14,410):{'3_1':0.0},(14,409):{'3_1':0.03,'5_2':0.0},(14,408):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(14,407):{'3_1':0.0,'5_2':0.0},(14,406):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(14,405):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(14,404):{'3_1':0.0},(14,403):{'3_1':0.03,'5_2':0.0},(14,402):{'3_1':0.0,'6_2':0.0},(14,401):{'3_1':0.0,'4_1':0.0},(14,400):{'3_1':0.03},(14,399):{'3_1':0.06,'5_1':0.0},(14,398):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,397):{'3_1':0.03},(14,396):{'3_1':0.0},(14,395):{'3_1':0.03},(14,394):{'3_1':0.0,'5_2':0.0},(14,393):{'3_1':0.0},(14,392):{'3_1':0.03},(14,391):{'3_1':0.03},(14,390):{'3_1':0.0},(14,389):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(14,388):{'3_1':0.03},(14,387):{'3_1':0.03,'5_2':0.0},(14,386):{'3_1':0.06},(14,385):{'3_1':0.0,'5_2':0.0},(14,384):{'3_1':0.03},(14,383):{'3_1':0.0},(14,382):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(14,381):{'3_1':0.06,'6_1':0.0},(14,380):{'3_1':0.06},(14,379):{'3_1':0.0},(14,378):{'3_1':0.09},(14,377):{'3_1':0.06},(14,376):{'3_1':0.03},(14,375):{'3_1':0.03,'5_1':0.0},(14,374):{'3_1':0.03,'5_1':0.0},(14,373):{'3_1':0.03},(14,372):{'3_1':0.03,'5_2':0.0},(14,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(14,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(14,369):{'3_1':0.0,'7_1':0.0},(14,368):{'3_1':0.03,'5_2':0.0},(14,367):{'3_1':0.03,'4_1':0.0},(14,366):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(14,365):{'3_1':0.03},(14,364):{'3_1':0.06,'4_1':0.0},(14,363):{'3_1':0.03},(14,362):{'3_1':0.0,'5_1':0.0},(14,361):{'3_1':0.03},(14,360):{'3_1':0.0},(14,359):{'3_1':0.0,'7_1':0.0},(14,358):{'3_1':0.0,'5_1':0.0},(14,357):{'3_1':0.0},(14,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(14,355):{'3_1':0.0},(14,354):{'3_1':0.0},(14,353):{'3_1':0.03,'4_1':0.0},(14,352):{'3_1':0.0},(14,351):{'3_1':0.0},(14,350):{'3_1':0.03,'5_1':0.0},(14,348):{'3_1':0.03,'5_2':0.0},(14,347):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,346):{'3_1':0.0},(14,344):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(14,343):{'3_1':0.0,'4_1':0.0},(14,342):{'3_1':0.0,'5_2':0.0},(14,341):{'3_1':0.03},(14,340):{'3_1':0.03},(14,339):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(14,338):{'5_1':0.0},(14,337):{'3_1':0.0},(14,336):{'3_1':0.0},(14,335):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(14,334):{'3_1':0.0,'4_1':0.0},(14,333):{'3_1':0.03,'7_1':0.0},(14,332):{'3_1':0.0},(14,331):{'3_1':0.0,'5_2':0.0,'-3':0.0},(14,330):{'3_1':0.0},(14,329):{'3_1':0.0},(14,328):{'3_1':0.03},(14,327):{'3_1':0.0,'9_1':0.0},(14,326):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(14,325):{'3_1':0.0,'4_1':0.0},(14,324):{'3_1':0.03,'7_2':0.0},(14,323):{'3_1':0.03,'4_1':0.0},(14,322):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(14,321):{'3_1':0.06,'4_1':0.0},(14,320):{'3_1':0.0,'4_1':0.0},(14,319):{'3_1':0.06},(14,318):{'3_1':0.03},(14,317):{'3_1':0.03},(14,316):{'3_1':0.0,'5_2':0.0},(14,315):{'3_1':0.06},(14,314):{'3_1':0.06,'4_1':0.0},(14,313):{'3_1':0.03,'5_1':0.0},(14,312):{'3_1':0.03},(14,311):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,310):{'3_1':0.03},(14,309):{'3_1':0.09},(14,308):{'3_1':0.03,'5_1':0.0},(14,307):{'3_1':0.03},(14,306):{'3_1':0.03,'5_2':0.0},(14,305):{'3_1':0.03,'5_2':0.0},(14,304):{'3_1':0.0,'5_2':0.0},(14,303):{'3_1':0.06,'5_1':0.0},(14,302):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(14,301):{'3_1':0.0},(14,300):{'3_1':0.0,'5_2':0.0},(14,299):{'3_1':0.03},(14,298):{'3_1':0.0,'5_2':0.0},(14,297):{'3_1':0.03},(14,296):{'3_1':0.03,'5_1':0.0},(14,295):{'3_1':0.0,'5_2':0.0},(14,294):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(14,293):{'3_1':0.03,'4_1':0.0},(14,292):{'3_1':0.03},(14,291):{'3_1':0.03},(14,290):{'3_1':0.03},(14,289):{'3_1':0.03,'7_2':0.0},(14,288):{'3_1':0.06},(14,287):{'3_1':0.03,'5_1':0.0},(14,286):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(14,285):{'3_1':0.06,'4_1':0.0},(14,284):{'3_1':0.0,'5_2':0.0},(14,283):{'3_1':0.03,'5_2':0.0},(14,282):{'3_1':0.03},(14,281):{'3_1':0.03,'5_1':0.0},(14,280):{'3_1':0.06,'5_2':0.0},(14,279):{'3_1':0.06},(14,278):{'3_1':0.03},(14,277):{'3_1':0.06,'5_1':0.0},(14,276):{'3_1':0.03},(14,275):{'3_1':0.09,'5_2':0.0},(14,274):{'3_1':0.03,'5_2':0.0},(14,273):{'3_1':0.03,'6_1':0.0,'7_5':0.0},(14,272):{'3_1':0.06,'5_2':0.0},(14,271):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(14,270):{'3_1':0.03},(14,269):{'3_1':0.0,'4_1':0.0},(14,268):{'3_1':0.03},(14,267):{'3_1':0.0,'4_1':0.0},(14,266):{'3_1':0.03},(14,265):{'3_1':0.0,'4_1':0.0},(14,264):{'3_1':0.03},(14,263):{'3_1':0.03},(14,262):{'3_1':0.03,'6_2':0.0,'7_5':0.0},(14,261):{'3_1':0.03},(14,260):{'3_1':0.03},(14,259):{'3_1':0.03},(14,258):{'3_1':0.0},(14,257):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(14,256):{'3_1':0.0},(14,255):{'3_1':0.0},(14,254):{'3_1':0.0,'5_1':0.0},(14,253):{'3_1':0.0},(14,252):{'3_1':0.0},(14,251):{'3_1':0.06},(14,250):{'3_1':0.0},(14,249):{'3_1':0.0,'4_1':0.0},(14,248):{'3_1':0.0,'5_1':0.0},(14,247):{'3_1':0.0,'5_2':0.0},(14,246):{'4_1':0.0,'3_1':0.0},(14,245):{'3_1':0.0},(14,244):{'3_1':0.0},(14,243):{'3_1':0.03,'4_1':0.0},(14,242):{'3_1':0.0},(14,241):{'3_1':0.03},(14,240):{'3_1':0.0,'4_1':0.0},(14,239):{'3_1':0.0,'5_1':0.0},(14,238):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(14,237):{'3_1':0.0,'4_1':0.0},(14,236):{'3_1':0.0},(14,235):{'3_1':0.0},(14,234):{'3_1':0.0},(14,233):{'3_1':0.06},(14,232):{'3_1':0.0},(14,230):{'3_1':0.03,'5_1':0.0},(14,229):{'3_1':0.0},(14,228):{'3_1':0.0},(14,227):{'3_1':0.0},(14,226):{'3_1':0.0},(14,225):{'3_1':0.03},(14,224):{'3_1':0.0},(14,222):{'3_1':0.03},(14,221):{'3_1':0.0},(14,220):{'3_1':0.0},(14,219):{'3_1':0.0},(14,217):{'3_1':0.0},(14,216):{'3_1':0.0},(14,215):{'3_1':0.0},(14,214):{'3_1':0.0},(14,213):{'3_1':0.0},(14,211):{'3_1':0.0},(14,209):{'3_1':0.0},(14,208):{'3_1':0.0},(14,207):{'3_1':0.0},(14,205):{'3_1':0.0},(14,204):{'3_1':0.0,'5_1':0.0},(14,203):{'3_1':0.0},(14,202):{'3_1':0.0},(14,201):{'3_1':0.0},(14,199):{'3_1':0.0},(14,198):{'3_1':0.0},(14,197):{'3_1':0.0},(14,196):{'3_1':0.0},(14,195):{'3_1':0.0,'5_1':0.0},(14,194):{'3_1':0.0,'5_1':0.0},(14,193):{'3_1':0.03},(14,192):{'3_1':0.0,'4_1':0.0},(14,191):{'3_1':0.0},(14,188):{'3_1':0.0},(14,185):{'3_1':0.0},(14,184):{'3_1':0.0},(14,183):{'3_1':0.0,'4_1':0.0},(14,182):{'3_1':0.0},(14,181):{'3_1':0.0},(14,179):{'3_1':0.0},(14,178):{'3_1':0.0,'7_6':0.0},(14,176):{'3_1':0.0},(14,175):{'3_1':0.0},(14,174):{'3_1':0.03,'6_1':0.0},(14,173):{'3_1':0.0},(14,172):{'3_1':0.0},(14,171):{'3_1':0.03},(14,170):{'3_1':0.0},(14,169):{'3_1':0.0},(14,167):{'3_1':0.0},(14,166):{'3_1':0.03,'6_2':0.0},(14,165):{'3_1':0.0},(14,163):{'3_1':0.0},(14,162):{'3_1':0.0},(14,161):{'3_1':0.0},(14,160):{'3_1':0.0},(14,159):{'3_1':0.0},(14,158):{'3_1':0.0},(14,156):{'3_1':0.03},(14,155):{'3_1':0.0},(14,154):{'3_1':0.0},(14,152):{'3_1':0.0},(14,150):{'3_1':0.0},(14,149):{'3_1':0.0},(14,148):{'3_1':0.03},(14,146):{'3_1':0.0},(14,145):{'3_1':0.0},(14,144):{'3_1':0.0},(14,143):{'3_1':0.0},(14,142):{'3_1':0.0},(14,141):{'3_1':0.0},(14,140):{'3_1':0.0},(14,138):{'4_1':0.0},(14,137):{'3_1':0.03,'4_1':0.0},(14,136):{'3_1':0.0},(14,135):{'3_1':0.0},(14,134):{'3_1':0.0},(14,133):{'3_1':0.0},(14,131):{'3_1':0.0},(14,130):{'4_1':0.0},(14,127):{'3_1':0.0},(14,126):{'3_1':0.0},(14,124):{'3_1':0.0},(14,123):{'3_1':0.0},(14,105):{'3_1':0.0},(14,99):{'3_1':0.0},(14,78):{'4_1':0.0},(14,75):{'3_1':0.0},(14,70):{'3_1':0.0},(14,67):{'3_1':0.0},(14,66):{'3_1':0.0},(14,65):{'3_1':0.0},(14,63):{'3_1':0.0},(14,62):{'3_1':0.0},(14,60):{'3_1':0.0},(14,59):{'3_1':0.0},(14,58):{'3_1':0.0},(14,57):{'3_1':0.0},(15,727):{'5_2':0.72,'-3':0.09,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_15':0.0},(15,726):{'5_2':0.69,'-3':0.09,'7_4':0.03,'3_1':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(15,725):{'5_2':0.69,'-3':0.09,'3_1':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_15':0.0},(15,724):{'5_2':0.66,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(15,723):{'5_2':0.6,'-3':0.12,'7_4':0.03,'3_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(15,722):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(15,721):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,720):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(15,719):{'5_2':0.6,'-3':0.09,'7_4':0.03,'5_1':0.03,'3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(15,718):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0},(15,717):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(15,716):{'5_2':0.63,'-3':0.15,'3_1':0.03,'7_5':0.0,'7_4':0.0,'8_13':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(15,715):{'5_2':0.72,'3_1':0.03,'7_4':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(15,714):{'5_2':0.57,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_13':0.0,'8_15':0.0},(15,713):{'5_2':0.57,'7_4':0.09,'-3':0.06,'3_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0},(15,712):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_5':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,711):{'5_2':0.45,'-3':0.18,'3_1':0.09,'7_4':0.06,'7_5':0.03,'7_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(15,710):{'5_2':0.45,'-3':0.12,'7_5':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.03,'6_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,709):{'5_2':0.6,'-3':0.09,'7_5':0.03,'5_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'2':-0.03},(15,708):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_5':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,707):{'5_2':0.57,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'1':-0.03},(15,706):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'-3':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(15,705):{'5_2':0.51,'3_1':0.09,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(15,704):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(15,703):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(15,702):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_5':0.03,'3_1#5_2':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(15,701):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(15,700):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(15,699):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_4':0.06,'7_6':0.03,'7_5':0.0,'8_8':0.0,'5_1':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(15,698):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_5':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(15,697):{'5_2':0.36,'-3':0.15,'3_1':0.15,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_9':0.0},(15,696):{'5_2':0.51,'-3':0.18,'3_1':0.09,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(15,695):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0},(15,694):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'8_15':0.0},(15,693):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.09,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0},(15,692):{'5_2':0.45,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(15,691):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,690):{'5_2':0.45,'3_1':0.21,'-3':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(15,689):{'5_2':0.27,'3_1':0.27,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(15,688):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.09,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(15,687):{'3_1':0.33,'5_2':0.27,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(15,686):{'3_1':0.3,'5_2':0.3,'-3':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0},(15,685):{'3_1':0.3,'5_2':0.27,'-3':0.12,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(15,684):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'7_7':0.0,'3_1#5_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'8_15':0.0,'8_16':0.0},(15,683):{'3_1':0.33,'5_2':0.27,'-3':0.06,'7_4':0.06,'7_5':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(15,682):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(15,681):{'3_1':0.54,'5_2':0.18,'-3':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_13':0.0},(15,680):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.06,'6_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_10':0.0},(15,679):{'3_1':0.42,'5_2':0.18,'7_4':0.12,'8_20|3_1#3_1':0.03,'6_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(15,678):{'3_1':0.57,'5_2':0.15,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(15,677):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(15,676):{'3_1':0.6,'5_2':0.06,'-3':0.06,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(15,675):{'3_1':0.57,'5_2':0.09,'-3':0.03,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_1':0.0},(15,674):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(15,673):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0},(15,672):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0},(15,671):{'3_1':0.54,'5_2':0.09,'-3':0.03,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(15,670):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'6_1':0.0,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(15,669):{'3_1':0.66,'5_2':0.06,'5_1':0.06,'-3':0.06,'7_4':0.0,'8_19':0.0},(15,668):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,667):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'8_19':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(15,666):{'3_1':0.63,'5_2':0.06,'-3':0.06,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'6_3':0.0,'8_19':0.0},(15,665):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'8_14':0.0},(15,664):{'3_1':0.54,'5_2':0.12,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(15,663):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_19':0.0},(15,662):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(15,661):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,660):{'3_1':0.51,'5_2':0.12,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0},(15,659):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_14':0.0},(15,658):{'3_1':0.6,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,657):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(15,656):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(15,655):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(15,654):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(15,653):{'3_1':0.45,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(15,652):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(15,651):{'3_1':0.51,'5_2':0.09,'6_3':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,650):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'8_19':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(15,649):{'3_1':0.42,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(15,648):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,647):{'3_1':0.36,'5_2':0.12,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(15,646):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(15,645):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0},(15,644):{'3_1':0.33,'5_2':0.12,'-3':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(15,643):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(15,642):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,641):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(15,640):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,639):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(15,638):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(15,637):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(15,636):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(15,635):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(15,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(15,633):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(15,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(15,631):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(15,630):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(15,629):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_5':0.0,'8_15':0.0,'3_1#5_1':0.0,'-3':0.0},(15,628):{'5_2':0.06,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(15,627):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(15,626):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(15,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(15,624):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,623):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_5':0.0},(15,622):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(15,621):{'3_1':0.12,'5_2':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(15,620):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(15,619):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(15,618):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(15,617):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(15,616):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(15,615):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_19':0.0},(15,614):{'3_1':0.18,'5_2':0.03,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(15,613):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0,'8_19':0.0},(15,612):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(15,611):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(15,610):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(15,609):{'3_1':0.09,'4_1':0.09,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(15,608):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(15,607):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_19':0.0},(15,606):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(15,605):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(15,604):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(15,603):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(15,602):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(15,601):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(15,600):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_7':0.0},(15,599):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(15,598):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(15,597):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(15,596):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(15,595):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(15,594):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(15,593):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(15,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(15,591):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'7_5':0.0,'7_4':0.0},(15,590):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(15,589):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'6_2':0.0,'-3':0.0},(15,588):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_6':0.0},(15,587):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(15,586):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(15,585):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(15,584):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(15,583):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(15,582):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(15,581):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(15,580):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(15,579):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(15,578):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(15,577):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(15,576):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0},(15,575):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(15,574):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(15,573):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(15,572):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(15,571):{'4_1':0.09,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(15,570):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(15,569):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(15,568):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_3':0.0},(15,567):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(15,566):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(15,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(15,564):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(15,563):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(15,562):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(15,561):{'4_1':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(15,560):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(15,559):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(15,558):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(15,557):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(15,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(15,555):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(15,554):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(15,553):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(15,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(15,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(15,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(15,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(15,548):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(15,547):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(15,546):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(15,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_14':0.0},(15,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,543):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_4':0.0},(15,542):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(15,541):{'3_1':0.15,'4_1':0.0},(15,540):{'3_1':0.21,'4_1':0.0},(15,539):{'3_1':0.12,'6_1':0.0,'5_2':0.0,'5_1':0.0},(15,538):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_3':0.0},(15,537):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,536):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(15,535):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(15,534):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(15,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(15,532):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(15,531):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(15,530):{'3_1':0.15,'4_1':0.0},(15,529):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(15,528):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(15,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(15,526):{'3_1':0.09,'4_1':0.0},(15,525):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(15,524):{'3_1':0.06,'6_1':0.0},(15,523):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(15,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,521):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,519):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(15,518):{'3_1':0.09,'4_1':0.0},(15,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,516):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(15,515):{'3_1':0.03,'5_2':0.0},(15,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,513):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(15,512):{'4_1':0.03,'3_1':0.0},(15,511):{'4_1':0.0,'3_1':0.0},(15,510):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,509):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(15,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,507):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(15,506):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(15,505):{'3_1':0.09,'4_1':0.0},(15,504):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(15,503):{'3_1':0.03,'4_1':0.0},(15,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(15,501):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(15,500):{'3_1':0.06,'5_2':0.0},(15,499):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(15,498):{'3_1':0.06,'5_1':0.0},(15,497):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(15,496):{'3_1':0.03,'4_1':0.0},(15,495):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(15,494):{'3_1':0.03,'4_1':0.0},(15,493):{'3_1':0.0},(15,492):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(15,491):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(15,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,488):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(15,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,486):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(15,485):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(15,484):{'3_1':0.03},(15,483):{'3_1':0.09,'4_1':0.0},(15,482):{'3_1':0.06},(15,481):{'3_1':0.06,'4_1':0.0},(15,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,479):{'3_1':0.06,'5_2':0.0},(15,478):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'9_1':0.0},(15,477):{'3_1':0.06,'5_2':0.0},(15,476):{'3_1':0.06,'4_1':0.0},(15,475):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(15,474):{'3_1':0.09,'8_20|3_1#3_1':0.0},(15,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(15,472):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,470):{'3_1':0.03,'4_1':0.0},(15,469):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,466):{'3_1':0.03},(15,465):{'3_1':0.06,'4_1':0.0},(15,464):{'3_1':0.03,'4_1':0.0},(15,463):{'3_1':0.0,'5_2':0.0},(15,462):{'3_1':0.03},(15,461):{'3_1':0.0},(15,460):{'3_1':0.09,'4_1':0.0},(15,459):{'3_1':0.0,'4_1':0.0},(15,458):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(15,457):{'3_1':0.06,'4_1':0.0},(15,456):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(15,455):{'3_1':0.03,'4_1':0.0},(15,454):{'3_1':0.06,'5_2':0.0},(15,453):{'3_1':0.06,'5_2':0.0},(15,452):{'3_1':0.0,'4_1':0.0},(15,451):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,450):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,449):{'3_1':0.06,'4_1':0.0},(15,448):{'3_1':0.0,'4_1':0.0},(15,447):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,444):{'3_1':0.0,'5_2':0.0},(15,443):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,442):{'3_1':0.0},(15,441):{'3_1':0.03,'4_1':0.0},(15,440):{'3_1':0.03,'5_1':0.0},(15,439):{'3_1':0.03},(15,438):{'3_1':0.03,'5_1':0.0},(15,437):{'3_1':0.03,'5_2':0.0},(15,436):{'3_1':0.03,'6_2':0.0},(15,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,434):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(15,433):{'3_1':0.06,'4_1':0.0},(15,432):{'3_1':0.06},(15,431):{'3_1':0.06},(15,430):{'3_1':0.0,'6_2':0.0},(15,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(15,428):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(15,427):{'3_1':0.03,'5_2':0.0},(15,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,425):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(15,424):{'3_1':0.03,'5_1':0.0},(15,423):{'3_1':0.06,'6_3':0.0},(15,422):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(15,421):{'3_1':0.03},(15,420):{'3_1':0.06,'6_1':0.0},(15,419):{'3_1':0.0,'5_1':0.0},(15,418):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(15,417):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(15,416):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_8':0.0},(15,415):{'3_1':0.06,'5_2':0.0},(15,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,413):{'3_1':0.03},(15,412):{'3_1':0.0,'6_3':0.0},(15,411):{'3_1':0.0,'5_2':0.0},(15,410):{'3_1':0.0,'6_2':0.0},(15,409):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(15,408):{'3_1':0.0},(15,407):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(15,406):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(15,405):{'3_1':0.03,'4_1':0.0},(15,404):{'3_1':0.06,'5_1':0.0},(15,403):{'3_1':0.0,'5_2':0.0},(15,402):{'3_1':0.0,'5_1':0.0},(15,401):{'3_1':0.03,'4_1':0.0},(15,400):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(15,399):{'3_1':0.03,'4_1':0.0},(15,398):{'3_1':0.06,'5_1':0.0},(15,397):{'3_1':0.03},(15,396):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(15,395):{'3_1':0.06,'4_1':0.0},(15,394):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(15,393):{'3_1':0.0},(15,392):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(15,391):{'3_1':0.0},(15,390):{'3_1':0.0,'5_1':0.0},(15,389):{'3_1':0.03},(15,388):{'3_1':0.03},(15,387):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(15,386):{'3_1':0.0},(15,385):{'3_1':0.0,'5_2':0.0},(15,384):{'3_1':0.06},(15,383):{'3_1':0.03,'4_1':0.0},(15,382):{'3_1':0.03},(15,381):{'3_1':0.03},(15,380):{'3_1':0.06},(15,379):{'3_1':0.03},(15,378):{'3_1':0.06,'4_1':0.0},(15,377):{'3_1':0.06,'4_1':0.0,'-3':0.0},(15,376):{'3_1':0.03},(15,375):{'3_1':0.03,'4_1':0.0},(15,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,373):{'3_1':0.06},(15,372):{'3_1':0.03},(15,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(15,370):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(15,369):{'3_1':0.03,'4_1':0.0},(15,368):{'3_1':0.0,'6_1':0.0},(15,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,366):{'3_1':0.0,'4_1':0.0},(15,365):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,364):{'3_1':0.06,'5_1':0.0},(15,363):{'3_1':0.0,'4_1':0.0},(15,362):{'3_1':0.06},(15,361):{'3_1':0.0},(15,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(15,359):{'3_1':0.0,'5_1':0.0},(15,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,357):{'3_1':0.03,'4_1':0.0},(15,356):{'3_1':0.0,'5_1':0.0},(15,355):{'3_1':0.03,'4_1':0.0},(15,354):{'3_1':0.03,'4_1':0.0},(15,353):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(15,352):{'3_1':0.06,'4_1':0.0},(15,351):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,350):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(15,349):{'3_1':0.03,'4_1':0.0},(15,348):{'3_1':0.03},(15,347):{'3_1':0.06,'5_2':0.0},(15,346):{'3_1':0.03,'4_1':0.0},(15,345):{'3_1':0.06},(15,344):{'3_1':0.03},(15,343):{'3_1':0.03,'4_1':0.0},(15,342):{'3_1':0.03,'4_1':0.0},(15,341):{'4_1':0.0,'3_1':0.0},(15,340):{'3_1':0.0,'4_1':0.0},(15,339):{'3_1':0.03},(15,338):{'3_1':0.03,'5_1':0.0},(15,337):{'3_1':0.0,'4_1':0.0},(15,336):{'3_1':0.0},(15,335):{'3_1':0.03},(15,334):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(15,333):{'3_1':0.03,'6_1':0.0},(15,332):{'3_1':0.0},(15,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(15,330):{'3_1':0.0},(15,329):{'3_1':0.03},(15,328):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(15,327):{'3_1':0.06,'4_1':0.0},(15,326):{'3_1':0.03,'5_2':0.0},(15,325):{'3_1':0.03},(15,324):{'3_1':0.03},(15,323):{'3_1':0.03},(15,322):{'3_1':0.0,'5_1':0.0},(15,321):{'3_1':0.06,'7_4':0.0},(15,320):{'3_1':0.03,'4_1':0.0},(15,319):{'3_1':0.0,'4_1':0.0},(15,318):{'3_1':0.03,'5_1':0.0},(15,317):{'3_1':0.03},(15,316):{'3_1':0.03},(15,315):{'3_1':0.03,'5_1':0.0},(15,314):{'3_1':0.0,'4_1':0.0},(15,313):{'3_1':0.03},(15,312):{'3_1':0.0},(15,311):{'3_1':0.0,'5_2':0.0},(15,310):{'3_1':0.03},(15,309):{'3_1':0.03,'4_1':0.0},(15,308):{'3_1':0.03,'7_4':0.0},(15,307):{'3_1':0.03},(15,306):{'3_1':0.03},(15,305):{'3_1':0.0,'4_1':0.0},(15,304):{'3_1':0.06,'5_2':0.0},(15,303):{'3_1':0.06},(15,302):{'3_1':0.03},(15,301):{'3_1':0.0,'4_1':0.0},(15,300):{'3_1':0.03,'5_2':0.0},(15,299):{'3_1':0.03},(15,298):{'3_1':0.03,'5_2':0.0},(15,297):{'3_1':0.0},(15,296):{'3_1':0.03},(15,295):{'3_1':0.03},(15,294):{'3_1':0.03},(15,293):{'3_1':0.0},(15,292):{'3_1':0.0},(15,291):{'3_1':0.0},(15,290):{'3_1':0.03},(15,289):{'3_1':0.0,'5_2':0.0},(15,288):{'3_1':0.0},(15,287):{'3_1':0.0},(15,286):{'5_2':0.0},(15,285):{'3_1':0.06,'5_2':0.0},(15,284):{'3_1':0.03,'5_2':0.0},(15,283):{'3_1':0.0,'4_1':0.0},(15,282):{'3_1':0.03,'5_1':0.0},(15,281):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(15,280):{'3_1':0.03,'5_2':0.0},(15,279):{'3_1':0.03},(15,278):{'3_1':0.03},(15,277):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(15,276):{'3_1':0.03,'4_1':0.0},(15,275):{'3_1':0.03},(15,274):{'3_1':0.06,'4_1':0.0},(15,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(15,272):{'3_1':0.03},(15,271):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(15,270):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(15,269):{'3_1':0.0},(15,268):{'3_1':0.06,'5_2':0.0},(15,267):{'3_1':0.09},(15,266):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(15,265):{'3_1':0.03,'5_1':0.0},(15,264):{'3_1':0.0},(15,263):{'3_1':0.03},(15,262):{'3_1':0.03,'4_1':0.0},(15,261):{'3_1':0.06},(15,260):{'3_1':0.06,'4_1':0.0},(15,259):{'3_1':0.0},(15,258):{'3_1':0.03},(15,257):{'3_1':0.03},(15,256):{'3_1':0.0,'4_1':0.0},(15,255):{'3_1':0.0},(15,254):{'3_1':0.0,'4_1':0.0},(15,253):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(15,252):{'3_1':0.0},(15,251):{'3_1':0.03,'4_1':0.0},(15,250):{'3_1':0.03,'5_2':0.0},(15,249):{'3_1':0.0,'4_1':0.0},(15,248):{'3_1':0.03,'5_2':0.0},(15,246):{'3_1':0.03,'4_1':0.0},(15,245):{'3_1':0.0,'4_1':0.0},(15,244):{'3_1':0.0,'4_1':0.0},(15,243):{'3_1':0.03,'4_1':0.0},(15,242):{'3_1':0.03},(15,241):{'3_1':0.0,'4_1':0.0},(15,240):{'3_1':0.03},(15,239):{'3_1':0.0,'4_1':0.0},(15,238):{'5_2':0.0},(15,237):{'3_1':0.0},(15,236):{'6_2':0.0},(15,235):{'3_1':0.0},(15,234):{'3_1':0.0},(15,233):{'3_1':0.03},(15,232):{'3_1':0.0},(15,231):{'3_1':0.0,'5_2':0.0},(15,230):{'3_1':0.0,'5_1':0.0},(15,229):{'3_1':0.0},(15,228):{'3_1':0.0,'6_1':0.0},(15,227):{'3_1':0.0},(15,226):{'3_1':0.0,'4_1':0.0},(15,224):{'3_1':0.0},(15,223):{'3_1':0.0},(15,222):{'3_1':0.0},(15,221):{'3_1':0.0,'4_1':0.0},(15,220):{'3_1':0.0,'4_1':0.0},(15,219):{'3_1':0.0},(15,218):{'3_1':0.03},(15,217):{'3_1':0.0},(15,213):{'3_1':0.0},(15,212):{'3_1':0.0,'5_2':0.0},(15,211):{'3_1':0.0},(15,210):{'3_1':0.0},(15,208):{'3_1':0.0},(15,207):{'3_1':0.03},(15,206):{'3_1':0.0},(15,205):{'3_1':0.0},(15,204):{'3_1':0.03},(15,202):{'3_1':0.0},(15,201):{'3_1':0.0,'4_1':0.0},(15,199):{'3_1':0.0},(15,198):{'3_1':0.03,'8_20|3_1#3_1':0.0},(15,197):{'3_1':0.0},(15,196):{'3_1':0.0},(15,195):{'3_1':0.0},(15,194):{'3_1':0.03,'4_1':0.0},(15,193):{'3_1':0.0},(15,192):{'3_1':0.03},(15,190):{'3_1':0.0},(15,189):{'3_1':0.0},(15,187):{'3_1':0.0},(15,186):{'3_1':0.0},(15,185):{'3_1':0.03},(15,184):{'3_1':0.0},(15,183):{'3_1':0.0},(15,182):{'3_1':0.0},(15,181):{'3_1':0.0},(15,180):{'3_1':0.0},(15,179):{'3_1':0.0},(15,178):{'3_1':0.0,'4_1':0.0},(15,177):{'3_1':0.0},(15,175):{'3_1':0.0},(15,174):{'3_1':0.0},(15,173):{'3_1':0.0,'4_1':0.0},(15,172):{'3_1':0.0},(15,171):{'3_1':0.0},(15,170):{'3_1':0.0},(15,169):{'3_1':0.03},(15,167):{'3_1':0.0},(15,166):{'3_1':0.03},(15,165):{'3_1':0.0,'4_1':0.0},(15,164):{'3_1':0.0},(15,163):{'3_1':0.0},(15,162):{'3_1':0.0},(15,161):{'3_1':0.03},(15,160):{'3_1':0.03},(15,159):{'3_1':0.0},(15,158):{'3_1':0.03},(15,157):{'3_1':0.0},(15,156):{'3_1':0.0},(15,155):{'3_1':0.0},(15,154):{'3_1':0.0},(15,151):{'3_1':0.0},(15,150):{'3_1':0.0},(15,149):{'3_1':0.0,'4_1':0.0},(15,148):{'3_1':0.0},(15,146):{'3_1':0.0},(15,145):{'3_1':0.0},(15,144):{'3_1':0.0,'4_1':0.0},(15,142):{'3_1':0.0},(15,141):{'3_1':0.0},(15,139):{'3_1':0.0},(15,138):{'3_1':0.0},(15,137):{'4_1':0.0},(15,136):{'3_1':0.0},(15,135):{'3_1':0.0},(15,134):{'3_1':0.0,'4_1':0.0},(15,131):{'3_1':0.0,'6_1':0.0},(15,129):{'3_1':0.0},(15,128):{'3_1':0.0},(15,127):{'3_1':0.0},(15,126):{'3_1':0.0},(15,125):{'3_1':0.0},(15,111):{'3_1':0.0},(15,109):{'3_1':0.0},(15,108):{'3_1':0.0},(15,104):{'3_1':0.0},(15,101):{'3_1':0.0},(15,81):{'4_1':0.0},(15,80):{'3_1':0.0},(15,79):{'3_1':0.03,'4_1':0.0},(15,78):{'3_1':0.0},(15,76):{'3_1':0.0},(15,75):{'3_1':0.0},(15,74):{'3_1':0.0},(15,73):{'3_1':0.0},(15,72):{'3_1':0.0},(15,71):{'3_1':0.0},(15,70):{'3_1':0.0},(15,69):{'4_1':0.0},(15,68):{'3_1':0.0},(15,66):{'3_1':0.0,'4_1':0.0},(15,65):{'3_1':0.0},(15,64):{'3_1':0.0,'4_1':0.0},(15,62):{'3_1':0.0},(15,61):{'3_1':0.0},(15,60):{'3_1':0.0},(15,59):{'3_1':0.0},(15,58):{'3_1':0.0},(15,57):{'3_1':0.03},(15,56):{'3_1':0.0},(15,54):{'3_1':0.0},(15,53):{'3_1':0.0},(16,727):{'5_2':0.6,'-3':0.12,'7_4':0.03,'3_1':0.03,'8_8':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(16,726):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'7_5':0.0,'1':-0.03},(16,725):{'5_2':0.54,'-3':0.15,'3_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(16,724):{'5_2':0.66,'-3':0.12,'3_1':0.03,'7_4':0.0,'7_5':0.0,'8_8':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(16,723):{'5_2':0.63,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0,'3_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(16,722):{'5_2':0.63,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(16,721):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(16,720):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(16,719):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(16,718):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'7_2':0.0,'7_3':0.0,'1':-0.03},(16,717):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_1':0.0},(16,716):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_7':0.0,'1':-0.03},(16,715):{'5_2':0.66,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0},(16,714):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(16,713):{'5_2':0.51,'3_1':0.09,'7_2':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(16,712):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_5':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(16,711):{'5_2':0.6,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(16,710):{'5_2':0.51,'-3':0.15,'7_5':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_10':0.0,'1':-0.03},(16,709):{'5_2':0.57,'-3':0.09,'7_5':0.03,'3_1':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(16,708):{'5_2':0.63,'-3':0.09,'8_20|3_1#3_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_10':0.0,'3_1#5_2':0.0,'1':-0.03},(16,707):{'5_2':0.48,'-3':0.18,'3_1':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_5':0.0,'5_1':0.0,'8_16':0.0,'3_1#5_2':0.0},(16,706):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(16,705):{'5_2':0.57,'3_1':0.18,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_8':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_2':0.0},(16,704):{'5_2':0.48,'-3':0.15,'3_1':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(16,703):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'1':-0.03},(16,702):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(16,701):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.09,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(16,700):{'5_2':0.51,'-3':0.12,'7_4':0.09,'3_1':0.03,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0,'3_1#5_2':0.0,'1':-0.03},(16,699):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_1':0.0,'3_1#5_2':0.0},(16,698):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_9':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(16,697):{'5_2':0.39,'-3':0.21,'3_1':0.12,'7_3':0.03,'7_6':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(16,696):{'5_2':0.42,'-3':0.15,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_1':0.0,'1':-0.03},(16,695):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(16,694):{'5_2':0.48,'-3':0.18,'3_1':0.09,'5_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_17':0.0,'3_1#5_2':0.0,'1':-0.03},(16,693):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0},(16,692):{'5_2':0.33,'3_1':0.3,'7_4':0.09,'-3':0.06,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(16,691):{'5_2':0.42,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(16,690):{'5_2':0.42,'3_1':0.24,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(16,689):{'5_2':0.33,'3_1':0.27,'-3':0.06,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(16,688):{'5_2':0.3,'3_1':0.27,'-3':0.12,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(16,687):{'3_1':0.36,'5_2':0.27,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(16,686):{'5_2':0.33,'3_1':0.21,'7_4':0.09,'-3':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0},(16,685):{'3_1':0.42,'5_2':0.18,'7_3':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_14':0.0},(16,684):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(16,683):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'3_1#5_2':0.0},(16,682):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'8_20|3_1#3_1':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(16,681):{'3_1':0.39,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_3':0.03,'6_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(16,680):{'3_1':0.51,'5_2':0.12,'-3':0.09,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(16,679):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'8_10':0.0},(16,678):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(16,677):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0},(16,676):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'8_20|3_1#3_1':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(16,675):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(16,674):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(16,673):{'3_1':0.6,'-3':0.09,'5_2':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_15':0.0},(16,672):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0},(16,671):{'3_1':0.63,'5_2':0.12,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(16,670):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.03,'7_3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0},(16,669):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(16,668):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(16,667):{'3_1':0.54,'5_2':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0},(16,666):{'3_1':0.66,'5_2':0.06,'7_7':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(16,665):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'6_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(16,664):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(16,663):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(16,662):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(16,661):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,660):{'3_1':0.57,'5_2':0.21,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_15':0.0},(16,659):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'8_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(16,658):{'3_1':0.57,'5_2':0.06,'7_7':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(16,657):{'3_1':0.54,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'7_5':0.0},(16,656):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(16,655):{'3_1':0.42,'5_2':0.18,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(16,654):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(16,653):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(16,652):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(16,651):{'3_1':0.51,'5_2':0.09,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(16,650):{'3_1':0.48,'5_2':0.12,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(16,649):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(16,648):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(16,647):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(16,646):{'3_1':0.33,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(16,645):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(16,644):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'-3':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_1':0.0},(16,643):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(16,642):{'3_1':0.15,'4_1':0.09,'5_1':0.06,'5_2':0.06,'-3':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(16,641):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(16,640):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(16,639):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(16,638):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(16,637):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_8':0.0,'8_15':0.0,'-3':0.0},(16,636):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'-3':0.0},(16,635):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_5':0.0},(16,634):{'5_2':0.09,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0},(16,633):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(16,632):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(16,631):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0},(16,630):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0,'3_1#5_2':0.0,'-3':0.0},(16,629):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(16,628):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(16,627):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(16,626):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_6':0.0,'6_1':0.0,'5_1':0.0,'8_3':0.0},(16,625):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(16,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(16,623):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_15':0.0},(16,622):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_1':0.0,'-3':0.0},(16,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(16,620):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_2':0.0,'8_15':0.0,'-3':0.0},(16,619):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(16,618):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(16,617):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(16,616):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(16,615):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(16,614):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'7_7':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(16,613):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(16,612):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0},(16,611):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(16,610):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(16,609):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'6_2':0.0},(16,608):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'8_10':0.0,'-3':0.0},(16,607):{'3_1':0.15,'4_1':0.03,'7_3':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(16,606):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(16,605):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0},(16,604):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(16,603):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(16,602):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(16,601):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(16,600):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_16':0.0},(16,599):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(16,598):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(16,597):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(16,596):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0},(16,595):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'-3':0.0},(16,594):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(16,593):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0},(16,592):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(16,591):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(16,590):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(16,589):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(16,588):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(16,587):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'5_1':0.0,'8_9':0.0},(16,586):{'4_1':0.24,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(16,585):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0},(16,584):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(16,583):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(16,582):{'4_1':0.18,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(16,581):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(16,580):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(16,579):{'4_1':0.15,'5_2':0.0,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(16,578):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_14':0.0},(16,577):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0},(16,576):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_2':0.0,'8_18':0.0},(16,575):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(16,574):{'4_1':0.15,'6_2':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(16,573):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0},(16,572):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(16,571):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_14':0.0},(16,570):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(16,569):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_6':0.0},(16,568):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(16,567):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(16,566):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0},(16,565):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0},(16,564):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_6':0.0,'8_11':0.0},(16,563):{'4_1':0.12,'3_1':0.09,'8_6':0.0},(16,562):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(16,561):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0},(16,560):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(16,559):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(16,558):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(16,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(16,556):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(16,555):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(16,554):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(16,553):{'3_1':0.09,'4_1':0.06},(16,552):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(16,551):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(16,550):{'3_1':0.09,'4_1':0.03},(16,549):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(16,548):{'3_1':0.15,'4_1':0.03},(16,547):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(16,546):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(16,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(16,544):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(16,543):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(16,542):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(16,541):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'-3':0.0},(16,540):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_3':0.0},(16,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(16,538):{'3_1':0.12,'4_1':0.0},(16,537):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(16,536):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(16,535):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(16,534):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(16,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(16,532):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(16,531):{'3_1':0.12,'5_2':0.0},(16,530):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(16,529):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(16,528):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(16,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,526):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(16,525):{'3_1':0.03},(16,524):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(16,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,522):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,519):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(16,518):{'3_1':0.09,'5_2':0.0},(16,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(16,516):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(16,515):{'3_1':0.06,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(16,514):{'3_1':0.03,'5_2':0.0},(16,513):{'3_1':0.06},(16,512):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(16,511):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(16,510):{'3_1':0.03,'8_20|3_1#3_1':0.0},(16,509):{'3_1':0.0,'5_2':0.0},(16,508):{'3_1':0.03},(16,507):{'3_1':0.03,'4_1':0.0},(16,506):{'3_1':0.06,'4_1':0.0},(16,505):{'3_1':0.06,'6_2':0.0},(16,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,503):{'3_1':0.06,'4_1':0.0},(16,502):{'3_1':0.03},(16,501):{'3_1':0.03,'4_1':0.03},(16,500):{'3_1':0.0},(16,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,498):{'3_1':0.03,'8_20|3_1#3_1':0.0},(16,497):{'3_1':0.03,'4_1':0.0},(16,496):{'3_1':0.06,'5_2':0.0},(16,495):{'3_1':0.06},(16,494):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(16,493):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(16,492):{'3_1':0.0,'5_1':0.0},(16,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,490):{'3_1':0.06},(16,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(16,488):{'3_1':0.03,'4_1':0.0},(16,487):{'3_1':0.0,'6_1':0.0},(16,486):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(16,485):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(16,484):{'3_1':0.06,'6_2':0.0,'7_1':0.0},(16,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,482):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(16,481):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(16,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(16,479):{'3_1':0.12,'4_1':0.0},(16,478):{'3_1':0.06,'4_1':0.0},(16,477):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(16,476):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(16,475):{'3_1':0.06,'4_1':0.0},(16,474):{'3_1':0.06,'4_1':0.0},(16,473):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(16,472):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(16,471):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,470):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(16,469):{'3_1':0.03,'5_1':0.0},(16,468):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(16,467):{'3_1':0.03,'5_2':0.0},(16,466):{'3_1':0.06},(16,465):{'3_1':0.0,'4_1':0.0},(16,464):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(16,463):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(16,462):{'3_1':0.09,'4_1':0.0},(16,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,460):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(16,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,457):{'3_1':0.03,'4_1':0.0},(16,456):{'3_1':0.0,'5_1':0.0},(16,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(16,453):{'3_1':0.03},(16,452):{'3_1':0.03,'4_1':0.0},(16,451):{'3_1':0.06},(16,450):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,449):{'3_1':0.03,'5_2':0.0},(16,448):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(16,447):{'3_1':0.03,'6_2':0.0},(16,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(16,445):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(16,444):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(16,443):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(16,442):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(16,441):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(16,440):{'3_1':0.03},(16,439):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(16,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,437):{'3_1':0.0,'6_2':0.0,'7_4':0.0},(16,436):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,435):{'3_1':0.0,'5_1':0.0},(16,434):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,433):{'3_1':0.03,'5_2':0.0},(16,432):{'3_1':0.06},(16,431):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,430):{'3_1':0.03,'5_2':0.0},(16,429):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,428):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(16,427):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(16,426):{'3_1':0.06,'5_2':0.0,'6_3':0.0,'8_11':0.0},(16,425):{'3_1':0.03},(16,424):{'3_1':0.06,'5_2':0.0},(16,423):{'3_1':0.06},(16,422):{'3_1':0.06,'5_2':0.0},(16,421):{'3_1':0.06,'6_3':0.0},(16,420):{'3_1':0.09,'4_1':0.0},(16,419):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,418):{'3_1':0.03,'5_2':0.0},(16,417):{'3_1':0.06,'5_2':0.0},(16,416):{'3_1':0.03,'6_3':0.0},(16,415):{'3_1':0.03,'5_2':0.0},(16,414):{'3_1':0.03},(16,413):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,412):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(16,411):{'3_1':0.06,'4_1':0.0},(16,410):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,409):{'3_1':0.03},(16,408):{'3_1':0.03},(16,407):{'3_1':0.03},(16,406):{'3_1':0.0},(16,405):{'3_1':0.03},(16,404):{'3_1':0.03},(16,403):{'3_1':0.03,'5_2':0.0},(16,402):{'3_1':0.03,'5_2':0.0},(16,401):{'3_1':0.03,'6_2':0.0},(16,400):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(16,399):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(16,398):{'3_1':0.03,'4_1':0.0},(16,397):{'3_1':0.03,'5_1':0.0},(16,396):{'3_1':0.06,'5_2':0.0},(16,395):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,394):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,393):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(16,392):{'3_1':0.03,'4_1':0.0},(16,391):{'3_1':0.03,'4_1':0.0},(16,390):{'3_1':0.03,'5_2':0.0},(16,389):{'3_1':0.03},(16,388):{'3_1':0.03},(16,387):{'3_1':0.03,'5_2':0.0},(16,386):{'3_1':0.06,'4_1':0.0},(16,385):{'3_1':0.0,'5_2':0.0},(16,384):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,383):{'3_1':0.0},(16,382):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,381):{'3_1':0.06,'5_2':0.0},(16,380):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,378):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,377):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(16,376):{'3_1':0.06,'4_1':0.0},(16,375):{'3_1':0.03},(16,374):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(16,373):{'3_1':0.03},(16,372):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,371):{'3_1':0.0,'5_2':0.0},(16,370):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(16,369):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(16,368):{'3_1':0.09},(16,367):{'3_1':0.06},(16,366):{'3_1':0.0,'4_1':0.0},(16,365):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,364):{'3_1':0.03},(16,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,362):{'3_1':0.03,'4_1':0.0},(16,361):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(16,360):{'3_1':0.03},(16,359):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(16,358):{'3_1':0.03,'5_2':0.0},(16,357):{'3_1':0.0},(16,356):{'3_1':0.03,'5_2':0.0},(16,355):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,354):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(16,353):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(16,351):{'3_1':0.06,'4_1':0.0},(16,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,349):{'3_1':0.06},(16,348):{'3_1':0.03,'6_1':0.0},(16,347):{'3_1':0.06,'4_1':0.0},(16,346):{'3_1':0.03,'4_1':0.0},(16,345):{'3_1':0.0},(16,344):{'3_1':0.06},(16,343):{'3_1':0.0,'4_1':0.0},(16,342):{'3_1':0.09},(16,341):{'3_1':0.0,'4_1':0.0},(16,340):{'3_1':0.0,'7_3':0.0},(16,339):{'3_1':0.06},(16,338):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_7':0.0},(16,337):{'3_1':0.06},(16,336):{'3_1':0.03,'4_1':0.0},(16,335):{'3_1':0.03},(16,334):{'3_1':0.03},(16,333):{'3_1':0.03,'7_1':0.0,'7_2':0.0},(16,332):{'3_1':0.03},(16,331):{'3_1':0.06},(16,330):{'3_1':0.03,'4_1':0.0},(16,329):{'3_1':0.0,'5_2':0.0},(16,328):{'3_1':0.0},(16,327):{'3_1':0.03,'4_1':0.0,'9_1':0.0,'-3':0.0},(16,326):{'3_1':0.03},(16,325):{'3_1':0.03},(16,324):{'3_1':0.03},(16,323):{'3_1':0.03},(16,322):{'3_1':0.03},(16,321):{'3_1':0.03,'5_1':0.0},(16,320):{'3_1':0.0},(16,319):{'3_1':0.03,'4_1':0.0,'8_4':0.0},(16,318):{'3_1':0.03,'8_1':0.0},(16,317):{'3_1':0.03,'4_1':0.0},(16,316):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,315):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(16,314):{'3_1':0.03},(16,313):{'3_1':0.03},(16,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,311):{'3_1':0.03,'5_1':0.0},(16,310):{'3_1':0.0},(16,309):{'3_1':0.06},(16,308):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,307):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(16,306):{'3_1':0.03},(16,305):{'3_1':0.03},(16,304):{'3_1':0.03,'4_1':0.0},(16,303):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(16,302):{'3_1':0.03,'6_1':0.0},(16,301):{'3_1':0.03},(16,300):{'3_1':0.03,'4_1':0.0},(16,299):{'3_1':0.03,'6_1':0.0},(16,298):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,297):{'3_1':0.0},(16,296):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(16,295):{'3_1':0.0},(16,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(16,293):{'3_1':0.06,'4_1':0.0},(16,292):{'3_1':0.03},(16,291):{'3_1':0.03,'4_1':0.0},(16,290):{'3_1':0.03},(16,289):{'3_1':0.03},(16,288):{'3_1':0.03},(16,287):{'3_1':0.0,'4_1':0.0},(16,286):{'3_1':0.03,'5_1':0.0},(16,285):{'3_1':0.06},(16,284):{'3_1':0.06},(16,283):{'3_1':0.03,'4_1':0.0},(16,282):{'3_1':0.06},(16,281):{'3_1':0.0},(16,280):{'3_1':0.0,'5_2':0.0},(16,279):{'3_1':0.06},(16,278):{'3_1':0.03},(16,277):{'3_1':0.09},(16,276):{'3_1':0.06,'5_1':0.0},(16,275):{'3_1':0.06},(16,274):{'3_1':0.03,'4_1':0.0},(16,273):{'3_1':0.03},(16,272):{'3_1':0.03},(16,271):{'3_1':0.03,'5_2':0.0},(16,270):{'3_1':0.06,'4_1':0.0},(16,269):{'3_1':0.03},(16,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(16,267):{'3_1':0.0,'4_1':0.0},(16,266):{'3_1':0.03},(16,265):{'3_1':0.03,'4_1':0.0},(16,264):{'3_1':0.03,'4_1':0.0},(16,263):{'3_1':0.03},(16,262):{'3_1':0.03,'5_2':0.0},(16,261):{'3_1':0.0},(16,260):{'3_1':0.03},(16,259):{'3_1':0.06},(16,258):{'3_1':0.03},(16,257):{'3_1':0.03},(16,256):{'3_1':0.03,'5_2':0.0},(16,255):{'3_1':0.03},(16,254):{'3_1':0.0,'8_20|3_1#3_1':0.0},(16,253):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(16,252):{'3_1':0.03},(16,251):{'3_1':0.03},(16,250):{'3_1':0.0},(16,249):{'3_1':0.0},(16,248):{'3_1':0.03},(16,247):{'3_1':0.0,'5_1':0.0},(16,246):{'3_1':0.0,'4_1':0.0},(16,245):{'3_1':0.06,'4_1':0.0},(16,244):{'3_1':0.0,'5_1':0.0},(16,243):{'3_1':0.0},(16,242):{'3_1':0.0},(16,241):{'3_1':0.0},(16,240):{'3_1':0.03},(16,238):{'3_1':0.0,'4_1':0.0},(16,237):{'3_1':0.0,'5_2':0.0},(16,236):{'3_1':0.0},(16,235):{'3_1':0.03},(16,234):{'3_1':0.0,'4_1':0.0},(16,233):{'3_1':0.0},(16,232):{'3_1':0.03},(16,231):{'3_1':0.0,'4_1':0.0},(16,230):{'3_1':0.0},(16,229):{'3_1':0.0},(16,228):{'3_1':0.0},(16,227):{'3_1':0.0,'4_1':0.0},(16,225):{'3_1':0.0},(16,224):{'3_1':0.03},(16,223):{'3_1':0.03},(16,222):{'3_1':0.03},(16,221):{'3_1':0.03},(16,220):{'3_1':0.0},(16,219):{'3_1':0.0},(16,218):{'3_1':0.0},(16,217):{'3_1':0.03},(16,216):{'3_1':0.0},(16,215):{'3_1':0.0},(16,213):{'3_1':0.0},(16,212):{'3_1':0.0},(16,209):{'3_1':0.0},(16,208):{'3_1':0.03},(16,207):{'3_1':0.0},(16,206):{'3_1':0.0,'6_2':0.0},(16,205):{'3_1':0.0},(16,204):{'3_1':0.0,'4_1':0.0},(16,203):{'3_1':0.0},(16,202):{'3_1':0.0},(16,201):{'3_1':0.0},(16,200):{'3_1':0.0},(16,199):{'3_1':0.0},(16,198):{'3_1':0.0},(16,197):{'3_1':0.0,'4_1':0.0},(16,196):{'3_1':0.0},(16,195):{'3_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(16,194):{'3_1':0.0},(16,193):{'3_1':0.0},(16,192):{'3_1':0.0},(16,191):{'3_1':0.03,'4_1':0.0},(16,190):{'3_1':0.0,'5_2':0.0},(16,187):{'3_1':0.0},(16,186):{'3_1':0.0},(16,185):{'3_1':0.0},(16,183):{'3_1':0.0},(16,182):{'3_1':0.03},(16,181):{'3_1':0.0},(16,180):{'3_1':0.03},(16,179):{'3_1':0.03,'6_2':0.0},(16,178):{'3_1':0.0},(16,177):{'3_1':0.0},(16,176):{'3_1':0.03},(16,174):{'3_1':0.0},(16,172):{'3_1':0.0},(16,171):{'3_1':0.0},(16,170):{'3_1':0.0},(16,168):{'3_1':0.03},(16,167):{'3_1':0.0},(16,166):{'3_1':0.0},(16,165):{'3_1':0.03},(16,164):{'3_1':0.03},(16,163):{'3_1':0.0},(16,162):{'3_1':0.0},(16,161):{'3_1':0.0},(16,160):{'3_1':0.0,'4_1':0.0},(16,159):{'3_1':0.0,'4_1':0.0},(16,158):{'3_1':0.0},(16,157):{'3_1':0.0},(16,156):{'3_1':0.0},(16,155):{'3_1':0.0},(16,154):{'3_1':0.0},(16,152):{'3_1':0.0},(16,151):{'3_1':0.03},(16,150):{'3_1':0.0},(16,149):{'3_1':0.0},(16,148):{'3_1':0.03},(16,146):{'3_1':0.0},(16,145):{'3_1':0.0},(16,144):{'3_1':0.0},(16,143):{'3_1':0.0},(16,142):{'3_1':0.0},(16,141):{'3_1':0.03,'4_1':0.0},(16,140):{'3_1':0.0},(16,139):{'3_1':0.0},(16,137):{'3_1':0.0},(16,136):{'3_1':0.0},(16,135):{'3_1':0.0},(16,134):{'3_1':0.0},(16,132):{'3_1':0.03},(16,128):{'3_1':0.0,'5_1':0.0},(16,111):{'3_1':0.0},(16,110):{'3_1':0.0},(16,107):{'3_1':0.0},(16,106):{'3_1':0.0},(16,104):{'3_1':0.03},(16,71):{'3_1':0.0},(16,69):{'3_1':0.0},(16,67):{'4_1':0.0},(16,66):{'3_1':0.0},(16,65):{'3_1':0.0},(16,64):{'3_1':0.0},(16,63):{'3_1':0.0},(16,62):{'3_1':0.0},(16,59):{'3_1':0.03},(16,58):{'3_1':0.0},(16,57):{'3_1':0.0},(16,56):{'3_1':0.0},(17,727):{'5_2':0.63,'-3':0.15,'3_1':0.06,'8_20|3_1#3_1':0.03,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(17,726):{'5_2':0.66,'-3':0.15,'3_1':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(17,725):{'5_2':0.66,'-3':0.12,'7_4':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(17,724):{'5_2':0.63,'-3':0.18,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(17,723):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(17,722):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(17,721):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(17,720):{'5_2':0.51,'-3':0.18,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(17,719):{'5_2':0.66,'-3':0.09,'3_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0},(17,718):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(17,717):{'5_2':0.57,'-3':0.15,'7_4':0.06,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(17,716):{'5_2':0.6,'-3':0.15,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(17,715):{'5_2':0.6,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'3_1':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_5':0.0,'8_15':0.0,'3_1#5_1':0.0},(17,714):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(17,713):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(17,712):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_5':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(17,711):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(17,710):{'5_2':0.54,'-3':0.12,'3_1':0.06,'5_1':0.06,'8_20|3_1#3_1':0.03,'7_5':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0},(17,709):{'5_2':0.54,'-3':0.18,'3_1':0.03,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(17,708):{'5_2':0.6,'-3':0.09,'5_1':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_10':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(17,707):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(17,706):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(17,705):{'5_2':0.54,'-3':0.12,'3_1':0.09,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(17,704):{'5_2':0.48,'3_1':0.21,'-3':0.09,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(17,703):{'5_2':0.48,'-3':0.12,'3_1':0.09,'8_20|3_1#3_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(17,702):{'5_2':0.54,'-3':0.15,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0},(17,701):{'5_2':0.42,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.03,'7_6':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(17,700):{'5_2':0.42,'-3':0.18,'3_1':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(17,699):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_6':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0},(17,698):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(17,697):{'5_2':0.42,'-3':0.18,'3_1':0.06,'5_1':0.03,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(17,696):{'5_2':0.45,'3_1':0.18,'-3':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0,'2':-0.03},(17,695):{'5_2':0.42,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(17,694):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_6':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(17,693):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(17,692):{'5_2':0.42,'3_1':0.24,'-3':0.09,'7_4':0.06,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(17,691):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_6':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(17,690):{'5_2':0.36,'-3':0.15,'3_1':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(17,689):{'3_1':0.36,'5_2':0.33,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(17,688):{'5_2':0.33,'3_1':0.24,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(17,687):{'5_2':0.33,'3_1':0.24,'-3':0.09,'5_1':0.06,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0},(17,686):{'5_2':0.3,'3_1':0.27,'-3':0.15,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(17,685):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0},(17,684):{'3_1':0.36,'5_2':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(17,683):{'3_1':0.39,'5_2':0.15,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(17,682):{'3_1':0.48,'5_2':0.15,'-3':0.09,'7_4':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(17,681):{'3_1':0.45,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(17,680):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(17,679):{'3_1':0.45,'5_2':0.18,'7_4':0.09,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(17,678):{'3_1':0.45,'5_2':0.15,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(17,677):{'3_1':0.51,'5_2':0.12,'-3':0.06,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_3':0.0,'8_8':0.0},(17,676):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'7_7':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(17,675):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_7':0.03,'6_3':0.0,'7_5':0.0,'8_8':0.0,'7_3':0.0},(17,674):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(17,673):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(17,672):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(17,671):{'3_1':0.6,'5_2':0.06,'7_7':0.06,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(17,670):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_1':0.0},(17,669):{'3_1':0.6,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(17,668):{'3_1':0.63,'7_4':0.06,'5_2':0.03,'-3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(17,667):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'8_20|3_1#3_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(17,666):{'3_1':0.57,'7_4':0.06,'5_2':0.06,'-3':0.06,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(17,665):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'1':-0.03},(17,664):{'3_1':0.54,'5_2':0.12,'7_7':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_3':0.0,'8_5':0.0,'8_6':0.0},(17,663):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(17,662):{'3_1':0.57,'5_2':0.15,'-3':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(17,661):{'3_1':0.57,'5_2':0.06,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_2':0.0},(17,660):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(17,659):{'3_1':0.54,'5_2':0.09,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'3_1#5_2':0.0},(17,658):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(17,657):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_7':0.03,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(17,656):{'3_1':0.54,'5_2':0.06,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(17,655):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(17,654):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,653):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(17,652):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_6':0.0,'8_14':0.0},(17,651):{'3_1':0.42,'5_2':0.09,'7_7':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,650):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(17,649):{'3_1':0.39,'5_2':0.09,'-3':0.06,'7_7':0.03,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(17,648):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(17,647):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(17,646):{'3_1':0.33,'5_2':0.18,'7_7':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(17,645):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(17,644):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'7_4':0.03,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(17,643):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(17,642):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(17,641):{'3_1':0.24,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(17,640):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(17,639):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(17,638):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(17,637):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,636):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(17,635):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(17,634):{'5_2':0.12,'3_1':0.06,'4_1':0.0,'7_6':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(17,633):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(17,632):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(17,631):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(17,630):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(17,629):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0},(17,628):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(17,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(17,626):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_7':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(17,625):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(17,624):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0},(17,623):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(17,622):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0},(17,621):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(17,620):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(17,619):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(17,618):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0},(17,617):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(17,616):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(17,615):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(17,614):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0},(17,613):{'3_1':0.12,'4_1':0.12,'7_7':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(17,612):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(17,611):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,610):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(17,609):{'3_1':0.12,'4_1':0.09,'7_3':0.0,'7_7':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(17,608):{'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(17,607):{'3_1':0.21,'4_1':0.06,'7_7':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(17,606):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'7_7':0.0,'6_1':0.0,'5_1':0.0},(17,605):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(17,604):{'3_1':0.18,'4_1':0.12,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(17,603):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(17,602):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(17,601):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(17,600):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(17,599):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(17,598):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_2':0.0},(17,597):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0},(17,596):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(17,595):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(17,594):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(17,593):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0},(17,592):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_6':0.0},(17,591):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(17,590):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,589):{'4_1':0.21,'3_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(17,588):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(17,587):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0},(17,586):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(17,585):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'8_1':0.0},(17,584):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_2':0.0},(17,583):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(17,582):{'4_1':0.15,'6_1':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(17,581):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(17,580):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(17,579):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(17,578):{'4_1':0.15,'6_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(17,577):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(17,576):{'4_1':0.18,'6_1':0.03,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(17,575):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(17,574):{'4_1':0.12,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_2':0.0},(17,573):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(17,572):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(17,571):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(17,570):{'4_1':0.18,'6_2':0.0,'3_1':0.0,'6_1':0.0},(17,569):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(17,568):{'4_1':0.12,'3_1':0.0,'7_6':0.0},(17,567):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0},(17,566):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,565):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(17,564):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(17,563):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0},(17,562):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(17,561):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_6':0.0},(17,560):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(17,559):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(17,558):{'4_1':0.09,'3_1':0.0},(17,557):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(17,556):{'4_1':0.06,'3_1':0.03,'7_3':0.0},(17,555):{'3_1':0.06,'4_1':0.06,'7_6':0.0},(17,554):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(17,553):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(17,552):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_11':0.0},(17,551):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(17,550):{'3_1':0.09,'4_1':0.06},(17,549):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_3':0.0},(17,548):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(17,547):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(17,546):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(17,545):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(17,544):{'3_1':0.15,'4_1':0.0},(17,543):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(17,542):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(17,541):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'6_1':0.0},(17,540):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(17,539):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0},(17,538):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(17,537):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(17,536):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(17,535):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(17,534):{'3_1':0.09,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0},(17,533):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(17,532):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(17,531):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(17,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(17,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(17,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(17,525):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,524):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(17,523):{'3_1':0.06,'4_1':0.0},(17,522):{'3_1':0.06,'4_1':0.0},(17,521):{'3_1':0.06,'4_1':0.0},(17,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,519):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(17,518):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(17,517):{'3_1':0.03,'4_1':0.0},(17,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,515):{'3_1':0.06,'4_1':0.0},(17,514):{'3_1':0.03},(17,513):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(17,512):{'3_1':0.0,'4_1':0.0},(17,511):{'3_1':0.03,'4_1':0.0},(17,510):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,509):{'3_1':0.0,'8_20|3_1#3_1':0.0},(17,508):{'3_1':0.0,'5_2':0.0},(17,507):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(17,506):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,504):{'3_1':0.06,'4_1':0.0},(17,503):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(17,502):{'3_1':0.03,'5_2':0.0},(17,501):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,500):{'3_1':0.0,'4_1':0.0},(17,499):{'3_1':0.06,'4_1':0.0},(17,498):{'3_1':0.03,'4_1':0.0},(17,497):{'4_1':0.0,'3_1':0.0},(17,496):{'3_1':0.0,'4_1':0.0},(17,495):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(17,494):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(17,493):{'4_1':0.0,'3_1':0.0},(17,492):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(17,491):{'3_1':0.06,'4_1':0.0},(17,490):{'3_1':0.03,'4_1':0.0},(17,489):{'3_1':0.06},(17,488):{'3_1':0.03,'4_1':0.0},(17,487):{'3_1':0.0},(17,486):{'3_1':0.06,'4_1':0.0},(17,485):{'3_1':0.03,'5_1':0.0},(17,484):{'3_1':0.03,'4_1':0.0},(17,483):{'3_1':0.03,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(17,482):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(17,481):{'3_1':0.06,'5_2':0.0},(17,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(17,479):{'3_1':0.15,'5_2':0.0,'7_1':0.0},(17,478):{'3_1':0.09,'9_1':0.0},(17,477):{'3_1':0.06,'4_1':0.0},(17,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(17,475):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(17,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,473):{'3_1':0.06},(17,472):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(17,471):{'3_1':0.06,'4_1':0.0},(17,470):{'3_1':0.03,'4_1':0.0},(17,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(17,468):{'3_1':0.0,'4_1':0.0},(17,466):{'3_1':0.03,'8_21|3_1#4_1':0.0},(17,465):{'3_1':0.06,'5_1':0.0},(17,464):{'3_1':0.03,'4_1':0.0},(17,463):{'3_1':0.03,'4_1':0.0},(17,462):{'3_1':0.03},(17,461):{'3_1':0.09,'4_1':0.0},(17,460):{'3_1':0.03,'4_1':0.0},(17,459):{'3_1':0.06},(17,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,457):{'3_1':0.0,'5_2':0.0},(17,456):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(17,455):{'3_1':0.03,'4_1':0.0},(17,454):{'3_1':0.0,'4_1':0.0,'7_7':0.0},(17,453):{'3_1':0.06,'5_2':0.0},(17,452):{'3_1':0.0,'4_1':0.0},(17,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(17,450):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0},(17,449):{'3_1':0.03,'4_1':0.0},(17,448):{'3_1':0.09,'5_1':0.0},(17,447):{'3_1':0.03},(17,446):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0},(17,445):{'3_1':0.0,'5_2':0.0},(17,444):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(17,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(17,442):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,441):{'3_1':0.0,'4_1':0.0},(17,440):{'3_1':0.03},(17,439):{'3_1':0.03,'5_2':0.0},(17,438):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(17,437):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(17,436):{'3_1':0.03,'4_1':0.0},(17,435):{'3_1':0.06},(17,434):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(17,433):{'3_1':0.06,'4_1':0.0},(17,432):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(17,431):{'3_1':0.06,'5_2':0.0},(17,430):{'3_1':0.03,'4_1':0.0},(17,429):{'3_1':0.06,'5_2':0.0},(17,428):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(17,427):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(17,426):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(17,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(17,424):{'3_1':0.03},(17,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(17,422):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(17,421):{'3_1':0.09,'4_1':0.0},(17,420):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(17,419):{'3_1':0.06,'4_1':0.0},(17,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,417):{'3_1':0.06},(17,416):{'3_1':0.0},(17,415):{'3_1':0.12,'6_2':0.0},(17,414):{'3_1':0.03,'5_2':0.0},(17,413):{'3_1':0.06,'5_2':0.0},(17,412):{'3_1':0.03,'4_1':0.0},(17,411):{'3_1':0.03,'6_2':0.0},(17,410):{'3_1':0.0},(17,409):{'3_1':0.06,'4_1':0.0},(17,408):{'3_1':0.0,'4_1':0.0},(17,407):{'3_1':0.03,'5_2':0.0},(17,406):{'3_1':0.06},(17,405):{'3_1':0.03,'4_1':0.0},(17,404):{'3_1':0.0,'4_1':0.0},(17,403):{'3_1':0.03,'4_1':0.0},(17,402):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(17,401):{'3_1':0.06},(17,400):{'3_1':0.06,'4_1':0.0},(17,399):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(17,398):{'3_1':0.06,'5_2':0.0},(17,397):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(17,396):{'3_1':0.03,'5_2':0.0},(17,395):{'5_2':0.0},(17,394):{'3_1':0.0},(17,393):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,392):{'3_1':0.03},(17,391):{'3_1':0.0},(17,390):{'4_1':0.0},(17,389):{'3_1':0.03,'4_1':0.0},(17,388):{'3_1':0.0,'4_1':0.0},(17,387):{'3_1':0.06,'4_1':0.0},(17,386):{'3_1':0.0},(17,385):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,384):{'3_1':0.03,'5_2':0.0},(17,383):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(17,382):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(17,381):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,380):{'3_1':0.0,'8_20|3_1#3_1':0.0},(17,379):{'3_1':0.03,'4_1':0.0},(17,378):{'3_1':0.06,'4_1':0.0},(17,377):{'3_1':0.06},(17,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,375):{'3_1':0.03,'4_1':0.0},(17,374):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(17,373):{'3_1':0.0,'4_1':0.0},(17,372):{'3_1':0.03,'5_2':0.0},(17,371):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(17,370):{'3_1':0.0,'5_1':0.0},(17,369):{'3_1':0.0},(17,368):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(17,367):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,366):{'3_1':0.03,'4_1':0.0},(17,365):{'3_1':0.0,'5_2':0.0},(17,364):{'3_1':0.0,'-3':0.0},(17,363):{'4_1':0.03,'3_1':0.0},(17,362):{'3_1':0.0,'4_1':0.0},(17,361):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(17,360):{'3_1':0.0},(17,359):{'3_1':0.03,'4_1':0.0},(17,358):{'3_1':0.03,'5_2':0.0},(17,357):{'3_1':0.03,'5_2':0.0},(17,356):{'3_1':0.0,'5_2':0.0},(17,355):{'3_1':0.0,'5_1':0.0},(17,354):{'3_1':0.0,'7_1':0.0},(17,353):{'3_1':0.0},(17,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,350):{'4_1':0.03,'3_1':0.0},(17,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(17,348):{'3_1':0.03,'4_1':0.0},(17,347):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(17,346):{'3_1':0.03,'4_1':0.0},(17,345):{'3_1':0.0},(17,344):{'3_1':0.0,'5_1':0.0},(17,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,342):{'3_1':0.06,'4_1':0.0},(17,341):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(17,340):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,339):{'3_1':0.03},(17,338):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(17,337):{'3_1':0.0},(17,336):{'3_1':0.03},(17,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,334):{'3_1':0.03,'6_1':0.0},(17,333):{'3_1':0.09},(17,332):{'3_1':0.03},(17,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,330):{'3_1':0.03,'7_4':0.0},(17,329):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(17,328):{'3_1':0.03,'5_2':0.0},(17,326):{'3_1':0.0,'4_1':0.0},(17,325):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(17,324):{'3_1':0.0,'6_3':0.0},(17,323):{'3_1':0.0,'5_1':0.0},(17,322):{'4_1':0.0,'5_2':0.0,'9_1':0.0},(17,321):{'3_1':0.03,'6_3':0.0},(17,320):{'3_1':0.03},(17,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(17,318):{'3_1':0.0,'4_1':0.0},(17,317):{'3_1':0.0},(17,316):{'3_1':0.0,'4_1':0.0},(17,315):{'3_1':0.0},(17,314):{'3_1':0.06,'5_1':0.0},(17,313):{'3_1':0.06},(17,312):{'3_1':0.06},(17,311):{'3_1':0.03},(17,310):{'3_1':0.03},(17,309):{'3_1':0.03,'5_1':0.0},(17,308):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(17,307):{'3_1':0.06,'5_1':0.0},(17,306):{'3_1':0.0,'5_2':0.0},(17,305):{'3_1':0.06,'5_1':0.0},(17,304):{'3_1':0.03,'5_2':0.0},(17,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,302):{'3_1':0.03,'5_1':0.0},(17,301):{'3_1':0.0},(17,300):{'3_1':0.06,'4_1':0.0},(17,299):{'3_1':0.0},(17,298):{'3_1':0.0,'5_2':0.0},(17,297):{'3_1':0.03},(17,296):{'3_1':0.0,'4_1':0.0},(17,295):{'3_1':0.0},(17,294):{'3_1':0.03,'4_1':0.0},(17,293):{'3_1':0.03},(17,292):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(17,291):{'3_1':0.0},(17,290):{'3_1':0.06,'5_1':0.0},(17,289):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(17,288):{'3_1':0.03},(17,287):{'4_1':0.0},(17,286):{'3_1':0.0},(17,285):{'3_1':0.03},(17,284):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(17,283):{'3_1':0.03,'5_2':0.0},(17,282):{'3_1':0.03},(17,281):{'3_1':0.0},(17,280):{'3_1':0.03,'5_2':0.0},(17,279):{'3_1':0.06,'5_2':0.0},(17,278):{'3_1':0.03,'5_1':0.0},(17,277):{'3_1':0.06},(17,276):{'3_1':0.0},(17,275):{'3_1':0.06},(17,274):{'3_1':0.03},(17,273):{'3_1':0.03},(17,272):{'3_1':0.03},(17,271):{'3_1':0.0},(17,270):{'3_1':0.0,'4_1':0.0},(17,269):{'3_1':0.03,'5_2':0.0},(17,268):{'3_1':0.03,'7_5':0.0},(17,267):{'3_1':0.06},(17,266):{'3_1':0.03,'5_2':0.0},(17,265):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(17,264):{'3_1':0.03},(17,263):{'3_1':0.03},(17,262):{'3_1':0.03},(17,261):{'3_1':0.0},(17,260):{'3_1':0.0},(17,259):{'3_1':0.0},(17,258):{'3_1':0.0},(17,257):{'5_1':0.0,'3_1':0.0},(17,256):{'3_1':0.0},(17,255):{'3_1':0.0},(17,254):{'3_1':0.0,'7_1':0.0},(17,253):{'3_1':0.0},(17,252):{'3_1':0.06},(17,251):{'3_1':0.0},(17,250):{'3_1':0.03},(17,248):{'3_1':0.03},(17,247):{'3_1':0.0},(17,246):{'3_1':0.0},(17,245):{'3_1':0.0,'4_1':0.0},(17,244):{'3_1':0.03},(17,243):{'3_1':0.0},(17,241):{'3_1':0.0},(17,240):{'3_1':0.0,'4_1':0.0},(17,239):{'3_1':0.03},(17,238):{'3_1':0.0},(17,237):{'3_1':0.06,'4_1':0.0},(17,236):{'3_1':0.03},(17,235):{'3_1':0.06},(17,234):{'3_1':0.0},(17,233):{'3_1':0.03,'4_1':0.0},(17,232):{'3_1':0.0,'5_2':0.0},(17,231):{'3_1':0.03},(17,230):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(17,229):{'3_1':0.0,'4_1':0.0},(17,228):{'3_1':0.0},(17,227):{'3_1':0.0},(17,225):{'3_1':0.0},(17,224):{'3_1':0.06},(17,223):{'3_1':0.06},(17,222):{'3_1':0.0},(17,221):{'3_1':0.03},(17,220):{'3_1':0.0},(17,218):{'3_1':0.0},(17,217):{'3_1':0.0},(17,216):{'3_1':0.0},(17,215):{'3_1':0.0},(17,214):{'3_1':0.0},(17,213):{'3_1':0.0},(17,211):{'3_1':0.03},(17,210):{'3_1':0.0},(17,209):{'3_1':0.0,'4_1':0.0},(17,208):{'3_1':0.0},(17,207):{'3_1':0.0},(17,206):{'3_1':0.0},(17,205):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(17,204):{'3_1':0.0},(17,203):{'3_1':0.0},(17,202):{'3_1':0.0},(17,201):{'3_1':0.0},(17,200):{'3_1':0.03},(17,199):{'3_1':0.0},(17,198):{'3_1':0.0,'5_2':0.0},(17,197):{'3_1':0.03},(17,196):{'3_1':0.0},(17,195):{'3_1':0.0},(17,194):{'3_1':0.0},(17,193):{'3_1':0.03},(17,192):{'3_1':0.0},(17,191):{'3_1':0.0},(17,190):{'3_1':0.0},(17,188):{'3_1':0.0},(17,187):{'3_1':0.0},(17,186):{'3_1':0.0},(17,185):{'3_1':0.0},(17,184):{'3_1':0.0},(17,183):{'3_1':0.0,'4_1':0.0},(17,182):{'3_1':0.0},(17,181):{'3_1':0.0},(17,180):{'3_1':0.0},(17,179):{'3_1':0.0},(17,178):{'3_1':0.0},(17,177):{'3_1':0.0},(17,175):{'3_1':0.0},(17,174):{'3_1':0.0},(17,173):{'3_1':0.0},(17,172):{'3_1':0.0},(17,171):{'3_1':0.0},(17,170):{'3_1':0.0},(17,169):{'3_1':0.0},(17,168):{'3_1':0.0},(17,167):{'3_1':0.0},(17,166):{'3_1':0.0,'6_2':0.0},(17,165):{'4_1':0.0},(17,164):{'3_1':0.0},(17,163):{'3_1':0.0},(17,162):{'3_1':0.0},(17,161):{'3_1':0.0},(17,160):{'3_1':0.0},(17,159):{'3_1':0.03,'4_1':0.0},(17,158):{'4_1':0.0,'5_1':0.0},(17,157):{'3_1':0.0},(17,156):{'3_1':0.03},(17,155):{'3_1':0.0},(17,154):{'3_1':0.0},(17,152):{'3_1':0.0},(17,151):{'3_1':0.0},(17,150):{'3_1':0.03},(17,149):{'3_1':0.0},(17,145):{'3_1':0.0},(17,144):{'3_1':0.03},(17,142):{'3_1':0.0},(17,141):{'3_1':0.0},(17,140):{'3_1':0.0},(17,139):{'3_1':0.0},(17,137):{'3_1':0.0},(17,136):{'3_1':0.03},(17,135):{'3_1':0.0},(17,134):{'3_1':0.0,'4_1':0.0},(17,133):{'3_1':0.0},(17,132):{'3_1':0.0},(17,131):{'3_1':0.0},(17,130):{'3_1':0.0},(17,129):{'3_1':0.0},(17,119):{'3_1':0.0},(17,118):{'3_1':0.0},(17,114):{'3_1':0.0},(17,111):{'3_1':0.0},(17,101):{'3_1':0.0},(17,100):{'3_1':0.0},(17,99):{'3_1':0.0},(17,97):{'3_1':0.0},(17,94):{'3_1':0.0},(17,90):{'5_2':0.0},(17,81):{'3_1':0.0},(17,76):{'3_1':0.0},(17,72):{'3_1':0.0},(17,68):{'3_1':0.0},(17,62):{'3_1':0.0},(17,60):{'3_1':0.0},(17,59):{'3_1':0.0},(17,56):{'3_1':0.0},(18,727):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(18,726):{'5_2':0.63,'-3':0.12,'3_1':0.09,'7_4':0.03,'8_13':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(18,725):{'5_2':0.66,'-3':0.09,'7_4':0.03,'3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3':-0.03},(18,724):{'5_2':0.66,'-3':0.09,'7_4':0.03,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'2':-0.03},(18,723):{'5_2':0.66,'-3':0.18,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(18,722):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_4':0.0,'7_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(18,721):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0},(18,720):{'5_2':0.75,'-3':0.09,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0},(18,719):{'5_2':0.57,'-3':0.12,'7_4':0.03,'3_1':0.03,'7_2':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(18,718):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(18,717):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(18,716):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.0,'6_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(18,715):{'5_2':0.66,'-3':0.06,'3_1':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(18,714):{'5_2':0.63,'7_4':0.06,'3_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_13':0.0,'7_2':0.0,'7_6':0.0},(18,713):{'5_2':0.69,'-3':0.09,'3_1':0.06,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(18,712):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(18,711):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_5':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(18,710):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_5':0.06,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(18,709):{'5_2':0.51,'-3':0.15,'5_1':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(18,708):{'5_2':0.51,'-3':0.15,'3_1':0.06,'5_1':0.06,'7_5':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(18,707):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(18,706):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(18,705):{'5_2':0.51,'3_1':0.12,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(18,704):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(18,703):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.09,'5_1':0.03,'7_6':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(18,702):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_9':0.0},(18,701):{'5_2':0.48,'-3':0.18,'3_1':0.12,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(18,700):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_9':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(18,699):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(18,698):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(18,697):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(18,696):{'5_2':0.39,'-3':0.18,'3_1':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(18,695):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(18,694):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'1':-0.03},(18,693):{'5_2':0.45,'3_1':0.18,'-3':0.12,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(18,692):{'5_2':0.39,'3_1':0.21,'-3':0.12,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(18,691):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_3':0.06,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_5':0.0,'8_10':0.0},(18,690):{'5_2':0.33,'3_1':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(18,689):{'5_2':0.36,'3_1':0.3,'7_3':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0,'8_14':0.0},(18,688):{'5_2':0.42,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(18,687):{'5_2':0.45,'3_1':0.24,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0},(18,686):{'3_1':0.36,'5_2':0.24,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(18,685):{'3_1':0.42,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0,'8_13':0.0},(18,684):{'5_2':0.33,'3_1':0.3,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(18,683):{'3_1':0.39,'5_2':0.24,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(18,682):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_6':0.0},(18,681):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_3':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_8':0.0},(18,680):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'8_20|3_1#3_1':0.06,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(18,679):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(18,678):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'5_1':0.06,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(18,677):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(18,676):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0},(18,675):{'3_1':0.6,'5_2':0.09,'7_7':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(18,674):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(18,673):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(18,672):{'3_1':0.6,'7_4':0.06,'5_2':0.06,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'8_13':0.0},(18,671):{'3_1':0.6,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0},(18,670):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_8':0.0,'8_15':0.0},(18,669):{'3_1':0.66,'5_2':0.09,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_11':0.0},(18,668):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'-3':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(18,667):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.03,'6_3':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(18,666):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(18,665):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(18,664):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(18,663):{'3_1':0.57,'5_2':0.15,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(18,662):{'3_1':0.54,'5_2':0.15,'7_7':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(18,661):{'3_1':0.66,'5_2':0.12,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(18,660):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(18,659):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(18,658):{'3_1':0.54,'5_2':0.15,'7_7':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(18,657):{'3_1':0.6,'5_2':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,656):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,655):{'3_1':0.6,'5_2':0.09,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_1':0.0,'-3':0.0},(18,654):{'3_1':0.6,'5_2':0.09,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(18,653):{'3_1':0.48,'5_2':0.15,'7_7':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_10':0.0},(18,652):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(18,651):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(18,650):{'3_1':0.48,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(18,649):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,648):{'3_1':0.39,'5_2':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(18,647):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_4':0.0,'8_3':0.0,'8_19':0.0,'-3':0.0},(18,646):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(18,645):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(18,644):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_8':0.0},(18,643):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0},(18,642):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0},(18,641):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(18,640):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(18,639):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(18,638):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(18,637):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(18,636):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(18,635):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(18,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(18,633):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_6':0.0,'7_1':0.0},(18,632):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,631):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(18,630):{'3_1':0.06,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(18,629):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,628):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(18,627):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_6':0.0,'8_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,626):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(18,625):{'3_1':0.09,'5_2':0.09,'7_3':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'8_15':0.0},(18,624):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0},(18,623):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(18,622):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(18,621):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'8_19':0.0,'5_1':0.0,'7_3':0.0},(18,620):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(18,619):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(18,618):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(18,617):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(18,616):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_3':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(18,615):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_11':0.0},(18,614):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(18,613):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0},(18,612):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(18,611):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(18,610):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,609):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(18,608):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_19':0.0},(18,607):{'3_1':0.15,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(18,606):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(18,605):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(18,604):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'8_12':0.0,'8_19':0.0,'3_1#5_1':0.0},(18,603):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_7':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(18,602):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0},(18,601):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_5':0.0},(18,600):{'3_1':0.15,'4_1':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(18,599):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0},(18,598):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(18,597):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(18,596):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(18,595):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(18,594):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(18,593):{'4_1':0.24,'3_1':0.09,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(18,592):{'4_1':0.21,'3_1':0.12,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(18,591):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(18,590):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(18,589):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(18,588):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(18,587):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(18,586):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(18,585):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0,'8_19':0.0},(18,584):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(18,583):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(18,582):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_6':0.0},(18,581):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(18,580):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(18,579):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'6_1':0.0,'5_2':0.0,'-3':0.0},(18,578):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(18,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,576):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(18,575):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(18,574):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(18,573):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'7_5':0.0},(18,572):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'7_5':0.0},(18,571):{'4_1':0.15,'5_1':0.0,'5_2':0.0,'3_1':0.0,'8_14':0.0},(18,570):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,569):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(18,568):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(18,567):{'4_1':0.09,'3_1':0.06,'6_2':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(18,566):{'4_1':0.18,'3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(18,565):{'4_1':0.15,'3_1':0.03,'6_1':0.0},(18,564):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0},(18,563):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(18,562):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0},(18,561):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(18,560):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_5':0.0},(18,559):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(18,558):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(18,557):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(18,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0},(18,555):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(18,554):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(18,553):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(18,552):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0},(18,551):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(18,550):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'6_3':0.0},(18,549):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,548):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_2':0.0},(18,547):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,546):{'3_1':0.12,'4_1':0.03},(18,545):{'3_1':0.15,'4_1':0.03,'7_7':0.0},(18,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,543):{'3_1':0.12,'4_1':0.06},(18,542):{'3_1':0.21,'4_1':0.0,'6_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(18,540):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(18,539):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,538):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_5':0.0},(18,537):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(18,536):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(18,535):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(18,534):{'3_1':0.06,'4_1':0.03},(18,533):{'3_1':0.18,'4_1':0.0},(18,532):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(18,531):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(18,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(18,529):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(18,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(18,527):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_2':0.0},(18,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,525):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(18,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(18,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(18,522):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(18,521):{'3_1':0.0},(18,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(18,519):{'3_1':0.06,'4_1':0.0},(18,518):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0},(18,517):{'3_1':0.0,'4_1':0.0},(18,516):{'3_1':0.0},(18,515):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(18,514):{'3_1':0.03,'6_1':0.0},(18,513):{'3_1':0.06,'4_1':0.0},(18,512):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(18,511):{'3_1':0.03},(18,510):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(18,509):{'3_1':0.0},(18,508):{'3_1':0.0,'5_2':0.0},(18,507):{'3_1':0.03,'4_1':0.0},(18,506):{'3_1':0.06},(18,505):{'3_1':0.03,'5_1':0.0},(18,504):{'5_1':0.0,'3_1':0.0},(18,503):{'3_1':0.03,'5_1':0.0},(18,502):{'3_1':0.03,'6_1':0.0},(18,501):{'3_1':0.03},(18,500):{'3_1':0.0,'4_1':0.0},(18,499):{'3_1':0.0,'5_1':0.0},(18,498):{'3_1':0.06},(18,497):{'3_1':0.03,'4_1':0.03},(18,496):{'3_1':0.03,'7_5':0.0},(18,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,494):{'3_1':0.09,'6_1':0.0},(18,493):{'3_1':0.06,'4_1':0.0},(18,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,491):{'3_1':0.06,'4_1':0.0},(18,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,489):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(18,488):{'3_1':0.06,'4_1':0.03},(18,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(18,485):{'3_1':0.06,'4_1':0.0},(18,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(18,483):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(18,482):{'3_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0},(18,481):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,480):{'3_1':0.06,'4_1':0.0},(18,479):{'3_1':0.15,'4_1':0.0,'7_5':0.0},(18,478):{'3_1':0.09,'5_1':0.0},(18,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(18,475):{'3_1':0.03},(18,474):{'3_1':0.09,'5_1':0.0},(18,473):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(18,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,471):{'3_1':0.06,'4_1':0.03},(18,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,469):{'3_1':0.03,'4_1':0.0},(18,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,467):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,466):{'3_1':0.06,'5_1':0.0},(18,465):{'3_1':0.03,'5_1':0.0},(18,464):{'3_1':0.03,'8_20|3_1#3_1':0.0},(18,463):{'3_1':0.03,'5_2':0.0},(18,462):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,461):{'3_1':0.03},(18,460):{'3_1':0.03,'4_1':0.0},(18,459):{'3_1':0.03,'5_2':0.0},(18,458):{'3_1':0.03,'4_1':0.0},(18,457):{'3_1':0.06,'5_1':0.0},(18,456):{'3_1':0.0},(18,455):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(18,454):{'3_1':0.0,'4_1':0.0},(18,453):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(18,452):{'3_1':0.03},(18,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(18,450):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(18,449):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(18,448):{'3_1':0.0,'4_1':0.0},(18,447):{'3_1':0.03},(18,446):{'3_1':0.03,'4_1':0.0},(18,445):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(18,444):{'3_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(18,443):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(18,442):{'3_1':0.06,'4_1':0.0},(18,441):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(18,440):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(18,439):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(18,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(18,437):{'3_1':0.0},(18,436):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,435):{'3_1':0.06,'5_1':0.0},(18,434):{'3_1':0.0},(18,433):{'3_1':0.09,'5_2':0.0},(18,432):{'3_1':0.06},(18,431):{'3_1':0.06},(18,430):{'3_1':0.0},(18,429):{'3_1':0.06},(18,428):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(18,427):{'3_1':0.06,'5_2':0.0},(18,426):{'3_1':0.06,'4_1':0.0},(18,425):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(18,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(18,423):{'3_1':0.03,'5_2':0.0},(18,422):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(18,421):{'3_1':0.03,'5_2':0.0},(18,420):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(18,419):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(18,418):{'3_1':0.03,'7_3':0.0},(18,417):{'3_1':0.09,'4_1':0.0},(18,416):{'3_1':0.03},(18,415):{'3_1':0.06},(18,414):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(18,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,412):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(18,411):{'3_1':0.06},(18,410):{'3_1':0.03},(18,409):{'3_1':0.0,'5_2':0.0},(18,408):{'3_1':0.06},(18,407):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,406):{'3_1':0.06},(18,405):{'3_1':0.03,'5_1':0.0},(18,404):{'3_1':0.03,'5_1':0.0},(18,403):{'3_1':0.0,'5_1':0.0},(18,402):{'3_1':0.03},(18,401):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(18,400):{'3_1':0.0},(18,399):{'3_1':0.03},(18,398):{'3_1':0.03,'5_2':0.0},(18,397):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(18,396):{'3_1':0.0,'4_1':0.0},(18,395):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(18,394):{'3_1':0.0},(18,393):{'3_1':0.0},(18,392):{'3_1':0.0},(18,391):{'3_1':0.03,'4_1':0.0},(18,390):{'3_1':0.06,'5_1':0.0},(18,389):{'3_1':0.0,'5_1':0.0},(18,388):{'3_1':0.06,'4_1':0.0},(18,387):{'3_1':0.0,'4_1':0.0},(18,386):{'3_1':0.03,'5_1':0.0},(18,385):{'3_1':0.06},(18,384):{'3_1':0.03},(18,383):{'3_1':0.03},(18,382):{'3_1':0.03},(18,381):{'3_1':0.03,'6_1':0.0},(18,380):{'3_1':0.06},(18,379):{'3_1':0.06},(18,378):{'3_1':0.0,'4_1':0.0},(18,377):{'3_1':0.03,'4_1':0.0},(18,376):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,375):{'3_1':0.06,'4_1':0.0},(18,374):{'3_1':0.03,'4_1':0.0},(18,373):{'3_1':0.09,'5_2':0.0},(18,372):{'3_1':0.0},(18,371):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(18,370):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(18,369):{'3_1':0.03,'7_1':0.0},(18,368):{'3_1':0.0,'4_1':0.0},(18,367):{'3_1':0.03,'5_2':0.0},(18,366):{'3_1':0.0,'5_2':0.0},(18,365):{'3_1':0.0},(18,364):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(18,363):{'3_1':0.03},(18,362):{'3_1':0.0,'4_1':0.0},(18,361):{'4_1':0.0,'3_1':0.0},(18,360):{'3_1':0.0,'4_1':0.0},(18,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,357):{'3_1':0.03,'5_2':0.0},(18,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,355):{'3_1':0.0,'5_2':0.0},(18,354):{'4_1':0.0,'3_1':0.0,'7_1':0.0},(18,353):{'3_1':0.03},(18,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,350):{'3_1':0.0,'4_1':0.0},(18,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,348):{'3_1':0.03},(18,347):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(18,346):{'3_1':0.06},(18,345):{'3_1':0.06,'4_1':0.0},(18,344):{'3_1':0.03},(18,343):{'3_1':0.0,'5_2':0.0},(18,342):{'3_1':0.06},(18,341):{'3_1':0.06},(18,340):{'3_1':0.03},(18,339):{'3_1':0.03},(18,338):{'3_1':0.0,'5_2':0.0},(18,337):{'3_1':0.06,'5_1':0.0},(18,336):{'3_1':0.03},(18,335):{'3_1':0.03},(18,334):{'3_1':0.06},(18,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(18,332):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(18,331):{'3_1':0.0},(18,330):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(18,329):{'3_1':0.0},(18,328):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(18,327):{'3_1':0.0},(18,326):{'3_1':0.03,'6_3':0.0},(18,325):{'3_1':0.03},(18,324):{'3_1':0.03},(18,323):{'3_1':0.03},(18,322):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(18,321):{'3_1':0.06},(18,320):{'3_1':0.0},(18,319):{'3_1':0.0},(18,318):{'3_1':0.0,'5_2':0.0},(18,317):{'3_1':0.0},(18,316):{'3_1':0.0,'5_2':0.0},(18,315):{'3_1':0.03,'4_1':0.0},(18,314):{'3_1':0.0,'4_1':0.0},(18,313):{'3_1':0.06,'4_1':0.0},(18,312):{'3_1':0.06,'5_1':0.0},(18,311):{'3_1':0.03,'5_1':0.0},(18,310):{'3_1':0.0},(18,309):{'3_1':0.03},(18,308):{'3_1':0.03},(18,307):{'3_1':0.03},(18,306):{'3_1':0.0,'4_1':0.0},(18,305):{'3_1':0.03},(18,304):{'3_1':0.0},(18,303):{'3_1':0.03},(18,302):{'3_1':0.03,'4_1':0.0},(18,301):{'3_1':0.0},(18,300):{'3_1':0.03},(18,299):{'3_1':0.0},(18,298):{'3_1':0.0},(18,297):{'3_1':0.03},(18,296):{'3_1':0.0,'4_1':0.0},(18,295):{'3_1':0.03},(18,294):{'3_1':0.0},(18,293):{'3_1':0.0,'4_1':0.0},(18,292):{'3_1':0.0},(18,291):{'3_1':0.0},(18,290):{'3_1':0.03,'7_4':0.0},(18,289):{'3_1':0.03,'5_1':0.0},(18,288):{'3_1':0.0,'4_1':0.0},(18,287):{'3_1':0.0},(18,286):{'3_1':0.0},(18,285):{'3_1':0.0},(18,284):{'4_1':0.0},(18,283):{'3_1':0.0},(18,281):{'3_1':0.0},(18,280):{'3_1':0.0},(18,279):{'3_1':0.03},(18,278):{'3_1':0.03},(18,277):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(18,276):{'3_1':0.0},(18,275):{'3_1':0.0},(18,274):{'3_1':0.0},(18,273):{'3_1':0.03,'4_1':0.0},(18,272):{'3_1':0.03},(18,271):{'3_1':0.0},(18,270):{'3_1':0.03},(18,269):{'3_1':0.0},(18,268):{'3_1':0.03,'5_1':0.0},(18,267):{'3_1':0.03},(18,266):{'3_1':0.03,'6_1':0.0},(18,265):{'3_1':0.0},(18,264):{'3_1':0.03},(18,263):{'3_1':0.03},(18,262):{'3_1':0.0},(18,261):{'3_1':0.03,'5_2':0.0},(18,260):{'3_1':0.06},(18,259):{'3_1':0.03},(18,258):{'3_1':0.0},(18,257):{'3_1':0.0},(18,256):{'3_1':0.0,'5_2':0.0},(18,255):{'3_1':0.03},(18,254):{'3_1':0.0},(18,253):{'3_1':0.0,'5_1':0.0},(18,252):{'3_1':0.0},(18,251):{'3_1':0.0},(18,250):{'3_1':0.0},(18,249):{'3_1':0.0},(18,248):{'3_1':0.0},(18,247):{'3_1':0.0},(18,246):{'3_1':0.0,'5_2':0.0},(18,245):{'3_1':0.0,'4_1':0.0},(18,244):{'3_1':0.03,'4_1':0.0},(18,243):{'3_1':0.0},(18,242):{'4_1':0.0},(18,241):{'3_1':0.0,'4_1':0.0},(18,240):{'3_1':0.0},(18,239):{'3_1':0.03},(18,238):{'3_1':0.0},(18,237):{'3_1':0.03},(18,236):{'3_1':0.0},(18,235):{'3_1':0.0},(18,234):{'3_1':0.0},(18,233):{'3_1':0.0},(18,232):{'3_1':0.0},(18,231):{'3_1':0.0},(18,230):{'3_1':0.03},(18,229):{'3_1':0.0},(18,227):{'3_1':0.0},(18,226):{'3_1':0.03},(18,225):{'3_1':0.0},(18,223):{'3_1':0.0},(18,222):{'3_1':0.0},(18,221):{'3_1':0.0},(18,220):{'3_1':0.0},(18,219):{'3_1':0.0},(18,218):{'3_1':0.0},(18,217):{'3_1':0.0},(18,216):{'3_1':0.0},(18,215):{'3_1':0.0},(18,214):{'3_1':0.03},(18,213):{'3_1':0.0,'5_2':0.0},(18,212):{'3_1':0.0},(18,211):{'3_1':0.0,'4_1':0.0},(18,209):{'3_1':0.03},(18,208):{'3_1':0.0},(18,205):{'4_1':0.0},(18,203):{'3_1':0.0},(18,202):{'3_1':0.0,'4_1':0.0},(18,201):{'3_1':0.0},(18,200):{'3_1':0.0},(18,199):{'3_1':0.0},(18,198):{'3_1':0.0},(18,197):{'3_1':0.0},(18,195):{'3_1':0.0},(18,194):{'3_1':0.03,'5_1':0.0},(18,193):{'3_1':0.0,'5_1':0.0},(18,192):{'3_1':0.0},(18,191):{'3_1':0.0},(18,190):{'3_1':0.0},(18,189):{'3_1':0.0},(18,188):{'3_1':0.0},(18,187):{'3_1':0.0},(18,186):{'3_1':0.03},(18,185):{'3_1':0.0},(18,184):{'3_1':0.03},(18,183):{'3_1':0.0,'4_1':0.0},(18,182):{'3_1':0.0},(18,181):{'3_1':0.0},(18,179):{'3_1':0.0,'6_2':0.0},(18,178):{'3_1':0.0,'4_1':0.0},(18,176):{'3_1':0.0},(18,175):{'3_1':0.0,'5_1':0.0},(18,174):{'3_1':0.03},(18,173):{'3_1':0.0},(18,172):{'3_1':0.0,'4_1':0.0},(18,171):{'3_1':0.0,'4_1':0.0},(18,169):{'3_1':0.0},(18,168):{'3_1':0.0},(18,167):{'3_1':0.0},(18,166):{'3_1':0.0,'4_1':0.0},(18,165):{'3_1':0.03},(18,164):{'3_1':0.0},(18,163):{'3_1':0.0},(18,162):{'3_1':0.0},(18,161):{'3_1':0.0,'4_1':0.0},(18,159):{'3_1':0.0},(18,158):{'3_1':0.0},(18,157):{'4_1':0.0},(18,156):{'3_1':0.0},(18,154):{'3_1':0.0},(18,152):{'3_1':0.0},(18,151):{'3_1':0.0},(18,150):{'3_1':0.03},(18,149):{'3_1':0.0},(18,148):{'3_1':0.03},(18,147):{'3_1':0.0},(18,146):{'3_1':0.0},(18,145):{'3_1':0.0},(18,144):{'3_1':0.0},(18,143):{'3_1':0.0,'4_1':0.0},(18,142):{'3_1':0.0},(18,141):{'3_1':0.0},(18,140):{'3_1':0.0},(18,139):{'5_1':0.0},(18,137):{'3_1':0.0},(18,136):{'5_1':0.0},(18,133):{'3_1':0.03},(18,132):{'3_1':0.0},(18,130):{'3_1':0.0},(18,129):{'3_1':0.0},(18,118):{'3_1':0.0},(18,116):{'3_1':0.0},(18,113):{'3_1':0.0},(18,111):{'3_1':0.0},(18,105):{'3_1':0.0},(18,101):{'3_1':0.0},(18,100):{'3_1':0.0},(18,97):{'3_1':0.0},(18,95):{'3_1':0.0},(18,94):{'3_1':0.0,'4_1':0.0},(18,93):{'3_1':0.0},(18,90):{'3_1':0.0},(18,81):{'3_1':0.0},(18,80):{'3_1':0.0},(18,77):{'3_1':0.0},(18,70):{'4_1':0.0},(18,68):{'3_1':0.0},(18,67):{'3_1':0.0},(18,66):{'3_1':0.0},(18,65):{'3_1':0.0},(18,64):{'3_1':0.0},(18,63):{'3_1':0.0},(18,62):{'3_1':0.0},(18,61):{'3_1':0.0},(18,60):{'3_1':0.0},(18,59):{'3_1':0.03},(18,58):{'5_2':0.0},(18,56):{'3_1':0.0},(18,54):{'3_1':0.0},(19,727):{'5_2':0.6,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,726):{'5_2':0.69,'-3':0.12,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,725):{'5_2':0.72,'-3':0.09,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(19,724):{'5_2':0.57,'-3':0.09,'7_4':0.09,'3_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0},(19,723):{'5_2':0.66,'-3':0.12,'3_1#5_2':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(19,722):{'5_2':0.66,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(19,721):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0},(19,720):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.06,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(19,719):{'5_2':0.69,'-3':0.06,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'1':-0.03},(19,718):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(19,717):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0},(19,716):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,715):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(19,714):{'5_2':0.66,'-3':0.12,'7_4':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(19,713):{'5_2':0.54,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(19,712):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(19,711):{'5_2':0.57,'-3':0.09,'7_5':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(19,710):{'5_2':0.54,'-3':0.15,'5_1':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0},(19,709):{'5_2':0.66,'-3':0.09,'5_1':0.03,'3_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'2':-0.03},(19,708):{'5_2':0.57,'-3':0.12,'5_1':0.06,'3_1':0.06,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'8_21|3_1#4_1':0.0},(19,707):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0},(19,706):{'5_2':0.48,'3_1':0.18,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(19,705):{'5_2':0.48,'3_1':0.12,'-3':0.12,'3_1#5_2':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(19,704):{'5_2':0.51,'3_1':0.18,'-3':0.12,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,703):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(19,702):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_6':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(19,701):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(19,700):{'5_2':0.48,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,699):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(19,698):{'5_2':0.54,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(19,697):{'5_2':0.45,'-3':0.18,'3_1':0.12,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(19,696):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(19,695):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(19,694):{'5_2':0.45,'3_1':0.15,'-3':0.12,'7_3':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(19,693):{'5_2':0.42,'3_1':0.18,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(19,692):{'5_2':0.39,'3_1':0.24,'-3':0.12,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'6_3':0.0,'7_6':0.0},(19,691):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'8_10':0.0,'8_14':0.0},(19,690):{'5_2':0.33,'3_1':0.27,'-3':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(19,689):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(19,688):{'5_2':0.3,'3_1':0.27,'-3':0.15,'7_4':0.03,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(19,687):{'5_2':0.33,'3_1':0.24,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_6':0.0,'8_19':0.0},(19,686):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,685):{'3_1':0.36,'5_2':0.24,'-3':0.09,'8_20|3_1#3_1':0.06,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_10':0.0},(19,684):{'3_1':0.39,'5_2':0.33,'7_4':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'8_5':0.0},(19,683):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'-3':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(19,682):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(19,681):{'3_1':0.48,'5_2':0.15,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0},(19,680):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'6_3':0.0,'8_3':0.0},(19,679):{'3_1':0.42,'5_2':0.15,'7_4':0.12,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(19,678):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'6_3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0},(19,677):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,676):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(19,675):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(19,674):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(19,673):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(19,672):{'3_1':0.57,'-3':0.06,'7_4':0.06,'5_2':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(19,671):{'3_1':0.69,'7_4':0.06,'5_2':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0},(19,670):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(19,669):{'3_1':0.63,'7_4':0.06,'5_2':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(19,668):{'3_1':0.66,'5_2':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0},(19,667):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_7':0.03,'7_3':0.0},(19,666):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0},(19,665):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(19,664):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'7_7':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0},(19,663):{'3_1':0.6,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(19,662):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(19,661):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'7_7':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,660):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(19,659):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(19,658):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,657):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,656):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0},(19,655):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0},(19,654):{'3_1':0.63,'5_2':0.12,'5_1':0.0,'6_2':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,653):{'3_1':0.45,'5_2':0.15,'7_7':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(19,652):{'3_1':0.48,'5_2':0.15,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(19,651):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,650):{'3_1':0.45,'5_2':0.12,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(19,649):{'3_1':0.39,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(19,648):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(19,647):{'3_1':0.33,'5_2':0.15,'-3':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(19,646):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_4':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(19,645):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(19,644):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0},(19,643):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(19,642):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(19,641):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(19,640):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(19,639):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_6':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(19,638):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(19,637):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,636):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,635):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_1':0.0},(19,634):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,633):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(19,632):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(19,631):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(19,630):{'5_2':0.09,'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(19,629):{'5_2':0.06,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(19,628):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_10':0.0},(19,627):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(19,626):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,625):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(19,624):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(19,623):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(19,622):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(19,621):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_1':0.0,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,620):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(19,619):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0},(19,618):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(19,617):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(19,616):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0},(19,615):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(19,614):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(19,613):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0},(19,612):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0},(19,611):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(19,610):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_16':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(19,609):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'-3':0.0,'7_2':0.0},(19,608):{'4_1':0.06,'3_1':0.03,'8_19':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(19,607):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(19,606):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(19,605):{'3_1':0.12,'4_1':0.12,'8_19':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(19,604):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(19,603):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(19,602):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(19,601):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(19,600):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,599):{'4_1':0.21,'3_1':0.09,'6_2':0.0,'5_2':0.0,'8_4':0.0},(19,598):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(19,597):{'4_1':0.3,'3_1':0.09,'6_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0},(19,596):{'3_1':0.09,'4_1':0.09,'7_7':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(19,595):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(19,594):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'6_2':0.0},(19,593):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(19,592):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(19,591):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(19,590):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(19,589):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0},(19,588):{'4_1':0.21,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(19,587):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0},(19,586):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(19,585):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(19,584):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(19,583):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'-3':0.0},(19,582):{'4_1':0.18,'3_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0},(19,581):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(19,580):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(19,579):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_2':0.0},(19,578):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(19,577):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(19,576):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(19,575):{'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(19,574):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(19,573):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'8_11':0.0,'5_2':0.0},(19,572):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(19,571):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0},(19,570):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_2':0.0},(19,569):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(19,568):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(19,567):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(19,566):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(19,565):{'4_1':0.15,'3_1':0.06,'6_1':0.0},(19,564):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(19,563):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'8_8':0.0},(19,562):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(19,561):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(19,560):{'4_1':0.09,'3_1':0.0,'7_7':0.0},(19,559):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(19,558):{'4_1':0.06,'3_1':0.03,'7_6':0.0},(19,557):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(19,556):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(19,555):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(19,554):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_3':0.0},(19,553):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(19,552):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(19,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(19,550):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(19,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(19,548):{'3_1':0.06,'4_1':0.0},(19,547):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(19,546):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(19,545):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(19,544):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(19,543):{'3_1':0.12,'4_1':0.03},(19,542):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(19,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(19,540):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(19,538):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(19,537):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,536):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(19,535):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(19,534):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(19,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(19,532):{'3_1':0.15,'4_1':0.0},(19,531):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'7_4':0.0},(19,530):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(19,529):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(19,528):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(19,527):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,526):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(19,525):{'3_1':0.09,'4_1':0.03},(19,524):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(19,523):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(19,522):{'3_1':0.06,'4_1':0.0},(19,521):{'3_1':0.06,'4_1':0.0},(19,520):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(19,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_8':0.0},(19,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,517):{'3_1':0.06,'4_1':0.0},(19,516):{'3_1':0.06,'4_1':0.0},(19,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,514):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,513):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(19,512):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(19,511):{'3_1':0.06,'4_1':0.0},(19,510):{'3_1':0.0,'8_20|3_1#3_1':0.0},(19,509):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(19,508):{'3_1':0.03,'4_1':0.0},(19,507):{'3_1':0.0,'4_1':0.0},(19,506):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(19,505):{'3_1':0.0,'4_1':0.0},(19,504):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,503):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(19,502):{'3_1':0.03,'6_1':0.0},(19,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,500):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(19,499):{'3_1':0.03},(19,498):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(19,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(19,496):{'3_1':0.0,'4_1':0.0},(19,495):{'3_1':0.0,'5_2':0.0},(19,494):{'3_1':0.03,'4_1':0.0},(19,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,491):{'3_1':0.03,'4_1':0.03},(19,490):{'3_1':0.0,'4_1':0.0},(19,489):{'3_1':0.03,'4_1':0.0},(19,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(19,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,486):{'3_1':0.03,'4_1':0.0},(19,485):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'8_8':0.0},(19,484):{'3_1':0.06,'4_1':0.0},(19,483):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(19,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(19,480):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(19,479):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(19,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(19,477):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(19,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(19,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_8':0.0},(19,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(19,473):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,472):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(19,471):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(19,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,469):{'3_1':0.0,'4_1':0.0},(19,468):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,467):{'3_1':0.0},(19,466):{'3_1':0.03,'4_1':0.0},(19,465):{'3_1':0.0},(19,464):{'3_1':0.0,'4_1':0.0},(19,463):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(19,462):{'3_1':0.03,'4_1':0.0},(19,461):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(19,460):{'4_1':0.0},(19,459):{'3_1':0.03,'4_1':0.0},(19,458):{'3_1':0.0},(19,457):{'3_1':0.0,'5_2':0.0},(19,456):{'3_1':0.06,'4_1':0.0},(19,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,454):{'5_1':0.03,'3_1':0.0,'5_2':0.0},(19,453):{'3_1':0.03},(19,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,451):{'3_1':0.0,'4_1':0.0},(19,450):{'3_1':0.0},(19,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,448):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_19':0.0},(19,447):{'3_1':0.03,'4_1':0.0},(19,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(19,445):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,444):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(19,443):{'3_1':0.0},(19,442):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(19,441):{'3_1':0.03,'4_1':0.0},(19,440):{'3_1':0.06,'5_2':0.0},(19,439):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,437):{'3_1':0.03,'5_1':0.0},(19,436):{'3_1':0.03,'5_2':0.0},(19,435):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(19,434):{'3_1':0.06,'5_2':0.0},(19,433):{'3_1':0.03,'5_1':0.0},(19,432):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(19,431):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,430):{'3_1':0.03,'5_1':0.0},(19,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,428):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,427):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(19,426):{'3_1':0.12,'6_1':0.0,'8_21|3_1#4_1':0.0},(19,425):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(19,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,423):{'3_1':0.09,'5_1':0.0},(19,422):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(19,421):{'3_1':0.06,'7_6':0.0},(19,420):{'3_1':0.03,'4_1':0.0},(19,419):{'5_2':0.0,'5_1':0.0,'6_3':0.0},(19,418):{'3_1':0.03,'5_2':0.0},(19,417):{'3_1':0.06,'4_1':0.0},(19,416):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(19,415):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(19,414):{'3_1':0.03,'5_1':0.0},(19,413):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,412):{'3_1':0.0},(19,411):{'3_1':0.03,'5_1':0.0},(19,410):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,409):{'3_1':0.0},(19,408):{'3_1':0.03,'5_1':0.0},(19,407):{'3_1':0.0},(19,406):{'3_1':0.03,'5_1':0.0},(19,405):{'3_1':0.03},(19,404):{'3_1':0.03},(19,403):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,402):{'3_1':0.0,'4_1':0.0},(19,401):{'3_1':0.03},(19,400):{'3_1':0.03,'5_1':0.0},(19,399):{'3_1':0.0},(19,398):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(19,397):{'3_1':0.0},(19,396):{'3_1':0.0,'5_2':0.0},(19,395):{'3_1':0.03},(19,394):{'3_1':0.03},(19,393):{'3_1':0.03},(19,392):{'3_1':0.0,'5_2':0.0},(19,391):{'3_1':0.0,'4_1':0.0},(19,390):{'3_1':0.0},(19,389):{'3_1':0.0},(19,388):{'3_1':0.03},(19,387):{'3_1':0.03},(19,386):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(19,385):{'3_1':0.0},(19,384):{'3_1':0.03},(19,383):{'3_1':0.03},(19,382):{'3_1':0.0,'4_1':0.0},(19,381):{'3_1':0.0,'4_1':0.0},(19,380):{'3_1':0.0,'4_1':0.0},(19,379):{'3_1':0.0,'4_1':0.0},(19,378):{'3_1':0.03},(19,377):{'3_1':0.03},(19,376):{'3_1':0.03,'5_1':0.0},(19,375):{'3_1':0.0},(19,374):{'3_1':0.03,'4_1':0.0},(19,373):{'3_1':0.0},(19,372):{'3_1':0.0,'4_1':0.0},(19,371):{'3_1':0.03,'4_1':0.0},(19,370):{'3_1':0.0},(19,369):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(19,368):{'3_1':0.03},(19,367):{'3_1':0.0},(19,366):{'3_1':0.03},(19,365):{'3_1':0.03,'4_1':0.0},(19,364):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'9_1':0.0},(19,363):{'3_1':0.0},(19,362):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(19,361):{'3_1':0.0,'4_1':0.0},(19,360):{'3_1':0.03,'4_1':0.0},(19,359):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(19,358):{'3_1':0.03,'5_1':0.0},(19,357):{'3_1':0.03},(19,356):{'3_1':0.03},(19,355):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(19,354):{'3_1':0.0,'4_1':0.0},(19,353):{'3_1':0.0},(19,352):{'3_1':0.0,'4_1':0.0},(19,351):{'3_1':0.03,'4_1':0.0},(19,350):{'3_1':0.03,'8_4':0.0},(19,348):{'3_1':0.0,'4_1':0.0},(19,347):{'3_1':0.0,'5_2':0.0,'7_4':0.0},(19,346):{'3_1':0.0},(19,345):{'3_1':0.0,'5_1':0.0},(19,344):{'3_1':0.0},(19,343):{'3_1':0.03},(19,342):{'3_1':0.0,'4_1':0.0},(19,341):{'3_1':0.0,'4_1':0.0},(19,340):{'3_1':0.0,'4_1':0.0},(19,339):{'3_1':0.0},(19,338):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(19,337):{'3_1':0.0,'4_1':0.0},(19,336):{'3_1':0.0,'5_1':0.0},(19,335):{'3_1':0.0},(19,333):{'3_1':0.0,'7_1':0.0},(19,332):{'3_1':0.0,'-3':0.0},(19,331):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,330):{'3_1':0.03,'4_1':0.0},(19,329):{'3_1':0.03},(19,328):{'3_1':0.03,'4_1':0.0},(19,327):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(19,326):{'3_1':0.03,'4_1':0.0},(19,325):{'3_1':0.0,'4_1':0.0},(19,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,323):{'3_1':0.0,'4_1':0.0},(19,322):{'3_1':0.06,'4_1':0.0},(19,321):{'3_1':0.0,'4_1':0.0},(19,320):{'3_1':0.03},(19,319):{'3_1':0.0,'4_1':0.0},(19,318):{'3_1':0.03,'4_1':0.0},(19,317):{'3_1':0.0},(19,316):{'3_1':0.03},(19,315):{'3_1':0.0,'8_21|3_1#4_1':0.0},(19,314):{'3_1':0.03},(19,313):{'3_1':0.0,'4_1':0.0},(19,312):{'3_1':0.0,'4_1':0.0},(19,311):{'3_1':0.0,'4_1':0.0},(19,310):{'3_1':0.03},(19,309):{'3_1':0.03},(19,308):{'3_1':0.0},(19,307):{'3_1':0.03,'5_1':0.0},(19,306):{'3_1':0.03,'4_1':0.0},(19,305):{'3_1':0.03},(19,303):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(19,302):{'3_1':0.03,'6_1':0.0},(19,301):{'3_1':0.0,'4_1':0.0},(19,300):{'3_1':0.0,'7_2':0.0},(19,299):{'5_2':0.0},(19,298):{'3_1':0.03},(19,297):{'3_1':0.0},(19,296):{'3_1':0.0,'4_1':0.0},(19,295):{'3_1':0.0},(19,294):{'3_1':0.0},(19,293):{'3_1':0.03,'5_1':0.0},(19,292):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,291):{'3_1':0.0,'4_1':0.0},(19,289):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(19,288):{'3_1':0.03,'6_1':0.0},(19,287):{'3_1':0.0},(19,286):{'5_1':0.0},(19,285):{'3_1':0.0},(19,283):{'3_1':0.0,'5_1':0.0},(19,282):{'3_1':0.03,'5_1':0.0},(19,281):{'3_1':0.0},(19,280):{'3_1':0.0},(19,279):{'3_1':0.0,'4_1':0.0},(19,278):{'3_1':0.0},(19,277):{'3_1':0.06,'6_1':0.0},(19,276):{'3_1':0.03},(19,275):{'3_1':0.0,'5_1':0.0},(19,274):{'3_1':0.0,'4_1':0.0},(19,273):{'3_1':0.0},(19,272):{'3_1':0.0,'5_1':0.0},(19,271):{'3_1':0.03,'4_1':0.0},(19,270):{'3_1':0.0},(19,269):{'3_1':0.0,'5_1':0.0},(19,268):{'3_1':0.0,'5_1':0.0},(19,267):{'3_1':0.03,'4_1':0.0},(19,266):{'3_1':0.03},(19,265):{'3_1':0.03},(19,264):{'3_1':0.03,'5_2':0.0},(19,263):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(19,262):{'3_1':0.0,'5_1':0.0},(19,261):{'3_1':0.0},(19,260):{'3_1':0.03},(19,259):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,258):{'3_1':0.0},(19,257):{'3_1':0.0},(19,256):{'3_1':0.0,'4_1':0.0},(19,255):{'3_1':0.03},(19,254):{'3_1':0.0,'7_1':0.0},(19,253):{'3_1':0.0,'4_1':0.0},(19,252):{'3_1':0.0},(19,251):{'3_1':0.0},(19,250):{'3_1':0.0},(19,249):{'3_1':0.0},(19,248):{'3_1':0.0,'4_1':0.0},(19,247):{'3_1':0.03},(19,246):{'3_1':0.0,'5_1':0.0},(19,245):{'3_1':0.03},(19,244):{'3_1':0.0},(19,243):{'3_1':0.0},(19,242):{'3_1':0.0},(19,241):{'3_1':0.0},(19,240):{'3_1':0.03,'4_1':0.0},(19,239):{'3_1':0.0},(19,238):{'3_1':0.0},(19,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(19,236):{'3_1':0.0},(19,235):{'3_1':0.03},(19,234):{'3_1':0.0},(19,233):{'3_1':0.0},(19,232):{'3_1':0.0,'5_2':0.0},(19,231):{'3_1':0.0,'5_1':0.0},(19,230):{'3_1':0.0,'5_1':0.0},(19,229):{'3_1':0.0},(19,228):{'3_1':0.0},(19,227):{'3_1':0.0},(19,226):{'3_1':0.0},(19,225):{'3_1':0.03},(19,224):{'3_1':0.0},(19,223):{'3_1':0.0},(19,222):{'3_1':0.0},(19,221):{'3_1':0.0},(19,220):{'3_1':0.0},(19,219):{'3_1':0.03},(19,218):{'3_1':0.03},(19,217):{'3_1':0.03},(19,216):{'3_1':0.0},(19,215):{'3_1':0.0},(19,213):{'3_1':0.0},(19,212):{'3_1':0.0},(19,211):{'3_1':0.0},(19,210):{'3_1':0.0},(19,209):{'3_1':0.03},(19,208):{'3_1':0.03},(19,207):{'3_1':0.0,'4_1':0.0},(19,206):{'3_1':0.0},(19,205):{'3_1':0.0},(19,204):{'3_1':0.0},(19,203):{'3_1':0.0},(19,202):{'3_1':0.0},(19,201):{'3_1':0.0},(19,200):{'3_1':0.0},(19,199):{'3_1':0.0},(19,198):{'3_1':0.0},(19,197):{'3_1':0.0,'4_1':0.0},(19,196):{'3_1':0.0},(19,195):{'3_1':0.03},(19,194):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,191):{'3_1':0.0},(19,190):{'3_1':0.0},(19,189):{'3_1':0.0},(19,187):{'3_1':0.03},(19,186):{'3_1':0.0},(19,185):{'3_1':0.0},(19,184):{'3_1':0.0},(19,183):{'3_1':0.0},(19,182):{'3_1':0.0},(19,181):{'3_1':0.0},(19,180):{'3_1':0.0},(19,179):{'3_1':0.0,'4_1':0.0},(19,178):{'3_1':0.0},(19,177):{'3_1':0.0},(19,175):{'3_1':0.0},(19,174):{'3_1':0.0},(19,173):{'3_1':0.0},(19,172):{'3_1':0.0},(19,171):{'3_1':0.0},(19,169):{'3_1':0.0},(19,168):{'3_1':0.0},(19,167):{'3_1':0.0,'4_1':0.0},(19,166):{'3_1':0.0,'4_1':0.0},(19,165):{'3_1':0.0},(19,164):{'3_1':0.03},(19,163):{'3_1':0.03},(19,160):{'3_1':0.0,'4_1':0.0},(19,159):{'3_1':0.0,'4_1':0.0},(19,157):{'3_1':0.0},(19,156):{'3_1':0.0},(19,155):{'3_1':0.0},(19,154):{'3_1':0.0,'4_1':0.0},(19,153):{'3_1':0.0,'4_1':0.0},(19,152):{'3_1':0.0},(19,150):{'3_1':0.0},(19,149):{'3_1':0.0},(19,148):{'3_1':0.0},(19,146):{'3_1':0.0},(19,145):{'3_1':0.03},(19,144):{'3_1':0.0},(19,141):{'3_1':0.0},(19,140):{'3_1':0.0,'4_1':0.0},(19,139):{'3_1':0.0},(19,134):{'3_1':0.0},(19,133):{'3_1':0.0},(19,131):{'3_1':0.0},(19,130):{'3_1':0.0,'4_1':0.0},(19,129):{'3_1':0.0},(19,128):{'3_1':0.0},(19,120):{'3_1':0.0},(19,113):{'3_1':0.0},(19,109):{'3_1':0.0},(19,108):{'3_1':0.0},(19,106):{'5_2':0.0},(19,104):{'3_1':0.0},(19,101):{'3_1':0.0},(19,99):{'3_1':0.0},(19,94):{'3_1':0.0},(19,93):{'3_1':0.0},(19,81):{'3_1':0.0},(19,78):{'4_1':0.0},(19,75):{'3_1':0.0},(19,74):{'3_1':0.0,'4_1':0.0},(19,69):{'3_1':0.0},(19,66):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(19,65):{'3_1':0.0},(19,64):{'3_1':0.0},(19,63):{'3_1':0.0},(19,62):{'3_1':0.0},(19,61):{'3_1':0.0},(19,60):{'3_1':0.0},(19,58):{'3_1':0.0},(19,55):{'3_1':0.0},(20,727):{'5_2':0.6,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(20,726):{'5_2':0.69,'-3':0.12,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(20,725):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_5':0.0,'7_4':0.0,'8_8':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(20,724):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,723):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0},(20,722):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0},(20,721):{'5_2':0.63,'-3':0.09,'7_2':0.03,'5_1':0.03,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(20,720):{'5_2':0.69,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0,'1':-0.03},(20,719):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,718):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(20,717):{'5_2':0.6,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0},(20,716):{'5_2':0.57,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(20,715):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_13':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(20,714):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,713):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_7':0.0,'3_1#5_2':0.0},(20,712):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(20,711):{'5_2':0.54,'-3':0.12,'3_1':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(20,710):{'5_2':0.51,'-3':0.12,'7_5':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.03,'8_13':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(20,709):{'5_2':0.54,'-3':0.21,'3_1#5_2':0.03,'3_1':0.0,'6_3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,708):{'5_2':0.6,'-3':0.15,'5_1':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(20,707):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_4':0.06,'5_1':0.0,'8_8':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,706):{'5_2':0.51,'3_1':0.21,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_5':0.0,'8_14':0.0},(20,705):{'5_2':0.54,'3_1':0.12,'-3':0.12,'7_4':0.0,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(20,704):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'8_8':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_1':0.0},(20,703):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(20,702):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(20,701):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_3':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,700):{'5_2':0.45,'3_1':0.12,'-3':0.12,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(20,699):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(20,698):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(20,697):{'5_2':0.48,'-3':0.18,'3_1':0.12,'7_3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(20,696):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(20,695):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_3':0.06,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(20,694):{'5_2':0.42,'-3':0.15,'3_1':0.09,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(20,693):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(20,692):{'5_2':0.36,'3_1':0.24,'-3':0.12,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(20,691):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(20,690):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(20,689):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_4':0.06,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(20,688):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,687):{'5_2':0.3,'3_1':0.3,'-3':0.09,'7_4':0.03,'6_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,686):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(20,685):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(20,684):{'5_2':0.3,'3_1':0.3,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(20,683):{'3_1':0.33,'5_2':0.27,'-3':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(20,682):{'3_1':0.36,'5_2':0.24,'-3':0.09,'5_1':0.06,'7_4':0.0,'7_7':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(20,681):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(20,680):{'3_1':0.45,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,679):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'7_7':0.03,'-3':0.03,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(20,678):{'3_1':0.42,'7_4':0.09,'5_2':0.09,'6_3':0.03,'-3':0.03,'7_7':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,677):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(20,676):{'3_1':0.54,'5_2':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_16':0.0},(20,675):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_5':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0},(20,674):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(20,673):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(20,672):{'3_1':0.6,'5_2':0.06,'8_20|3_1#3_1':0.06,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(20,671):{'3_1':0.48,'7_4':0.09,'-3':0.09,'5_2':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0},(20,670):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(20,669):{'3_1':0.63,'5_2':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(20,668):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,667):{'3_1':0.63,'5_2':0.03,'-3':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0},(20,666):{'3_1':0.54,'5_2':0.09,'7_7':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_5':0.0},(20,665):{'3_1':0.6,'5_2':0.12,'7_7':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'1':-0.03},(20,664):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,663):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,662):{'3_1':0.57,'5_2':0.12,'7_7':0.03,'7_4':0.03,'5_1':0.03,'6_3':0.0,'-3':0.0,'6_1':0.0,'8_13':0.0},(20,661):{'3_1':0.51,'5_2':0.12,'7_7':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,660):{'3_1':0.54,'5_2':0.09,'-3':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,659):{'3_1':0.48,'5_2':0.15,'7_7':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(20,658):{'3_1':0.54,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(20,657):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0},(20,656):{'3_1':0.54,'5_2':0.15,'-3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0},(20,655):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(20,654):{'3_1':0.42,'5_2':0.15,'4_1':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(20,653):{'3_1':0.45,'5_2':0.06,'7_7':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(20,652):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'-3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(20,651):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(20,650):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,649):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(20,648):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(20,647):{'3_1':0.36,'5_2':0.12,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(20,646):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(20,645):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(20,644):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(20,643):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'-3':0.06,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0},(20,642):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0},(20,641):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(20,640):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(20,639):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,638):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(20,637):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,636):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(20,635):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(20,634):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(20,633):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(20,632):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,631):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(20,630):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,629):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0},(20,628):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,627):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(20,626):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(20,625):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0},(20,624):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(20,623):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(20,622):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(20,621):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(20,620):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(20,619):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,618):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(20,617):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(20,616):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(20,615):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(20,614):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(20,613):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(20,612):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(20,611):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(20,610):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(20,609):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(20,608):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(20,607):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(20,606):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(20,605):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(20,604):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(20,603):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(20,602):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(20,601):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_2':0.0,'7_7':0.0,'8_5':0.0},(20,600):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(20,599):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(20,598):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'6_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(20,597):{'4_1':0.24,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(20,596):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'6_2':0.0,'-3':0.0},(20,595):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_5':0.0,'-3':0.0},(20,594):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,593):{'4_1':0.12,'3_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_12':0.0},(20,592):{'4_1':0.15,'5_2':0.03,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0},(20,591):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(20,590):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(20,589):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,588):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0},(20,587):{'4_1':0.27,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(20,586):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(20,585):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(20,584):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(20,583):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(20,582):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_7':0.0},(20,581):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'5_2':0.0,'7_6':0.0,'6_2':0.0},(20,580):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(20,579):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0},(20,578):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(20,577):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(20,576):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(20,575):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(20,574):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(20,573):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(20,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(20,571):{'4_1':0.21,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(20,570):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(20,569):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(20,568):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_6':0.0},(20,567):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(20,566):{'4_1':0.12,'6_2':0.0,'3_1':0.0,'7_5':0.0},(20,565):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0},(20,564):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(20,563):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(20,562):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(20,561):{'4_1':0.09,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(20,560):{'4_1':0.09,'3_1':0.06,'8_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(20,559):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(20,558):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(20,557):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(20,556):{'3_1':0.06,'4_1':0.06,'-3':0.0},(20,555):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(20,554):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0},(20,553):{'4_1':0.09,'3_1':0.06,'8_7':0.0,'8_20|3_1#3_1':0.0},(20,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(20,551):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(20,550):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(20,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(20,548):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(20,547):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0},(20,546):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(20,545):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(20,544):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(20,543):{'3_1':0.12,'4_1':0.06,'6_2':0.0},(20,542):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(20,541):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0},(20,540):{'3_1':0.15,'6_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,539):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(20,538):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(20,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,536):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(20,535):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(20,534):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(20,533):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(20,532):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(20,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(20,530):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,529):{'3_1':0.06,'4_1':0.03,'7_2':0.0},(20,528):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(20,527):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(20,526):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,525):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(20,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,523):{'3_1':0.03,'4_1':0.0},(20,522):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(20,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(20,520):{'3_1':0.03,'4_1':0.03},(20,519):{'3_1':0.06,'4_1':0.0},(20,518):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(20,516):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(20,515):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(20,514):{'3_1':0.03,'4_1':0.0},(20,513):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(20,512):{'3_1':0.06,'4_1':0.0},(20,511):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,509):{'3_1':0.06,'4_1':0.0},(20,508):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(20,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,506):{'4_1':0.0,'7_2':0.0,'3_1':0.0},(20,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(20,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,503):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(20,502):{'3_1':0.0,'4_1':0.0},(20,501):{'3_1':0.03,'4_1':0.0},(20,500):{'3_1':0.06},(20,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(20,498):{'3_1':0.06,'3_1#5_1':0.0},(20,497):{'3_1':0.03,'6_2':0.0},(20,496):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(20,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,494):{'3_1':0.0,'5_2':0.0},(20,493):{'3_1':0.03,'5_1':0.0},(20,492):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(20,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,490):{'3_1':0.09,'5_2':0.0,'7_2':0.0,'4_1':0.0,'-3':0.0},(20,489):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'-3':0.0},(20,488):{'3_1':0.06,'4_1':0.0},(20,487):{'3_1':0.03,'4_1':0.0},(20,486):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(20,484):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(20,483):{'3_1':0.03,'4_1':0.0},(20,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,480):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(20,479):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(20,478):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(20,477):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(20,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(20,475):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_2':0.0},(20,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0},(20,473):{'3_1':0.03,'4_1':0.0},(20,472):{'3_1':0.03,'4_1':0.0},(20,471):{'3_1':0.12,'4_1':0.0},(20,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,469):{'3_1':0.03},(20,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(20,467):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(20,466):{'3_1':0.03,'4_1':0.0},(20,465):{'3_1':0.03,'4_1':0.0},(20,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(20,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,462):{'3_1':0.0,'5_2':0.0},(20,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,458):{'3_1':0.0,'7_2':0.0},(20,457):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,456):{'3_1':0.03,'4_1':0.0},(20,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,454):{'3_1':0.0,'4_1':0.0},(20,453):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(20,452):{'3_1':0.03,'4_1':0.0},(20,451):{'4_1':0.03,'3_1':0.0},(20,450):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,449):{'3_1':0.03,'5_2':0.0},(20,448):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(20,447):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'8_1':0.0},(20,446):{'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(20,445):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,444):{'4_1':0.0,'5_1':0.0},(20,443):{'3_1':0.0,'4_1':0.0},(20,442):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,441):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,439):{'5_1':0.03,'3_1':0.0,'4_1':0.0},(20,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,437):{'3_1':0.03},(20,436):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(20,435):{'3_1':0.0},(20,434):{'3_1':0.03,'6_2':0.0},(20,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,432):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(20,431):{'3_1':0.06},(20,430):{'3_1':0.03,'5_1':0.0},(20,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,428):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(20,427):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(20,426):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(20,425):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(20,424):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0},(20,423):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,422):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(20,421):{'3_1':0.06,'4_1':0.0},(20,420):{'3_1':0.06,'5_2':0.0},(20,419):{'3_1':0.06,'5_1':0.0},(20,418):{'3_1':0.03},(20,417):{'3_1':0.03,'5_2':0.0},(20,416):{'3_1':0.06,'6_3':0.0},(20,415):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(20,414):{'3_1':0.06},(20,413):{'3_1':0.03,'5_2':0.0},(20,412):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(20,411):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,410):{'3_1':0.03,'6_2':0.0},(20,409):{'3_1':0.06,'4_1':0.0},(20,408):{'3_1':0.06},(20,407):{'3_1':0.03},(20,406):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,405):{'3_1':0.03},(20,404):{'3_1':0.03},(20,403):{'3_1':0.03,'5_2':0.0},(20,402):{'3_1':0.0,'8_20|3_1#3_1':0.0},(20,401):{'3_1':0.03},(20,400):{'3_1':0.03,'4_1':0.0},(20,399):{'3_1':0.06},(20,398):{'3_1':0.03,'4_1':0.0},(20,397):{'3_1':0.0},(20,396):{'3_1':0.03},(20,395):{'3_1':0.0},(20,394):{'3_1':0.03},(20,393):{'3_1':0.06,'4_1':0.0},(20,392):{'3_1':0.03,'4_1':0.0},(20,391):{'3_1':0.09},(20,390):{'3_1':0.03},(20,389):{'3_1':0.06},(20,388):{'3_1':0.06},(20,387):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,386):{'3_1':0.0},(20,385):{'3_1':0.03,'4_1':0.0},(20,384):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,383):{'3_1':0.06},(20,382):{'3_1':0.03,'5_2':0.0},(20,381):{'3_1':0.03,'4_1':0.0},(20,380):{'3_1':0.06},(20,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(20,378):{'3_1':0.06},(20,377):{'3_1':0.03},(20,376):{'3_1':0.03,'5_1':0.0},(20,375):{'3_1':0.0,'4_1':0.0},(20,374):{'3_1':0.06},(20,373):{'3_1':0.03,'5_1':0.0},(20,372):{'3_1':0.03,'4_1':0.0},(20,371):{'3_1':0.03,'4_1':0.0},(20,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,369):{'3_1':0.0,'4_1':0.0},(20,368):{'3_1':0.03},(20,367):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,366):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(20,365):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,364):{'3_1':0.0,'4_1':0.0},(20,363):{'3_1':0.0,'4_1':0.0},(20,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,361):{'3_1':0.0},(20,360):{'3_1':0.0,'4_1':0.0},(20,359):{'3_1':0.0},(20,358):{'3_1':0.0},(20,357):{'3_1':0.03,'4_1':0.0},(20,356):{'3_1':0.03},(20,355):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(20,354):{'3_1':0.0,'5_1':0.0},(20,353):{'3_1':0.03,'4_1':0.0},(20,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,351):{'3_1':0.0,'4_1':0.0},(20,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,349):{'3_1':0.0},(20,348):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(20,347):{'3_1':0.0},(20,346):{'3_1':0.0,'4_1':0.0},(20,344):{'3_1':0.06},(20,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(20,342):{'3_1':0.0,'4_1':0.0},(20,341):{'3_1':0.03},(20,340):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,339):{'3_1':0.03},(20,338):{'3_1':0.03,'5_1':0.0},(20,337):{'3_1':0.03},(20,336):{'3_1':0.0,'7_1':0.0,'4_1':0.0},(20,335):{'3_1':0.0},(20,334):{'3_1':0.0},(20,333):{'3_1':0.0},(20,332):{'3_1':0.0},(20,330):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(20,329):{'3_1':0.03,'4_1':0.0},(20,328):{'3_1':0.0},(20,327):{'3_1':0.0},(20,326):{'3_1':0.03,'4_1':0.0},(20,325):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(20,324):{'5_1':0.0},(20,323):{'3_1':0.03},(20,322):{'3_1':0.0,'4_1':0.0},(20,321):{'3_1':0.0},(20,320):{'3_1':0.03,'4_1':0.0},(20,319):{'3_1':0.03,'4_1':0.0},(20,318):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(20,317):{'3_1':0.03,'4_1':0.0},(20,316):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,315):{'3_1':0.03},(20,314):{'3_1':0.0,'4_1':0.0},(20,313):{'3_1':0.0,'5_2':0.0},(20,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,311):{'3_1':0.03,'5_1':0.0},(20,310):{'3_1':0.03,'4_1':0.0},(20,309):{'3_1':0.03,'4_1':0.0},(20,308):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,307):{'3_1':0.03},(20,306):{'3_1':0.0},(20,305):{'3_1':0.0},(20,304):{'3_1':0.0},(20,303):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,302):{'3_1':0.03,'4_1':0.0},(20,301):{'3_1':0.03},(20,299):{'3_1':0.03,'4_1':0.0},(20,298):{'3_1':0.0,'4_1':0.0},(20,297):{'3_1':0.06},(20,296):{'3_1':0.03,'6_2':0.0},(20,295):{'3_1':0.0},(20,294):{'3_1':0.0},(20,293):{'3_1':0.03,'4_1':0.0},(20,292):{'3_1':0.0,'5_2':0.0},(20,291):{'3_1':0.03},(20,290):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,289):{'3_1':0.03,'7_3':0.0},(20,288):{'3_1':0.03},(20,287):{'3_1':0.0},(20,286):{'3_1':0.0},(20,285):{'3_1':0.0},(20,284):{'3_1':0.03,'4_1':0.0},(20,283):{'3_1':0.0,'4_1':0.0},(20,282):{'3_1':0.0},(20,281):{'3_1':0.0,'4_1':0.0},(20,280):{'3_1':0.0,'6_1':0.0,'8_4':0.0},(20,279):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(20,278):{'3_1':0.0},(20,277):{'3_1':0.0,'8_20|3_1#3_1':0.0},(20,276):{'3_1':0.03,'5_1':0.0},(20,275):{'3_1':0.03},(20,274):{'3_1':0.0},(20,273):{'3_1':0.0,'5_2':0.0},(20,272):{'3_1':0.0},(20,271):{'3_1':0.0},(20,270):{'3_1':0.0,'5_1':0.0},(20,269):{'3_1':0.0},(20,268):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(20,267):{'3_1':0.0},(20,266):{'3_1':0.0},(20,265):{'3_1':0.03,'4_1':0.0},(20,264):{'3_1':0.06,'4_1':0.0},(20,263):{'3_1':0.0},(20,262):{'3_1':0.0},(20,261):{'3_1':0.0},(20,260):{'3_1':0.03,'4_1':0.0},(20,259):{'3_1':0.03,'5_1':0.0},(20,258):{'3_1':0.0},(20,257):{'3_1':0.03},(20,256):{'3_1':0.0},(20,255):{'3_1':0.03},(20,254):{'3_1':0.0},(20,253):{'3_1':0.0},(20,252):{'3_1':0.0},(20,251):{'3_1':0.0,'4_1':0.0},(20,250):{'3_1':0.03},(20,249):{'3_1':0.03},(20,248):{'3_1':0.0},(20,247):{'3_1':0.0,'5_2':0.0},(20,246):{'5_1':0.0},(20,245):{'3_1':0.0},(20,244):{'8_20|3_1#3_1':0.0},(20,243):{'3_1':0.0},(20,242):{'3_1':0.0,'4_1':0.0},(20,241):{'3_1':0.0},(20,240):{'3_1':0.0,'5_1':0.0},(20,239):{'3_1':0.0},(20,238):{'3_1':0.0},(20,237):{'3_1':0.03},(20,236):{'3_1':0.0},(20,235):{'3_1':0.0},(20,234):{'3_1':0.0},(20,233):{'3_1':0.03},(20,232):{'3_1':0.03},(20,231):{'3_1':0.0},(20,230):{'3_1':0.0},(20,229):{'3_1':0.03},(20,228):{'3_1':0.03},(20,227):{'3_1':0.03},(20,226):{'3_1':0.0},(20,225):{'3_1':0.0},(20,224):{'3_1':0.03},(20,222):{'3_1':0.0},(20,221):{'3_1':0.0},(20,220):{'3_1':0.0,'5_2':0.0},(20,219):{'3_1':0.03},(20,218):{'3_1':0.03},(20,217):{'3_1':0.0},(20,216):{'3_1':0.0},(20,215):{'3_1':0.0},(20,214):{'3_1':0.0},(20,213):{'3_1':0.0},(20,212):{'3_1':0.03},(20,209):{'3_1':0.0,'4_1':0.0},(20,208):{'3_1':0.0},(20,207):{'3_1':0.0},(20,206):{'3_1':0.0},(20,205):{'3_1':0.0},(20,204):{'3_1':0.0},(20,202):{'3_1':0.0},(20,201):{'3_1':0.0},(20,200):{'3_1':0.03},(20,199):{'3_1':0.0},(20,198):{'3_1':0.03},(20,197):{'3_1':0.03},(20,196):{'3_1':0.0},(20,195):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,194):{'3_1':0.0},(20,193):{'3_1':0.0},(20,192):{'3_1':0.0},(20,191):{'3_1':0.03,'4_1':0.0},(20,190):{'3_1':0.03},(20,189):{'3_1':0.0},(20,188):{'3_1':0.03},(20,187):{'3_1':0.0},(20,186):{'3_1':0.0},(20,184):{'3_1':0.0},(20,183):{'3_1':0.0},(20,182):{'3_1':0.0},(20,181):{'3_1':0.0},(20,180):{'3_1':0.03},(20,179):{'6_2':0.0},(20,178):{'3_1':0.0},(20,177):{'3_1':0.0},(20,176):{'3_1':0.03},(20,175):{'3_1':0.03,'7_1':0.0},(20,174):{'3_1':0.0},(20,173):{'3_1':0.0},(20,172):{'4_1':0.0},(20,171):{'3_1':0.0},(20,170):{'3_1':0.03},(20,169):{'3_1':0.0},(20,168):{'3_1':0.0},(20,167):{'3_1':0.0,'4_1':0.0},(20,166):{'3_1':0.03},(20,164):{'3_1':0.0},(20,163):{'3_1':0.0},(20,162):{'3_1':0.06},(20,161):{'3_1':0.03},(20,160):{'3_1':0.0,'4_1':0.0},(20,159):{'3_1':0.0},(20,158):{'3_1':0.0},(20,157):{'3_1':0.0},(20,155):{'3_1':0.03,'4_1':0.0},(20,152):{'3_1':0.0},(20,151):{'3_1':0.0},(20,147):{'3_1':0.0},(20,144):{'3_1':0.0},(20,143):{'3_1':0.0},(20,142):{'3_1':0.0},(20,141):{'3_1':0.0},(20,139):{'3_1':0.0,'5_1':0.0},(20,137):{'3_1':0.0},(20,136):{'3_1':0.0},(20,135):{'3_1':0.0,'4_1':0.0},(20,134):{'4_1':0.0},(20,132):{'3_1':0.0},(20,131):{'3_1':0.0,'5_1':0.0},(20,128):{'3_1':0.0},(20,120):{'3_1':0.0},(20,117):{'3_1':0.0,'5_2':0.0},(20,113):{'3_1':0.0},(20,112):{'3_1':0.0},(20,111):{'3_1':0.0},(20,109):{'3_1':0.0},(20,106):{'3_1':0.0},(20,99):{'3_1':0.0},(20,98):{'3_1':0.0},(20,95):{'3_1':0.0},(20,94):{'3_1':0.0},(20,92):{'3_1':0.0},(20,80):{'3_1':0.0},(20,79):{'3_1':0.0},(20,77):{'3_1':0.0},(20,76):{'3_1':0.0},(20,75):{'3_1':0.0},(20,74):{'3_1':0.0},(20,70):{'3_1':0.0},(20,67):{'3_1':0.0},(20,64):{'3_1':0.0},(20,63):{'3_1':0.03},(20,62):{'3_1':0.0},(20,61):{'3_1':0.03},(20,60):{'3_1':0.0},(20,59):{'3_1':0.0},(20,58):{'3_1':0.0,'4_1':0.0},(20,56):{'3_1':0.0},(21,727):{'5_2':0.6,'-3':0.15,'3_1':0.03,'5_1':0.03,'7_6':0.03,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(21,726):{'5_2':0.66,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'8_8':0.0,'7_2':0.0,'8_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(21,725):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(21,724):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(21,723):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(21,722):{'5_2':0.66,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(21,721):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'2':-0.03},(21,720):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(21,719):{'5_2':0.69,'7_4':0.06,'-3':0.06,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(21,718):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(21,717):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_1':0.0},(21,716):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,715):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(21,714):{'5_2':0.63,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,713):{'5_2':0.66,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(21,712):{'5_2':0.51,'-3':0.18,'3_1':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_10':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(21,711):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(21,710):{'5_2':0.57,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_5':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(21,709):{'5_2':0.57,'-3':0.15,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'1':-0.03},(21,708):{'5_2':0.57,'-3':0.12,'5_1':0.06,'3_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(21,707):{'5_2':0.6,'3_1':0.09,'7_4':0.09,'-3':0.09,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(21,706):{'5_2':0.57,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(21,705):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(21,704):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(21,703):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_6':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(21,702):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0},(21,701):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(21,700):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,699):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_6':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(21,698):{'5_2':0.54,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(21,697):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0},(21,696):{'5_2':0.42,'-3':0.18,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_13':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(21,695):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(21,694):{'5_2':0.48,'-3':0.15,'3_1':0.12,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(21,693):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'3_1#5_1':0.0},(21,692):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(21,691):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(21,690):{'3_1':0.36,'5_2':0.24,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(21,689):{'5_2':0.39,'3_1':0.3,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(21,688):{'5_2':0.45,'3_1':0.24,'7_4':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(21,687):{'5_2':0.33,'3_1':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(21,686):{'3_1':0.27,'5_2':0.27,'-3':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_15':0.0},(21,685):{'3_1':0.45,'5_2':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(21,684):{'3_1':0.36,'5_2':0.33,'-3':0.03,'7_4':0.03,'7_7':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,683):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'8_14':0.0},(21,682):{'3_1':0.45,'5_2':0.12,'7_4':0.12,'5_1':0.06,'-3':0.03,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(21,681):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(21,680):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(21,679):{'3_1':0.45,'5_2':0.18,'7_4':0.09,'-3':0.06,'7_3':0.0,'7_7':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(21,678):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(21,677):{'3_1':0.63,'5_2':0.12,'7_7':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(21,676):{'3_1':0.63,'5_2':0.06,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(21,675):{'3_1':0.57,'7_4':0.12,'5_2':0.06,'-3':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(21,674):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'7_7':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(21,673):{'3_1':0.57,'5_2':0.06,'-3':0.06,'7_4':0.06,'6_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'8_3':0.0,'8_13':0.0},(21,672):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(21,671):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(21,670):{'3_1':0.66,'5_2':0.06,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,669):{'3_1':0.72,'5_2':0.06,'7_7':0.03,'6_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(21,668):{'3_1':0.63,'7_7':0.06,'-3':0.03,'7_4':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(21,667):{'3_1':0.54,'5_2':0.18,'-3':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0},(21,666):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'8_3':0.0,'8_13':0.0},(21,665):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'7_7':0.03,'-3':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(21,664):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.03,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(21,663):{'3_1':0.63,'5_2':0.09,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,662):{'3_1':0.57,'5_2':0.15,'7_7':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'8_13':0.0,'-3':0.0},(21,661):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_7':0.03,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,660):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(21,659):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,658):{'3_1':0.54,'5_2':0.15,'7_7':0.06,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0},(21,657):{'3_1':0.48,'5_2':0.12,'7_7':0.09,'7_4':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(21,656):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'7_1':0.0,'-3':0.0},(21,655):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_7':0.0,'6_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,654):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_3':0.03,'7_6':0.0,'8_19':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(21,653):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(21,652):{'3_1':0.42,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(21,651):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'7_7':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(21,650):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(21,649):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(21,648):{'3_1':0.48,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(21,647):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,646):{'3_1':0.3,'5_2':0.09,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(21,645):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(21,644):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(21,643):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(21,642):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(21,641):{'3_1':0.21,'5_2':0.18,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,640):{'3_1':0.18,'5_2':0.15,'-3':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(21,639):{'3_1':0.24,'5_2':0.09,'7_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(21,638):{'5_2':0.12,'3_1':0.12,'5_1':0.06,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(21,637):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(21,636):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(21,635):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(21,634):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_3':0.0,'-3':0.0},(21,633):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(21,632):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.0},(21,631):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0},(21,630):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_6':0.0,'6_3':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(21,629):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(21,628):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0},(21,627):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,626):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.0,'-3':0.0},(21,625):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'7_3':0.0,'5_1':0.0,'7_6':0.0},(21,624):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(21,623):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(21,622):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(21,621):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_7':0.0},(21,620):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(21,619):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(21,618):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(21,617):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'3_1#5_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0},(21,616):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(21,615):{'3_1':0.15,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0},(21,614):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(21,613):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(21,612):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(21,611):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(21,610):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(21,609):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,608):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(21,607):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(21,606):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(21,605):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_4':0.0},(21,604):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(21,603):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(21,602):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(21,601):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(21,600):{'4_1':0.15,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0},(21,599):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'-3':0.0},(21,598):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0},(21,597):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(21,596):{'4_1':0.15,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(21,595):{'4_1':0.12,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(21,594):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(21,593):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(21,592):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'6_2':0.0},(21,591):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(21,590):{'4_1':0.12,'3_1':0.12,'6_1':0.03,'5_1':0.0,'8_1':0.0},(21,589):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'6_1':0.0,'5_2':0.0},(21,588):{'4_1':0.15,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0},(21,587):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(21,586):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(21,585):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(21,584):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(21,583):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(21,582):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(21,581):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0},(21,580):{'4_1':0.21,'3_1':0.03,'7_5':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(21,579):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(21,578):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(21,577):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0},(21,576):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(21,575):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(21,574):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(21,573):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(21,572):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(21,571):{'4_1':0.21,'6_1':0.0,'3_1':0.0},(21,570):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0},(21,569):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(21,568):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0},(21,567):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(21,566):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_11':0.0},(21,565):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(21,564):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'6_3':0.0},(21,563):{'4_1':0.15,'3_1':0.03,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(21,562):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0},(21,561):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(21,560):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(21,559):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0},(21,558):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(21,557):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(21,556):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_7':0.0},(21,555):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(21,554):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(21,553):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0},(21,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0},(21,551):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(21,550):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(21,549):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(21,548):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'6_1':0.0,'8_1':0.0},(21,547):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(21,546):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(21,545):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(21,544):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(21,543):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(21,542):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(21,541):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(21,540):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(21,539):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(21,538):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0},(21,537):{'3_1':0.15,'4_1':0.0},(21,536):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,535):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(21,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(21,533):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(21,532):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(21,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(21,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(21,529):{'3_1':0.12,'4_1':0.03},(21,528):{'3_1':0.12,'7_3':0.0},(21,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,526):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,525):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(21,524):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(21,523):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(21,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(21,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(21,520):{'3_1':0.06,'4_1':0.0},(21,519):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(21,518):{'3_1':0.09,'6_2':0.0},(21,517):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(21,516):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(21,515):{'3_1':0.03,'6_1':0.0},(21,514):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(21,513):{'3_1':0.0,'4_1':0.0},(21,512):{'3_1':0.06,'4_1':0.0},(21,511):{'3_1':0.03,'4_1':0.0},(21,510):{'4_1':0.0,'8_20|3_1#3_1':0.0},(21,509):{'3_1':0.03,'4_1':0.0},(21,508):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(21,507):{'4_1':0.0},(21,506):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(21,505):{'3_1':0.03},(21,504):{'3_1':0.0,'4_1':0.0},(21,503):{'3_1':0.0,'4_1':0.0},(21,502):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(21,501):{'3_1':0.03},(21,500):{'3_1':0.0,'4_1':0.0},(21,499):{'3_1':0.03,'4_1':0.0},(21,498):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,497):{'3_1':0.06,'8_10':0.0},(21,496):{'3_1':0.03,'4_1':0.0},(21,495):{'3_1':0.03,'4_1':0.0},(21,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,493):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(21,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(21,491):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(21,490):{'3_1':0.06,'4_1':0.0},(21,489):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(21,488):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(21,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,486):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(21,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,484):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(21,483):{'3_1':0.09},(21,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(21,481):{'3_1':0.06,'7_1':0.0},(21,480):{'3_1':0.03,'4_1':0.0},(21,479):{'3_1':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0},(21,478):{'3_1':0.09,'4_1':0.0},(21,477):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(21,476):{'3_1':0.12},(21,475):{'3_1':0.09,'4_1':0.0},(21,474):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(21,473):{'3_1':0.03,'4_1':0.0},(21,472):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(21,471):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(21,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(21,468):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(21,467):{'3_1':0.03},(21,466):{'3_1':0.03},(21,465):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(21,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,463):{'3_1':0.0,'4_1':0.0},(21,462):{'3_1':0.0,'4_1':0.0},(21,461):{'3_1':0.03},(21,460):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(21,459):{'3_1':0.0,'4_1':0.0},(21,458):{'3_1':0.0,'4_1':0.0},(21,457):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,456):{'3_1':0.0,'5_2':0.0},(21,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,454):{'3_1':0.03,'5_1':0.0},(21,453):{'3_1':0.0,'4_1':0.0},(21,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,451):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(21,450):{'3_1':0.0,'4_1':0.0},(21,449):{'3_1':0.0},(21,448):{'3_1':0.06},(21,447):{'3_1':0.03,'7_7':0.0},(21,446):{'3_1':0.03,'4_1':0.0},(21,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(21,444):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,443):{'3_1':0.0,'6_3':0.0},(21,442):{'3_1':0.0},(21,441):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(21,440):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(21,439):{'3_1':0.03,'4_1':0.0},(21,438):{'3_1':0.03,'5_1':0.0},(21,437):{'3_1':0.06,'4_1':0.0},(21,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(21,435):{'3_1':0.06,'6_2':0.0},(21,434):{'3_1':0.06},(21,433):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,432):{'3_1':0.03,'5_2':0.0},(21,431):{'3_1':0.03,'5_2':0.0},(21,430):{'3_1':0.03,'6_3':0.0},(21,429):{'3_1':0.03,'5_1':0.0},(21,428):{'3_1':0.03,'5_2':0.0},(21,427):{'3_1':0.0,'5_2':0.0},(21,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,425):{'3_1':0.03,'4_1':0.0},(21,424):{'3_1':0.03},(21,423):{'3_1':0.03,'5_1':0.0},(21,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,421):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(21,420):{'3_1':0.09},(21,419):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(21,418):{'3_1':0.03,'5_2':0.0},(21,417):{'3_1':0.0},(21,416):{'3_1':0.0,'6_3':0.0},(21,415):{'3_1':0.06},(21,414):{'3_1':0.03,'5_2':0.0},(21,413):{'3_1':0.03},(21,412):{'3_1':0.0,'5_1':0.0},(21,411):{'3_1':0.03},(21,410):{'3_1':0.03,'5_1':0.0},(21,409):{'5_1':0.0,'3_1':0.0},(21,408):{'3_1':0.03},(21,407):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(21,406):{'3_1':0.03},(21,405):{'3_1':0.0},(21,404):{'3_1':0.03,'4_1':0.0},(21,403):{'3_1':0.0},(21,402):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(21,401):{'3_1':0.0},(21,400):{'3_1':0.03,'8_20|3_1#3_1':0.0},(21,399):{'3_1':0.0},(21,398):{'3_1':0.03},(21,397):{'3_1':0.03},(21,396):{'3_1':0.03,'5_1':0.0},(21,395):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(21,394):{'3_1':0.0},(21,393):{'3_1':0.0},(21,392):{'3_1':0.03},(21,391):{'3_1':0.0},(21,390):{'3_1':0.0},(21,389):{'3_1':0.03},(21,388):{'3_1':0.03,'5_1':0.0},(21,387):{'3_1':0.0},(21,386):{'3_1':0.03},(21,385):{'3_1':0.0},(21,384):{'3_1':0.06,'5_2':0.0},(21,383):{'3_1':0.03},(21,382):{'3_1':0.0},(21,381):{'3_1':0.03,'5_2':0.0},(21,380):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,379):{'3_1':0.03,'5_2':0.0},(21,378):{'3_1':0.03},(21,377):{'3_1':0.0},(21,376):{'3_1':0.0},(21,375):{'5_1':0.0,'3_1':0.0},(21,374):{'3_1':0.03},(21,373):{'3_1':0.03,'4_1':0.0},(21,372):{'3_1':0.0,'4_1':0.0},(21,371):{'3_1':0.03},(21,370):{'3_1':0.03},(21,369):{'3_1':0.0,'4_1':0.0},(21,368):{'3_1':0.03,'4_1':0.0},(21,367):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(21,366):{'3_1':0.03},(21,365):{'3_1':0.0},(21,364):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,363):{'3_1':0.0,'4_1':0.0},(21,362):{'3_1':0.0},(21,361):{'3_1':0.03},(21,360):{'3_1':0.03,'4_1':0.0},(21,359):{'7_1':0.0},(21,358):{'3_1':0.0,'4_1':0.0},(21,357):{'3_1':0.0,'4_1':0.0},(21,356):{'3_1':0.03,'5_1':0.0},(21,355):{'3_1':0.0},(21,354):{'3_1':0.0,'5_1':0.0},(21,353):{'3_1':0.0},(21,352):{'3_1':0.0},(21,351):{'3_1':0.03},(21,350):{'3_1':0.0,'6_1':0.0},(21,349):{'3_1':0.0,'4_1':0.0},(21,348):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,347):{'3_1':0.0},(21,346):{'4_1':0.0,'3_1':0.0},(21,345):{'4_1':0.0},(21,344):{'3_1':0.0},(21,343):{'3_1':0.0},(21,342):{'3_1':0.0},(21,341):{'3_1':0.03},(21,340):{'3_1':0.0},(21,339):{'3_1':0.0,'4_1':0.0},(21,338):{'3_1':0.0},(21,336):{'3_1':0.03,'4_1':0.0},(21,335):{'3_1':0.0},(21,334):{'3_1':0.0},(21,333):{'3_1':0.0,'7_1':0.0},(21,332):{'3_1':0.03,'4_1':0.0},(21,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,330):{'3_1':0.03},(21,329):{'3_1':0.03,'4_1':0.0},(21,328):{'5_2':0.0,'7_3':0.0},(21,327):{'9_1':0.0,'3_1':0.0,'4_1':0.0},(21,326):{'3_1':0.03,'4_1':0.0},(21,325):{'3_1':0.03,'4_1':0.0},(21,324):{'3_1':0.0,'4_1':0.0},(21,323):{'3_1':0.03,'4_1':0.0},(21,322):{'3_1':0.03},(21,321):{'3_1':0.0},(21,320):{'3_1':0.0,'4_1':0.0},(21,319):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(21,318):{'3_1':0.03},(21,317):{'3_1':0.03,'4_1':0.0},(21,316):{'3_1':0.03,'4_1':0.0},(21,315):{'3_1':0.03},(21,314):{'3_1':0.03},(21,313):{'3_1':0.0},(21,312):{'3_1':0.03},(21,311):{'3_1':0.06},(21,310):{'3_1':0.0,'4_1':0.0},(21,309):{'3_1':0.03},(21,308):{'3_1':0.03},(21,307):{'3_1':0.03,'5_2':0.0},(21,306):{'3_1':0.03},(21,305):{'3_1':0.0},(21,304):{'3_1':0.0},(21,303):{'3_1':0.0,'5_1':0.0,'-3':0.0},(21,302):{'3_1':0.03,'4_1':0.0},(21,301):{'3_1':0.0,'4_1':0.0},(21,300):{'3_1':0.0},(21,299):{'3_1':0.0},(21,298):{'3_1':0.0},(21,297):{'3_1':0.03,'5_2':0.0},(21,296):{'3_1':0.06},(21,295):{'3_1':0.0,'7_1':0.0},(21,294):{'3_1':0.0,'4_1':0.0},(21,293):{'3_1':0.0,'4_1':0.0},(21,292):{'3_1':0.03},(21,291):{'3_1':0.0,'4_1':0.0},(21,290):{'3_1':0.0},(21,289):{'3_1':0.03},(21,288):{'3_1':0.0},(21,287):{'3_1':0.0},(21,286):{'3_1':0.03},(21,285):{'3_1':0.03},(21,284):{'3_1':0.0,'5_2':0.0},(21,283):{'3_1':0.0},(21,282):{'3_1':0.0},(21,281):{'3_1':0.0,'6_1':0.0},(21,280):{'3_1':0.0},(21,279):{'3_1':0.0,'4_1':0.0},(21,278):{'3_1':0.03,'5_1':0.0},(21,277):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(21,276):{'3_1':0.03},(21,275):{'3_1':0.03,'5_1':0.0},(21,274):{'3_1':0.03,'5_1':0.0},(21,273):{'3_1':0.03},(21,272):{'3_1':0.03},(21,271):{'3_1':0.03},(21,270):{'3_1':0.03,'5_1':0.0},(21,269):{'5_2':0.0,'3_1':0.0},(21,268):{'3_1':0.0},(21,267):{'3_1':0.0},(21,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(21,265):{'3_1':0.0},(21,264):{'3_1':0.0},(21,263):{'3_1':0.03,'4_1':0.0},(21,262):{'3_1':0.0},(21,261):{'3_1':0.03},(21,260):{'3_1':0.03,'4_1':0.0},(21,259):{'3_1':0.0},(21,258):{'3_1':0.03},(21,257):{'3_1':0.0,'5_1':0.0},(21,256):{'3_1':0.0},(21,255):{'3_1':0.03},(21,254):{'3_1':0.0,'4_1':0.0},(21,253):{'3_1':0.03},(21,246):{'3_1':0.03},(21,245):{'3_1':0.0},(21,243):{'3_1':0.0},(21,242):{'3_1':0.0},(21,241):{'3_1':0.0,'5_1':0.0},(21,239):{'3_1':0.0,'4_1':0.0},(21,238):{'3_1':0.03,'4_1':0.0},(21,237):{'3_1':0.03,'4_1':0.0},(21,236):{'3_1':0.0,'4_1':0.0},(21,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(21,234):{'3_1':0.06},(21,233):{'3_1':0.0,'4_1':0.0},(21,232):{'3_1':0.0},(21,231):{'3_1':0.06},(21,230):{'3_1':0.0},(21,229):{'5_1':0.0},(21,227):{'3_1':0.06},(21,226):{'3_1':0.0},(21,224):{'3_1':0.03},(21,223):{'3_1':0.0},(21,222):{'3_1':0.0},(21,221):{'3_1':0.0},(21,220):{'3_1':0.0},(21,219):{'3_1':0.0},(21,218):{'3_1':0.03},(21,217):{'5_2':0.0},(21,216):{'3_1':0.0},(21,215):{'3_1':0.0},(21,213):{'3_1':0.03},(21,212):{'3_1':0.0},(21,211):{'3_1':0.0},(21,210):{'3_1':0.03},(21,209):{'3_1':0.0},(21,208):{'3_1':0.0},(21,206):{'3_1':0.0},(21,205):{'3_1':0.0},(21,204):{'3_1':0.0},(21,203):{'3_1':0.03},(21,202):{'3_1':0.0},(21,201):{'3_1':0.03},(21,200):{'3_1':0.0},(21,199):{'3_1':0.0,'4_1':0.0},(21,197):{'3_1':0.0,'4_1':0.0},(21,195):{'3_1':0.0},(21,194):{'3_1':0.03},(21,193):{'3_1':0.03},(21,192):{'3_1':0.0,'5_1':0.0},(21,191):{'3_1':0.0},(21,190):{'3_1':0.06},(21,189):{'3_1':0.03},(21,188):{'3_1':0.0},(21,186):{'3_1':0.0},(21,185):{'3_1':0.0},(21,184):{'3_1':0.0},(21,183):{'3_1':0.0},(21,182):{'3_1':0.0},(21,181):{'3_1':0.03},(21,180):{'3_1':0.0},(21,179):{'3_1':0.0},(21,178):{'3_1':0.0},(21,177):{'3_1':0.0},(21,176):{'3_1':0.0},(21,174):{'3_1':0.0},(21,173):{'3_1':0.0},(21,171):{'3_1':0.0,'4_1':0.0},(21,170):{'3_1':0.0},(21,169):{'3_1':0.0},(21,168):{'3_1':0.0},(21,167):{'3_1':0.0,'4_1':0.0},(21,166):{'3_1':0.0},(21,165):{'3_1':0.0},(21,164):{'3_1':0.0},(21,163):{'3_1':0.0},(21,162):{'3_1':0.0},(21,159):{'3_1':0.0,'4_1':0.0},(21,158):{'3_1':0.0},(21,157):{'3_1':0.0},(21,156):{'3_1':0.0},(21,155):{'3_1':0.0},(21,154):{'3_1':0.0},(21,153):{'3_1':0.0},(21,152):{'3_1':0.03},(21,151):{'3_1':0.0},(21,150):{'3_1':0.03},(21,149):{'5_1':0.0},(21,147):{'3_1':0.0},(21,146):{'5_1':0.0},(21,145):{'3_1':0.0},(21,143):{'3_1':0.0},(21,142):{'3_1':0.0},(21,141):{'3_1':0.0},(21,140):{'3_1':0.0},(21,139):{'4_1':0.0},(21,138):{'3_1':0.0},(21,137):{'3_1':0.0},(21,136):{'3_1':0.0},(21,135):{'3_1':0.0},(21,134):{'3_1':0.0},(21,133):{'3_1':0.0},(21,119):{'3_1':0.0},(21,118):{'3_1':0.0},(21,117):{'3_1':0.0},(21,116):{'3_1':0.0},(21,114):{'3_1':0.0},(21,113):{'5_2':0.0},(21,112):{'3_1':0.0},(21,107):{'3_1':0.0},(21,103):{'3_1':0.0},(21,101):{'3_1':0.0},(21,97):{'3_1':0.0},(21,92):{'3_1':0.0},(21,89):{'3_1':0.0},(21,80):{'4_1':0.0},(21,78):{'3_1':0.0},(21,77):{'4_1':0.0},(21,76):{'3_1':0.0},(21,73):{'3_1':0.0},(21,72):{'4_1':0.0},(21,69):{'3_1':0.0,'4_1':0.0},(21,68):{'3_1':0.0},(21,67):{'4_1':0.0},(21,64):{'3_1':0.0},(21,63):{'3_1':0.0},(21,62):{'3_1':0.0},(21,60):{'3_1':0.0},(21,59):{'3_1':0.0},(21,58):{'3_1':0.0},(21,57):{'3_1':0.0},(21,56):{'3_1':0.0},(21,55):{'3_1':0.0},(22,727):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(22,726):{'5_2':0.72,'-3':0.12,'3_1':0.03,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(22,725):{'5_2':0.69,'-3':0.09,'3_1':0.09,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(22,724):{'5_2':0.63,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_8':0.0,'1':-0.03},(22,723):{'5_2':0.63,'-3':0.15,'7_4':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(22,722):{'5_2':0.6,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'6_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(22,721):{'5_2':0.69,'-3':0.09,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(22,720):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.06,'3_1#5_2':0.03,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(22,719):{'5_2':0.66,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'8_8':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(22,718):{'5_2':0.72,'7_4':0.06,'3_1':0.03,'-3':0.03,'6_3':0.0,'7_2':0.0,'7_5':0.0},(22,717):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,716):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(22,715):{'5_2':0.6,'7_4':0.06,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(22,714):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(22,713):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_3':0.0,'6_3':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(22,712):{'5_2':0.51,'7_4':0.09,'-3':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(22,711):{'5_2':0.48,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(22,710):{'5_2':0.57,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(22,709):{'5_2':0.51,'-3':0.12,'5_1':0.09,'3_1':0.06,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'1':-0.03},(22,708):{'5_2':0.63,'-3':0.12,'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(22,707):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(22,706):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(22,705):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(22,704):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(22,703):{'5_2':0.48,'3_1':0.15,'-3':0.09,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(22,702):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(22,701):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'6_3':0.0},(22,700):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(22,699):{'5_2':0.48,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(22,698):{'5_2':0.57,'3_1':0.06,'7_4':0.06,'7_3':0.06,'-3':0.06,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(22,697):{'5_2':0.39,'-3':0.15,'3_1':0.15,'7_4':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0},(22,696):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_3':0.06,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0},(22,695):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(22,694):{'5_2':0.45,'3_1':0.09,'7_6':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'2':-0.03},(22,693):{'5_2':0.45,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(22,692):{'5_2':0.48,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(22,691):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.0,'7_3':0.0,'8_14':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,690):{'5_2':0.48,'3_1':0.27,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(22,689):{'5_2':0.36,'3_1':0.27,'7_3':0.06,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(22,688):{'5_2':0.54,'3_1':0.18,'-3':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(22,687):{'3_1':0.3,'5_2':0.27,'-3':0.12,'7_4':0.06,'7_3':0.06,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,686):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(22,685):{'3_1':0.42,'5_2':0.21,'7_3':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,684):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(22,683):{'3_1':0.36,'5_2':0.3,'5_1':0.03,'-3':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0},(22,682):{'3_1':0.51,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_15':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(22,681):{'3_1':0.57,'5_2':0.18,'7_3':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(22,680):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,679):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(22,678):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'6_1':0.0,'3_1#5_2':0.0},(22,677):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,676):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'7_3':0.03,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(22,675):{'3_1':0.54,'-3':0.09,'5_2':0.09,'7_4':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(22,674):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'7_7':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(22,673):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(22,672):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,671):{'3_1':0.63,'5_2':0.06,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(22,670):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,669):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(22,668):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,667):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(22,666):{'3_1':0.63,'5_2':0.06,'-3':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(22,665):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(22,664):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(22,663):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(22,662):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(22,661):{'3_1':0.63,'5_2':0.12,'-3':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(22,660):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(22,659):{'3_1':0.51,'5_2':0.12,'7_7':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(22,658):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,657):{'3_1':0.54,'5_2':0.06,'7_4':0.03,'6_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,656):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(22,655):{'3_1':0.57,'5_2':0.15,'7_7':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0},(22,654):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(22,653):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(22,652):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'8_8':0.0},(22,651):{'3_1':0.48,'5_2':0.15,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(22,650):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(22,649):{'3_1':0.39,'5_2':0.12,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(22,648):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_7':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(22,647):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(22,646):{'3_1':0.27,'5_2':0.06,'7_4':0.03,'7_7':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'8_7':0.0,'8_16':0.0},(22,645):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(22,644):{'3_1':0.33,'5_2':0.21,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(22,643):{'3_1':0.21,'5_2':0.12,'-3':0.03,'4_1':0.03,'7_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(22,642):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'-3':0.0},(22,641):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'8_16':0.0},(22,640):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,639):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_16':0.0},(22,638):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(22,637):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(22,636):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(22,635):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_7':0.0},(22,634):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(22,633):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'8_5':0.0,'7_2':0.0},(22,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0},(22,631):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(22,630):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_6':0.0,'7_7':0.0,'8_1':0.0},(22,629):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(22,628):{'3_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(22,627):{'5_2':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(22,626):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_6':0.0,'5_1':0.0},(22,625):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(22,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(22,623):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(22,622):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,621):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(22,620):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(22,619):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(22,618):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,617):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,616):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0},(22,615):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(22,614):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0},(22,613):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03,'-3':0.0},(22,612):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(22,611):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(22,610):{'3_1':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(22,609):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(22,608):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(22,607):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,606):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(22,605):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(22,604):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_7':0.0},(22,603):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,602):{'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_5':0.0},(22,601):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_4':0.0},(22,600):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_5':0.0},(22,599):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(22,598):{'3_1':0.09,'4_1':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0},(22,597):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_1':0.0},(22,596):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(22,595):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(22,594):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'6_3':0.0},(22,593):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_12':0.0},(22,592):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0},(22,591):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(22,590):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(22,589):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'8_1':0.0},(22,588):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(22,587):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0},(22,586):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,585):{'4_1':0.18,'3_1':0.03,'7_6':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(22,584):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(22,583):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'7_4':0.0},(22,582):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_12':0.0,'-3':0.0},(22,581):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(22,580):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(22,579):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(22,578):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(22,577):{'4_1':0.18,'3_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(22,576):{'4_1':0.15,'5_2':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(22,575):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(22,574):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(22,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(22,572):{'4_1':0.12,'3_1':0.0,'6_2':0.0,'7_5':0.0},(22,571):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(22,570):{'4_1':0.06,'5_2':0.0,'6_2':0.0,'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(22,569):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(22,568):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(22,567):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(22,566):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0},(22,565):{'4_1':0.09,'6_1':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(22,564):{'4_1':0.03,'6_2':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0},(22,563):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(22,562):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(22,561):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(22,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(22,559):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_5':0.0},(22,558):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0},(22,557):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(22,556):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(22,555):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0},(22,554):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(22,553):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(22,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(22,551):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'7_2':0.0},(22,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(22,549):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_5':0.0},(22,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(22,547):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(22,546):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(22,545):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(22,544):{'3_1':0.06,'4_1':0.0},(22,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(22,542):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(22,541):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(22,540):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(22,539):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(22,538):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(22,537):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(22,536):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(22,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_5':0.0},(22,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,533):{'3_1':0.12,'4_1':0.0},(22,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(22,531):{'3_1':0.12,'4_1':0.0},(22,530):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(22,529):{'3_1':0.12,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(22,528):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(22,527):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0},(22,526):{'3_1':0.12,'4_1':0.03},(22,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(22,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(22,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,522):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,521):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(22,520):{'3_1':0.06,'4_1':0.03},(22,519):{'3_1':0.03,'5_2':0.0},(22,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,517):{'3_1':0.03,'4_1':0.0},(22,516):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(22,515):{'3_1':0.0,'4_1':0.0},(22,514):{'3_1':0.03},(22,513):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(22,512):{'3_1':0.06,'4_1':0.0},(22,511):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(22,510):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(22,509):{'3_1':0.03,'4_1':0.0},(22,508):{'3_1':0.0},(22,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(22,506):{'3_1':0.03,'4_1':0.03},(22,505):{'3_1':0.03,'4_1':0.0},(22,504):{'3_1':0.03},(22,503):{'3_1':0.0,'5_2':0.0},(22,502):{'4_1':0.0,'3_1':0.0},(22,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(22,500):{'3_1':0.03,'4_1':0.0},(22,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,498):{'5_1':0.0,'4_1':0.0},(22,497):{'3_1':0.03,'4_1':0.0},(22,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,495):{'3_1':0.0,'7_4':0.0},(22,494):{'3_1':0.0,'5_1':0.0},(22,493):{'3_1':0.03},(22,492):{'3_1':0.03,'4_1':0.0},(22,491):{'3_1':0.06,'5_1':0.0},(22,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,489):{'3_1':0.03,'4_1':0.0},(22,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(22,487):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(22,486):{'3_1':0.03,'4_1':0.0},(22,485):{'3_1':0.09},(22,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,483):{'3_1':0.06},(22,482):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(22,481):{'3_1':0.0,'4_1':0.0},(22,480):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(22,479):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(22,478):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(22,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,476):{'3_1':0.03,'4_1':0.0},(22,475):{'3_1':0.06,'7_5':0.0},(22,474):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,473):{'3_1':0.03,'4_1':0.0},(22,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,471):{'3_1':0.06,'4_1':0.0},(22,470):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(22,469):{'3_1':0.03,'4_1':0.0},(22,468):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(22,467):{'3_1':0.03,'4_1':0.0},(22,466):{'3_1':0.03,'4_1':0.0},(22,465):{'3_1':0.06,'4_1':0.0},(22,464):{'3_1':0.0,'4_1':0.0},(22,463):{'3_1':0.06,'4_1':0.0},(22,462):{'3_1':0.03},(22,461):{'3_1':0.03,'4_1':0.0},(22,460):{'3_1':0.03,'4_1':0.0},(22,459):{'3_1':0.0,'4_1':0.0},(22,458):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,457):{'3_1':0.0},(22,456):{'3_1':0.0},(22,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,454):{'4_1':0.03,'3_1':0.0},(22,453):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(22,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0},(22,450):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(22,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,448):{'3_1':0.03},(22,447):{'3_1':0.03,'4_1':0.0},(22,446):{'3_1':0.0,'4_1':0.0},(22,445):{'3_1':0.0},(22,444):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(22,443):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(22,442):{'3_1':0.0},(22,441):{'3_1':0.0,'5_1':0.0},(22,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,439):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(22,438):{'3_1':0.03,'5_1':0.0},(22,437):{'3_1':0.03,'5_1':0.0},(22,436):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(22,435):{'3_1':0.06,'5_1':0.0},(22,434):{'3_1':0.03,'5_1':0.0},(22,433):{'3_1':0.06,'5_1':0.0},(22,432):{'3_1':0.06,'7_4':0.0},(22,431):{'3_1':0.03},(22,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,429):{'3_1':0.03},(22,428):{'3_1':0.03,'4_1':0.0},(22,427):{'3_1':0.06,'5_2':0.0},(22,426):{'3_1':0.06,'4_1':0.0},(22,425):{'3_1':0.0},(22,424):{'3_1':0.03,'5_2':0.0},(22,423):{'3_1':0.0},(22,422):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(22,421):{'3_1':0.03,'4_1':0.0},(22,420):{'3_1':0.06,'4_1':0.0},(22,419):{'3_1':0.0,'4_1':0.0},(22,418):{'3_1':0.09},(22,417):{'3_1':0.06,'4_1':0.0},(22,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(22,415):{'3_1':0.06,'4_1':0.0},(22,414):{'3_1':0.06,'4_1':0.0},(22,413):{'3_1':0.03,'5_1':0.0},(22,412):{'3_1':0.03,'4_1':0.0},(22,411):{'3_1':0.03},(22,410):{'3_1':0.03,'5_1':0.0},(22,409):{'3_1':0.06},(22,408):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(22,407):{'3_1':0.03,'5_1':0.0},(22,406):{'3_1':0.0},(22,405):{'3_1':0.0},(22,404):{'3_1':0.03},(22,403):{'3_1':0.03},(22,402):{'3_1':0.03},(22,401):{'3_1':0.03},(22,400):{'3_1':0.03,'4_1':0.0},(22,399):{'3_1':0.0},(22,398):{'3_1':0.0},(22,397):{'3_1':0.0},(22,396):{'3_1':0.0,'5_2':0.0},(22,395):{'3_1':0.06,'4_1':0.0},(22,394):{'3_1':0.0},(22,393):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,392):{'3_1':0.0},(22,391):{'3_1':0.0,'4_1':0.0},(22,390):{'3_1':0.0},(22,389):{'3_1':0.0},(22,388):{'3_1':0.03,'5_1':0.0},(22,387):{'3_1':0.0},(22,386):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(22,385):{'3_1':0.0},(22,384):{'3_1':0.0},(22,383):{'3_1':0.06},(22,382):{'3_1':0.03,'4_1':0.0},(22,381):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(22,380):{'3_1':0.03,'4_1':0.0},(22,379):{'3_1':0.0},(22,378):{'3_1':0.03,'4_1':0.0},(22,377):{'3_1':0.0},(22,376):{'3_1':0.0},(22,375):{'3_1':0.0},(22,374):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(22,373):{'3_1':0.06},(22,372):{'3_1':0.03},(22,371):{'3_1':0.0},(22,370):{'3_1':0.0,'4_1':0.0},(22,369):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(22,368):{'3_1':0.03},(22,367):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(22,366):{'3_1':0.03},(22,365):{'3_1':0.0},(22,364):{'4_1':0.0},(22,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(22,362):{'3_1':0.0,'4_1':0.0},(22,361):{'3_1':0.0,'5_1':0.0},(22,360):{'3_1':0.0,'4_1':0.0},(22,359):{'3_1':0.0},(22,358):{'3_1':0.0},(22,357):{'3_1':0.0},(22,355):{'3_1':0.0,'5_1':0.0},(22,354):{'3_1':0.03},(22,353):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(22,352):{'3_1':0.0},(22,351):{'3_1':0.0,'5_2':0.0},(22,350):{'3_1':0.03,'4_1':0.0},(22,349):{'3_1':0.03},(22,348):{'3_1':0.0},(22,347):{'3_1':0.0},(22,346):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(22,345):{'3_1':0.0},(22,344):{'3_1':0.03,'4_1':0.0},(22,343):{'3_1':0.0,'4_1':0.0},(22,342):{'3_1':0.0},(22,341):{'3_1':0.0,'4_1':0.0},(22,340):{'3_1':0.0,'4_1':0.0},(22,339):{'3_1':0.0},(22,338):{'3_1':0.03},(22,337):{'3_1':0.03,'4_1':0.0},(22,336):{'3_1':0.03,'6_1':0.0},(22,335):{'3_1':0.0},(22,334):{'3_1':0.0,'4_1':0.0},(22,333):{'3_1':0.0},(22,332):{'3_1':0.0,'1':-0.03},(22,331):{'3_1':0.03,'6_2':0.0},(22,330):{'3_1':0.03,'4_1':0.0},(22,329):{'3_1':0.0,'4_1':0.0},(22,328):{'3_1':0.0},(22,327):{'3_1':0.0},(22,326):{'3_1':0.0,'5_2':0.0},(22,325):{'3_1':0.03,'4_1':0.0},(22,324):{'3_1':0.0,'5_2':0.0},(22,323):{'3_1':0.03},(22,322):{'3_1':0.0},(22,321):{'3_1':0.0,'4_1':0.0},(22,320):{'3_1':0.0,'5_1':0.0},(22,319):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(22,318):{'3_1':0.0},(22,317):{'3_1':0.0,'4_1':0.0},(22,316):{'3_1':0.0,'4_1':0.0},(22,315):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,313):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,312):{'3_1':0.03,'5_2':0.0},(22,311):{'3_1':0.03},(22,310):{'3_1':0.03},(22,309):{'3_1':0.0,'5_1':0.0},(22,308):{'3_1':0.0,'5_1':0.0},(22,307):{'3_1':0.0},(22,306):{'3_1':0.0,'4_1':0.0},(22,305):{'3_1':0.0},(22,304):{'3_1':0.03,'5_2':0.0},(22,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,302):{'3_1':0.0},(22,301):{'3_1':0.03,'5_2':0.0},(22,300):{'3_1':0.0},(22,298):{'3_1':0.0,'4_1':0.0},(22,297):{'3_1':0.03},(22,296):{'3_1':0.0,'4_1':0.0},(22,295):{'3_1':0.06},(22,294):{'3_1':0.06},(22,293):{'3_1':0.0,'4_1':0.0},(22,292):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,291):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(22,290):{'3_1':0.0},(22,289):{'3_1':0.03},(22,288):{'3_1':0.0},(22,287):{'3_1':0.0},(22,286):{'3_1':0.0},(22,285):{'3_1':0.03},(22,284):{'3_1':0.0},(22,283):{'3_1':0.03},(22,282):{'4_1':0.0,'5_1':0.0},(22,281):{'3_1':0.03},(22,280):{'3_1':0.0},(22,279):{'3_1':0.0},(22,278):{'3_1':0.03},(22,277):{'3_1':0.06,'4_1':0.0},(22,276):{'3_1':0.0,'5_1':0.0},(22,275):{'3_1':0.06,'8_21|3_1#4_1':0.0},(22,274):{'3_1':0.0},(22,273):{'3_1':0.03},(22,272):{'3_1':0.06},(22,271):{'3_1':0.0},(22,270):{'3_1':0.0,'4_1':0.0},(22,269):{'3_1':0.06},(22,268):{'3_1':0.03},(22,267):{'3_1':0.0},(22,266):{'3_1':0.03},(22,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(22,264):{'3_1':0.0},(22,263):{'3_1':0.0},(22,262):{'3_1':0.0,'8_20|3_1#3_1':0.0},(22,261):{'3_1':0.0,'5_2':0.0},(22,260):{'3_1':0.0,'4_1':0.0},(22,259):{'3_1':0.0},(22,258):{'3_1':0.0},(22,257):{'3_1':0.0},(22,255):{'3_1':0.03,'8_20|3_1#3_1':0.0},(22,254):{'3_1':0.03},(22,253):{'3_1':0.0},(22,252):{'3_1':0.0,'5_2':0.0},(22,251):{'3_1':0.0},(22,250):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,249):{'3_1':0.03},(22,248):{'3_1':0.03},(22,247):{'3_1':0.0},(22,246):{'3_1':0.03},(22,245):{'3_1':0.03,'4_1':0.0},(22,244):{'3_1':0.0},(22,243):{'3_1':0.0},(22,242):{'3_1':0.0},(22,241):{'3_1':0.03,'5_1':0.0},(22,240):{'3_1':0.03,'5_2':0.0},(22,239):{'3_1':0.03},(22,238):{'4_1':0.0},(22,237):{'3_1':0.03,'4_1':0.0},(22,236):{'3_1':0.03,'5_2':0.0},(22,235):{'3_1':0.03,'4_1':0.0},(22,234):{'3_1':0.0},(22,233):{'3_1':0.06,'4_1':0.0},(22,232):{'3_1':0.0},(22,231):{'3_1':0.0},(22,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,229):{'3_1':0.0},(22,228):{'3_1':0.03},(22,227):{'3_1':0.0},(22,226):{'3_1':0.0},(22,225):{'3_1':0.0},(22,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(22,223):{'3_1':0.0},(22,222):{'3_1':0.0},(22,221):{'3_1':0.0},(22,219):{'3_1':0.0},(22,218):{'3_1':0.0},(22,217):{'3_1':0.0},(22,216):{'3_1':0.03},(22,215):{'3_1':0.03},(22,214):{'3_1':0.03},(22,213):{'3_1':0.0},(22,212):{'3_1':0.0},(22,211):{'3_1':0.0},(22,210):{'3_1':0.0},(22,209):{'3_1':0.03},(22,205):{'3_1':0.0},(22,204):{'3_1':0.0},(22,203):{'3_1':0.0},(22,202):{'3_1':0.0},(22,201):{'3_1':0.0},(22,200):{'3_1':0.03},(22,199):{'3_1':0.03},(22,198):{'3_1':0.0},(22,197):{'3_1':0.0,'4_1':0.0},(22,196):{'3_1':0.0},(22,195):{'3_1':0.0,'5_2':0.0},(22,194):{'3_1':0.0},(22,193):{'3_1':0.03},(22,192):{'3_1':0.0},(22,191):{'3_1':0.0},(22,190):{'3_1':0.0},(22,189):{'3_1':0.0},(22,188):{'3_1':0.0},(22,187):{'3_1':0.0},(22,186):{'3_1':0.0},(22,185):{'3_1':0.0},(22,184):{'3_1':0.0},(22,183):{'3_1':0.0},(22,182):{'3_1':0.03},(22,181):{'3_1':0.03},(22,180):{'3_1':0.0},(22,179):{'3_1':0.0,'6_2':0.0},(22,178):{'3_1':0.0},(22,177):{'3_1':0.0},(22,176):{'4_1':0.0},(22,174):{'3_1':0.03},(22,171):{'3_1':0.0},(22,170):{'4_1':0.0},(22,168):{'3_1':0.0},(22,167):{'3_1':0.0,'4_1':0.0},(22,166):{'4_1':0.0},(22,165):{'3_1':0.0},(22,163):{'3_1':0.0},(22,162):{'3_1':0.0},(22,161):{'3_1':0.0,'4_1':0.0},(22,160):{'4_1':0.0,'3_1':0.0},(22,159):{'3_1':0.0},(22,158):{'3_1':0.0,'4_1':0.0},(22,157):{'3_1':0.0},(22,154):{'3_1':0.0},(22,153):{'3_1':0.0},(22,152):{'3_1':0.0},(22,150):{'3_1':0.0},(22,149):{'3_1':0.03},(22,148):{'3_1':0.0},(22,147):{'3_1':0.0},(22,146):{'3_1':0.0},(22,145):{'3_1':0.0},(22,142):{'3_1':0.0},(22,140):{'3_1':0.0,'4_1':0.0},(22,137):{'3_1':0.0},(22,133):{'3_1':0.0},(22,132):{'3_1':0.0},(22,131):{'3_1':0.0,'4_1':0.0},(22,130):{'3_1':0.0},(22,128):{'3_1':0.0},(22,118):{'3_1':0.03},(22,112):{'3_1':0.0},(22,111):{'3_1':0.0},(22,110):{'3_1':0.0},(22,109):{'3_1':0.0},(22,105):{'3_1':0.0},(22,103):{'3_1':0.0},(22,95):{'3_1':0.0},(22,92):{'3_1':0.0},(22,75):{'3_1':0.0},(22,73):{'3_1':0.0},(22,71):{'3_1':0.0},(22,68):{'3_1':0.0},(22,67):{'3_1':0.0},(22,66):{'3_1':0.0},(22,65):{'3_1':0.0},(22,63):{'3_1':0.0},(22,62):{'3_1':0.03},(22,61):{'3_1':0.03},(22,60):{'3_1':0.0},(22,59):{'3_1':0.0},(22,58):{'3_1':0.0},(22,57):{'3_1':0.03},(22,56):{'3_1':0.03},(22,55):{'3_1':0.0},(22,54):{'3_1':0.0},(22,53):{'3_1':0.0},(23,727):{'5_2':0.63,'-3':0.06,'7_4':0.06,'3_1':0.06,'8_14':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(23,726):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(23,725):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0},(23,724):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(23,723):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(23,722):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(23,721):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(23,720):{'5_2':0.66,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(23,719):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'8_19':0.0},(23,718):{'5_2':0.6,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(23,717):{'5_2':0.63,'3_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'2':-0.03},(23,716):{'5_2':0.66,'-3':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(23,715):{'5_2':0.54,'7_4':0.09,'3_1':0.03,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(23,714):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(23,713):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(23,712):{'5_2':0.51,'3_1':0.15,'-3':0.12,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(23,711):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(23,710):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(23,709):{'5_2':0.51,'-3':0.18,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(23,708):{'5_2':0.54,'-3':0.15,'3_1':0.09,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(23,707):{'5_2':0.54,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(23,706):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_4':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(23,705):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(23,704):{'5_2':0.48,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_6':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(23,703):{'5_2':0.51,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'6_3':0.0,'3_1#5_2':0.0},(23,702):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_12':0.0},(23,701):{'5_2':0.48,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(23,700):{'5_2':0.48,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(23,699):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(23,698):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_3':0.06,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(23,697):{'5_2':0.39,'-3':0.18,'3_1':0.12,'7_6':0.06,'7_3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(23,696):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(23,695):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_1':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(23,694):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'2':-0.03},(23,693):{'5_2':0.36,'3_1':0.24,'-3':0.12,'7_6':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(23,692):{'5_2':0.36,'3_1':0.24,'-3':0.12,'5_1':0.06,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'8_10':0.0,'1':-0.03},(23,691):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(23,690):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(23,689):{'3_1':0.33,'5_2':0.21,'-3':0.09,'7_3':0.06,'7_4':0.06,'7_7':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(23,688):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(23,687):{'3_1':0.33,'5_2':0.27,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(23,686):{'3_1':0.27,'5_2':0.24,'-3':0.12,'7_4':0.09,'8_20|3_1#3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0},(23,685):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'-3':0.06,'7_3':0.03,'6_1':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(23,684):{'3_1':0.42,'5_2':0.3,'7_3':0.06,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(23,683):{'3_1':0.3,'5_2':0.3,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0},(23,682):{'3_1':0.45,'5_2':0.21,'7_4':0.12,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(23,681):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.06,'7_7':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(23,680):{'3_1':0.39,'5_2':0.18,'-3':0.06,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(23,679):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(23,678):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(23,677):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(23,676):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'3_1#5_1':0.0},(23,675):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'8_9':0.0},(23,674):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.06,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(23,673):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(23,672):{'3_1':0.6,'5_2':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(23,671):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0},(23,670):{'3_1':0.6,'5_2':0.06,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_4':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(23,669):{'3_1':0.66,'5_2':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(23,668):{'3_1':0.54,'7_4':0.09,'5_2':0.09,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(23,667):{'3_1':0.57,'7_4':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(23,666):{'3_1':0.63,'5_2':0.06,'-3':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(23,665):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(23,664):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(23,663):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(23,662):{'3_1':0.63,'5_2':0.09,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(23,661):{'3_1':0.6,'5_2':0.12,'7_7':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_14':0.0},(23,660):{'3_1':0.48,'5_2':0.09,'7_4':0.09,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_14':0.0},(23,659):{'3_1':0.51,'5_2':0.15,'5_1':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(23,658):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(23,657):{'3_1':0.51,'5_2':0.09,'7_7':0.03,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(23,656):{'3_1':0.57,'5_2':0.09,'7_7':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(23,655):{'3_1':0.57,'5_2':0.12,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(23,654):{'3_1':0.6,'5_2':0.12,'7_7':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(23,653):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(23,652):{'3_1':0.48,'5_2':0.09,'4_1':0.03,'7_7':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0},(23,651):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,650):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_2':0.0},(23,649):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(23,648):{'3_1':0.39,'5_2':0.15,'5_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_1':0.0},(23,647):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'-3':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(23,646):{'3_1':0.33,'5_2':0.09,'7_7':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,645):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,644):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(23,643):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'-3':0.06,'4_1':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(23,642):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,641):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(23,640):{'3_1':0.21,'5_2':0.15,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(23,639):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,638):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(23,637):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,636):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(23,635):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(23,634):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(23,633):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.0},(23,632):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,631):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(23,630):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(23,629):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(23,628):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_3':0.0,'6_2':0.0,'3_1#5_2':0.0},(23,627):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0},(23,626):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(23,625):{'3_1':0.09,'5_2':0.09,'7_7':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0},(23,624):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,623):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0},(23,622):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(23,621):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(23,620):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.0,'6_3':0.0,'7_3':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,619):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(23,618):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(23,617):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(23,616):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_14':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(23,615):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(23,614):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,613):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(23,612):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_19':0.0},(23,611):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(23,610):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0},(23,609):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(23,608):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(23,607):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(23,606):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_16':0.0},(23,605):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(23,604):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,603):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(23,602):{'4_1':0.12,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(23,601):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'7_7':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(23,600):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(23,599):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(23,598):{'4_1':0.15,'3_1':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0,'8_14':0.0},(23,597):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_2':0.0,'8_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(23,596):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(23,595):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(23,594):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(23,593):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,592):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0},(23,591):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_1':0.0},(23,590):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(23,589):{'4_1':0.15,'3_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(23,588):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'5_2':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_17':0.0},(23,587):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(23,586):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(23,585):{'4_1':0.18,'3_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(23,584):{'4_1':0.27,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(23,583):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(23,582):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0},(23,581):{'4_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(23,580):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(23,579):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0},(23,578):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(23,577):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(23,576):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(23,575):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(23,574):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0},(23,573):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(23,572):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0},(23,571):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(23,570):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(23,569):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_2':0.0},(23,568):{'4_1':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0,'6_3':0.0},(23,567):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_11':0.0},(23,566):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(23,565):{'4_1':0.12,'6_1':0.03,'3_1':0.0,'5_1':0.0,'8_1':0.0,'5_2':0.0},(23,564):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'8_2':0.0},(23,563):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(23,562):{'3_1':0.06,'4_1':0.06,'8_21|3_1#4_1':0.0},(23,561):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_6':0.0},(23,560):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(23,559):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(23,558):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0},(23,557):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(23,556):{'4_1':0.03,'3_1':0.0,'8_5':0.0},(23,555):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,554):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0},(23,553):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(23,552):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,551):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_8':0.0},(23,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(23,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(23,548):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0},(23,547):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0},(23,546):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_2':0.0},(23,545):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_2':0.0},(23,544):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_1':0.0,'5_2':0.0,'6_3':0.0},(23,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_1':0.0},(23,542):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(23,541):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_3':0.0},(23,540):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(23,539):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0},(23,538):{'3_1':0.27,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,537):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(23,536):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(23,535):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,534):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(23,533):{'3_1':0.09,'4_1':0.0},(23,532):{'3_1':0.15,'4_1':0.0},(23,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(23,530):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(23,529):{'3_1':0.06,'4_1':0.0},(23,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(23,527):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,525):{'3_1':0.09,'4_1':0.03},(23,524):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0},(23,523):{'3_1':0.06,'7_4':0.0},(23,522):{'3_1':0.09,'5_1':0.0},(23,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(23,520):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(23,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,518):{'3_1':0.03},(23,517):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(23,516):{'3_1':0.06},(23,515):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_1':0.0},(23,514):{'3_1':0.06,'8_20|3_1#3_1':0.0},(23,513):{'3_1':0.06,'4_1':0.0},(23,512):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(23,511):{'3_1':0.03,'4_1':0.0},(23,510):{'4_1':0.0,'3_1':0.0},(23,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(23,507):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(23,506):{'3_1':0.0,'4_1':0.0},(23,505):{'3_1':0.03,'4_1':0.0},(23,504):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,502):{'3_1':0.03},(23,501):{'3_1':0.06},(23,500):{'3_1':0.0,'4_1':0.0},(23,499):{'3_1':0.03,'4_1':0.0},(23,498):{'3_1':0.06,'4_1':0.0},(23,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(23,496):{'3_1':0.03},(23,495):{'3_1':0.03,'4_1':0.0},(23,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,493):{'3_1':0.03,'4_1':0.0},(23,492):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(23,491):{'3_1':0.03,'4_1':0.0},(23,490):{'3_1':0.06,'5_1':0.0},(23,489):{'3_1':0.03,'4_1':0.0,'3_1#5_2':0.0},(23,488):{'3_1':0.09,'5_2':0.0},(23,487):{'3_1':0.03,'4_1':0.0},(23,486):{'3_1':0.0,'5_1':0.0},(23,485):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(23,484):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(23,483):{'3_1':0.09,'5_2':0.0},(23,482):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(23,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(23,480):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(23,479):{'3_1':0.12},(23,478):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0},(23,477):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(23,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,475):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(23,474):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(23,473):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(23,472):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(23,471):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(23,470):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(23,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,468):{'3_1':0.06,'5_1':0.0,'7_4':0.0},(23,467):{'3_1':0.03,'5_1':0.0},(23,466):{'3_1':0.06,'4_1':0.0,'8_1':0.0},(23,465):{'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(23,464):{'3_1':0.0},(23,463):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(23,462):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,461):{'3_1':0.0,'6_2':0.0},(23,460):{'3_1':0.0},(23,459):{'3_1':0.03,'5_2':0.0},(23,458):{'4_1':0.0,'6_2':0.0},(23,457):{'3_1':0.06},(23,456):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,455):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,454):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(23,453):{'3_1':0.03},(23,452):{'3_1':0.03},(23,451):{'3_1':0.0,'4_1':0.0},(23,450):{'3_1':0.0,'8_20|3_1#3_1':0.0},(23,449):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(23,448):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(23,447):{'3_1':0.03},(23,446):{'3_1':0.0,'5_2':0.0},(23,445):{'3_1':0.03,'4_1':0.0},(23,444):{'3_1':0.0,'5_2':0.0},(23,443):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(23,442):{'3_1':0.03,'5_2':0.0},(23,441):{'3_1':0.0,'4_1':0.0,'-3':0.0},(23,440):{'3_1':0.0,'4_1':0.0},(23,439):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(23,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,437):{'3_1':0.0},(23,436):{'3_1':0.06,'5_1':0.0,'8_8':0.0},(23,435):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(23,434):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(23,433):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,432):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(23,431):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,430):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,429):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(23,428):{'3_1':0.09,'5_2':0.0,'7_2':0.0},(23,427):{'3_1':0.06,'5_1':0.0},(23,426):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(23,425):{'3_1':0.03,'5_2':0.0},(23,424):{'3_1':0.06,'5_2':0.0},(23,423):{'3_1':0.12,'5_2':0.03},(23,422):{'3_1':0.09,'7_2':0.0},(23,421):{'3_1':0.06},(23,420):{'3_1':0.06,'5_1':0.0},(23,419):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(23,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,417):{'3_1':0.06},(23,416):{'3_1':0.03,'5_1':0.0},(23,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,414):{'3_1':0.03,'5_2':0.0},(23,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(23,412):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,411):{'3_1':0.03},(23,410):{'3_1':0.06},(23,409):{'3_1':0.0},(23,408):{'3_1':0.0},(23,407):{'3_1':0.06},(23,406):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,405):{'3_1':0.0,'5_2':0.0},(23,404):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,402):{'3_1':0.06,'5_1':0.0},(23,401):{'3_1':0.0,'7_2':0.0},(23,400):{'3_1':0.0,'4_1':0.0},(23,399):{'3_1':0.03,'4_1':0.0},(23,398):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(23,397):{'3_1':0.0},(23,396):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(23,395):{'3_1':0.03,'4_1':0.0},(23,393):{'5_2':0.0},(23,392):{'3_1':0.03,'4_1':0.0},(23,391):{'3_1':0.03,'5_2':0.0},(23,390):{'3_1':0.03},(23,389):{'3_1':0.0,'4_1':0.0},(23,388):{'3_1':0.0},(23,387):{'3_1':0.0,'5_1':0.0},(23,386):{'3_1':0.0,'5_1':0.0},(23,384):{'3_1':0.0},(23,382):{'3_1':0.0,'6_2':0.0},(23,381):{'3_1':0.0},(23,380):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(23,379):{'3_1':0.0},(23,378):{'3_1':0.06},(23,377):{'3_1':0.0},(23,376):{'3_1':0.03,'6_2':0.0},(23,375):{'3_1':0.0,'5_1':0.0},(23,374):{'3_1':0.0},(23,373):{'3_1':0.0},(23,372):{'3_1':0.03,'4_1':0.0},(23,371):{'3_1':0.0,'4_1':0.0},(23,370):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,369):{'3_1':0.03},(23,368):{'3_1':0.0,'7_1':0.0},(23,367):{'3_1':0.03,'4_1':0.0},(23,366):{'3_1':0.03,'4_1':0.0},(23,365):{'3_1':0.06,'4_1':0.0},(23,364):{'3_1':0.03},(23,363):{'3_1':0.03,'4_1':0.0},(23,362):{'3_1':0.0},(23,361):{'3_1':0.03},(23,360):{'3_1':0.0,'6_1':0.0},(23,359):{'3_1':0.0},(23,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,357):{'3_1':0.0,'4_1':0.0},(23,356):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,355):{'3_1':0.03},(23,354):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(23,353):{'3_1':0.0,'4_1':0.0},(23,352):{'3_1':0.0,'5_2':0.0},(23,351):{'3_1':0.03,'5_2':0.0},(23,350):{'3_1':0.0},(23,348):{'3_1':0.0},(23,347):{'3_1':0.0},(23,346):{'3_1':0.03},(23,345):{'3_1':0.0,'4_1':0.0},(23,344):{'3_1':0.0,'4_1':0.0},(23,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,342):{'3_1':0.0},(23,341):{'3_1':0.0},(23,340):{'3_1':0.03,'5_2':0.0},(23,339):{'3_1':0.0},(23,337):{'3_1':0.03,'4_1':0.0},(23,336):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(23,335):{'3_1':0.03},(23,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,333):{'3_1':0.03},(23,332):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'1':-0.03},(23,331):{'3_1':0.0},(23,330):{'3_1':0.03},(23,329):{'3_1':0.0,'4_1':0.0},(23,328):{'3_1':0.03},(23,327):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'9_1':0.0},(23,326):{'3_1':0.0},(23,325):{'3_1':0.06},(23,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,323):{'3_1':0.03,'4_1':0.0},(23,322):{'3_1':0.0},(23,321):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(23,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,319):{'3_1':0.0,'6_1':0.0},(23,318):{'3_1':0.0,'4_1':0.0},(23,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,316):{'3_1':0.0},(23,315):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(23,314):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(23,313):{'3_1':0.03,'5_2':0.0},(23,312):{'3_1':0.0,'6_2':0.0},(23,311):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,310):{'3_1':0.0},(23,309):{'3_1':0.03,'5_2':0.0},(23,308):{'3_1':0.0,'5_1':0.0},(23,307):{'4_1':0.0},(23,306):{'3_1':0.03,'4_1':0.0},(23,305):{'3_1':0.06,'5_2':0.0},(23,304):{'3_1':0.03},(23,303):{'3_1':0.0,'4_1':0.0},(23,302):{'3_1':0.0},(23,301):{'3_1':0.03},(23,300):{'3_1':0.03},(23,299):{'3_1':0.0},(23,298):{'3_1':0.0,'4_1':0.0},(23,297):{'3_1':0.03},(23,296):{'4_1':0.0,'3_1':0.0},(23,295):{'3_1':0.0,'7_1':0.0,'-3':0.0},(23,294):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(23,293):{'3_1':0.0,'5_2':0.0},(23,292):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(23,290):{'3_1':0.0},(23,289):{'3_1':0.03},(23,288):{'3_1':0.0,'4_1':0.0},(23,287):{'3_1':0.0},(23,286):{'3_1':0.0,'4_1':0.0},(23,285):{'3_1':0.0,'5_2':0.0},(23,284):{'3_1':0.03},(23,283):{'3_1':0.0,'5_1':0.0},(23,281):{'3_1':0.0,'4_1':0.0},(23,280):{'3_1':0.0,'4_1':0.0},(23,279):{'3_1':0.0},(23,278):{'3_1':0.03},(23,277):{'3_1':0.0},(23,276):{'3_1':0.0},(23,275):{'3_1':0.06,'5_1':0.0},(23,274):{'3_1':0.0,'6_2':0.0},(23,273):{'3_1':0.03},(23,272):{'3_1':0.06},(23,271):{'3_1':0.03,'5_2':0.0},(23,270):{'3_1':0.06,'5_1':0.0},(23,269):{'3_1':0.0},(23,268):{'3_1':0.0},(23,267):{'3_1':0.03,'5_2':0.0},(23,266):{'3_1':0.0},(23,265):{'3_1':0.0},(23,264):{'3_1':0.0,'4_1':0.0},(23,263):{'3_1':0.0},(23,262):{'3_1':0.06,'4_1':0.0},(23,261):{'3_1':0.03,'5_2':0.0},(23,260):{'3_1':0.0},(23,259):{'3_1':0.03,'4_1':0.0},(23,258):{'3_1':0.0,'4_1':0.0},(23,257):{'3_1':0.03},(23,256):{'3_1':0.0},(23,255):{'3_1':0.03},(23,254):{'3_1':0.03},(23,253):{'3_1':0.03},(23,252):{'3_1':0.0},(23,251):{'3_1':0.0},(23,250):{'3_1':0.0},(23,249):{'3_1':0.0},(23,248):{'3_1':0.03},(23,247):{'3_1':0.0},(23,246):{'3_1':0.0,'5_2':0.0},(23,245):{'3_1':0.0},(23,244):{'3_1':0.0,'4_1':0.0},(23,243):{'3_1':0.0,'5_1':0.0},(23,242):{'3_1':0.03},(23,241):{'3_1':0.0,'4_1':0.0},(23,240):{'3_1':0.03},(23,239):{'3_1':0.0},(23,238):{'3_1':0.0},(23,237):{'3_1':0.03},(23,236):{'3_1':0.03},(23,235):{'3_1':0.0},(23,234):{'3_1':0.03,'4_1':0.0},(23,233):{'3_1':0.0},(23,232):{'3_1':0.03},(23,231):{'3_1':0.0},(23,230):{'3_1':0.0,'4_1':0.0},(23,228):{'3_1':0.0},(23,227):{'3_1':0.03},(23,226):{'3_1':0.0},(23,225):{'3_1':0.0},(23,224):{'3_1':0.0},(23,222):{'3_1':0.0},(23,221):{'3_1':0.0},(23,220):{'3_1':0.0},(23,218):{'3_1':0.0},(23,217):{'3_1':0.0},(23,216):{'3_1':0.0},(23,215):{'3_1':0.0},(23,214):{'3_1':0.0},(23,213):{'3_1':0.0,'5_2':0.0},(23,212):{'3_1':0.0},(23,211):{'3_1':0.0},(23,210):{'3_1':0.0},(23,209):{'3_1':0.0},(23,208):{'3_1':0.0},(23,207):{'3_1':0.0},(23,206):{'3_1':0.0},(23,205):{'3_1':0.03},(23,204):{'3_1':0.0},(23,202):{'3_1':0.0},(23,201):{'3_1':0.0},(23,200):{'3_1':0.03},(23,199):{'3_1':0.0},(23,198):{'3_1':0.0},(23,197):{'3_1':0.0,'4_1':0.0},(23,196):{'3_1':0.03},(23,195):{'3_1':0.0},(23,194):{'3_1':0.0},(23,193):{'3_1':0.0,'5_1':0.0},(23,192):{'3_1':0.0},(23,191):{'3_1':0.0},(23,189):{'3_1':0.0},(23,188):{'3_1':0.0},(23,187):{'3_1':0.0},(23,186):{'3_1':0.0},(23,185):{'3_1':0.0,'4_1':0.0},(23,184):{'3_1':0.0},(23,182):{'3_1':0.0},(23,181):{'3_1':0.0},(23,180):{'3_1':0.03},(23,178):{'3_1':0.0},(23,177):{'3_1':0.03},(23,175):{'3_1':0.0},(23,174):{'3_1':0.0},(23,173):{'3_1':0.0},(23,171):{'3_1':0.0},(23,170):{'3_1':0.0},(23,169):{'3_1':0.0},(23,168):{'3_1':0.03},(23,167):{'3_1':0.0,'4_1':0.0},(23,166):{'3_1':0.0},(23,165):{'3_1':0.0},(23,164):{'3_1':0.0,'4_1':0.0},(23,162):{'4_1':0.0},(23,161):{'3_1':0.0},(23,160):{'3_1':0.0},(23,159):{'3_1':0.0,'4_1':0.0},(23,158):{'3_1':0.0},(23,157):{'3_1':0.0},(23,156):{'4_1':0.0},(23,155):{'3_1':0.0},(23,153):{'3_1':0.0},(23,150):{'3_1':0.0},(23,149):{'3_1':0.03},(23,145):{'3_1':0.03},(23,144):{'3_1':0.0},(23,143):{'3_1':0.0},(23,141):{'3_1':0.0,'4_1':0.0},(23,139):{'5_1':0.0},(23,137):{'3_1':0.0},(23,136):{'3_1':0.0},(23,135):{'3_1':0.0},(23,133):{'3_1':0.0},(23,132):{'3_1':0.03},(23,131):{'3_1':0.0,'4_1':0.0},(23,128):{'3_1':0.0},(23,111):{'3_1':0.0},(23,108):{'3_1':0.0},(23,97):{'3_1':0.0},(23,96):{'3_1':0.0},(23,95):{'3_1':0.0},(23,91):{'3_1':0.0},(23,90):{'4_1':0.0},(23,80):{'3_1':0.0},(23,78):{'3_1':0.0},(23,77):{'3_1':0.0},(23,71):{'3_1':0.0},(23,70):{'3_1':0.0},(23,68):{'3_1':0.0,'4_1':0.0},(23,67):{'3_1':0.0},(23,66):{'3_1':0.0},(23,65):{'3_1':0.0},(23,64):{'3_1':0.0},(23,63):{'3_1':0.0},(23,62):{'3_1':0.0},(23,61):{'3_1':0.03},(23,60):{'3_1':0.06},(23,59):{'3_1':0.0},(23,58):{'3_1':0.0},(23,57):{'3_1':0.0},(23,56):{'3_1':0.0},(23,54):{'3_1':0.0},(24,727):{'5_2':0.57,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(24,726):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(24,725):{'5_2':0.72,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(24,724):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_8':0.0,'8_10':0.0,'8_19':0.0,'1':-0.03},(24,723):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(24,722):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.03,'7_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(24,721):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(24,720):{'5_2':0.66,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(24,719):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(24,718):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(24,717):{'5_2':0.54,'3_1':0.09,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(24,716):{'5_2':0.69,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(24,715):{'5_2':0.75,'-3':0.06,'7_2':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(24,714):{'5_2':0.6,'3_1':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(24,713):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0},(24,712):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'1':-0.03},(24,711):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_4':0.03,'7_2':0.0,'8_19':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(24,710):{'5_2':0.48,'-3':0.15,'3_1':0.12,'5_1':0.06,'7_5':0.03,'8_19':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(24,709):{'5_2':0.51,'-3':0.18,'3_1':0.06,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(24,708):{'5_2':0.69,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0},(24,707):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(24,706):{'5_2':0.51,'3_1':0.15,'7_4':0.03,'-3':0.03,'3_1#5_2':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(24,705):{'5_2':0.57,'-3':0.09,'3_1':0.09,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(24,704):{'5_2':0.63,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(24,703):{'5_2':0.54,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'2':-0.03},(24,702):{'5_2':0.51,'3_1':0.18,'-3':0.06,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_13':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(24,701):{'5_2':0.51,'-3':0.09,'3_1':0.06,'3_1#5_2':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(24,700):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'6_1':0.0,'8_8':0.0,'8_19':0.0},(24,699):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(24,698):{'5_2':0.54,'3_1':0.21,'7_3':0.03,'-3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_9':0.0,'8_13':0.0},(24,697):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(24,696):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(24,695):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(24,694):{'5_2':0.3,'3_1':0.18,'-3':0.18,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(24,693):{'5_2':0.42,'3_1':0.21,'-3':0.06,'7_4':0.06,'7_6':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(24,692):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(24,691):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(24,690):{'5_2':0.3,'3_1':0.18,'-3':0.15,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(24,689):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(24,688):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(24,687):{'5_2':0.33,'3_1':0.21,'-3':0.09,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(24,686):{'5_2':0.33,'3_1':0.24,'-3':0.09,'5_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(24,685):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_10':0.0,'8_14':0.0},(24,684):{'3_1':0.36,'5_2':0.3,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(24,683):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(24,682):{'3_1':0.36,'5_2':0.24,'7_4':0.12,'-3':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(24,681):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_10':0.0,'-3':0.0},(24,680):{'3_1':0.39,'5_2':0.24,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(24,679):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'1':-0.03},(24,678):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_7':0.0,'8_8':0.0,'7_3':0.0,'8_15':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(24,677):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_6':0.0,'5_1':0.0,'8_10':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(24,676):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(24,675):{'3_1':0.6,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(24,674):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0},(24,673):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(24,672):{'3_1':0.57,'5_2':0.09,'7_7':0.06,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0},(24,671):{'3_1':0.63,'7_4':0.06,'7_7':0.06,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(24,670):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'3_1#5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(24,669):{'3_1':0.57,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(24,668):{'3_1':0.54,'7_4':0.12,'5_2':0.06,'7_7':0.06,'5_1':0.0,'8_14':0.0,'-3':0.0},(24,667):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0},(24,666):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(24,665):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_10':0.0},(24,664):{'3_1':0.6,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(24,663):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,662):{'3_1':0.42,'5_2':0.18,'7_7':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(24,661):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(24,660):{'3_1':0.45,'5_2':0.18,'-3':0.06,'7_4':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(24,659):{'3_1':0.51,'5_2':0.18,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(24,658):{'3_1':0.6,'5_2':0.12,'7_7':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0},(24,657):{'3_1':0.54,'5_2':0.12,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'6_3':0.0},(24,656):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(24,655):{'3_1':0.57,'5_2':0.18,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0},(24,654):{'3_1':0.57,'5_2':0.12,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0,'-3':0.0},(24,653):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'8_13':0.0},(24,652):{'3_1':0.48,'5_2':0.09,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_9':0.0},(24,651):{'3_1':0.51,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(24,650):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(24,649):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_7':0.0,'7_4':0.0,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(24,648):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(24,647):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,646):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'9_1':0.0,'1':-0.03},(24,645):{'3_1':0.42,'5_1':0.06,'5_2':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(24,644):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0},(24,643):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_16':0.0},(24,642):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(24,641):{'3_1':0.27,'5_2':0.21,'4_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(24,640):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(24,639):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0},(24,638):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_3':0.0,'-3':0.0},(24,637):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(24,636):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(24,635):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(24,634):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(24,633):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(24,632):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(24,631):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(24,630):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'8_3':0.0,'-3':0.0},(24,629):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_3':0.0,'-3':0.0,'5_1':0.0},(24,628):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'-3':0.0},(24,627):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(24,626):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(24,625):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_16':0.0,'3_1#5_2':0.0},(24,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(24,623):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_10':0.0},(24,622):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(24,621):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(24,620):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'6_3':0.0},(24,619):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(24,618):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(24,617):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(24,616):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(24,615):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(24,614):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_4':0.0},(24,613):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(24,612):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(24,611):{'3_1':0.15,'4_1':0.09,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(24,610):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0},(24,609):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(24,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(24,607):{'3_1':0.15,'4_1':0.09,'7_3':0.0,'5_2':0.0,'7_7':0.0,'8_1':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(24,606):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(24,605):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'-3':0.0,'6_2':0.0},(24,604):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(24,603):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(24,602):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_2':0.0,'7_7':0.0},(24,601):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(24,600):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_3':0.0},(24,599):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(24,598):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(24,597):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0,'-3':0.0},(24,596):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_1':0.0,'8_4':0.0},(24,595):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(24,594):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'7_6':0.0},(24,593):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_6':0.0},(24,592):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(24,591):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(24,590):{'3_1':0.15,'4_1':0.15,'6_2':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0},(24,589):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(24,588):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(24,587):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'-3':0.0},(24,586):{'4_1':0.15,'5_2':0.03,'6_2':0.0,'6_1':0.0,'3_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(24,585):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(24,584):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,583):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(24,582):{'4_1':0.18,'3_1':0.0,'6_1':0.0},(24,581):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(24,580):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'8_14':0.0},(24,579):{'4_1':0.21,'3_1':0.03,'6_1':0.0},(24,578):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(24,577):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'7_6':0.0,'6_1':0.0},(24,576):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(24,575):{'4_1':0.15,'3_1':0.0,'6_2':0.0},(24,574):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(24,573):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(24,572):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(24,571):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(24,570):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0},(24,569):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(24,568):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(24,567):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(24,566):{'4_1':0.18,'3_1':0.09,'6_1':0.0},(24,565):{'4_1':0.09,'3_1':0.03,'8_2':0.0},(24,564):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(24,563):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(24,562):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(24,561):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(24,560):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(24,559):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(24,558):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_3':0.0},(24,557):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(24,556):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_2':0.0},(24,555):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(24,554):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(24,553):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(24,552):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(24,551):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(24,550):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(24,549):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0},(24,548):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(24,547):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(24,546):{'3_1':0.15,'4_1':0.0},(24,545):{'3_1':0.12},(24,544):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(24,543):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0},(24,542):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(24,541):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(24,540):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(24,539):{'3_1':0.09,'4_1':0.03},(24,538):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(24,537):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(24,536):{'3_1':0.21,'4_1':0.03},(24,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(24,534):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(24,533):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(24,532):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(24,531):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(24,530):{'3_1':0.06,'4_1':0.0},(24,529):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(24,528):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_2':0.0},(24,527):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(24,526):{'4_1':0.03,'3_1':0.03},(24,525):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(24,524):{'3_1':0.06,'4_1':0.0},(24,523):{'3_1':0.03,'4_1':0.0},(24,522):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(24,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(24,520):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(24,519):{'3_1':0.03,'4_1':0.0},(24,518):{'3_1':0.03,'8_20|3_1#3_1':0.0},(24,517):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,516):{'3_1':0.03,'4_1':0.0},(24,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,514):{'3_1':0.03,'4_1':0.0},(24,513):{'3_1':0.03,'4_1':0.0},(24,512):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(24,511):{'3_1':0.03,'4_1':0.0},(24,510):{'3_1':0.06,'4_1':0.0},(24,509):{'3_1':0.03,'4_1':0.0},(24,508):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(24,507):{'3_1':0.03,'5_1':0.0},(24,506):{'4_1':0.03,'3_1':0.03},(24,505):{'3_1':0.03,'5_1':0.0},(24,504):{'4_1':0.0},(24,502):{'3_1':0.0},(24,501):{'3_1':0.0,'4_1':0.0},(24,500):{'3_1':0.0},(24,499):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(24,498):{'3_1':0.03,'8_20|3_1#3_1':0.0},(24,497):{'3_1':0.03,'4_1':0.0},(24,496):{'3_1':0.06,'4_1':0.0},(24,495):{'3_1':0.03,'4_1':0.0},(24,494):{'3_1':0.0,'4_1':0.0},(24,493):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(24,492):{'3_1':0.03,'4_1':0.0},(24,491):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,490):{'3_1':0.0,'4_1':0.0},(24,489):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,485):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0},(24,484):{'3_1':0.03,'5_1':0.0},(24,483):{'3_1':0.06,'5_1':0.0},(24,482):{'3_1':0.09},(24,481):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0},(24,480):{'3_1':0.06,'4_1':0.0},(24,479):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(24,478):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'9_1':0.0},(24,477):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(24,475):{'3_1':0.09,'4_1':0.0,'3_1#5_1':0.0},(24,474):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,473):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(24,472):{'3_1':0.06},(24,471):{'3_1':0.0},(24,470):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(24,469):{'3_1':0.03,'4_1':0.0},(24,468):{'3_1':0.03,'4_1':0.0},(24,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,466):{'3_1':0.06},(24,465):{'3_1':0.06},(24,464):{'3_1':0.0},(24,463):{'3_1':0.0,'4_1':0.0},(24,462):{'3_1':0.03,'5_1':0.0},(24,461):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(24,460):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,459):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(24,458):{'3_1':0.0},(24,457):{'3_1':0.03,'4_1':0.0},(24,456):{'3_1':0.03,'4_1':0.0},(24,455):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(24,454):{'3_1':0.0,'4_1':0.0},(24,453):{'3_1':0.03,'4_1':0.0},(24,452):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,451):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(24,450):{'3_1':0.06,'6_2':0.0},(24,449):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(24,448):{'3_1':0.03,'5_1':0.0},(24,447):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,446):{'3_1':0.0},(24,445):{'3_1':0.0,'8_7':0.0},(24,444):{'3_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(24,443):{'3_1':0.0,'4_1':0.0},(24,442):{'3_1':0.03,'4_1':0.0},(24,441):{'3_1':0.03,'4_1':0.0},(24,440):{'3_1':0.06,'5_1':0.0},(24,439):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(24,438):{'3_1':0.0,'4_1':0.0},(24,437):{'3_1':0.03,'4_1':0.0},(24,436):{'3_1':0.03,'5_1':0.0},(24,435):{'3_1':0.0},(24,434):{'3_1':0.0},(24,433):{'3_1':0.12,'5_2':0.0},(24,432):{'3_1':0.03,'5_2':0.0},(24,431):{'3_1':0.0,'5_2':0.0},(24,430):{'3_1':0.03},(24,429):{'3_1':0.06,'5_2':0.0},(24,428):{'3_1':0.03},(24,427):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(24,426):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(24,425):{'3_1':0.06,'5_1':0.0},(24,424):{'3_1':0.06,'7_2':0.0},(24,423):{'3_1':0.12},(24,422):{'3_1':0.0,'4_1':0.0},(24,421):{'3_1':0.06,'4_1':0.0},(24,420):{'3_1':0.09},(24,419):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(24,418):{'3_1':0.06,'5_2':0.0,'3_1#5_2':0.0},(24,417):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(24,416):{'3_1':0.03,'5_2':0.0},(24,415):{'3_1':0.06,'4_1':0.0},(24,414):{'3_1':0.06,'5_1':0.0},(24,413):{'3_1':0.09},(24,412):{'3_1':0.03,'4_1':0.0},(24,411):{'3_1':0.03,'4_1':0.0},(24,410):{'5_2':0.0,'3_1':0.0,'3_1#5_1':0.0},(24,409):{'3_1':0.0,'5_1':0.0},(24,408):{'3_1':0.03,'6_3':0.0,'7_4':0.0},(24,407):{'3_1':0.03},(24,406):{'3_1':0.03},(24,405):{'3_1':0.03,'4_1':0.0},(24,404):{'3_1':0.0},(24,403):{'3_1':0.06},(24,402):{'3_1':0.03},(24,401):{'3_1':0.0},(24,400):{'3_1':0.0},(24,399):{'3_1':0.0},(24,398):{'3_1':0.03,'5_2':0.0},(24,397):{'3_1':0.0,'6_2':0.0},(24,396):{'3_1':0.0},(24,395):{'3_1':0.0},(24,394):{'3_1':0.03},(24,393):{'3_1':0.03},(24,392):{'3_1':0.0,'5_2':0.0},(24,391):{'3_1':0.03},(24,390):{'3_1':0.0,'6_2':0.0},(24,389):{'3_1':0.03},(24,388):{'3_1':0.03},(24,387):{'3_1':0.0},(24,386):{'3_1':0.03},(24,384):{'3_1':0.0},(24,383):{'3_1':0.03,'4_1':0.0},(24,382):{'3_1':0.03},(24,381):{'3_1':0.0},(24,380):{'3_1':0.0},(24,379):{'3_1':0.0,'4_1':0.0},(24,378):{'3_1':0.0,'4_1':0.0},(24,377):{'3_1':0.06},(24,376):{'3_1':0.03,'8_21|3_1#4_1':0.0},(24,375):{'3_1':0.0},(24,374):{'3_1':0.0,'4_1':0.0},(24,373):{'3_1':0.03,'4_1':0.0},(24,372):{'3_1':0.09,'4_1':0.0},(24,371):{'3_1':0.0},(24,370):{'4_1':0.0,'3_1':0.0},(24,369):{'3_1':0.0,'6_2':0.0},(24,368):{'3_1':0.0,'4_1':0.0},(24,367):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,366):{'3_1':0.03,'4_1':0.0},(24,365):{'3_1':0.0},(24,364):{'3_1':0.0},(24,363):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(24,362):{'3_1':0.0},(24,361):{'3_1':0.0,'5_1':0.0},(24,360):{'3_1':0.0},(24,359):{'3_1':0.03,'5_2':0.0},(24,358):{'3_1':0.0,'4_1':0.0},(24,357):{'3_1':0.03},(24,356):{'3_1':0.0,'5_2':0.0},(24,355):{'4_1':0.0,'6_1':0.0},(24,354):{'3_1':0.0,'4_1':0.0},(24,352):{'3_1':0.0,'4_1':0.0},(24,351):{'3_1':0.03},(24,350):{'3_1':0.03,'4_1':0.0},(24,349):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(24,348):{'3_1':0.0},(24,347):{'3_1':0.0,'4_1':0.0},(24,346):{'3_1':0.0},(24,345):{'3_1':0.0,'4_1':0.0},(24,344):{'3_1':0.0,'4_1':0.0},(24,343):{'3_1':0.03},(24,342):{'3_1':0.0,'4_1':0.0},(24,340):{'3_1':0.0},(24,339):{'3_1':0.0},(24,338):{'3_1':0.0},(24,337):{'3_1':0.0},(24,335):{'3_1':0.0},(24,334):{'3_1':0.0},(24,333):{'3_1':0.0,'4_1':0.0},(24,332):{'3_1':0.0},(24,331):{'3_1':0.0,'4_1':0.0},(24,330):{'4_1':0.0},(24,329):{'3_1':0.03},(24,328):{'3_1':0.0},(24,327):{'3_1':0.03,'4_1':0.0},(24,325):{'4_1':0.0,'6_2':0.0},(24,324):{'3_1':0.0},(24,323):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,322):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(24,321):{'3_1':0.0},(24,320):{'3_1':0.0,'5_2':0.0},(24,319):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(24,318):{'4_1':0.0},(24,317):{'3_1':0.0,'4_1':0.0},(24,316):{'3_1':0.0,'5_2':0.0},(24,315):{'3_1':0.0,'4_1':0.0},(24,314):{'3_1':0.0},(24,313):{'3_1':0.0,'5_1':0.0},(24,312):{'3_1':0.0},(24,311):{'3_1':0.0},(24,310):{'3_1':0.0,'5_2':0.0,'8_4':0.0},(24,309):{'3_1':0.0,'4_1':0.0},(24,308):{'3_1':0.0},(24,307):{'3_1':0.06},(24,306):{'3_1':0.0,'4_1':0.0},(24,305):{'3_1':0.03},(24,303):{'3_1':0.03},(24,302):{'3_1':0.0},(24,301):{'4_1':0.0,'3_1':0.0},(24,300):{'3_1':0.03},(24,299):{'3_1':0.0},(24,298):{'5_2':0.0},(24,297):{'3_1':0.0,'5_2':0.0},(24,296):{'3_1':0.03},(24,295):{'5_2':0.0},(24,294):{'3_1':0.0,'7_1':0.0},(24,293):{'3_1':0.0,'4_1':0.0},(24,291):{'3_1':0.0},(24,290):{'3_1':0.0,'6_2':0.0},(24,289):{'3_1':0.0},(24,288):{'3_1':0.0},(24,287):{'3_1':0.0,'5_2':0.0},(24,286):{'3_1':0.03,'4_1':0.0},(24,285):{'3_1':0.0,'5_2':0.0},(24,284):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(24,283):{'3_1':0.0},(24,282):{'3_1':0.03},(24,281):{'3_1':0.0},(24,280):{'3_1':0.0,'4_1':0.0},(24,279):{'3_1':0.0,'5_2':0.0},(24,278):{'3_1':0.0,'6_1':0.0},(24,277):{'3_1':0.03,'5_2':0.0},(24,276):{'3_1':0.0,'7_3':0.0},(24,275):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(24,274):{'3_1':0.0},(24,273):{'3_1':0.06,'5_1':0.0},(24,272):{'3_1':0.03},(24,271):{'3_1':0.03},(24,270):{'3_1':0.0},(24,269):{'5_2':0.0,'3_1':0.0},(24,268):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,267):{'3_1':0.0,'4_1':0.0},(24,266):{'3_1':0.03},(24,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,264):{'3_1':0.03},(24,263):{'4_1':0.0},(24,262):{'3_1':0.03},(24,261):{'3_1':0.0},(24,260):{'3_1':0.0},(24,259):{'3_1':0.0},(24,258):{'3_1':0.0,'4_1':0.0},(24,257):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,256):{'3_1':0.0},(24,255):{'3_1':0.03,'4_1':0.0},(24,254):{'3_1':0.0},(24,253):{'3_1':0.0,'4_1':0.0},(24,251):{'3_1':0.0},(24,249):{'3_1':0.0},(24,248):{'3_1':0.0,'4_1':0.0},(24,247):{'3_1':0.0},(24,245):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,244):{'3_1':0.03,'5_1':0.0},(24,243):{'3_1':0.03},(24,242):{'5_2':0.0},(24,241):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(24,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(24,239):{'3_1':0.0},(24,237):{'3_1':0.03},(24,236):{'3_1':0.0},(24,235):{'3_1':0.0},(24,234):{'3_1':0.03,'4_1':0.0},(24,233):{'3_1':0.03},(24,232):{'3_1':0.06},(24,231):{'3_1':0.0},(24,230):{'3_1':0.0},(24,229):{'5_1':0.0},(24,228):{'3_1':0.0},(24,227):{'3_1':0.03},(24,226):{'3_1':0.0,'5_2':0.0},(24,225):{'3_1':0.0,'5_2':0.0},(24,224):{'3_1':0.0},(24,223):{'3_1':0.03,'5_2':0.0},(24,222):{'3_1':0.0},(24,221):{'3_1':0.0},(24,219):{'3_1':0.0},(24,217):{'3_1':0.03},(24,216):{'3_1':0.03},(24,215):{'3_1':0.0},(24,214):{'3_1':0.03},(24,213):{'3_1':0.0},(24,212):{'3_1':0.0},(24,211):{'3_1':0.0},(24,210):{'3_1':0.0},(24,209):{'3_1':0.0,'5_2':0.0},(24,208):{'3_1':0.0},(24,206):{'3_1':0.0},(24,204):{'3_1':0.0,'5_2':0.0},(24,202):{'3_1':0.0},(24,201):{'3_1':0.0},(24,200):{'3_1':0.03},(24,199):{'3_1':0.0},(24,198):{'3_1':0.0},(24,197):{'3_1':0.0},(24,196):{'3_1':0.0},(24,195):{'3_1':0.0},(24,194):{'3_1':0.0},(24,193):{'3_1':0.0},(24,192):{'4_1':0.0},(24,191):{'3_1':0.03},(24,190):{'3_1':0.0},(24,189):{'3_1':0.0},(24,187):{'3_1':0.0},(24,186):{'3_1':0.0},(24,185):{'3_1':0.0},(24,184):{'3_1':0.0},(24,183):{'3_1':0.0},(24,182):{'3_1':0.0},(24,181):{'3_1':0.0},(24,180):{'3_1':0.0},(24,179):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(24,178):{'3_1':0.0},(24,174):{'3_1':0.0},(24,173):{'3_1':0.0},(24,171):{'3_1':0.0},(24,170):{'3_1':0.0},(24,169):{'3_1':0.0},(24,168):{'3_1':0.03},(24,167):{'3_1':0.0},(24,165):{'3_1':0.0},(24,164):{'5_1':0.0},(24,163):{'3_1':0.0},(24,162):{'3_1':0.0},(24,161):{'3_1':0.0,'4_1':0.0},(24,160):{'3_1':0.0},(24,159):{'3_1':0.03,'5_2':0.0},(24,158):{'3_1':0.0,'4_1':0.0},(24,157):{'3_1':0.0,'4_1':0.0},(24,156):{'3_1':0.0},(24,155):{'3_1':0.0},(24,154):{'3_1':0.0},(24,153):{'3_1':0.0},(24,152):{'3_1':0.0},(24,150):{'4_1':0.0},(24,149):{'3_1':0.0},(24,148):{'3_1':0.0},(24,147):{'3_1':0.0},(24,146):{'3_1':0.0},(24,145):{'3_1':0.0},(24,144):{'3_1':0.0},(24,143):{'3_1':0.0},(24,142):{'3_1':0.0},(24,141):{'3_1':0.0,'4_1':0.0},(24,139):{'3_1':0.0},(24,137):{'3_1':0.0},(24,135):{'3_1':0.0},(24,134):{'3_1':0.0},(24,132):{'3_1':0.0},(24,131):{'3_1':0.0},(24,130):{'3_1':0.0},(24,129):{'3_1':0.0},(24,128):{'3_1':0.0},(24,107):{'3_1':0.0},(24,104):{'3_1':0.0},(24,101):{'3_1':0.0},(24,98):{'3_1':0.0},(24,88):{'3_1':0.0},(24,76):{'3_1':0.0},(24,75):{'3_1':0.0},(24,74):{'3_1':0.0},(24,73):{'3_1':0.0,'5_2':0.0},(24,72):{'3_1':0.0},(24,71):{'3_1':0.0},(24,70):{'3_1':0.0},(24,69):{'3_1':0.0},(24,68):{'3_1':0.0,'4_1':0.0},(24,67):{'3_1':0.0},(24,65):{'3_1':0.0},(24,63):{'3_1':0.0},(24,61):{'3_1':0.0},(24,60):{'3_1':0.03},(24,58):{'3_1':0.03},(24,55):{'3_1':0.0},(25,727):{'5_2':0.75,'-3':0.06,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(25,726):{'5_2':0.75,'3_1':0.06,'-3':0.06,'7_4':0.0,'8_8':0.0,'6_1':0.0,'7_2':0.0},(25,725):{'5_2':0.63,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.0,'8_13':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'1':-0.03},(25,724):{'5_2':0.66,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0},(25,723):{'5_2':0.69,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(25,722):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0},(25,721):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(25,720):{'5_2':0.75,'3_1':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(25,719):{'5_2':0.63,'-3':0.12,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_13':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(25,718):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_5':0.03,'3_1#5_2':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,717):{'5_2':0.6,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(25,716):{'5_2':0.57,'3_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(25,715):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(25,714):{'5_2':0.48,'-3':0.15,'7_4':0.06,'3_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(25,713):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'8_13':0.0},(25,712):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(25,711):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(25,710):{'5_2':0.51,'-3':0.15,'7_5':0.06,'3_1':0.06,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(25,709):{'5_2':0.48,'-3':0.18,'3_1':0.06,'5_1':0.06,'7_5':0.03,'3_1#5_2':0.03,'7_4':0.0},(25,708):{'5_2':0.6,'-3':0.15,'3_1':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(25,707):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_5':0.03,'7_3':0.0,'8_1':0.0,'8_13':0.0},(25,706):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(25,705):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_6':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(25,704):{'5_2':0.54,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0},(25,703):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(25,702):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0},(25,701):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0},(25,700):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(25,699):{'5_2':0.48,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,698):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'7_5':0.0},(25,697):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0},(25,696):{'5_2':0.57,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(25,695):{'5_2':0.54,'-3':0.18,'3_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(25,694):{'5_2':0.42,'3_1':0.15,'-3':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(25,693):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,692):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(25,691):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(25,690):{'5_2':0.39,'3_1':0.21,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(25,689):{'5_2':0.39,'3_1':0.3,'-3':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'5_1':0.0,'7_7':0.0,'1':-0.03},(25,688):{'3_1':0.36,'5_2':0.27,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(25,687):{'5_2':0.3,'3_1':0.24,'7_3':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0},(25,686):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'5_1':0.06,'6_1':0.03,'7_3':0.03,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(25,685):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.09,'7_3':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_5':0.0,'3_1#5_1':0.0},(25,684):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(25,683):{'3_1':0.42,'5_2':0.27,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(25,682):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(25,681):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'7_3':0.0},(25,680):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(25,679):{'3_1':0.51,'5_2':0.21,'7_4':0.09,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,678):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(25,677):{'3_1':0.54,'5_2':0.09,'7_7':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(25,676):{'3_1':0.57,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0,'3_1#5_1':0.0},(25,675):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(25,674):{'3_1':0.63,'7_4':0.12,'5_2':0.06,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,673):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0,'6_1':0.0},(25,672):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'6_3':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(25,671):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_7':0.03,'6_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'1':-0.03},(25,670):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(25,669):{'3_1':0.66,'5_2':0.09,'7_7':0.03,'-3':0.03,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(25,668):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'-3':0.0},(25,667):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0},(25,666):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(25,665):{'3_1':0.66,'5_2':0.12,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(25,664):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(25,663):{'3_1':0.54,'5_2':0.21,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(25,662):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0},(25,661):{'3_1':0.54,'5_2':0.15,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(25,660):{'3_1':0.6,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(25,659):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(25,658):{'3_1':0.6,'5_2':0.06,'-3':0.03,'7_7':0.03,'7_4':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(25,657):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,656):{'3_1':0.42,'5_2':0.12,'7_7':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,655):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,654):{'3_1':0.6,'5_2':0.09,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(25,653):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(25,652):{'3_1':0.51,'5_2':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,651):{'3_1':0.42,'5_2':0.15,'7_7':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(25,650):{'3_1':0.57,'5_2':0.09,'5_1':0.06,'7_7':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(25,649):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_6':0.0,'6_3':0.0},(25,648):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'6_3':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(25,647):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(25,646):{'3_1':0.3,'5_2':0.09,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(25,645):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'8_21|3_1#4_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(25,644):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(25,643):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(25,642):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,641):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(25,640):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,639):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(25,638):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(25,637):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(25,636):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0},(25,635):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(25,634):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(25,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,632):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(25,631):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(25,630):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(25,629):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_6':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(25,628):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(25,627):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0},(25,626):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(25,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(25,624):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(25,623):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(25,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(25,621):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(25,620):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_7':0.0},(25,619):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,618):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(25,617):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03},(25,616):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(25,615):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(25,614):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(25,613):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(25,612):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'-3':0.0},(25,611):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(25,610):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,609):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(25,608):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0},(25,607):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(25,606):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(25,605):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(25,604):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(25,603):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0,'8_1':0.0},(25,602):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(25,601):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(25,600):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(25,599):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'7_1':0.0},(25,598):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_1':0.0,'-3':0.0},(25,597):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0},(25,596):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(25,595):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(25,594):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(25,593):{'4_1':0.18,'3_1':0.06,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(25,592):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(25,591):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'7_6':0.0,'5_2':0.0,'6_1':0.0},(25,590):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(25,589):{'4_1':0.18,'3_1':0.12,'5_2':0.0},(25,588):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(25,587):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(25,586):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(25,585):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,584):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'-3':0.0},(25,583):{'4_1':0.15,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(25,582):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0},(25,581):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(25,580):{'4_1':0.18,'6_2':0.03,'5_2':0.0,'3_1':0.0,'7_5':0.0,'6_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(25,579):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(25,578):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0,'-3':0.0},(25,577):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(25,576):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(25,575):{'4_1':0.18,'3_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(25,574):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(25,573):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'7_7':0.0},(25,572):{'4_1':0.15,'3_1':0.0},(25,571):{'4_1':0.15,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_2':0.0},(25,570):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(25,569):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(25,568):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(25,567):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(25,566):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_1':0.0},(25,565):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(25,564):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(25,563):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0},(25,562):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0},(25,561):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(25,560):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,559):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(25,558):{'3_1':0.03,'4_1':0.03},(25,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(25,556):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(25,555):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(25,554):{'3_1':0.09,'4_1':0.06},(25,553):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,552):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(25,551):{'3_1':0.12,'4_1':0.06},(25,550):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_1':0.0},(25,549):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(25,548):{'3_1':0.12,'4_1':0.06,'8_1':0.0},(25,547):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(25,546):{'3_1':0.12,'4_1':0.03},(25,545):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(25,544):{'3_1':0.09,'4_1':0.0},(25,543):{'3_1':0.24,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,542):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(25,541):{'3_1':0.12,'4_1':0.03},(25,540):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(25,539):{'3_1':0.12,'4_1':0.0},(25,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(25,537):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,536):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(25,535):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(25,534):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(25,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(25,532):{'3_1':0.18,'4_1':0.03},(25,531):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(25,530):{'3_1':0.09,'4_1':0.0},(25,529):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(25,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(25,527):{'3_1':0.15,'4_1':0.0},(25,526):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,525):{'3_1':0.09,'4_1':0.0},(25,524):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(25,523):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0},(25,522):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_4':0.0},(25,521):{'3_1':0.09,'4_1':0.03},(25,520):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(25,519):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(25,518):{'3_1':0.0,'4_1':0.0},(25,517):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(25,516):{'3_1':0.09,'6_1':0.0,'4_1':0.0},(25,515):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,514):{'3_1':0.0,'4_1':0.0},(25,513):{'3_1':0.03,'4_1':0.0},(25,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(25,511):{'3_1':0.0},(25,510):{'3_1':0.03,'5_2':0.0},(25,509):{'3_1':0.0},(25,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,507):{'3_1':0.0,'4_1':0.0},(25,506):{'3_1':0.03,'4_1':0.0},(25,505):{'3_1':0.03,'4_1':0.0},(25,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,503):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(25,502):{'3_1':0.0},(25,501):{'3_1':0.0,'8_20|3_1#3_1':0.0},(25,500):{'3_1':0.03,'4_1':0.0},(25,499):{'3_1':0.0,'4_1':0.0},(25,498):{'3_1':0.0,'4_1':0.0},(25,497):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(25,496):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,495):{'3_1':0.03,'4_1':0.0},(25,494):{'4_1':0.0,'5_1':0.0},(25,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(25,491):{'3_1':0.0,'4_1':0.0},(25,490):{'3_1':0.03,'4_1':0.0},(25,489):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_13':0.0},(25,488):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(25,487):{'3_1':0.03,'8_20|3_1#3_1':0.0},(25,486):{'3_1':0.03},(25,485):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(25,484):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(25,483):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(25,482):{'3_1':0.06,'7_1':0.0,'9_1':0.0},(25,481):{'3_1':0.06,'4_1':0.0},(25,480):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0},(25,479):{'3_1':0.12,'4_1':0.0,'7_1':0.0,'7_4':0.0},(25,478):{'3_1':0.06},(25,477):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(25,476):{'3_1':0.06,'4_1':0.0},(25,475):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(25,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(25,473):{'3_1':0.03,'4_1':0.0},(25,472):{'3_1':0.03,'6_2':0.0},(25,471):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0},(25,470):{'3_1':0.0},(25,469):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(25,468):{'3_1':0.06},(25,467):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(25,466):{'3_1':0.03,'4_1':0.0},(25,465):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(25,464):{'3_1':0.0},(25,463):{'3_1':0.0},(25,462):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(25,461):{'3_1':0.0,'6_1':0.0},(25,460):{'3_1':0.03},(25,459):{'3_1':0.0},(25,458):{'3_1':0.03,'4_1':0.0},(25,457):{'3_1':0.03,'5_2':0.0},(25,456):{'3_1':0.0},(25,455):{'4_1':0.0},(25,454):{'3_1':0.0,'4_1':0.0},(25,453):{'3_1':0.03},(25,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,451):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(25,450):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_13':0.0},(25,449):{'3_1':0.0},(25,448):{'3_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(25,447):{'3_1':0.0,'8_20|3_1#3_1':0.0},(25,446):{'3_1':0.0,'4_1':0.0},(25,445):{'3_1':0.0,'5_1':0.0},(25,444):{'3_1':0.03,'5_2':0.0},(25,443):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,442):{'3_1':0.03},(25,441):{'3_1':0.03,'5_1':0.0},(25,440):{'3_1':0.03},(25,439):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(25,438):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(25,437):{'3_1':0.06,'8_20|3_1#3_1':0.0},(25,436):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,435):{'3_1':0.03,'5_1':0.0},(25,434):{'3_1':0.0,'5_2':0.0},(25,433):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(25,432):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(25,431):{'3_1':0.03,'5_2':0.0},(25,430):{'3_1':0.03,'5_2':0.0},(25,429):{'3_1':0.06,'7_4':0.0},(25,428):{'3_1':0.03,'5_2':0.0},(25,427):{'3_1':0.06},(25,426):{'3_1':0.12,'6_2':0.0,'7_4':0.0},(25,425):{'3_1':0.03},(25,424):{'3_1':0.0,'5_1':0.0},(25,423):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(25,422):{'3_1':0.06,'5_1':0.0},(25,421):{'3_1':0.03,'8_4':0.0},(25,420):{'3_1':0.06,'5_2':0.0},(25,419):{'3_1':0.06},(25,418):{'3_1':0.06,'5_1':0.0},(25,417):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(25,416):{'3_1':0.06},(25,415):{'3_1':0.06},(25,414):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(25,413):{'3_1':0.06,'5_2':0.0},(25,412):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(25,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,410):{'3_1':0.03,'5_1':0.0},(25,409):{'3_1':0.0,'4_1':0.0},(25,408):{'3_1':0.0},(25,407):{'3_1':0.03,'5_2':0.0},(25,406):{'3_1':0.0,'4_1':0.0},(25,405):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(25,404):{'3_1':0.03,'4_1':0.0},(25,403):{'3_1':0.0},(25,402):{'3_1':0.0},(25,401):{'3_1':0.03},(25,400):{'3_1':0.03,'4_1':0.0},(25,399):{'3_1':0.06},(25,398):{'3_1':0.03},(25,397):{'3_1':0.0},(25,396):{'3_1':0.0},(25,395):{'3_1':0.0,'4_1':0.0},(25,394):{'3_1':0.0,'6_2':0.0},(25,393):{'3_1':0.0,'4_1':0.0},(25,392):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,391):{'3_1':0.0},(25,390):{'3_1':0.03},(25,389):{'3_1':0.0},(25,388):{'3_1':0.03},(25,387):{'3_1':0.0},(25,386):{'3_1':0.03,'5_2':0.0},(25,385):{'3_1':0.0},(25,384):{'3_1':0.0,'4_1':0.0},(25,383):{'3_1':0.0,'6_2':0.0},(25,382):{'3_1':0.0},(25,381):{'3_1':0.0,'4_1':0.0},(25,380):{'3_1':0.06},(25,378):{'3_1':0.03,'4_1':0.0},(25,377):{'3_1':0.0},(25,376):{'3_1':0.0},(25,375):{'3_1':0.03,'5_1':0.0},(25,374):{'3_1':0.0},(25,373):{'3_1':0.0,'4_1':0.0},(25,372):{'3_1':0.03},(25,371):{'3_1':0.0,'5_1':0.0},(25,370):{'3_1':0.0,'5_1':0.0},(25,369):{'3_1':0.0,'7_1':0.0},(25,368):{'3_1':0.0,'4_1':0.0},(25,367):{'3_1':0.0},(25,366):{'3_1':0.0,'4_1':0.0},(25,364):{'4_1':0.0,'5_1':0.0,'9_1':0.0},(25,363):{'3_1':0.0},(25,362):{'3_1':0.03,'4_1':0.0},(25,361):{'3_1':0.0,'5_1':0.0},(25,360):{'3_1':0.0,'4_1':0.0},(25,359):{'4_1':0.0},(25,358):{'3_1':0.0},(25,357):{'3_1':0.0,'6_2':0.0},(25,356):{'3_1':0.0},(25,355):{'3_1':0.0,'4_1':0.0},(25,354):{'3_1':0.0},(25,353):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(25,352):{'3_1':0.0,'5_2':0.0},(25,351):{'3_1':0.0,'4_1':0.0},(25,350):{'3_1':0.0,'4_1':0.0},(25,349):{'3_1':0.0},(25,347):{'3_1':0.0,'4_1':0.0},(25,346):{'3_1':0.0},(25,345):{'3_1':0.0},(25,344):{'3_1':0.0},(25,343):{'3_1':0.0,'5_2':0.0},(25,342):{'3_1':0.0},(25,341):{'3_1':0.03,'4_1':0.0},(25,340):{'3_1':0.0},(25,339):{'3_1':0.0,'4_1':0.0},(25,338):{'3_1':0.0},(25,337):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,336):{'3_1':0.0},(25,335):{'3_1':0.03},(25,334):{'4_1':0.0},(25,333):{'3_1':0.0,'6_1':0.0},(25,332):{'3_1':0.0,'5_2':0.0},(25,331):{'3_1':0.0},(25,330):{'3_1':0.03,'4_1':0.0},(25,329):{'3_1':0.0},(25,328):{'4_1':0.0,'5_2':0.0},(25,327):{'3_1':0.0},(25,326):{'3_1':0.0,'4_1':0.0},(25,325):{'3_1':0.0,'4_1':0.0},(25,324):{'3_1':0.0},(25,323):{'3_1':0.03,'5_1':0.0},(25,322):{'3_1':0.0},(25,321):{'3_1':0.03},(25,320):{'3_1':0.0,'4_1':0.0},(25,319):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(25,318):{'3_1':0.0,'4_1':0.0},(25,317):{'3_1':0.06},(25,316):{'3_1':0.0},(25,315):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,314):{'3_1':0.03},(25,313):{'3_1':0.03},(25,312):{'3_1':0.06,'4_1':0.0},(25,311):{'3_1':0.03},(25,310):{'3_1':0.0},(25,309):{'3_1':0.0,'5_1':0.0},(25,308):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(25,307):{'3_1':0.0,'4_1':0.0},(25,306):{'3_1':0.0},(25,305):{'3_1':0.0},(25,304):{'3_1':0.0},(25,303):{'3_1':0.0},(25,302):{'3_1':0.03,'5_1':0.0},(25,301):{'3_1':0.03,'4_1':0.0},(25,300):{'3_1':0.0},(25,299):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,298):{'3_1':0.0},(25,297):{'3_1':0.0},(25,296):{'3_1':0.0},(25,295):{'3_1':0.03,'4_1':0.0},(25,294):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(25,293):{'3_1':0.03},(25,292):{'3_1':0.0,'4_1':0.0},(25,291):{'3_1':0.03},(25,290):{'3_1':0.03},(25,289):{'3_1':0.0},(25,288):{'3_1':0.0},(25,287):{'3_1':0.0},(25,286):{'3_1':0.0},(25,285):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(25,284):{'3_1':0.0,'5_1':0.0},(25,283):{'3_1':0.0},(25,282):{'3_1':0.03},(25,281):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,280):{'3_1':0.0},(25,279):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(25,278):{'3_1':0.0,'4_1':0.0},(25,277):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(25,276):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(25,275):{'3_1':0.0},(25,274):{'3_1':0.0},(25,273):{'3_1':0.03},(25,272):{'3_1':0.0},(25,271):{'3_1':0.03,'5_2':0.0},(25,270):{'3_1':0.03,'5_1':0.0},(25,269):{'3_1':0.03},(25,268):{'3_1':0.03,'6_2':0.0},(25,267):{'3_1':0.03,'4_1':0.0},(25,266):{'3_1':0.0},(25,265):{'3_1':0.0},(25,264):{'3_1':0.03},(25,263):{'3_1':0.03,'4_1':0.0},(25,262):{'3_1':0.03,'5_1':0.0},(25,260):{'3_1':0.0},(25,259):{'3_1':0.0,'5_2':0.0},(25,258):{'3_1':0.0,'5_2':0.0},(25,257):{'3_1':0.06},(25,256):{'3_1':0.0,'4_1':0.0},(25,255):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,254):{'3_1':0.0,'4_1':0.0},(25,253):{'3_1':0.0,'5_1':0.0},(25,252):{'3_1':0.0,'4_1':0.0},(25,251):{'5_2':0.0},(25,250):{'3_1':0.0,'4_1':0.0},(25,249):{'3_1':0.0,'4_1':0.0},(25,248):{'3_1':0.0,'5_1':0.0},(25,247):{'3_1':0.0,'5_2':0.0},(25,246):{'3_1':0.0,'4_1':0.0},(25,245):{'3_1':0.03},(25,244):{'3_1':0.0},(25,243):{'5_1':0.0},(25,242):{'3_1':0.0},(25,240):{'3_1':0.0},(25,239):{'3_1':0.0},(25,238):{'3_1':0.0,'4_1':0.0},(25,237):{'3_1':0.0,'4_1':0.0},(25,236):{'3_1':0.0},(25,235):{'3_1':0.0},(25,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(25,233):{'3_1':0.0},(25,232):{'3_1':0.0,'5_2':0.0},(25,231):{'3_1':0.0},(25,230):{'3_1':0.0,'5_1':0.0},(25,229):{'3_1':0.0,'5_1':0.0},(25,228):{'3_1':0.0,'7_6':0.0},(25,227):{'3_1':0.0},(25,226):{'3_1':0.0,'4_1':0.0},(25,225):{'3_1':0.0},(25,224):{'6_3':0.0},(25,223):{'3_1':0.0},(25,222):{'3_1':0.0,'6_2':0.0},(25,221):{'3_1':0.0},(25,220):{'3_1':0.0,'7_4':0.0},(25,219):{'3_1':0.0},(25,218):{'3_1':0.0},(25,217):{'3_1':0.0},(25,215):{'3_1':0.0,'4_1':0.0},(25,213):{'3_1':0.0},(25,212):{'3_1':0.0},(25,211):{'3_1':0.0,'5_2':0.0},(25,209):{'3_1':0.0},(25,208):{'3_1':0.0},(25,207):{'3_1':0.03},(25,206):{'3_1':0.0},(25,205):{'3_1':0.0},(25,204):{'3_1':0.0,'5_2':0.0},(25,203):{'3_1':0.0},(25,201):{'3_1':0.0},(25,200):{'3_1':0.0},(25,199):{'3_1':0.0},(25,198):{'3_1':0.0},(25,197):{'3_1':0.0},(25,196):{'3_1':0.0},(25,195):{'3_1':0.0},(25,194):{'3_1':0.0},(25,193):{'3_1':0.0},(25,192):{'3_1':0.03,'4_1':0.0},(25,191):{'3_1':0.0},(25,189):{'3_1':0.0},(25,188):{'3_1':0.0},(25,187):{'3_1':0.0,'4_1':0.0},(25,186):{'3_1':0.0},(25,185):{'3_1':0.0},(25,184):{'3_1':0.03},(25,183):{'3_1':0.0},(25,182):{'3_1':0.0},(25,181):{'3_1':0.0},(25,180):{'3_1':0.0},(25,179):{'3_1':0.03},(25,178):{'3_1':0.0,'5_1':0.0},(25,177):{'3_1':0.0},(25,176):{'3_1':0.0},(25,175):{'3_1':0.0,'4_1':0.0},(25,174):{'3_1':0.0},(25,173):{'3_1':0.0},(25,171):{'3_1':0.0},(25,170):{'3_1':0.0},(25,169):{'3_1':0.0},(25,168):{'3_1':0.0},(25,166):{'3_1':0.0,'4_1':0.0},(25,165):{'3_1':0.0,'4_1':0.0},(25,164):{'3_1':0.03},(25,163):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(25,161):{'3_1':0.0,'4_1':0.0},(25,159):{'3_1':0.0},(25,158):{'3_1':0.0},(25,157):{'3_1':0.0},(25,156):{'3_1':0.0},(25,155):{'3_1':0.0},(25,154):{'3_1':0.0},(25,153):{'3_1':0.0},(25,151):{'3_1':0.0},(25,150):{'3_1':0.0},(25,149):{'3_1':0.0},(25,148):{'3_1':0.0},(25,147):{'3_1':0.0},(25,146):{'3_1':0.0},(25,145):{'3_1':0.03},(25,144):{'3_1':0.0,'5_1':0.0},(25,142):{'3_1':0.0},(25,141):{'3_1':0.0},(25,140):{'4_1':0.0},(25,139):{'3_1':0.03},(25,138):{'3_1':0.0},(25,137):{'3_1':0.0},(25,136):{'7_1':0.0},(25,135):{'3_1':0.0},(25,133):{'3_1':0.0},(25,129):{'4_1':0.0},(25,118):{'3_1':0.0},(25,115):{'3_1':0.0},(25,110):{'3_1':0.0},(25,105):{'3_1':0.0},(25,104):{'3_1':0.0},(25,99):{'3_1':0.0},(25,95):{'3_1':0.0},(25,94):{'3_1':0.0},(25,92):{'3_1':0.0},(25,80):{'3_1':0.0},(25,76):{'3_1':0.0},(25,74):{'3_1':0.0},(25,73):{'3_1':0.0},(25,71):{'3_1':0.0},(25,70):{'3_1':0.0},(25,66):{'3_1':0.0},(25,64):{'3_1':0.0},(25,62):{'3_1':0.0},(25,61):{'3_1':0.0},(25,60):{'3_1':0.03},(25,59):{'3_1':0.03},(25,58):{'3_1':0.0},(25,57):{'3_1':0.0},(25,54):{'3_1':0.0},(26,727):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0},(26,726):{'5_2':0.69,'-3':0.06,'3_1':0.06,'7_4':0.0,'8_19':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(26,725):{'5_2':0.72,'-3':0.09,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'9_1':0.0},(26,724):{'5_2':0.69,'-3':0.09,'5_1':0.03,'3_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(26,723):{'5_2':0.72,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0},(26,722):{'5_2':0.66,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(26,721):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'1':-0.03},(26,720):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(26,719):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(26,718):{'5_2':0.66,'7_4':0.03,'3_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(26,717):{'5_2':0.66,'3_1':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(26,716):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(26,715):{'5_2':0.54,'3_1':0.09,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(26,714):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(26,713):{'5_2':0.63,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(26,712):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0},(26,711):{'5_2':0.66,'-3':0.12,'3_1':0.06,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(26,710):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(26,709):{'5_2':0.54,'-3':0.18,'3_1':0.06,'5_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(26,708):{'5_2':0.6,'3_1':0.09,'5_1':0.06,'-3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'9_1':0.0,'3_1#5_2':0.0},(26,707):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.03,'8_19':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(26,706):{'5_2':0.57,'3_1':0.12,'-3':0.06,'3_1#5_2':0.03,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(26,705):{'5_2':0.66,'3_1':0.09,'-3':0.09,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(26,704):{'5_2':0.57,'3_1':0.21,'-3':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0},(26,703):{'5_2':0.6,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0},(26,702):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0},(26,701):{'5_2':0.45,'3_1':0.12,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0},(26,700):{'5_2':0.51,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(26,699):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'2':-0.03},(26,698):{'5_2':0.54,'-3':0.09,'7_3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0},(26,697):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_6':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(26,696):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_6':0.03,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'1':-0.03},(26,695):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(26,694):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(26,693):{'5_2':0.51,'3_1':0.24,'-3':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(26,692):{'5_2':0.39,'3_1':0.24,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(26,691):{'5_2':0.48,'3_1':0.18,'-3':0.09,'7_3':0.0,'7_4':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(26,690):{'5_2':0.39,'3_1':0.33,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0},(26,689):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_13':0.0},(26,688):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(26,687):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(26,686):{'3_1':0.39,'5_2':0.24,'7_3':0.03,'7_4':0.03,'5_1':0.03,'-3':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(26,685):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,684):{'3_1':0.36,'5_2':0.33,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(26,683):{'3_1':0.42,'5_2':0.24,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0},(26,682):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.06,'7_7':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0},(26,681):{'3_1':0.51,'5_2':0.21,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(26,680):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(26,679):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.03,'8_8':0.0,'5_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(26,678):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.03,'-3':0.0,'7_3':0.0,'6_3':0.0,'8_13':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(26,677):{'3_1':0.54,'5_2':0.15,'-3':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(26,676):{'3_1':0.6,'-3':0.09,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(26,675):{'3_1':0.48,'7_4':0.15,'5_2':0.06,'-3':0.06,'7_7':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(26,674):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'-3':0.0,'7_7':0.0,'5_1':0.0,'8_8':0.0},(26,673):{'3_1':0.57,'7_4':0.06,'5_2':0.06,'-3':0.03,'7_7':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(26,672):{'3_1':0.66,'5_2':0.09,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0},(26,671):{'3_1':0.57,'5_2':0.09,'7_7':0.06,'-3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(26,670):{'3_1':0.66,'7_7':0.06,'5_2':0.06,'7_4':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(26,669):{'3_1':0.63,'7_4':0.09,'5_2':0.06,'7_7':0.03,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'-3':0.0},(26,668):{'3_1':0.63,'7_4':0.12,'5_2':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(26,667):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(26,666):{'3_1':0.54,'7_4':0.06,'5_2':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_19':0.0},(26,665):{'3_1':0.6,'5_2':0.15,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'8_19':0.0},(26,664):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'7_7':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(26,663):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(26,662):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(26,661):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,660):{'3_1':0.51,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(26,659):{'3_1':0.42,'5_2':0.06,'5_1':0.06,'-3':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(26,658):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(26,657):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(26,656):{'3_1':0.57,'5_2':0.09,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0},(26,655):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,654):{'3_1':0.54,'5_2':0.09,'7_7':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(26,653):{'3_1':0.51,'5_2':0.12,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(26,652):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(26,651):{'3_1':0.45,'5_2':0.12,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(26,650):{'3_1':0.42,'5_2':0.15,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(26,649):{'3_1':0.51,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(26,648):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'3_1#5_1':0.0},(26,647):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(26,646):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(26,645):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_1':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(26,644):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(26,643):{'5_2':0.21,'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(26,642):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.03,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(26,641):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(26,640):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.03,'-3':0.03,'7_7':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(26,639):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,638):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(26,637):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,636):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(26,635):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(26,634):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,633):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(26,632):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(26,631):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_6':0.0},(26,630):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(26,629):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(26,628):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_6':0.0,'6_3':0.0,'8_14':0.0},(26,627):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_6':0.0},(26,626):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_3':0.0,'7_1':0.0,'7_6':0.0,'6_1':0.0},(26,625):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(26,624):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(26,623):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(26,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(26,621):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(26,620):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(26,619):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(26,618):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(26,617):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_16':0.0,'-3':0.0},(26,616):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(26,615):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(26,614):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(26,613):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_16':0.0},(26,612):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'-3':0.0,'5_1':0.0},(26,611):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(26,610):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,609):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_16':0.0,'3_1#5_2':0.0,'-3':0.0},(26,608):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(26,607):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_3':0.0},(26,606):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(26,605):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(26,604):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_3':0.0},(26,603):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(26,602):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,601):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(26,600):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(26,599):{'4_1':0.09,'3_1':0.06,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(26,598):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(26,597):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,596):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(26,594):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(26,593):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(26,592):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'5_1':0.0},(26,591):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,590):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0},(26,589):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_6':0.0},(26,588):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0},(26,587):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'7_7':0.0},(26,586):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(26,585):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,584):{'4_1':0.24,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(26,583):{'4_1':0.12,'3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(26,582):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0},(26,581):{'4_1':0.24,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(26,580):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(26,579):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(26,578):{'4_1':0.15,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_2':0.0,'8_2':0.0,'3_1#5_1':0.0},(26,577):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(26,576):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(26,575):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_5':0.0},(26,574):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0},(26,573):{'4_1':0.18,'3_1':0.03,'6_2':0.0},(26,572):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(26,571):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_6':0.0},(26,570):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(26,569):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(26,568):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(26,567):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(26,566):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(26,565):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(26,564):{'4_1':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_1':0.0},(26,563):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(26,562):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(26,561):{'4_1':0.06,'3_1':0.0,'5_2':0.0},(26,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0},(26,559):{'3_1':0.09,'4_1':0.09,'6_1':0.0},(26,558):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(26,557):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(26,556):{'3_1':0.09,'4_1':0.03,'7_1':0.0,'7_7':0.0},(26,555):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(26,554):{'3_1':0.09,'4_1':0.06},(26,553):{'3_1':0.09,'4_1':0.09,'6_1':0.0},(26,552):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(26,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(26,550):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(26,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(26,548):{'3_1':0.06,'4_1':0.0},(26,547):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(26,546):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(26,545):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(26,544):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(26,543):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(26,542):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(26,541):{'3_1':0.09,'4_1':0.03},(26,540):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(26,539):{'3_1':0.09,'4_1':0.03},(26,538):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_1':0.0},(26,537):{'3_1':0.18,'4_1':0.0,'7_4':0.0},(26,536):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_4':0.0},(26,535):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(26,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(26,533):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(26,532):{'3_1':0.12,'4_1':0.0},(26,531):{'3_1':0.15,'4_1':0.03},(26,530):{'3_1':0.12,'4_1':0.0},(26,529):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_1':0.0},(26,528):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(26,527):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(26,526):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(26,525):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(26,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(26,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(26,522):{'3_1':0.09,'6_1':0.0},(26,521):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,520):{'3_1':0.0,'4_1':0.0},(26,519):{'3_1':0.06},(26,518):{'3_1':0.03},(26,517):{'3_1':0.03},(26,516):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(26,515):{'3_1':0.03},(26,514):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,513):{'3_1':0.03},(26,512):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(26,511):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(26,510):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(26,509):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(26,508):{'3_1':0.03,'4_1':0.0},(26,507):{'3_1':0.0},(26,506):{'3_1':0.0,'4_1':0.0},(26,505):{'3_1':0.03},(26,504):{'3_1':0.0},(26,503):{'3_1':0.0,'4_1':0.0},(26,502):{'3_1':0.03},(26,501):{'3_1':0.03,'4_1':0.0},(26,500):{'3_1':0.06,'4_1':0.0},(26,499):{'3_1':0.03},(26,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,497):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(26,496):{'3_1':0.03},(26,495):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(26,494):{'3_1':0.03,'4_1':0.0},(26,493):{'3_1':0.06,'4_1':0.0},(26,492):{'3_1':0.03,'4_1':0.0},(26,491):{'3_1':0.09},(26,490):{'3_1':0.03,'4_1':0.0},(26,489):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(26,488):{'3_1':0.06,'4_1':0.0},(26,487):{'3_1':0.03,'4_1':0.0},(26,486):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,485):{'3_1':0.09,'4_1':0.0},(26,484):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(26,483):{'3_1':0.09,'4_1':0.0},(26,482):{'3_1':0.06,'7_1':0.0},(26,481):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(26,480):{'3_1':0.06},(26,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,478):{'3_1':0.03,'4_1':0.0},(26,477):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(26,476):{'3_1':0.06,'4_1':0.0},(26,475):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(26,474):{'3_1':0.06,'4_1':0.0},(26,473):{'3_1':0.03,'4_1':0.0},(26,472):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(26,471):{'3_1':0.03},(26,470):{'3_1':0.06},(26,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0},(26,468):{'3_1':0.03,'4_1':0.0},(26,467):{'3_1':0.0,'4_1':0.0},(26,466):{'3_1':0.03},(26,465):{'3_1':0.03},(26,464):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(26,463):{'3_1':0.06,'4_1':0.0},(26,462):{'3_1':0.03,'4_1':0.0},(26,461):{'3_1':0.03,'4_1':0.0},(26,460):{'3_1':0.0},(26,459):{'3_1':0.03,'8_20|3_1#3_1':0.0},(26,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,457):{'3_1':0.0,'6_2':0.0},(26,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(26,455):{'3_1':0.0,'4_1':0.0},(26,454):{'3_1':0.0,'4_1':0.0},(26,453):{'3_1':0.03,'4_1':0.0},(26,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(26,451):{'3_1':0.0,'4_1':0.0},(26,450):{'4_1':0.0,'3_1':0.0},(26,449):{'3_1':0.03,'4_1':0.0,'-3':0.0},(26,448):{'3_1':0.0,'4_1':0.0},(26,447):{'3_1':0.03,'4_1':0.0},(26,446):{'3_1':0.03},(26,445):{'3_1':0.03},(26,444):{'3_1':0.06,'5_2':0.0},(26,443):{'3_1':0.0,'4_1':0.0},(26,442):{'3_1':0.03},(26,441):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(26,440):{'3_1':0.03},(26,439):{'3_1':0.03,'4_1':0.0},(26,438):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(26,437):{'3_1':0.0},(26,436):{'3_1':0.03,'5_2':0.0},(26,435):{'3_1':0.0},(26,434):{'3_1':0.03},(26,433):{'3_1':0.03},(26,432):{'3_1':0.03},(26,431):{'3_1':0.0,'4_1':0.0},(26,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(26,429):{'3_1':0.03},(26,428):{'3_1':0.0,'5_2':0.0},(26,427):{'3_1':0.06,'8_20|3_1#3_1':0.0},(26,426):{'3_1':0.06,'4_1':0.0},(26,425):{'3_1':0.03,'5_2':0.0},(26,424):{'3_1':0.03,'6_3':0.0},(26,423):{'3_1':0.06,'5_2':0.0},(26,422):{'3_1':0.06,'4_1':0.0},(26,421):{'3_1':0.0,'4_1':0.0},(26,420):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,419):{'3_1':0.09,'4_1':0.0},(26,418):{'3_1':0.09,'6_2':0.0},(26,417):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,416):{'3_1':0.0,'5_2':0.0},(26,415):{'3_1':0.03},(26,414):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(26,413):{'3_1':0.03,'5_1':0.0},(26,412):{'3_1':0.0},(26,411):{'3_1':0.0},(26,410):{'3_1':0.0,'5_1':0.0},(26,409):{'3_1':0.0},(26,408):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(26,407):{'3_1':0.0},(26,406):{'3_1':0.0},(26,405):{'3_1':0.0},(26,404):{'3_1':0.0,'4_1':0.0},(26,403):{'3_1':0.03},(26,402):{'3_1':0.0,'4_1':0.0},(26,401):{'3_1':0.0},(26,400):{'3_1':0.0},(26,399):{'3_1':0.03},(26,398):{'3_1':0.0,'5_2':0.0},(26,397):{'3_1':0.0},(26,396):{'3_1':0.0,'4_1':0.0},(26,395):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(26,394):{'3_1':0.0},(26,393):{'3_1':0.0,'4_1':0.0},(26,392):{'3_1':0.03,'5_2':0.0},(26,391):{'3_1':0.03},(26,390):{'3_1':0.03},(26,389):{'4_1':0.0},(26,388):{'3_1':0.0},(26,387):{'3_1':0.0,'5_1':0.0},(26,386):{'3_1':0.0},(26,385):{'3_1':0.0},(26,384):{'3_1':0.06},(26,383):{'3_1':0.03,'4_1':0.0},(26,382):{'3_1':0.03},(26,381):{'3_1':0.03},(26,380):{'3_1':0.0,'4_1':0.0},(26,379):{'3_1':0.0},(26,378):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(26,377):{'3_1':0.03},(26,376):{'3_1':0.0},(26,375):{'3_1':0.0},(26,374):{'3_1':0.0},(26,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(26,372):{'3_1':0.0,'5_1':0.0},(26,371):{'3_1':0.03,'4_1':0.0},(26,370):{'4_1':0.0,'3_1':0.0},(26,369):{'3_1':0.03},(26,368):{'3_1':0.0},(26,366):{'3_1':0.03},(26,365):{'3_1':0.0},(26,364):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(26,363):{'3_1':0.0},(26,362):{'3_1':0.03},(26,361):{'3_1':0.0,'4_1':0.0},(26,360):{'3_1':0.0},(26,359):{'3_1':0.0,'4_1':0.0},(26,358):{'3_1':0.0},(26,357):{'3_1':0.0,'4_1':0.0},(26,356):{'3_1':0.0},(26,355):{'3_1':0.0,'5_1':0.0},(26,354):{'3_1':0.03,'4_1':0.0},(26,353):{'3_1':0.0},(26,352):{'3_1':0.0,'5_1':0.0},(26,351):{'3_1':0.0},(26,350):{'3_1':0.0,'5_1':0.0},(26,349):{'4_1':0.0,'5_1':0.0},(26,348):{'3_1':0.0,'5_1':0.0},(26,347):{'3_1':0.0,'4_1':0.0},(26,346):{'3_1':0.0,'4_1':0.0},(26,345):{'3_1':0.03,'5_1':0.0},(26,344):{'3_1':0.0,'5_1':0.0},(26,343):{'3_1':0.0,'4_1':0.0},(26,342):{'3_1':0.0},(26,341):{'3_1':0.0},(26,340):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(26,339):{'3_1':0.0},(26,338):{'3_1':0.0,'5_1':0.0},(26,337):{'3_1':0.03},(26,336):{'3_1':0.03},(26,335):{'3_1':0.0},(26,334):{'3_1':0.03},(26,333):{'3_1':0.03,'5_1':0.0},(26,331):{'3_1':0.0},(26,330):{'3_1':0.03,'4_1':0.0},(26,329):{'3_1':0.03,'7_2':0.0},(26,328):{'3_1':0.0,'7_2':0.0},(26,327):{'3_1':0.0},(26,326):{'3_1':0.03},(26,325):{'3_1':0.03},(26,324):{'3_1':0.0},(26,323):{'3_1':0.0},(26,322):{'3_1':0.0,'4_1':0.0},(26,321):{'3_1':0.0,'4_1':0.0},(26,320):{'3_1':0.0},(26,319):{'3_1':0.0,'7_1':0.0},(26,318):{'6_1':0.0,'7_2':0.0},(26,317):{'3_1':0.03},(26,316):{'3_1':0.0},(26,315):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(26,314):{'3_1':0.0},(26,313):{'3_1':0.03},(26,312):{'3_1':0.0,'4_1':0.0},(26,311):{'3_1':0.0},(26,310):{'3_1':0.0},(26,309):{'3_1':0.0},(26,308):{'3_1':0.0},(26,307):{'3_1':0.03},(26,306):{'3_1':0.0,'7_2':0.0,'5_2':0.0},(26,305):{'3_1':0.0},(26,304):{'3_1':0.0},(26,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(26,302):{'3_1':0.0,'4_1':0.0},(26,301):{'3_1':0.03},(26,299):{'3_1':0.0,'7_2':0.0},(26,298):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(26,297):{'3_1':0.0},(26,296):{'3_1':0.0},(26,295):{'4_1':0.0},(26,294):{'3_1':0.0},(26,293):{'3_1':0.0},(26,292):{'3_1':0.0},(26,291):{'3_1':0.03,'5_2':0.0},(26,290):{'3_1':0.0,'6_1':0.0},(26,289):{'3_1':0.0,'5_2':0.0},(26,288):{'3_1':0.0},(26,287):{'3_1':0.03,'5_2':0.0},(26,286):{'3_1':0.03},(26,285):{'3_1':0.0,'5_1':0.0},(26,284):{'3_1':0.0},(26,283):{'3_1':0.0,'5_1':0.0},(26,282):{'3_1':0.03,'5_2':0.0},(26,281):{'3_1':0.0,'5_2':0.0},(26,280):{'3_1':0.03},(26,278):{'3_1':0.0},(26,277):{'3_1':0.03,'5_2':0.0},(26,276):{'3_1':0.0},(26,275):{'3_1':0.03},(26,274):{'3_1':0.03,'4_1':0.0},(26,273):{'3_1':0.03},(26,272):{'3_1':0.06},(26,271):{'4_1':0.0},(26,270):{'3_1':0.03,'5_1':0.0},(26,269):{'3_1':0.03},(26,268):{'3_1':0.03,'4_1':0.0},(26,267):{'3_1':0.06,'4_1':0.0},(26,266):{'3_1':0.03,'4_1':0.0},(26,265):{'3_1':0.03},(26,264):{'3_1':0.0},(26,263):{'3_1':0.0,'6_2':0.0},(26,262):{'3_1':0.03,'5_2':0.0},(26,261):{'3_1':0.0},(26,260):{'3_1':0.03},(26,259):{'3_1':0.0},(26,258):{'3_1':0.0},(26,257):{'3_1':0.0,'8_21|3_1#4_1':0.0},(26,255):{'3_1':0.03},(26,254):{'7_1':0.0},(26,253):{'3_1':0.0},(26,252):{'3_1':0.0},(26,251):{'3_1':0.0},(26,250):{'3_1':0.03},(26,249):{'3_1':0.0,'5_1':0.0},(26,248):{'3_1':0.0,'5_1':0.0},(26,247):{'3_1':0.0},(26,246):{'5_1':0.0},(26,244):{'3_1':0.0,'5_1':0.0},(26,243):{'3_1':0.0,'5_1':0.0},(26,242):{'3_1':0.03},(26,241):{'3_1':0.0},(26,240):{'3_1':0.0,'4_1':0.0},(26,239):{'3_1':0.0},(26,238):{'3_1':0.0,'4_1':0.0},(26,237):{'3_1':0.0},(26,236):{'3_1':0.03},(26,235):{'3_1':0.0},(26,234):{'3_1':0.03},(26,233):{'3_1':0.03},(26,232):{'3_1':0.0,'4_1':0.0},(26,231):{'3_1':0.03},(26,230):{'3_1':0.0},(26,229):{'3_1':0.0,'5_1':0.0},(26,228):{'3_1':0.0},(26,227):{'3_1':0.06},(26,226):{'3_1':0.0},(26,225):{'3_1':0.0},(26,224):{'3_1':0.0,'5_1':0.0},(26,223):{'3_1':0.0},(26,222):{'5_1':0.0},(26,221):{'3_1':0.0},(26,220):{'3_1':0.0},(26,219):{'3_1':0.03},(26,218):{'3_1':0.03},(26,217):{'3_1':0.0},(26,216):{'3_1':0.0},(26,215):{'3_1':0.0},(26,214):{'3_1':0.0},(26,213):{'3_1':0.0},(26,212):{'3_1':0.0,'5_2':0.0},(26,211):{'3_1':0.0},(26,210):{'3_1':0.0},(26,209):{'3_1':0.0},(26,208):{'3_1':0.0},(26,207):{'3_1':0.0},(26,205):{'3_1':0.0},(26,204):{'3_1':0.0},(26,203):{'3_1':0.0},(26,202):{'3_1':0.0},(26,201):{'3_1':0.0},(26,199):{'3_1':0.03},(26,198):{'3_1':0.0,'5_2':0.0},(26,197):{'3_1':0.03},(26,196):{'3_1':0.0},(26,194):{'3_1':0.03},(26,193):{'3_1':0.0},(26,192):{'3_1':0.0,'5_1':0.0},(26,191):{'3_1':0.0},(26,190):{'3_1':0.0,'4_1':0.0},(26,189):{'3_1':0.0},(26,188):{'3_1':0.0},(26,187):{'3_1':0.0},(26,186):{'3_1':0.0},(26,185):{'3_1':0.0},(26,184):{'3_1':0.0},(26,183):{'3_1':0.0},(26,182):{'3_1':0.0},(26,181):{'3_1':0.0},(26,180):{'3_1':0.0},(26,179):{'3_1':0.0},(26,178):{'3_1':0.0},(26,177):{'3_1':0.0},(26,176):{'3_1':0.0},(26,174):{'3_1':0.0},(26,173):{'3_1':0.0},(26,165):{'3_1':0.0},(26,164):{'3_1':0.0},(26,163):{'3_1':0.0},(26,162):{'3_1':0.0},(26,160):{'3_1':0.0},(26,159):{'3_1':0.0},(26,157):{'4_1':0.0},(26,156):{'3_1':0.0,'4_1':0.0},(26,155):{'3_1':0.0},(26,154):{'3_1':0.0},(26,153):{'3_1':0.0},(26,152):{'3_1':0.0},(26,151):{'3_1':0.0},(26,150):{'3_1':0.0},(26,148):{'3_1':0.0},(26,147):{'3_1':0.0},(26,145):{'3_1':0.0},(26,144):{'3_1':0.0},(26,143):{'3_1':0.0},(26,142):{'3_1':0.0},(26,138):{'4_1':0.0},(26,135):{'5_1':0.0,'3_1':0.0},(26,132):{'3_1':0.0},(26,131):{'3_1':0.0,'5_1':0.0},(26,130):{'3_1':0.0},(26,129):{'3_1':0.0},(26,128):{'5_2':0.0},(26,81):{'3_1':0.0},(26,79):{'3_1':0.0},(26,78):{'3_1':0.0},(26,77):{'3_1':0.0},(26,76):{'3_1':0.0},(26,75):{'3_1':0.0},(26,74):{'3_1':0.0},(26,73):{'3_1':0.0},(26,72):{'3_1':0.0},(26,71):{'3_1':0.0},(26,70):{'3_1':0.0},(26,69):{'3_1':0.0},(26,68):{'3_1':0.0},(26,67):{'3_1':0.0},(26,66):{'3_1':0.0},(26,65):{'3_1':0.0},(26,64):{'3_1':0.03},(26,63):{'3_1':0.0},(26,62):{'3_1':0.0},(26,61):{'3_1':0.03},(26,60):{'3_1':0.0},(26,59):{'3_1':0.03},(26,58):{'3_1':0.0},(26,57):{'3_1':0.0},(26,56):{'3_1':0.0},(26,53):{'3_1':0.0},(27,727):{'5_2':0.72,'-3':0.06,'3_1':0.06,'7_4':0.0,'7_6':0.0},(27,726):{'5_2':0.75,'-3':0.06,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_15':0.0},(27,725):{'5_2':0.63,'-3':0.12,'7_4':0.06,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_15':0.0},(27,724):{'5_2':0.63,'-3':0.09,'7_4':0.09,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(27,723):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,722):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(27,721):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(27,720):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_11':0.0},(27,719):{'5_2':0.69,'-3':0.06,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(27,718):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'1':-0.03},(27,717):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(27,716):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(27,715):{'5_2':0.69,'-3':0.09,'7_4':0.03,'3_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(27,714):{'5_2':0.57,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0},(27,713):{'5_2':0.51,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'8_8':0.0},(27,712):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(27,711):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(27,710):{'5_2':0.51,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'7_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,709):{'5_2':0.54,'-3':0.18,'5_1':0.06,'3_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(27,708):{'5_2':0.6,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_5':0.03,'-3':0.03,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'8_3':0.0},(27,707):{'5_2':0.63,'-3':0.06,'7_5':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(27,706):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(27,705):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(27,704):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'8_13':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(27,703):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(27,702):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,701):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(27,700):{'5_2':0.45,'-3':0.12,'3_1':0.09,'5_1':0.06,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(27,699):{'5_2':0.39,'3_1':0.15,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.03,'7_3':0.03,'7_5':0.03,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(27,698):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'2':-0.03},(27,697):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(27,696):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(27,695):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(27,694):{'5_2':0.45,'3_1':0.18,'-3':0.15,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'1':-0.03},(27,693):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_16':0.0},(27,692):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,691):{'5_2':0.39,'3_1':0.18,'-3':0.15,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(27,690):{'5_2':0.36,'3_1':0.33,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,689):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(27,688):{'5_2':0.39,'3_1':0.36,'-3':0.06,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(27,687):{'5_2':0.33,'3_1':0.27,'-3':0.15,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(27,686):{'5_2':0.27,'3_1':0.24,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(27,685):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'7_3':0.03,'5_1':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(27,684):{'3_1':0.45,'5_2':0.18,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(27,683):{'3_1':0.36,'5_2':0.24,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(27,682):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(27,681):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0},(27,680):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_7':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(27,679):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'-3':0.03,'9_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(27,678):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_19':0.0},(27,677):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.03,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0},(27,676):{'3_1':0.51,'7_4':0.09,'5_2':0.09,'-3':0.03,'7_7':0.0,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(27,675):{'3_1':0.63,'7_4':0.09,'5_2':0.09,'6_3':0.0,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0},(27,674):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'-3':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(27,673):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0},(27,672):{'3_1':0.66,'7_4':0.06,'-3':0.06,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0},(27,671):{'3_1':0.69,'5_2':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(27,670):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(27,669):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0},(27,668):{'3_1':0.72,'5_2':0.03,'7_7':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(27,667):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_19':0.0},(27,666):{'3_1':0.75,'5_2':0.06,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(27,665):{'3_1':0.57,'5_2':0.18,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(27,664):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(27,663):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'8_14':0.0,'6_3':0.0,'7_7':0.0},(27,662):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(27,661):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(27,660):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(27,659):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(27,658):{'3_1':0.57,'5_2':0.15,'7_7':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(27,657):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_1':0.0},(27,656):{'3_1':0.54,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(27,655):{'3_1':0.48,'5_2':0.15,'5_1':0.06,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(27,654):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(27,653):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(27,652):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,651):{'3_1':0.45,'5_2':0.09,'7_7':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(27,650):{'3_1':0.51,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(27,649):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(27,648):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(27,647):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0},(27,646):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(27,645):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(27,644):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_4':0.03,'7_7':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(27,643):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_3':0.0},(27,642):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,641):{'3_1':0.21,'5_2':0.12,'7_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(27,640):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_4':0.0,'8_3':0.0},(27,639):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(27,638):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(27,637):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(27,636):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0},(27,635):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_5':0.0,'-3':0.0},(27,634):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(27,633):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(27,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(27,631):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_16':0.0},(27,630):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_3':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(27,629):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0,'-3':0.0},(27,628):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0},(27,627):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(27,626):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.0,'7_3':0.0,'7_6':0.0},(27,625):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'-3':0.0,'8_3':0.0,'5_1':0.0,'7_3':0.0,'8_15':0.0,'8_19':0.0},(27,624):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_5':0.0,'-3':0.0},(27,623):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'-3':0.0},(27,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,621):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(27,620):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(27,619):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(27,618):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(27,617):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(27,616):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,615):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_7':0.0,'-3':0.0},(27,614):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(27,613):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(27,612):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0},(27,611):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_4':0.0,'6_2':0.0,'8_3':0.0,'8_7':0.0,'-3':0.0},(27,610):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0,'8_16':0.0,'-3':0.0,'1':-0.03},(27,609):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,608):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(27,607):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(27,606):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(27,605):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_17':0.0,'-3':0.0},(27,604):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0},(27,603):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(27,602):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_3':0.0},(27,601):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(27,600):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(27,599):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(27,598):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(27,597):{'4_1':0.15,'3_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0},(27,596):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0},(27,595):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(27,594):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(27,593):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_18':0.0},(27,592):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(27,591):{'4_1':0.18,'3_1':0.09,'6_2':0.03,'5_2':0.03,'7_6':0.0,'8_3':0.0,'8_17':0.0},(27,590):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(27,589):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(27,588):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(27,587):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(27,586):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(27,585):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(27,584):{'4_1':0.18,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0},(27,583):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0,'-3':0.0},(27,582):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(27,581):{'4_1':0.21,'3_1':0.03,'6_1':0.0},(27,580):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(27,579):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(27,578):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(27,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_11':0.0,'-3':0.0},(27,576):{'4_1':0.06,'6_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(27,575):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(27,574):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(27,573):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_3':0.0},(27,572):{'4_1':0.12,'3_1':0.03,'6_2':0.0},(27,571):{'4_1':0.06,'5_2':0.0,'6_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(27,570):{'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(27,569):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(27,568):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_3':0.0},(27,567):{'4_1':0.12,'6_2':0.03,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_3':0.0,'8_6':0.0},(27,566):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(27,565):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0},(27,564):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(27,563):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'5_1':0.0},(27,562):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(27,561):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,560):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(27,559):{'4_1':0.15,'3_1':0.0,'6_1':0.0},(27,558):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0},(27,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(27,556):{'3_1':0.03,'4_1':0.03},(27,555):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(27,554):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0},(27,553):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,552):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(27,551):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(27,550):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(27,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(27,548):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(27,547):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(27,546):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(27,545):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(27,544):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(27,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0},(27,542):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(27,541):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0},(27,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,539):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(27,538):{'3_1':0.15,'4_1':0.03,'8_21|3_1#4_1':0.0,'8_3':0.0},(27,537):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(27,536):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_3':0.0},(27,535):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(27,534):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(27,533):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(27,532):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(27,531):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(27,530):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(27,529):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(27,528):{'3_1':0.09,'4_1':0.0},(27,527):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(27,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(27,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(27,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0},(27,523):{'3_1':0.09,'4_1':0.0},(27,522):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(27,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(27,520):{'3_1':0.06,'4_1':0.03},(27,519):{'3_1':0.0,'4_1':0.0},(27,518):{'3_1':0.03,'4_1':0.0},(27,517):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(27,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_3':0.0},(27,515):{'3_1':0.03,'4_1':0.0},(27,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(27,513):{'3_1':0.0},(27,512):{'3_1':0.03,'4_1':0.0},(27,511):{'3_1':0.03,'4_1':0.0},(27,510):{'3_1':0.0},(27,509):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_3':0.0},(27,508):{'3_1':0.03,'4_1':0.0},(27,507):{'3_1':0.0,'4_1':0.0},(27,506):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(27,505):{'3_1':0.03,'5_2':0.0},(27,504):{'3_1':0.0,'4_1':0.0},(27,503):{'4_1':0.0},(27,502):{'3_1':0.0,'4_1':0.0},(27,501):{'4_1':0.0},(27,500):{'3_1':0.03,'4_1':0.0},(27,498):{'3_1':0.03},(27,497):{'3_1':0.03},(27,496):{'3_1':0.03,'4_1':0.0},(27,495):{'3_1':0.0},(27,494):{'3_1':0.0,'5_2':0.0},(27,493):{'3_1':0.03,'4_1':0.0},(27,492):{'3_1':0.03,'6_1':0.0,'8_1':0.0},(27,491):{'3_1':0.06,'4_1':0.0},(27,490):{'3_1':0.03},(27,489):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0},(27,488):{'3_1':0.09},(27,487):{'3_1':0.03,'4_1':0.03},(27,486):{'3_1':0.03,'4_1':0.0},(27,485):{'3_1':0.03,'7_4':0.0,'4_1':0.0},(27,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,483):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(27,482):{'3_1':0.12,'9_1':0.0},(27,481):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(27,480):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(27,479):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_1':0.0},(27,478):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(27,477):{'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(27,476):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(27,475):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,474):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(27,473):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(27,472):{'3_1':0.03,'4_1':0.0},(27,471):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,469):{'3_1':0.03,'5_1':0.0},(27,468):{'3_1':0.0,'4_1':0.0},(27,467):{'3_1':0.06,'5_1':0.0},(27,466):{'3_1':0.06,'5_2':0.0},(27,465):{'3_1':0.0},(27,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,463):{'3_1':0.03,'5_1':0.0},(27,462):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(27,461):{'3_1':0.03},(27,460):{'3_1':0.0},(27,459):{'3_1':0.0,'4_1':0.0},(27,458):{'3_1':0.0,'4_1':0.0},(27,457):{'3_1':0.03,'4_1':0.0},(27,456):{'3_1':0.03,'4_1':0.0},(27,455):{'3_1':0.0,'3_1#5_1':0.0},(27,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(27,453):{'3_1':0.03,'4_1':0.0},(27,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(27,451):{'3_1':0.0},(27,450):{'3_1':0.0,'4_1':0.0},(27,449):{'3_1':0.03},(27,448):{'3_1':0.06,'4_1':0.0},(27,447):{'3_1':0.0},(27,446):{'3_1':0.0,'4_1':0.0},(27,445):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(27,444):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,443):{'3_1':0.0},(27,442):{'3_1':0.03,'4_1':0.0},(27,441):{'3_1':0.03},(27,440):{'3_1':0.03,'4_1':0.0},(27,439):{'3_1':0.06,'5_1':0.0,'3_1#5_1':0.0},(27,438):{'3_1':0.03,'6_1':0.0},(27,437):{'3_1':0.0,'4_1':0.0},(27,436):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(27,435):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_2':0.0},(27,434):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,433):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(27,432):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(27,431):{'3_1':0.06},(27,430):{'3_1':0.0},(27,429):{'3_1':0.06},(27,428):{'3_1':0.09,'5_2':0.0},(27,427):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(27,426):{'3_1':0.03},(27,425):{'3_1':0.03,'6_1':0.0},(27,424):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(27,423):{'3_1':0.06,'8_20|3_1#3_1':0.0},(27,422):{'3_1':0.09},(27,421):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(27,420):{'3_1':0.06},(27,419):{'3_1':0.09,'5_2':0.0},(27,418):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(27,417):{'3_1':0.03,'5_1':0.0},(27,416):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(27,415):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(27,414):{'3_1':0.09},(27,413):{'3_1':0.09,'4_1':0.0},(27,412):{'3_1':0.03,'4_1':0.0},(27,411):{'3_1':0.0,'5_2':0.0},(27,410):{'3_1':0.03},(27,409):{'3_1':0.0},(27,408):{'3_1':0.03},(27,407):{'3_1':0.03,'5_2':0.0},(27,406):{'3_1':0.0},(27,405):{'3_1':0.06},(27,404):{'3_1':0.03,'5_1':0.0},(27,403):{'3_1':0.0},(27,402):{'3_1':0.0},(27,401):{'3_1':0.0},(27,400):{'3_1':0.0},(27,399):{'3_1':0.0},(27,398):{'3_1':0.06},(27,397):{'3_1':0.03},(27,396):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(27,395):{'3_1':0.0,'4_1':0.0},(27,394):{'3_1':0.0},(27,393):{'3_1':0.06},(27,392):{'3_1':0.0},(27,391):{'3_1':0.0},(27,390):{'3_1':0.03},(27,388):{'3_1':0.03},(27,387):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,386):{'3_1':0.0,'4_1':0.0},(27,385):{'3_1':0.0,'6_2':0.0},(27,384):{'3_1':0.06},(27,383):{'3_1':0.0},(27,382):{'4_1':0.0},(27,381):{'3_1':0.06},(27,380):{'3_1':0.03},(27,379):{'3_1':0.0},(27,378):{'3_1':0.0},(27,377):{'3_1':0.0,'4_1':0.0},(27,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(27,375):{'3_1':0.0},(27,374):{'3_1':0.03,'4_1':0.0},(27,373):{'3_1':0.03},(27,372):{'3_1':0.0},(27,371):{'3_1':0.0,'5_1':0.0},(27,370):{'3_1':0.0,'4_1':0.0},(27,369):{'3_1':0.0},(27,368):{'3_1':0.0,'4_1':0.0},(27,367):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(27,366):{'3_1':0.0,'4_1':0.0},(27,365):{'3_1':0.0,'4_1':0.0},(27,364):{'3_1':0.0,'9_1':0.0},(27,363):{'3_1':0.0,'6_2':0.0},(27,362):{'3_1':0.0,'4_1':0.0},(27,361):{'3_1':0.03,'5_1':0.0},(27,360):{'4_1':0.0},(27,359):{'3_1':0.0},(27,358):{'3_1':0.03,'4_1':0.0},(27,357):{'3_1':0.03},(27,356):{'3_1':0.03,'4_1':0.0},(27,355):{'3_1':0.0,'4_1':0.0},(27,354):{'3_1':0.03},(27,353):{'3_1':0.0},(27,352):{'3_1':0.0},(27,351):{'3_1':0.0},(27,350):{'3_1':0.03},(27,349):{'3_1':0.0,'4_1':0.0},(27,348):{'3_1':0.0},(27,347):{'4_1':0.0},(27,346):{'3_1':0.03},(27,345):{'3_1':0.0,'4_1':0.0},(27,344):{'3_1':0.0,'4_1':0.0},(27,343):{'3_1':0.0},(27,342):{'3_1':0.0},(27,341):{'3_1':0.0,'4_1':0.0},(27,340):{'3_1':0.03,'7_1':0.0},(27,339):{'3_1':0.0},(27,338):{'3_1':0.0,'4_1':0.0},(27,337):{'4_1':0.0},(27,336):{'3_1':0.0,'4_1':0.0},(27,335):{'3_1':0.0,'4_1':0.0},(27,333):{'3_1':0.03,'6_1':0.0},(27,332):{'3_1':0.0,'4_1':0.0},(27,331):{'3_1':0.03,'4_1':0.0},(27,330):{'3_1':0.0},(27,329):{'3_1':0.0,'6_1':0.0},(27,328):{'3_1':0.0,'4_1':0.0},(27,327):{'7_2':0.0},(27,326):{'3_1':0.0,'5_2':0.0},(27,325):{'3_1':0.03,'4_1':0.0},(27,324):{'3_1':0.0},(27,323):{'3_1':0.0,'5_1':0.0},(27,322):{'3_1':0.0},(27,321):{'3_1':0.0},(27,320):{'3_1':0.03},(27,319):{'3_1':0.0},(27,318):{'3_1':0.03,'7_2':0.0,'4_1':0.0},(27,317):{'3_1':0.0,'4_1':0.0},(27,316):{'3_1':0.0,'4_1':0.0},(27,315):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,314):{'3_1':0.0},(27,313):{'3_1':0.03,'6_2':0.0},(27,312):{'3_1':0.03},(27,311):{'3_1':0.0},(27,310):{'3_1':0.0,'4_1':0.0},(27,309):{'3_1':0.03},(27,308):{'3_1':0.0,'4_1':0.0},(27,307):{'3_1':0.0},(27,306):{'3_1':0.0},(27,305):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(27,304):{'3_1':0.0,'4_1':0.0},(27,303):{'3_1':0.03,'5_2':0.0},(27,302):{'3_1':0.0,'7_2':0.0},(27,301):{'3_1':0.0,'5_2':0.0},(27,300):{'3_1':0.0},(27,299):{'3_1':0.0,'7_2':0.0},(27,298):{'3_1':0.0,'4_1':0.0},(27,297):{'3_1':0.0},(27,296):{'4_1':0.0,'7_2':0.0},(27,295):{'3_1':0.0,'4_1':0.0},(27,294):{'3_1':0.03},(27,293):{'3_1':0.0},(27,292):{'3_1':0.0,'4_1':0.0},(27,291):{'3_1':0.03},(27,290):{'3_1':0.0,'4_1':0.0},(27,289):{'3_1':0.03},(27,288):{'3_1':0.03},(27,287):{'3_1':0.0},(27,286):{'3_1':0.0},(27,284):{'3_1':0.0,'4_1':0.0},(27,283):{'3_1':0.03,'4_1':0.0},(27,282):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(27,281):{'3_1':0.0,'5_1':0.0},(27,280):{'3_1':0.03,'5_2':0.0},(27,279):{'3_1':0.0,'5_1':0.0},(27,278):{'3_1':0.03,'4_1':0.0},(27,277):{'3_1':0.0,'5_2':0.0},(27,276):{'3_1':0.0},(27,275):{'3_1':0.03},(27,274):{'3_1':0.03,'4_1':0.0},(27,272):{'3_1':0.0},(27,271):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(27,270):{'4_1':0.0},(27,269):{'3_1':0.06},(27,268):{'3_1':0.0,'6_2':0.0},(27,267):{'3_1':0.03},(27,266):{'3_1':0.0,'6_2':0.0},(27,265):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(27,264):{'3_1':0.0,'4_1':0.0},(27,263):{'3_1':0.0},(27,262):{'3_1':0.03,'5_2':0.0},(27,261):{'3_1':0.0},(27,260):{'3_1':0.0,'4_1':0.0},(27,259):{'4_1':0.0,'6_2':0.0},(27,258):{'3_1':0.0},(27,257):{'3_1':0.03},(27,256):{'3_1':0.0},(27,255):{'3_1':0.03,'5_1':0.0},(27,254):{'3_1':0.03,'7_1':0.0},(27,253):{'3_1':0.0},(27,251):{'3_1':0.0},(27,250):{'3_1':0.0},(27,249):{'3_1':0.03},(27,248):{'3_1':0.0,'6_2':0.0},(27,247):{'3_1':0.0},(27,245):{'3_1':0.0,'6_2':0.0},(27,244):{'3_1':0.0,'4_1':0.0},(27,243):{'3_1':0.03},(27,242):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(27,241):{'3_1':0.0},(27,240):{'3_1':0.06},(27,239):{'3_1':0.0},(27,238):{'3_1':0.0,'4_1':0.0},(27,237):{'3_1':0.03},(27,236):{'3_1':0.0},(27,235):{'3_1':0.0,'5_2':0.0},(27,234):{'3_1':0.0},(27,233):{'3_1':0.0},(27,232):{'3_1':0.0},(27,231):{'3_1':0.0},(27,230):{'3_1':0.03},(27,229):{'3_1':0.0},(27,228):{'3_1':0.03},(27,227):{'3_1':0.03},(27,226):{'3_1':0.0},(27,225):{'4_1':0.0,'3_1':0.0},(27,223):{'3_1':0.0},(27,222):{'3_1':0.0},(27,221):{'3_1':0.0},(27,220):{'3_1':0.0},(27,219):{'3_1':0.0},(27,218):{'3_1':0.0},(27,216):{'3_1':0.0},(27,215):{'3_1':0.03},(27,214):{'3_1':0.0},(27,213):{'3_1':0.0},(27,212):{'3_1':0.0},(27,210):{'3_1':0.0},(27,208):{'3_1':0.0},(27,207):{'3_1':0.0,'4_1':0.0},(27,206):{'3_1':0.0},(27,205):{'3_1':0.0},(27,204):{'3_1':0.0},(27,203):{'3_1':0.0},(27,202):{'3_1':0.0},(27,201):{'3_1':0.0},(27,200):{'3_1':0.0},(27,198):{'3_1':0.03,'5_2':0.0},(27,197):{'3_1':0.0},(27,196):{'3_1':0.06},(27,195):{'3_1':0.0,'5_1':0.0},(27,194):{'3_1':0.0},(27,193):{'3_1':0.0},(27,192):{'3_1':0.0},(27,191):{'3_1':0.0},(27,190):{'3_1':0.0},(27,189):{'3_1':0.03},(27,188):{'3_1':0.0},(27,187):{'3_1':0.0},(27,186):{'3_1':0.0},(27,185):{'3_1':0.0},(27,184):{'3_1':0.0},(27,183):{'3_1':0.0},(27,182):{'3_1':0.0},(27,181):{'3_1':0.0},(27,180):{'4_1':0.0},(27,179):{'3_1':0.0,'4_1':0.0},(27,178):{'3_1':0.0},(27,177):{'3_1':0.0},(27,176):{'3_1':0.0},(27,174):{'3_1':0.0},(27,173):{'3_1':0.0},(27,172):{'3_1':0.0,'4_1':0.0},(27,171):{'3_1':0.0},(27,169):{'3_1':0.0},(27,168):{'3_1':0.0,'4_1':0.0},(27,166):{'3_1':0.0,'5_1':0.0},(27,165):{'3_1':0.0,'4_1':0.0},(27,161):{'3_1':0.0,'4_1':0.0},(27,159):{'3_1':0.0},(27,157):{'3_1':0.0},(27,156):{'3_1':0.0},(27,155):{'3_1':0.0},(27,154):{'3_1':0.0},(27,153):{'3_1':0.0},(27,152):{'3_1':0.0},(27,151):{'3_1':0.0},(27,148):{'3_1':0.0},(27,147):{'3_1':0.0},(27,146):{'3_1':0.0},(27,145):{'3_1':0.0},(27,142):{'3_1':0.0},(27,141):{'3_1':0.0},(27,140):{'3_1':0.0},(27,139):{'3_1':0.0},(27,138):{'3_1':0.0,'4_1':0.0},(27,136):{'3_1':0.0,'7_1':0.0},(27,135):{'3_1':0.0},(27,133):{'3_1':0.0,'4_1':0.0},(27,132):{'3_1':0.0},(27,130):{'3_1':0.0},(27,129):{'3_1':0.0},(27,128):{'3_1':0.0,'5_1':0.0},(27,126):{'3_1':0.0},(27,125):{'3_1':0.03},(27,124):{'3_1':0.0},(27,123):{'3_1':0.0},(27,110):{'3_1':0.0},(27,95):{'3_1':0.0},(27,81):{'3_1':0.0},(27,79):{'3_1':0.0},(27,77):{'3_1':0.0},(27,76):{'3_1':0.0},(27,75):{'3_1':0.0},(27,72):{'3_1':0.0},(27,71):{'3_1':0.0},(27,70):{'3_1':0.03},(27,69):{'3_1':0.0},(27,66):{'3_1':0.0},(27,65):{'3_1':0.0},(27,63):{'3_1':0.0},(27,62):{'3_1':0.0},(27,61):{'3_1':0.0},(27,60):{'3_1':0.03},(27,59):{'3_1':0.0},(27,58):{'3_1':0.0},(27,56):{'3_1':0.0},(28,727):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(28,726):{'5_2':0.75,'-3':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'8_19':0.0},(28,725):{'5_2':0.6,'-3':0.12,'3_1':0.09,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(28,724):{'5_2':0.6,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_13':0.0},(28,723):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'6_1':0.0,'7_6':0.0},(28,722):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'7_3':0.0,'8_19':0.0},(28,721):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0},(28,720):{'5_2':0.63,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(28,719):{'5_2':0.75,'-3':0.09,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(28,718):{'5_2':0.69,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(28,717):{'5_2':0.66,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(28,716):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_19':0.0},(28,715):{'5_2':0.66,'7_4':0.06,'-3':0.06,'5_1':0.0,'3_1':0.0,'8_19':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(28,714):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(28,713):{'5_2':0.66,'7_5':0.03,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(28,712):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0},(28,711):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(28,710):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'1':-0.03},(28,709):{'5_2':0.57,'-3':0.18,'5_1':0.06,'3_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(28,708):{'5_2':0.57,'-3':0.09,'3_1':0.09,'5_1':0.03,'7_5':0.0,'7_4':0.0,'7_3':0.0,'1':-0.03},(28,707):{'5_2':0.66,'3_1':0.06,'-3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(28,706):{'5_2':0.51,'3_1':0.21,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(28,705):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(28,704):{'5_2':0.51,'-3':0.15,'3_1':0.12,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(28,703):{'5_2':0.42,'3_1':0.12,'7_4':0.12,'-3':0.09,'7_7':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(28,702):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(28,701):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0},(28,700):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(28,699):{'5_2':0.48,'3_1':0.15,'-3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(28,698):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_4':0.06,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0,'7_6':0.0,'8_19':0.0},(28,697):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0},(28,696):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(28,695):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'5_1':0.0},(28,694):{'5_2':0.54,'-3':0.15,'3_1':0.15,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0},(28,693):{'5_2':0.33,'3_1':0.15,'7_3':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'8_19':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(28,692):{'5_2':0.39,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(28,691):{'5_2':0.42,'3_1':0.24,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(28,690):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_7':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(28,689):{'5_2':0.39,'3_1':0.27,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(28,688):{'3_1':0.33,'5_2':0.3,'-3':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(28,687):{'5_2':0.42,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(28,686):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'7_3':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(28,685):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'5_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_13':0.0},(28,684):{'5_2':0.36,'3_1':0.3,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(28,683):{'5_2':0.36,'3_1':0.3,'-3':0.06,'7_3':0.03,'7_4':0.03,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(28,682):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(28,681):{'3_1':0.45,'5_2':0.24,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(28,680):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_7':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(28,679):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_8':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(28,678):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(28,677):{'3_1':0.57,'5_2':0.09,'7_3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_3':0.0},(28,676):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'6_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(28,675):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(28,674):{'3_1':0.66,'5_2':0.09,'7_4':0.09,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(28,673):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(28,672):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(28,671):{'3_1':0.75,'5_2':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(28,670):{'3_1':0.63,'5_2':0.06,'7_7':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_8':0.0},(28,669):{'3_1':0.69,'5_2':0.09,'7_7':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(28,668):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(28,667):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0},(28,666):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(28,665):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(28,664):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(28,663):{'3_1':0.57,'5_2':0.15,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(28,662):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'7_6':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(28,661):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(28,660):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(28,659):{'3_1':0.45,'5_2':0.18,'7_7':0.06,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(28,658):{'3_1':0.57,'5_2':0.15,'6_3':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(28,657):{'3_1':0.51,'5_2':0.09,'7_7':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(28,656):{'3_1':0.54,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(28,655):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(28,654):{'3_1':0.54,'5_2':0.12,'7_7':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(28,653):{'3_1':0.51,'5_2':0.09,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(28,652):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(28,651):{'3_1':0.42,'5_2':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(28,650):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(28,649):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(28,648):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(28,647):{'3_1':0.24,'5_2':0.12,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(28,646):{'3_1':0.33,'5_2':0.15,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(28,645):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(28,644):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(28,643):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(28,642):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(28,641):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,640):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_1':0.03,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(28,639):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(28,638):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(28,637):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(28,636):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,635):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_6':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(28,634):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0},(28,633):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_1':0.0,'7_3':0.0},(28,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0},(28,631):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(28,630):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(28,629):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(28,628):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0},(28,627):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(28,626):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0,'8_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(28,625):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(28,624):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(28,623):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(28,622):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,621):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'-3':0.0},(28,620):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0},(28,619):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(28,618):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(28,617):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(28,616):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(28,615):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(28,614):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(28,613):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(28,612):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(28,611):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(28,610):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(28,609):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(28,608):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(28,607):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(28,606):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(28,605):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_3':0.0},(28,604):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'6_2':0.0,'-3':0.0},(28,603):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(28,602):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(28,601):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(28,600):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0},(28,599):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(28,598):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(28,597):{'4_1':0.27,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(28,596):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'8_1':0.0,'-3':0.0},(28,595):{'4_1':0.27,'3_1':0.03,'5_2':0.0,'6_1':0.0},(28,594):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(28,593):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(28,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(28,591):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_14':0.0},(28,590):{'4_1':0.18,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0},(28,589):{'4_1':0.18,'3_1':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0,'8_19':0.0},(28,588):{'4_1':0.18,'3_1':0.06,'6_2':0.03,'5_2':0.0,'7_6':0.0,'8_3':0.0},(28,587):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(28,586):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(28,585):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_1':0.0},(28,584):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(28,583):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(28,582):{'4_1':0.15,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(28,581):{'4_1':0.15,'5_2':0.0,'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(28,580):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(28,579):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0},(28,578):{'4_1':0.18,'6_2':0.03,'3_1':0.0,'6_1':0.0},(28,577):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_2':0.0},(28,576):{'4_1':0.21,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(28,575):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0},(28,574):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_3':0.0},(28,573):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0},(28,572):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(28,571):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0},(28,570):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(28,569):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(28,568):{'4_1':0.12,'6_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_3':0.0},(28,567):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(28,566):{'4_1':0.12,'3_1':0.06,'6_2':0.0},(28,565):{'4_1':0.09,'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(28,564):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0},(28,563):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0},(28,562):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'8_6':0.0},(28,561):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(28,560):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(28,559):{'4_1':0.09,'6_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(28,558):{'4_1':0.12,'3_1':0.03,'7_4':0.0,'8_3':0.0},(28,557):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(28,556):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(28,555):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0},(28,554):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(28,553):{'3_1':0.12,'4_1':0.03},(28,552):{'3_1':0.03,'4_1':0.03},(28,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_1':0.0},(28,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(28,549):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(28,548):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_6':0.0},(28,547):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_1':0.0},(28,546):{'3_1':0.18,'4_1':0.03,'6_2':0.0},(28,545):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(28,544):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0},(28,543):{'3_1':0.15,'4_1':0.03,'6_3':0.0,'8_1':0.0},(28,542):{'3_1':0.12,'4_1':0.03,'8_2':0.0},(28,541):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(28,540):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(28,539):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(28,538):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_3':0.0},(28,537):{'3_1':0.15,'4_1':0.06},(28,536):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,535):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(28,534):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(28,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(28,532):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_3':0.0},(28,531):{'3_1':0.15,'4_1':0.0},(28,530):{'3_1':0.12,'4_1':0.03},(28,529):{'3_1':0.12,'4_1':0.0},(28,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'1':-0.03},(28,527):{'3_1':0.06,'4_1':0.0},(28,526):{'3_1':0.12,'4_1':0.0},(28,525):{'3_1':0.06,'4_1':0.0},(28,524):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(28,523):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,522):{'3_1':0.06,'4_1':0.0},(28,521):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_7':0.0},(28,520):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(28,519):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(28,518):{'3_1':0.06,'4_1':0.0,'8_1':0.0},(28,517):{'3_1':0.0,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(28,516):{'4_1':0.06,'3_1':0.03},(28,515):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(28,514):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_1':0.0,'8_3':0.0},(28,513):{'3_1':0.03,'4_1':0.0,'8_3':0.0},(28,512):{'3_1':0.03,'4_1':0.0},(28,511):{'4_1':0.0,'6_2':0.0},(28,510):{'3_1':0.0,'4_1':0.0},(28,509):{'3_1':0.03,'4_1':0.0},(28,508):{'3_1':0.0,'4_1':0.0},(28,507):{'4_1':0.0,'6_2':0.0},(28,506):{'4_1':0.03,'3_1':0.0},(28,505):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(28,504):{'4_1':0.0,'3_1':0.0},(28,503):{'3_1':0.0,'4_1':0.0,'8_3':0.0},(28,502):{'4_1':0.0,'3_1':0.0},(28,501):{'3_1':0.03},(28,500):{'4_1':0.0,'3_1':0.0},(28,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(28,498):{'3_1':0.03,'4_1':0.0},(28,497):{'3_1':0.03},(28,496):{'3_1':0.0,'4_1':0.0},(28,495):{'3_1':0.03,'4_1':0.0},(28,494):{'3_1':0.03},(28,493):{'3_1':0.03,'4_1':0.0},(28,492):{'3_1':0.0,'4_1':0.0},(28,491):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0},(28,490):{'3_1':0.03,'4_1':0.0},(28,489):{'3_1':0.06,'4_1':0.0},(28,488):{'3_1':0.03,'5_1':0.0,'7_4':0.0},(28,487):{'3_1':0.0,'4_1':0.0},(28,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(28,485):{'3_1':0.03,'4_1':0.0},(28,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,483):{'3_1':0.03,'4_1':0.0},(28,482):{'3_1':0.06,'8_20|3_1#3_1':0.0},(28,481):{'3_1':0.06,'4_1':0.0},(28,480):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(28,479):{'3_1':0.06,'7_1':0.0},(28,478):{'3_1':0.0,'4_1':0.0},(28,477):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(28,476):{'3_1':0.06,'4_1':0.0},(28,475):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(28,474):{'3_1':0.0,'4_1':0.0},(28,473):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0},(28,472):{'3_1':0.06},(28,471):{'4_1':0.06,'3_1':0.03},(28,470):{'3_1':0.06,'6_2':0.0},(28,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(28,468):{'3_1':0.03,'5_1':0.0},(28,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,466):{'3_1':0.03,'4_1':0.0},(28,465):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(28,464):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(28,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(28,462):{'3_1':0.0,'8_3':0.0},(28,461):{'3_1':0.03,'5_2':0.0},(28,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,459):{'3_1':0.03,'4_1':0.0},(28,458):{'3_1':0.0,'4_1':0.0},(28,457):{'3_1':0.03,'5_2':0.0},(28,456):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,454):{'3_1':0.0,'4_1':0.0},(28,453):{'5_2':0.0},(28,452):{'3_1':0.06,'4_1':0.0},(28,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,450):{'3_1':0.0,'8_20|3_1#3_1':0.0},(28,449):{'3_1':0.0,'-3':0.0},(28,448):{'3_1':0.0,'4_1':0.0},(28,447):{'3_1':0.03},(28,446):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,445):{'3_1':0.0,'4_1':0.0},(28,444):{'3_1':0.03,'4_1':0.0},(28,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,442):{'3_1':0.0,'4_1':0.0},(28,441):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(28,440):{'3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(28,439):{'3_1':0.0,'5_1':0.0},(28,438):{'3_1':0.0,'5_1':0.0},(28,437):{'3_1':0.0,'3_1#5_2':0.0},(28,436):{'3_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(28,435):{'3_1':0.0},(28,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(28,433):{'3_1':0.03},(28,432):{'3_1':0.06},(28,431):{'3_1':0.03,'6_3':0.0},(28,430):{'3_1':0.03},(28,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,428):{'3_1':0.03,'4_1':0.0},(28,427):{'3_1':0.03},(28,426):{'3_1':0.03,'4_1':0.0},(28,425):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(28,424):{'3_1':0.03},(28,423):{'3_1':0.06},(28,422):{'3_1':0.03},(28,421):{'3_1':0.03},(28,420):{'3_1':0.06},(28,419):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(28,418):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,417):{'3_1':0.03},(28,416):{'3_1':0.15},(28,415):{'3_1':0.09},(28,414):{'3_1':0.03,'5_2':0.0},(28,413):{'3_1':0.03,'4_1':0.0},(28,412):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(28,411):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,410):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(28,409):{'3_1':0.0},(28,408):{'3_1':0.0,'5_1':0.0},(28,407):{'3_1':0.0,'5_2':0.0},(28,406):{'3_1':0.03},(28,405):{'3_1':0.03},(28,404):{'3_1':0.0},(28,403):{'3_1':0.03},(28,402):{'3_1':0.0,'8_20|3_1#3_1':0.0},(28,401):{'3_1':0.03},(28,400):{'3_1':0.0},(28,399):{'3_1':0.0},(28,398):{'3_1':0.03},(28,397):{'3_1':0.0,'5_2':0.0},(28,396):{'3_1':0.03},(28,395):{'3_1':0.03,'5_2':0.0},(28,394):{'3_1':0.0,'4_1':0.0},(28,393):{'3_1':0.03},(28,392):{'3_1':0.0},(28,391):{'3_1':0.0},(28,390):{'3_1':0.0},(28,389):{'3_1':0.0},(28,388):{'3_1':0.0},(28,387):{'3_1':0.03,'4_1':0.0},(28,386):{'3_1':0.06,'4_1':0.0},(28,385):{'3_1':0.03},(28,384):{'3_1':0.06},(28,383):{'3_1':0.03,'5_1':0.0},(28,382):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(28,381):{'3_1':0.03,'4_1':0.0},(28,380):{'4_1':0.0},(28,379):{'3_1':0.0,'4_1':0.0},(28,378):{'3_1':0.03,'5_1':0.0},(28,377):{'3_1':0.03,'5_1':0.0},(28,376):{'3_1':0.03},(28,375):{'3_1':0.03,'5_1':0.0},(28,374):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(28,373):{'5_1':0.0,'3_1':0.0},(28,372):{'3_1':0.0,'5_1':0.0},(28,371):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(28,370):{'3_1':0.0,'5_1':0.0},(28,369):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(28,368):{'3_1':0.0,'5_1':0.0},(28,367):{'3_1':0.0,'4_1':0.0},(28,366):{'3_1':0.0},(28,365):{'3_1':0.0,'5_1':0.0},(28,364):{'4_1':0.03,'3_1':0.0,'7_1':0.0,'9_1':0.0},(28,363):{'3_1':0.0,'4_1':0.0},(28,362):{'3_1':0.0},(28,361):{'4_1':0.0},(28,360):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(28,359):{'3_1':0.0,'4_1':0.0},(28,358):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,357):{'3_1':0.0},(28,356):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(28,355):{'4_1':0.0},(28,354):{'3_1':0.0,'5_1':0.0},(28,353):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(28,352):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(28,351):{'3_1':0.0},(28,350):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,349):{'3_1':0.0},(28,348):{'3_1':0.0,'5_2':0.0},(28,347):{'3_1':0.0},(28,346):{'3_1':0.03},(28,345):{'3_1':0.0},(28,344):{'3_1':0.0},(28,343):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(28,342):{'3_1':0.0},(28,341):{'3_1':0.0},(28,340):{'3_1':0.0},(28,339):{'3_1':0.0},(28,338):{'3_1':0.0},(28,337):{'3_1':0.0},(28,336):{'3_1':0.03},(28,335):{'3_1':0.0,'4_1':0.0},(28,334):{'3_1':0.0},(28,333):{'3_1':0.0,'4_1':0.0},(28,332):{'3_1':0.0},(28,330):{'3_1':0.0},(28,329):{'3_1':0.0},(28,328):{'3_1':0.0},(28,327):{'4_1':0.0},(28,326):{'3_1':0.0,'4_1':0.0},(28,325):{'3_1':0.03,'-3':0.0},(28,324):{'6_1':0.0},(28,323):{'3_1':0.0},(28,322):{'3_1':0.0,'4_1':0.0},(28,321):{'3_1':0.0,'4_1':0.0},(28,320):{'3_1':0.0},(28,319):{'3_1':0.03,'5_2':0.0},(28,318):{'3_1':0.0},(28,316):{'3_1':0.0},(28,315):{'3_1':0.0},(28,314):{'3_1':0.0,'4_1':0.0},(28,313):{'3_1':0.0},(28,312):{'3_1':0.0},(28,311):{'3_1':0.03,'4_1':0.0},(28,309):{'3_1':0.0},(28,308):{'3_1':0.0,'5_2':0.0},(28,307):{'3_1':0.0},(28,306):{'3_1':0.0,'6_1':0.0},(28,305):{'3_1':0.03},(28,304):{'3_1':0.0,'4_1':0.0},(28,303):{'3_1':0.0,'5_2':0.0},(28,302):{'3_1':0.03},(28,301):{'3_1':0.0},(28,299):{'3_1':0.0},(28,298):{'3_1':0.0,'4_1':0.0},(28,297):{'4_1':0.0,'3_1':0.0},(28,296):{'3_1':0.0},(28,295):{'3_1':0.03,'5_2':0.0},(28,294):{'3_1':0.0,'5_1':0.0},(28,293):{'3_1':0.0},(28,292):{'3_1':0.0},(28,291):{'3_1':0.0,'5_2':0.0},(28,290):{'3_1':0.0},(28,289):{'3_1':0.0},(28,288):{'3_1':0.0,'4_1':0.0},(28,287):{'3_1':0.0},(28,286):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(28,285):{'3_1':0.0},(28,284):{'3_1':0.0,'4_1':0.0},(28,283):{'3_1':0.0},(28,282):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(28,281):{'3_1':0.0,'4_1':0.0},(28,280):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(28,278):{'3_1':0.0},(28,277):{'3_1':0.03,'7_2':0.0},(28,276):{'3_1':0.03},(28,275):{'3_1':0.03,'4_1':0.0},(28,274):{'3_1':0.03,'6_2':0.0},(28,273):{'3_1':0.0,'5_2':0.0},(28,272):{'3_1':0.0},(28,271):{'3_1':0.03},(28,270):{'5_2':0.0},(28,269):{'3_1':0.0},(28,268):{'3_1':0.03},(28,267):{'3_1':0.03,'5_2':0.0},(28,266):{'3_1':0.0,'4_1':0.0},(28,265):{'3_1':0.0},(28,264):{'3_1':0.0},(28,263):{'3_1':0.0},(28,262):{'3_1':0.0,'5_2':0.0},(28,261):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(28,260):{'3_1':0.03,'5_2':0.0},(28,259):{'3_1':0.03},(28,257):{'3_1':0.03},(28,256):{'3_1':0.03},(28,255):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,254):{'3_1':0.03},(28,252):{'3_1':0.03},(28,251):{'3_1':0.0,'4_1':0.0},(28,249):{'3_1':0.0},(28,248):{'3_1':0.0},(28,247):{'3_1':0.0},(28,246):{'3_1':0.0,'4_1':0.0},(28,245):{'3_1':0.0},(28,244):{'3_1':0.03},(28,243):{'3_1':0.06},(28,242):{'3_1':0.0,'5_1':0.0},(28,241):{'3_1':0.0},(28,240):{'3_1':0.0,'4_1':0.0},(28,239):{'3_1':0.03,'4_1':0.0},(28,238):{'3_1':0.0},(28,237):{'3_1':0.03},(28,236):{'3_1':0.0},(28,235):{'3_1':0.0},(28,234):{'3_1':0.0},(28,233):{'3_1':0.0},(28,232):{'3_1':0.03},(28,231):{'3_1':0.0},(28,230):{'3_1':0.0,'5_1':0.0},(28,229):{'3_1':0.0,'5_1':0.0},(28,228):{'3_1':0.0},(28,227):{'3_1':0.03,'4_1':0.0},(28,226):{'3_1':0.0},(28,225):{'3_1':0.0},(28,224):{'3_1':0.03},(28,223):{'3_1':0.0},(28,222):{'3_1':0.03},(28,221):{'3_1':0.0},(28,220):{'3_1':0.0},(28,219):{'3_1':0.03},(28,218):{'3_1':0.0},(28,217):{'3_1':0.0},(28,216):{'3_1':0.0},(28,215):{'3_1':0.0},(28,214):{'3_1':0.0},(28,213):{'3_1':0.0},(28,212):{'3_1':0.03},(28,211):{'3_1':0.0},(28,209):{'3_1':0.0},(28,208):{'3_1':0.03},(28,206):{'3_1':0.03},(28,205):{'3_1':0.0},(28,204):{'3_1':0.0},(28,203):{'3_1':0.0},(28,202):{'3_1':0.0},(28,201):{'3_1':0.0},(28,200):{'3_1':0.0,'5_1':0.0},(28,199):{'3_1':0.0},(28,198):{'3_1':0.0,'5_2':0.0},(28,197):{'3_1':0.0},(28,196):{'3_1':0.0},(28,195):{'3_1':0.0,'5_1':0.0},(28,194):{'3_1':0.03},(28,193):{'3_1':0.03},(28,192):{'3_1':0.0,'5_1':0.0},(28,191):{'3_1':0.03},(28,190):{'3_1':0.0},(28,189):{'3_1':0.03},(28,188):{'3_1':0.0},(28,187):{'3_1':0.0,'7_4':0.0},(28,185):{'4_1':0.0},(28,184):{'3_1':0.0},(28,183):{'3_1':0.03},(28,182):{'3_1':0.03},(28,180):{'3_1':0.0},(28,179):{'3_1':0.0,'4_1':0.0},(28,177):{'3_1':0.0},(28,176):{'3_1':0.0},(28,175):{'3_1':0.0,'5_1':0.0},(28,174):{'3_1':0.03},(28,172):{'3_1':0.0},(28,171):{'3_1':0.0},(28,170):{'3_1':0.03},(28,168):{'3_1':0.0},(28,167):{'3_1':0.0},(28,166):{'3_1':0.0},(28,163):{'3_1':0.0},(28,160):{'3_1':0.0},(28,159):{'3_1':0.0},(28,157):{'3_1':0.0,'4_1':0.0},(28,156):{'3_1':0.0},(28,154):{'3_1':0.0},(28,153):{'3_1':0.0},(28,152):{'3_1':0.0},(28,150):{'3_1':0.0},(28,149):{'3_1':0.03},(28,148):{'3_1':0.0},(28,147):{'3_1':0.0},(28,146):{'3_1':0.0,'6_1':0.0},(28,145):{'3_1':0.0},(28,139):{'3_1':0.0},(28,138):{'3_1':0.0},(28,136):{'3_1':0.0},(28,135):{'5_1':0.0},(28,130):{'3_1':0.0},(28,128):{'3_1':0.0},(28,127):{'4_1':0.0},(28,124):{'3_1':0.0},(28,111):{'3_1':0.0},(28,109):{'3_1':0.0},(28,106):{'3_1':0.0},(28,97):{'3_1':0.0},(28,93):{'3_1':0.0},(28,81):{'3_1':0.0},(28,76):{'3_1':0.0},(28,73):{'3_1':0.0},(28,72):{'3_1':0.0},(28,71):{'3_1':0.0},(28,70):{'3_1':0.0},(28,69):{'3_1':0.0},(28,68):{'3_1':0.0},(28,67):{'3_1':0.0},(28,66):{'3_1':0.0},(28,65):{'3_1':0.03},(28,64):{'3_1':0.0},(28,63):{'3_1':0.0},(28,62):{'3_1':0.0},(28,60):{'3_1':0.0},(28,59):{'3_1':0.03},(28,58):{'3_1':0.0},(28,56):{'3_1':0.0},(28,54):{'3_1':0.0},(29,727):{'5_2':0.72,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(29,726):{'5_2':0.66,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(29,725):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_10':0.0,'8_13':0.0,'8_19':0.0},(29,724):{'5_2':0.69,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_8':0.0},(29,723):{'5_2':0.69,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0},(29,722):{'5_2':0.75,'-3':0.09,'7_4':0.03,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(29,721):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(29,720):{'5_2':0.66,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0},(29,719):{'5_2':0.72,'-3':0.09,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(29,718):{'5_2':0.66,'-3':0.03,'7_2':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(29,717):{'5_2':0.63,'3_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(29,716):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(29,715):{'5_2':0.63,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0},(29,714):{'5_2':0.63,'-3':0.12,'7_4':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(29,713):{'5_2':0.66,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(29,712):{'5_2':0.57,'3_1':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0},(29,711):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.03,'7_2':0.0,'8_19':0.0,'4_1':0.0,'7_3':0.0,'8_15':0.0},(29,710):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_5':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_7':0.0,'8_7':0.0},(29,709):{'5_2':0.6,'-3':0.15,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(29,708):{'5_2':0.66,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(29,707):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(29,706):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(29,705):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(29,704):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(29,703):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_6':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(29,702):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(29,701):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_4':0.09,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(29,700):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_5':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(29,699):{'5_2':0.54,'3_1':0.12,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(29,698):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0},(29,697):{'5_2':0.54,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_9':0.0,'3_1#5_2':0.0,'8_8':0.0},(29,696):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(29,695):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0,'8_9':0.0},(29,694):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(29,693):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0},(29,692):{'5_2':0.48,'3_1':0.21,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(29,691):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(29,690):{'5_2':0.39,'3_1':0.24,'7_3':0.06,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(29,689):{'3_1':0.3,'5_2':0.27,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_7':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(29,688):{'5_2':0.45,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(29,687):{'5_2':0.39,'3_1':0.21,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(29,686):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(29,685):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(29,684):{'3_1':0.42,'5_2':0.24,'7_4':0.03,'7_3':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'3_1#5_2':0.0,'7_5':0.0},(29,683):{'3_1':0.36,'5_2':0.24,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(29,682):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.09,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(29,681):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(29,680):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(29,679):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(29,678):{'3_1':0.48,'5_2':0.24,'7_4':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(29,677):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(29,676):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(29,675):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(29,674):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(29,673):{'3_1':0.69,'5_2':0.03,'7_4':0.03,'7_7':0.0,'6_3':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(29,672):{'3_1':0.66,'7_4':0.09,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(29,671):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_7':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0},(29,670):{'3_1':0.72,'5_2':0.09,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(29,669):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(29,668):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(29,667):{'3_1':0.63,'5_2':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_1':0.0},(29,666):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0},(29,665):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(29,664):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'7_3':0.0},(29,663):{'3_1':0.6,'5_2':0.09,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(29,662):{'3_1':0.57,'5_2':0.12,'7_7':0.06,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(29,661):{'3_1':0.6,'5_2':0.12,'7_7':0.06,'7_4':0.06,'5_1':0.03,'6_3':0.0,'-3':0.0},(29,660):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(29,659):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0},(29,658):{'3_1':0.6,'5_2':0.09,'7_7':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(29,657):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,656):{'3_1':0.45,'5_2':0.15,'7_7':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(29,655):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.03,'4_1':0.0,'6_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(29,654):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(29,653):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(29,652):{'3_1':0.48,'5_2':0.06,'5_1':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0},(29,651):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(29,650):{'3_1':0.45,'5_2':0.15,'7_7':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(29,649):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(29,648):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(29,647):{'3_1':0.42,'5_2':0.15,'5_1':0.09,'-3':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(29,646):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'-3':0.0},(29,645):{'3_1':0.39,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(29,644):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(29,643):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(29,642):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(29,641):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_1':0.03,'7_3':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(29,640):{'3_1':0.24,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(29,639):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0,'8_12':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(29,638):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(29,637):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_19':0.0},(29,636):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(29,635):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,634):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.0,'-3':0.0},(29,633):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(29,632):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(29,631):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(29,630):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(29,629):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'7_6':0.0,'7_7':0.0,'-3':0.0},(29,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(29,627):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(29,626):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(29,625):{'3_1':0.21,'5_2':0.15,'4_1':0.06},(29,624):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(29,623):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0},(29,622):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0},(29,621):{'3_1':0.09,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0},(29,620):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(29,619):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(29,618):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(29,617):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0},(29,616):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(29,615):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(29,614):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(29,613):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(29,612):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(29,611):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(29,610):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(29,609):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0},(29,608):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(29,607):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(29,606):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_4':0.0},(29,605):{'3_1':0.15,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(29,604):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(29,603):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(29,602):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_7':0.0},(29,601):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(29,600):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(29,599):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(29,598):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(29,597):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(29,596):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'6_2':0.0},(29,595):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(29,594):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_1':0.0,'3_1#5_2':0.0,'-3':0.0},(29,593):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'6_1':0.0,'5_1':0.0,'3_1#5_1':0.0,'-3':0.0},(29,592):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'5_2':0.0},(29,591):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(29,590):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0},(29,589):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0},(29,588):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(29,587):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0},(29,586):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(29,585):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(29,584):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(29,583):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(29,582):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(29,581):{'4_1':0.27,'3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0},(29,580):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(29,579):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0},(29,578):{'4_1':0.12,'6_1':0.0,'3_1':0.0,'5_1':0.0,'-3':0.0},(29,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(29,576):{'4_1':0.09,'6_2':0.0,'3_1':0.0,'6_1':0.0,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(29,575):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(29,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(29,572):{'4_1':0.15,'6_1':0.0,'5_2':0.0,'3_1':0.0,'6_2':0.0},(29,571):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(29,570):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(29,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(29,568):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(29,567):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(29,566):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'-3':0.0},(29,565):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_2':0.0},(29,564):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0},(29,563):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(29,562):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_11':0.0},(29,561):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(29,560):{'4_1':0.06,'3_1':0.03},(29,559):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0},(29,558):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(29,557):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(29,556):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(29,555):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(29,554):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0},(29,553):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(29,552):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(29,551):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(29,550):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_2':0.0},(29,549):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(29,548):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'-3':0.0},(29,547):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(29,546):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(29,545):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_2':0.0},(29,544):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(29,543):{'3_1':0.18,'4_1':0.03},(29,542):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(29,541):{'3_1':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0},(29,540):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(29,539):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(29,538):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(29,537):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(29,536):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(29,535):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(29,534):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(29,533):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(29,532):{'3_1':0.21,'4_1':0.0},(29,531):{'3_1':0.12,'4_1':0.0},(29,530):{'3_1':0.03,'4_1':0.0},(29,529):{'3_1':0.15,'5_2':0.0,'8_19':0.0},(29,528):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(29,527):{'3_1':0.12,'4_1':0.03},(29,526):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,525):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(29,524):{'3_1':0.06,'4_1':0.03},(29,523):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(29,522):{'3_1':0.06,'4_1':0.03},(29,521):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(29,520):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(29,519):{'3_1':0.06,'4_1':0.0},(29,518):{'3_1':0.03},(29,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,515):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(29,514):{'3_1':0.0,'4_1':0.0},(29,513):{'3_1':0.06,'4_1':0.0},(29,512):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(29,511):{'3_1':0.0},(29,510):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,509):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,508):{'3_1':0.0,'4_1':0.0},(29,507):{'3_1':0.03},(29,506):{'3_1':0.0},(29,505):{'4_1':0.0,'3_1':0.0},(29,504):{'3_1':0.0,'4_1':0.0},(29,503):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(29,502):{'3_1':0.0,'4_1':0.0},(29,501):{'3_1':0.0,'4_1':0.0},(29,500):{'3_1':0.0,'4_1':0.0},(29,499):{'3_1':0.03,'4_1':0.0},(29,498):{'3_1':0.0},(29,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,496):{'3_1':0.0,'5_2':0.0},(29,495):{'3_1':0.06,'5_1':0.0},(29,494):{'3_1':0.06},(29,493):{'3_1':0.06,'5_2':0.0},(29,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,491):{'3_1':0.03},(29,490):{'3_1':0.0,'6_1':0.0},(29,489):{'3_1':0.06,'4_1':0.0},(29,488):{'3_1':0.0,'3_1#5_1':0.0},(29,487):{'3_1':0.03,'4_1':0.0},(29,486):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(29,485):{'3_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0},(29,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(29,483):{'3_1':0.06,'5_2':0.0},(29,482):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,481):{'3_1':0.03,'4_1':0.0},(29,480):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,478):{'3_1':0.06,'4_1':0.0},(29,477):{'3_1':0.06,'4_1':0.0},(29,476):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(29,475):{'3_1':0.03,'8_20|3_1#3_1':0.0},(29,474):{'3_1':0.06,'4_1':0.0},(29,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,471):{'3_1':0.03},(29,470):{'3_1':0.03,'8_1':0.0},(29,469):{'3_1':0.06,'4_1':0.0},(29,468):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,467):{'3_1':0.0,'5_1':0.0},(29,466):{'3_1':0.03,'4_1':0.0},(29,465):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,464):{'3_1':0.0,'4_1':0.0},(29,463):{'3_1':0.03,'6_1':0.0,'7_2':0.0},(29,462):{'3_1':0.03},(29,461):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(29,460):{'3_1':0.0,'4_1':0.0},(29,459):{'3_1':0.03,'4_1':0.0},(29,458):{'3_1':0.0},(29,457):{'3_1':0.03,'8_10':0.0},(29,455):{'3_1':0.0},(29,454):{'3_1':0.0,'4_1':0.0},(29,453):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,452):{'3_1':0.0},(29,451):{'3_1':0.03,'8_1':0.0},(29,450):{'3_1':0.06},(29,449):{'3_1':0.0},(29,448):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,447):{'3_1':0.0},(29,446):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(29,445):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(29,444):{'3_1':0.0,'5_2':0.0},(29,443):{'3_1':0.03,'6_3':0.0},(29,442):{'3_1':0.0},(29,441):{'3_1':0.0},(29,440):{'3_1':0.03},(29,439):{'3_1':0.03},(29,438):{'3_1':0.0,'5_1':0.0},(29,437):{'3_1':0.0},(29,436):{'3_1':0.09},(29,435):{'3_1':0.06,'4_1':0.0},(29,434):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,433):{'3_1':0.09,'6_2':0.0},(29,432):{'3_1':0.0},(29,431):{'3_1':0.03,'7_2':0.0},(29,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(29,429):{'3_1':0.03,'5_2':0.0},(29,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,427):{'3_1':0.03,'5_2':0.0},(29,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(29,425):{'3_1':0.03},(29,424):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(29,423):{'3_1':0.06},(29,422):{'3_1':0.03,'5_2':0.0},(29,421):{'3_1':0.03,'5_2':0.0},(29,420):{'3_1':0.03,'4_1':0.0},(29,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(29,418):{'3_1':0.0,'5_2':0.0},(29,417):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(29,416):{'3_1':0.03,'4_1':0.0},(29,415):{'3_1':0.03},(29,414):{'3_1':0.06},(29,413):{'3_1':0.09},(29,412):{'3_1':0.03,'5_2':0.0},(29,411):{'3_1':0.03},(29,410):{'3_1':0.03,'5_1':0.0},(29,409):{'3_1':0.03,'4_1':0.0},(29,408):{'3_1':0.0,'5_1':0.0},(29,407):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(29,406):{'3_1':0.03},(29,405):{'3_1':0.03},(29,404):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(29,403):{'3_1':0.03},(29,402):{'3_1':0.0},(29,401):{'3_1':0.0},(29,400):{'4_1':0.0},(29,399):{'3_1':0.0},(29,398):{'3_1':0.0,'5_1':0.0},(29,397):{'3_1':0.03,'5_2':0.0},(29,396):{'3_1':0.0,'5_2':0.0},(29,395):{'3_1':0.0},(29,394):{'3_1':0.0},(29,393):{'3_1':0.0},(29,392):{'3_1':0.0,'4_1':0.0},(29,391):{'3_1':0.0,'5_1':0.0},(29,390):{'3_1':0.03},(29,389):{'3_1':0.03},(29,388):{'3_1':0.0},(29,387):{'3_1':0.0,'4_1':0.0},(29,386):{'3_1':0.0},(29,385):{'3_1':0.03},(29,384):{'3_1':0.0},(29,383):{'3_1':0.0,'4_1':0.0},(29,382):{'3_1':0.0},(29,381):{'3_1':0.0},(29,380):{'3_1':0.0},(29,379):{'3_1':0.0},(29,378):{'3_1':0.03},(29,377):{'3_1':0.03},(29,376):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(29,375):{'3_1':0.03},(29,374):{'3_1':0.03},(29,373):{'3_1':0.06},(29,372):{'3_1':0.0,'5_1':0.0},(29,371):{'3_1':0.03},(29,370):{'3_1':0.0,'5_1':0.0},(29,369):{'4_1':0.0,'3_1':0.0},(29,367):{'4_1':0.0},(29,366):{'3_1':0.0,'7_1':0.0},(29,365):{'3_1':0.0,'6_1':0.0},(29,364):{'3_1':0.03},(29,363):{'3_1':0.0},(29,362):{'3_1':0.03},(29,361):{'4_1':0.0,'3_1':0.0},(29,360):{'3_1':0.0},(29,359):{'3_1':0.0,'7_1':0.0},(29,358):{'3_1':0.0},(29,357):{'3_1':0.0,'4_1':0.0},(29,356):{'4_1':0.0},(29,355):{'3_1':0.0},(29,354):{'3_1':0.0},(29,353):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(29,352):{'3_1':0.0},(29,351):{'3_1':0.0},(29,350):{'6_1':0.0},(29,349):{'3_1':0.0,'4_1':0.0},(29,348):{'3_1':0.0},(29,347):{'4_1':0.0},(29,346):{'3_1':0.0},(29,345):{'3_1':0.0},(29,344):{'3_1':0.0,'4_1':0.0},(29,343):{'3_1':0.0},(29,342):{'3_1':0.0},(29,340):{'3_1':0.0,'4_1':0.0,'-3':0.0},(29,338):{'3_1':0.03},(29,337):{'3_1':0.0},(29,336):{'3_1':0.0},(29,335):{'3_1':0.0},(29,334):{'3_1':0.0},(29,333):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(29,332):{'3_1':0.0},(29,330):{'3_1':0.0},(29,329):{'3_1':0.0,'4_1':0.0},(29,328):{'3_1':0.0},(29,327):{'4_1':0.0},(29,326):{'3_1':0.0,'4_1':0.0},(29,325):{'3_1':0.03,'7_1':0.0},(29,324):{'3_1':0.0,'6_1':0.0},(29,323):{'3_1':0.03,'5_2':0.0},(29,322):{'3_1':0.0,'4_1':0.0},(29,321):{'3_1':0.03},(29,320):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,319):{'4_1':0.0},(29,318):{'3_1':0.03},(29,317):{'3_1':0.0},(29,316):{'3_1':0.03,'6_1':0.0},(29,315):{'3_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(29,314):{'3_1':0.0},(29,313):{'3_1':0.0},(29,311):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(29,310):{'3_1':0.03},(29,309):{'3_1':0.03,'8_20|3_1#3_1':0.0},(29,308):{'5_2':0.0,'4_1':0.0},(29,307):{'3_1':0.03,'4_1':0.0},(29,306):{'3_1':0.0},(29,305):{'3_1':0.0,'5_2':0.0},(29,304):{'3_1':0.0},(29,303):{'3_1':0.0},(29,302):{'3_1':0.03,'4_1':0.0},(29,301):{'3_1':0.03},(29,300):{'3_1':0.0},(29,299):{'4_1':0.0,'3_1':0.0},(29,297):{'3_1':0.0},(29,296):{'3_1':0.03,'4_1':0.0},(29,295):{'3_1':0.0},(29,294):{'5_1':0.0},(29,293):{'3_1':0.0,'4_1':0.0},(29,292):{'3_1':0.03,'4_1':0.0},(29,291):{'3_1':0.0},(29,290):{'3_1':0.03,'5_1':0.0},(29,289):{'3_1':0.0},(29,288):{'3_1':0.0,'7_2':0.0},(29,287):{'3_1':0.0,'7_2':0.0},(29,286):{'3_1':0.0},(29,285):{'3_1':0.0},(29,284):{'3_1':0.03},(29,283):{'3_1':0.03,'4_1':0.0},(29,282):{'3_1':0.0},(29,281):{'3_1':0.0,'5_2':0.0},(29,279):{'3_1':0.03,'4_1':0.0},(29,278):{'3_1':0.0,'5_2':0.0},(29,277):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(29,276):{'3_1':0.0},(29,275):{'3_1':0.03},(29,274):{'3_1':0.03},(29,273):{'3_1':0.0},(29,271):{'3_1':0.03,'5_2':0.0},(29,270):{'3_1':0.06},(29,269):{'3_1':0.0},(29,268):{'5_2':0.0,'3_1':0.0},(29,267):{'3_1':0.03},(29,266):{'3_1':0.03,'5_2':0.0},(29,265):{'3_1':0.0},(29,264):{'3_1':0.03},(29,263):{'3_1':0.03},(29,262):{'3_1':0.0},(29,261):{'3_1':0.0},(29,260):{'3_1':0.0},(29,259):{'3_1':0.0,'4_1':0.0},(29,257):{'3_1':0.0,'5_1':0.0},(29,256):{'3_1':0.0},(29,255):{'3_1':0.03,'4_1':0.0},(29,254):{'3_1':0.0,'5_1':0.0},(29,253):{'3_1':0.0,'5_1':0.0},(29,252):{'3_1':0.0},(29,251):{'5_1':0.0},(29,250):{'3_1':0.0,'5_2':0.0},(29,249):{'3_1':0.0},(29,248):{'3_1':0.0},(29,247):{'3_1':0.0},(29,245):{'3_1':0.03},(29,243):{'3_1':0.03,'4_1':0.0},(29,242):{'3_1':0.0,'5_1':0.0},(29,241):{'3_1':0.0,'5_1':0.0},(29,240):{'3_1':0.03},(29,238):{'3_1':0.0},(29,237):{'3_1':0.0},(29,236):{'3_1':0.0},(29,235):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(29,234):{'3_1':0.06},(29,233):{'3_1':0.03,'6_2':0.0},(29,232):{'3_1':0.0},(29,231):{'3_1':0.03},(29,230):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(29,229):{'3_1':0.0},(29,228):{'3_1':0.03},(29,227):{'3_1':0.0,'5_1':0.0},(29,226):{'3_1':0.0},(29,225):{'3_1':0.0},(29,223):{'3_1':0.0},(29,222):{'3_1':0.03},(29,219):{'3_1':0.0},(29,218):{'3_1':0.0},(29,217):{'3_1':0.0},(29,216):{'3_1':0.0},(29,215):{'3_1':0.0},(29,214):{'3_1':0.0},(29,213):{'3_1':0.0},(29,212):{'3_1':0.0},(29,211):{'3_1':0.03},(29,210):{'3_1':0.03},(29,209):{'3_1':0.0},(29,208):{'3_1':0.0},(29,207):{'3_1':0.0},(29,206):{'3_1':0.03},(29,205):{'3_1':0.0},(29,204):{'3_1':0.0},(29,203):{'3_1':0.03},(29,202):{'3_1':0.0},(29,200):{'3_1':0.0,'5_1':0.0},(29,199):{'3_1':0.03},(29,198):{'3_1':0.0},(29,197):{'3_1':0.0},(29,194):{'3_1':0.0},(29,193):{'3_1':0.0},(29,192):{'3_1':0.0,'5_1':0.0},(29,191):{'3_1':0.0},(29,190):{'3_1':0.0,'5_1':0.0},(29,188):{'3_1':0.03},(29,187):{'3_1':0.03},(29,186):{'3_1':0.0},(29,183):{'3_1':0.0},(29,182):{'3_1':0.0},(29,180):{'3_1':0.0},(29,179):{'4_1':0.0},(29,178):{'3_1':0.0},(29,177):{'3_1':0.0},(29,176):{'3_1':0.03,'4_1':0.0},(29,175):{'4_1':0.0},(29,173):{'3_1':0.0},(29,171):{'3_1':0.0},(29,169):{'3_1':0.0},(29,166):{'4_1':0.0},(29,165):{'3_1':0.0,'4_1':0.0},(29,162):{'3_1':0.0},(29,161):{'3_1':0.0},(29,160):{'4_1':0.0},(29,159):{'3_1':0.0},(29,158):{'3_1':0.0},(29,157):{'3_1':0.0},(29,156):{'3_1':0.0},(29,155):{'3_1':0.0},(29,154):{'3_1':0.0},(29,153):{'3_1':0.0},(29,152):{'4_1':0.0},(29,151):{'3_1':0.0},(29,149):{'3_1':0.0},(29,148):{'3_1':0.0,'4_1':0.0},(29,147):{'3_1':0.0},(29,146):{'3_1':0.03},(29,145):{'3_1':0.0},(29,144):{'3_1':0.0},(29,143):{'3_1':0.0},(29,142):{'3_1':0.0},(29,141):{'3_1':0.0},(29,138):{'3_1':0.0},(29,136):{'3_1':0.0},(29,135):{'5_1':0.0},(29,134):{'3_1':0.0},(29,133):{'3_1':0.0},(29,129):{'4_1':0.0},(29,127):{'3_1':0.0},(29,123):{'3_1':0.0},(29,111):{'3_1':0.0},(29,104):{'3_1':0.0},(29,99):{'3_1':0.0},(29,97):{'3_1':0.0},(29,81):{'3_1':0.0},(29,80):{'3_1':0.0},(29,79):{'3_1':0.0,'4_1':0.0},(29,78):{'3_1':0.0},(29,77):{'3_1':0.0},(29,72):{'3_1':0.0},(29,71):{'3_1':0.0},(29,70):{'3_1':0.0},(29,66):{'3_1':0.0},(29,64):{'3_1':0.0},(29,63):{'3_1':0.0},(29,62):{'3_1':0.0},(29,61):{'3_1':0.0},(29,60):{'3_1':0.03},(29,59):{'3_1':0.0},(29,58):{'3_1':0.0},(29,54):{'3_1':0.0},(30,727):{'5_2':0.72,'-3':0.09,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0},(30,726):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(30,725):{'5_2':0.66,'-3':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(30,724):{'5_2':0.6,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0},(30,723):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'8_19':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(30,722):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(30,721):{'5_2':0.63,'-3':0.06,'7_4':0.03,'5_1':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0},(30,720):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(30,719):{'5_2':0.72,'7_4':0.03,'-3':0.03,'3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(30,718):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(30,717):{'5_2':0.72,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0},(30,716):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(30,715):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(30,714):{'5_2':0.66,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_16':0.0,'1':-0.03},(30,713):{'5_2':0.51,'7_4':0.09,'3_1':0.09,'-3':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'1':-0.03},(30,712):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'1':-0.03},(30,711):{'5_2':0.54,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0,'1':-0.03},(30,710):{'5_2':0.57,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(30,709):{'5_2':0.54,'-3':0.12,'5_1':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_15':0.0,'2':-0.03},(30,708):{'5_2':0.54,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_2':0.0,'3_1#5_1':0.0},(30,707):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(30,706):{'5_2':0.48,'3_1':0.09,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0},(30,705):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(30,704):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'8_8':0.0},(30,703):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(30,702):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(30,701):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_14':0.0},(30,700):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(30,699):{'5_2':0.48,'3_1':0.18,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0},(30,698):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_6':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(30,697):{'5_2':0.42,'-3':0.21,'3_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(30,696):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_6':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0},(30,695):{'5_2':0.54,'3_1':0.18,'-3':0.09,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(30,694):{'5_2':0.39,'3_1':0.15,'-3':0.12,'5_1':0.06,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0},(30,693):{'5_2':0.39,'3_1':0.24,'-3':0.06,'5_1':0.06,'7_4':0.06,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(30,692):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_4':0.09,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0},(30,691):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(30,690):{'5_2':0.27,'3_1':0.27,'7_4':0.06,'-3':0.06,'7_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0},(30,689):{'5_2':0.33,'3_1':0.3,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(30,688):{'5_2':0.36,'3_1':0.27,'-3':0.12,'7_4':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(30,687):{'3_1':0.33,'5_2':0.3,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(30,686):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(30,685):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'7_3':0.03,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(30,684):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,683):{'3_1':0.42,'5_2':0.21,'-3':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(30,682):{'3_1':0.39,'5_2':0.21,'7_4':0.12,'-3':0.06,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(30,681):{'3_1':0.54,'5_2':0.15,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(30,680):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0},(30,679):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(30,678):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(30,677):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.06,'7_7':0.03,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(30,676):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0},(30,675):{'3_1':0.57,'7_4':0.09,'-3':0.03,'5_2':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(30,674):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(30,673):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_5':0.0},(30,672):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(30,671):{'3_1':0.63,'7_4':0.09,'5_2':0.06,'5_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,670):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(30,669):{'3_1':0.69,'5_2':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(30,668):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'7_7':0.0,'6_1':0.0,'-3':0.0},(30,667):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'-3':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(30,666):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(30,665):{'3_1':0.6,'5_2':0.18,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(30,664):{'3_1':0.69,'7_4':0.09,'5_2':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(30,663):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(30,662):{'3_1':0.54,'5_2':0.15,'7_7':0.06,'5_1':0.0,'7_4':0.0,'6_3':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(30,661):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(30,660):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(30,659):{'3_1':0.57,'5_2':0.15,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(30,658):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(30,657):{'3_1':0.57,'5_2':0.15,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(30,656):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(30,655):{'3_1':0.45,'5_2':0.21,'7_7':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(30,654):{'3_1':0.54,'5_2':0.09,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_5':0.0},(30,653):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(30,652):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(30,651):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.03,'7_4':0.0,'6_3':0.0},(30,650):{'3_1':0.36,'5_2':0.21,'5_1':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(30,649):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(30,648):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(30,647):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(30,646):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(30,645):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(30,644):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(30,643):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(30,642):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(30,641):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_3':0.03,'7_1':0.0,'7_6':0.0,'7_4':0.0,'7_7':0.0},(30,640):{'3_1':0.21,'5_2':0.15,'7_3':0.03,'4_1':0.03,'7_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'8_16':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(30,639):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(30,638):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(30,637):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(30,636):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(30,635):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(30,634):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(30,633):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(30,632):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(30,631):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_6':0.0,'7_7':0.0},(30,630):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(30,629):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_5':0.0},(30,628):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(30,627):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(30,626):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(30,625):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(30,624):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0},(30,623):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'-3':0.0},(30,622):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(30,621):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(30,620):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(30,619):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,618):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(30,617):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0},(30,616):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(30,615):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(30,614):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_2':0.0},(30,613):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0},(30,612):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(30,611):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(30,610):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(30,609):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0},(30,608):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(30,607):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_7':0.0},(30,606):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(30,605):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(30,604):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(30,603):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(30,602):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(30,601):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(30,600):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(30,599):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,598):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0},(30,597):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(30,596):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'-3':0.0},(30,595):{'4_1':0.12,'3_1':0.09,'8_21|3_1#4_1':0.03,'-3':0.0,'5_1':0.0,'8_11':0.0},(30,594):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(30,593):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(30,592):{'4_1':0.15,'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_4':0.0},(30,591):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_5':0.0},(30,590):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(30,589):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(30,588):{'4_1':0.21,'3_1':0.03,'6_2':0.03,'7_7':0.0},(30,587):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(30,586):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(30,585):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(30,584):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(30,583):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(30,582):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'7_1':0.0,'7_7':0.0},(30,581):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(30,580):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(30,579):{'4_1':0.15,'3_1':0.03,'7_5':0.0,'6_1':0.0},(30,578):{'4_1':0.09,'6_2':0.0,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(30,577):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'7_3':0.0},(30,576):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(30,575):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(30,574):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(30,573):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'7_3':0.0},(30,572):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(30,571):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(30,570):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0},(30,569):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'7_6':0.0},(30,568):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(30,567):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(30,566):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(30,565):{'4_1':0.15,'3_1':0.0,'6_1':0.0},(30,564):{'4_1':0.12,'3_1':0.0,'5_2':0.0},(30,563):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0},(30,562):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(30,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(30,560):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(30,559):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(30,558):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(30,557):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(30,556):{'3_1':0.03,'4_1':0.0},(30,555):{'3_1':0.09,'4_1':0.09},(30,554):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(30,553):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'7_4':0.0},(30,552):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(30,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(30,550):{'3_1':0.09,'4_1':0.03},(30,549):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(30,548):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(30,547):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(30,546):{'3_1':0.09,'4_1':0.06},(30,545):{'3_1':0.09,'4_1':0.03},(30,544):{'3_1':0.06,'4_1':0.0},(30,543):{'3_1':0.15,'4_1':0.0,'7_4':0.0},(30,542):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(30,541):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(30,540):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(30,539):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(30,538):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(30,537):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(30,536):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(30,535):{'3_1':0.09,'4_1':0.0},(30,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(30,533):{'3_1':0.12,'4_1':0.0},(30,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(30,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(30,530):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(30,529):{'3_1':0.12,'5_2':0.0},(30,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(30,526):{'3_1':0.12,'4_1':0.0},(30,525):{'3_1':0.06,'5_1':0.0,'7_2':0.0},(30,524):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(30,523):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0},(30,522):{'3_1':0.03,'4_1':0.0},(30,521):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(30,520):{'3_1':0.06,'4_1':0.0},(30,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(30,518):{'3_1':0.03,'4_1':0.0},(30,517):{'3_1':0.03,'4_1':0.0},(30,516):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(30,515):{'3_1':0.0,'4_1':0.0},(30,514):{'3_1':0.0,'4_1':0.0},(30,513):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,512):{'4_1':0.0},(30,511):{'3_1':0.03,'4_1':0.0},(30,510):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,509):{'3_1':0.0},(30,508):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,507):{'3_1':0.0},(30,506):{'3_1':0.0,'-3':0.0},(30,505):{'3_1':0.03,'4_1':0.0},(30,504):{'3_1':0.0},(30,503):{'3_1':0.0,'4_1':0.0},(30,502):{'5_2':0.0},(30,501):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(30,500):{'3_1':0.03},(30,499):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(30,498):{'3_1':0.0,'4_1':0.0},(30,497):{'3_1':0.03,'4_1':0.0},(30,496):{'3_1':0.03},(30,495):{'3_1':0.03,'4_1':0.0},(30,494):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(30,493):{'3_1':0.03,'4_1':0.0},(30,492):{'3_1':0.03},(30,491):{'3_1':0.03,'8_20|3_1#3_1':0.0},(30,490):{'3_1':0.03,'4_1':0.0},(30,489):{'3_1':0.06,'4_1':0.0},(30,488):{'3_1':0.03,'5_1':0.0},(30,487):{'3_1':0.03,'4_1':0.0},(30,486):{'3_1':0.03,'4_1':0.0},(30,485):{'3_1':0.06,'4_1':0.0},(30,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(30,483):{'3_1':0.12},(30,482):{'3_1':0.06,'5_2':0.0},(30,481):{'3_1':0.03},(30,480):{'3_1':0.06},(30,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(30,478):{'3_1':0.06,'4_1':0.0},(30,477):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(30,476):{'3_1':0.06,'4_1':0.0},(30,475):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_8':0.0},(30,474):{'3_1':0.06,'5_1':0.0},(30,473):{'3_1':0.0},(30,472):{'3_1':0.12,'5_1':0.0},(30,471):{'3_1':0.03,'7_1':0.0,'7_4':0.0},(30,470):{'3_1':0.03,'4_1':0.0},(30,469):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(30,468):{'3_1':0.06},(30,467):{'3_1':0.03,'4_1':0.03},(30,466):{'3_1':0.03},(30,465):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,464):{'3_1':0.0},(30,463):{'3_1':0.06,'4_1':0.0},(30,462):{'3_1':0.0},(30,461):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,459):{'3_1':0.03},(30,458):{'3_1':0.0,'4_1':0.0},(30,457):{'3_1':0.0,'5_2':0.0},(30,456):{'3_1':0.03,'5_1':0.0},(30,455):{'3_1':0.0,'4_1':0.0},(30,454):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(30,453):{'3_1':0.0},(30,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,451):{'3_1':0.03,'4_1':0.0},(30,450):{'3_1':0.03,'4_1':0.0},(30,449):{'3_1':0.0,'5_1':0.0},(30,448):{'3_1':0.0},(30,447):{'3_1':0.0,'5_2':0.0},(30,446):{'3_1':0.03,'8_20|3_1#3_1':0.0},(30,445):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0},(30,444):{'3_1':0.06,'4_1':0.0,'-3':0.0},(30,443):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(30,442):{'3_1':0.0},(30,441):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,440):{'3_1':0.03,'5_2':0.0},(30,439):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,438):{'3_1':0.0},(30,437):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(30,436):{'3_1':0.0,'5_2':0.0},(30,435):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(30,434):{'3_1':0.0,'6_3':0.0},(30,433):{'3_1':0.0},(30,432):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(30,431):{'3_1':0.03},(30,430):{'3_1':0.03,'7_2':0.0},(30,429):{'3_1':0.03},(30,428):{'3_1':0.03,'5_1':0.0},(30,427):{'3_1':0.03,'5_2':0.0},(30,426):{'3_1':0.12},(30,425):{'3_1':0.09,'5_1':0.0},(30,424):{'3_1':0.03,'4_1':0.0},(30,423):{'3_1':0.0,'5_2':0.0},(30,422):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0},(30,421):{'3_1':0.03},(30,420):{'3_1':0.03,'5_2':0.0},(30,419):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(30,418):{'3_1':0.06},(30,417):{'3_1':0.0,'7_5':0.0},(30,416):{'3_1':0.06,'5_2':0.0},(30,415):{'3_1':0.06},(30,414):{'3_1':0.06},(30,413):{'3_1':0.03,'5_2':0.0},(30,412):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(30,411):{'3_1':0.06},(30,410):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(30,409):{'3_1':0.06},(30,408):{'3_1':0.03},(30,407):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(30,406):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(30,405):{'3_1':0.0,'7_2':0.0},(30,404):{'3_1':0.03},(30,403):{'3_1':0.0,'6_3':0.0},(30,402):{'3_1':0.06},(30,401):{'3_1':0.0,'4_1':0.0},(30,400):{'3_1':0.03,'5_2':0.0},(30,399):{'3_1':0.03,'5_1':0.0},(30,398):{'3_1':0.03,'5_2':0.0},(30,397):{'3_1':0.03},(30,396):{'3_1':0.06,'5_2':0.0},(30,395):{'3_1':0.0,'7_2':0.0},(30,394):{'3_1':0.0,'7_2':0.0},(30,393):{'3_1':0.0,'5_1':0.0},(30,392):{'3_1':0.03,'4_1':0.0},(30,391):{'3_1':0.03,'4_1':0.0},(30,390):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(30,389):{'3_1':0.0},(30,388):{'3_1':0.0,'4_1':0.0},(30,387):{'3_1':0.0,'8_20|3_1#3_1':0.0},(30,386):{'3_1':0.0},(30,385):{'3_1':0.0,'6_2':0.0},(30,384):{'3_1':0.0},(30,383):{'3_1':0.0,'4_1':0.0},(30,382):{'3_1':0.06},(30,381):{'3_1':0.0},(30,380):{'3_1':0.0},(30,379):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(30,378):{'3_1':0.0},(30,376):{'4_1':0.0,'3_1':0.0},(30,375):{'3_1':0.0,'4_1':0.0},(30,374):{'3_1':0.03},(30,373):{'3_1':0.0},(30,372):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(30,371):{'3_1':0.03,'4_1':0.0},(30,370):{'3_1':0.0,'5_1':0.0},(30,369):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,368):{'3_1':0.0},(30,367):{'4_1':0.0,'5_2':0.0},(30,366):{'3_1':0.03},(30,365):{'3_1':0.0},(30,363):{'3_1':0.0,'4_1':0.0},(30,362):{'5_1':0.0},(30,361):{'4_1':0.0},(30,360):{'3_1':0.0},(30,359):{'4_1':0.0,'3_1':0.0},(30,358):{'3_1':0.0},(30,357):{'3_1':0.0,'4_1':0.0},(30,355):{'3_1':0.0,'4_1':0.0},(30,354):{'3_1':0.0},(30,353):{'3_1':0.0},(30,352):{'3_1':0.0},(30,351):{'4_1':0.0,'3_1':0.0},(30,350):{'3_1':0.03,'4_1':0.0},(30,349):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(30,347):{'3_1':0.0},(30,345):{'3_1':0.0,'4_1':0.0},(30,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,343):{'3_1':0.0,'4_1':0.0},(30,342):{'3_1':0.0,'4_1':0.0},(30,341):{'3_1':0.0,'4_1':0.0},(30,340):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(30,339):{'3_1':0.0},(30,338):{'3_1':0.0,'5_1':0.0},(30,337):{'3_1':0.0},(30,336):{'3_1':0.03,'4_1':0.0},(30,335):{'3_1':0.03},(30,334):{'3_1':0.0},(30,333):{'3_1':0.0},(30,332):{'3_1':0.0},(30,331):{'3_1':0.0},(30,330):{'3_1':0.0},(30,329):{'3_1':0.0},(30,328):{'3_1':0.0},(30,327):{'3_1':0.0},(30,326):{'3_1':0.0,'4_1':0.0},(30,325):{'3_1':0.0},(30,324):{'3_1':0.0},(30,323):{'3_1':0.03},(30,322):{'3_1':0.0},(30,321):{'3_1':0.0},(30,320):{'3_1':0.0},(30,319):{'3_1':0.0},(30,318):{'3_1':0.0,'4_1':0.0},(30,317):{'3_1':0.0,'4_1':0.0},(30,316):{'3_1':0.03},(30,315):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(30,314):{'3_1':0.03,'4_1':0.0},(30,313):{'3_1':0.0},(30,312):{'3_1':0.0},(30,311):{'3_1':0.0},(30,310):{'3_1':0.0},(30,309):{'3_1':0.0},(30,308):{'3_1':0.0,'5_2':0.0},(30,307):{'3_1':0.0,'5_1':0.0},(30,306):{'3_1':0.03},(30,305):{'3_1':0.0,'4_1':0.0},(30,304):{'3_1':0.03,'4_1':0.0},(30,303):{'3_1':0.03,'5_2':0.0},(30,302):{'3_1':0.03,'4_1':0.0},(30,301):{'3_1':0.0},(30,300):{'3_1':0.0},(30,299):{'3_1':0.0},(30,298):{'3_1':0.03},(30,297):{'3_1':0.0},(30,296):{'3_1':0.0},(30,295):{'3_1':0.0},(30,294):{'3_1':0.0,'4_1':0.0},(30,293):{'3_1':0.0},(30,292):{'3_1':0.03},(30,291):{'3_1':0.0},(30,290):{'3_1':0.0,'5_2':0.0},(30,289):{'3_1':0.0,'4_1':0.0},(30,288):{'3_1':0.03,'4_1':0.0},(30,287):{'3_1':0.03},(30,286):{'3_1':0.0},(30,285):{'3_1':0.03},(30,284):{'3_1':0.0,'4_1':0.0},(30,283):{'3_1':0.03},(30,282):{'3_1':0.0},(30,281):{'3_1':0.0,'4_1':0.0},(30,280):{'3_1':0.0,'4_1':0.0},(30,279):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,278):{'3_1':0.0},(30,277):{'3_1':0.03,'5_1':0.0},(30,276):{'3_1':0.0,'8_20|3_1#3_1':0.0},(30,275):{'3_1':0.03},(30,274):{'3_1':0.0,'5_2':0.0},(30,273):{'3_1':0.0,'6_1':0.0},(30,272):{'3_1':0.03,'4_1':0.0},(30,271):{'3_1':0.03,'4_1':0.0},(30,270):{'3_1':0.03},(30,269):{'3_1':0.0,'4_1':0.0},(30,268):{'3_1':0.03,'4_1':0.0},(30,266):{'3_1':0.0},(30,265):{'3_1':0.0,'4_1':0.0},(30,264):{'3_1':0.0},(30,263):{'3_1':0.0},(30,262):{'3_1':0.03},(30,261):{'4_1':0.0,'5_1':0.0},(30,260):{'3_1':0.03},(30,259):{'3_1':0.0,'6_2':0.0},(30,258):{'3_1':0.0,'5_1':0.0},(30,257):{'3_1':0.03},(30,256):{'3_1':0.0},(30,255):{'3_1':0.03},(30,254):{'3_1':0.0},(30,253):{'3_1':0.03,'5_2':0.0},(30,252):{'3_1':0.03},(30,251):{'3_1':0.0},(30,250):{'3_1':0.0},(30,248):{'3_1':0.0},(30,245):{'3_1':0.0},(30,244):{'5_2':0.0,'3_1':0.0},(30,243):{'3_1':0.03},(30,242):{'3_1':0.0},(30,241):{'3_1':0.0},(30,240):{'3_1':0.0,'4_1':0.0},(30,239):{'3_1':0.0},(30,238):{'3_1':0.0},(30,237):{'3_1':0.03,'4_1':0.0},(30,236):{'3_1':0.0},(30,235):{'3_1':0.0},(30,234):{'3_1':0.03,'4_1':0.0},(30,233):{'3_1':0.0,'4_1':0.0},(30,232):{'3_1':0.03},(30,231):{'3_1':0.0,'4_1':0.0},(30,229):{'3_1':0.0},(30,228):{'3_1':0.0},(30,227):{'3_1':0.0},(30,225):{'3_1':0.0,'5_1':0.0},(30,224):{'3_1':0.0,'5_1':0.0},(30,223):{'3_1':0.03},(30,222):{'3_1':0.0},(30,221):{'3_1':0.0},(30,220):{'3_1':0.0},(30,219):{'3_1':0.0},(30,217):{'3_1':0.0},(30,216):{'3_1':0.0},(30,215):{'3_1':0.0},(30,214):{'3_1':0.0,'4_1':0.0},(30,213):{'3_1':0.0},(30,212):{'3_1':0.03},(30,211):{'3_1':0.0},(30,209):{'3_1':0.03},(30,208):{'3_1':0.0},(30,207):{'3_1':0.0},(30,206):{'3_1':0.0},(30,205):{'3_1':0.0},(30,204):{'3_1':0.0},(30,203):{'3_1':0.0},(30,202):{'3_1':0.0},(30,201):{'3_1':0.0},(30,200):{'3_1':0.0},(30,199):{'3_1':0.03},(30,198):{'3_1':0.0},(30,197):{'3_1':0.0},(30,196):{'3_1':0.0},(30,195):{'3_1':0.03},(30,194):{'3_1':0.0},(30,193):{'3_1':0.0},(30,192):{'4_1':0.0,'5_1':0.0},(30,191):{'3_1':0.0},(30,190):{'3_1':0.0},(30,189):{'3_1':0.0},(30,187):{'3_1':0.0},(30,186):{'3_1':0.0},(30,185):{'3_1':0.0},(30,184):{'3_1':0.0},(30,183):{'3_1':0.0},(30,182):{'3_1':0.0},(30,181):{'3_1':0.0},(30,180):{'3_1':0.0},(30,179):{'3_1':0.0,'4_1':0.0},(30,176):{'3_1':0.0},(30,175):{'3_1':0.0},(30,172):{'3_1':0.0},(30,169):{'3_1':0.0},(30,168):{'3_1':0.0},(30,167):{'3_1':0.0},(30,166):{'3_1':0.0},(30,165):{'3_1':0.0},(30,163):{'3_1':0.0},(30,161):{'3_1':0.0,'4_1':0.0},(30,158):{'3_1':0.0},(30,157):{'3_1':0.03},(30,156):{'3_1':0.0},(30,154):{'3_1':0.0},(30,153):{'3_1':0.0},(30,151):{'3_1':0.0},(30,149):{'3_1':0.0},(30,148):{'3_1':0.0},(30,147):{'3_1':0.0},(30,146):{'3_1':0.0},(30,145):{'3_1':0.03},(30,144):{'3_1':0.0},(30,143):{'3_1':0.0},(30,141):{'3_1':0.0},(30,140):{'3_1':0.0},(30,139):{'3_1':0.0,'5_2':0.0},(30,138):{'3_1':0.0},(30,136):{'3_1':0.0},(30,135):{'3_1':0.0},(30,132):{'3_1':0.0},(30,131):{'3_1':0.0},(30,127):{'3_1':0.0},(30,123):{'3_1':0.0},(30,110):{'3_1':0.0},(30,107):{'3_1':0.0},(30,104):{'3_1':0.0},(30,100):{'3_1':0.0},(30,94):{'3_1':0.0},(30,93):{'3_1':0.0},(30,77):{'3_1':0.0},(30,74):{'3_1':0.0},(30,72):{'3_1':0.0},(30,71):{'3_1':0.0},(30,70):{'3_1':0.0},(30,69):{'3_1':0.0},(30,68):{'3_1':0.0},(30,65):{'3_1':0.0},(30,63):{'3_1':0.0},(30,62):{'3_1':0.0},(30,61):{'3_1':0.0},(30,60):{'3_1':0.0},(30,57):{'3_1':0.0},(31,727):{'5_2':0.72,'-3':0.15,'5_1':0.0,'7_4':0.0,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(31,726):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(31,725):{'5_2':0.69,'-3':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0},(31,724):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(31,723):{'5_2':0.75,'-3':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0},(31,722):{'5_2':0.6,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(31,721):{'5_2':0.63,'3_1':0.09,'-3':0.09,'7_2':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(31,720):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(31,719):{'5_2':0.69,'-3':0.06,'5_1':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0},(31,718):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.06,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(31,717):{'5_2':0.66,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(31,716):{'5_2':0.75,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(31,715):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(31,714):{'5_2':0.54,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_2':0.0,'7_5':0.0,'8_19':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(31,713):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(31,712):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0},(31,711):{'5_2':0.57,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(31,710):{'5_2':0.54,'-3':0.15,'7_5':0.06,'3_1':0.06,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(31,709):{'5_2':0.54,'-3':0.18,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(31,708):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(31,707):{'5_2':0.6,'7_4':0.06,'3_1':0.06,'-3':0.06,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(31,706):{'5_2':0.51,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0},(31,705):{'5_2':0.48,'3_1':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(31,704):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(31,703):{'5_2':0.54,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(31,702):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0},(31,701):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(31,700):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_9':0.0,'1':-0.03},(31,699):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'1':-0.03},(31,698):{'5_2':0.45,'3_1':0.15,'7_3':0.06,'-3':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(31,697):{'5_2':0.36,'-3':0.18,'3_1':0.12,'7_3':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0},(31,696):{'5_2':0.45,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_15':0.0},(31,695):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.09,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_3':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(31,694):{'5_2':0.54,'-3':0.15,'3_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'7_6':0.0},(31,693):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(31,692):{'5_2':0.42,'3_1':0.21,'7_4':0.12,'-3':0.09,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(31,691):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_7':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(31,690):{'3_1':0.3,'5_2':0.27,'-3':0.12,'7_4':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(31,689):{'5_2':0.36,'3_1':0.24,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(31,688):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.09,'7_3':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(31,687):{'5_2':0.39,'3_1':0.24,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'1':-0.03},(31,686):{'5_2':0.36,'3_1':0.27,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(31,685):{'3_1':0.33,'5_2':0.27,'7_4':0.12,'7_3':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(31,684):{'3_1':0.36,'5_2':0.33,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0},(31,683):{'3_1':0.33,'5_2':0.21,'5_1':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(31,682):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'7_7':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(31,681):{'3_1':0.51,'5_2':0.18,'-3':0.06,'7_4':0.03,'7_7':0.0,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(31,680):{'3_1':0.42,'5_2':0.27,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(31,679):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(31,678):{'3_1':0.51,'7_4':0.09,'5_2':0.06,'-3':0.03,'5_1':0.03,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(31,677):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'6_3':0.0,'7_3':0.0},(31,676):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'1':-0.03},(31,675):{'3_1':0.51,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(31,674):{'3_1':0.54,'5_2':0.09,'-3':0.03,'7_4':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(31,673):{'3_1':0.6,'5_2':0.09,'5_1':0.0,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(31,672):{'3_1':0.72,'7_4':0.06,'5_2':0.03,'-3':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(31,671):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,670):{'3_1':0.57,'5_2':0.15,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(31,669):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'7_7':0.03,'-3':0.0},(31,668):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(31,667):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_17':0.0},(31,666):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,665):{'3_1':0.69,'5_2':0.06,'7_4':0.06,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(31,664):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(31,663):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(31,662):{'3_1':0.45,'5_2':0.18,'7_7':0.06,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(31,661):{'3_1':0.6,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(31,660):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(31,659):{'3_1':0.57,'5_2':0.12,'7_7':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(31,658):{'3_1':0.45,'5_2':0.15,'-3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'8_8':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(31,657):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(31,656):{'3_1':0.42,'5_2':0.09,'7_7':0.06,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(31,655):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(31,654):{'3_1':0.54,'5_2':0.06,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(31,653):{'3_1':0.48,'5_2':0.09,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(31,652):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(31,651):{'3_1':0.51,'5_2':0.06,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(31,650):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(31,649):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,648):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'-3':0.0},(31,647):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(31,646):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0},(31,645):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(31,644):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(31,643):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_4':0.03,'4_1':0.03,'-3':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(31,642):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(31,641):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_1':0.0},(31,640):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(31,639):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'3_1#5_2':0.0},(31,638):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0},(31,637):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(31,636):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(31,635):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(31,634):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,633):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(31,632):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(31,631):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(31,630):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,629):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0},(31,628):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(31,627):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(31,626):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(31,625):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(31,624):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,623):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0},(31,622):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(31,621):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(31,620):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(31,619):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,618):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_16':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(31,617):{'3_1':0.12,'4_1':0.09,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_10':0.0},(31,616):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(31,615):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(31,614):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(31,613):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(31,612):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_19':0.0},(31,611):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(31,610):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(31,609):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(31,608):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0},(31,607):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(31,606):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,605):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'7_7':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,604):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(31,603):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(31,602):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,601):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0},(31,600):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(31,599):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(31,598):{'4_1':0.18,'3_1':0.12,'6_1':0.0},(31,597):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_12':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(31,596):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(31,595):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_6':0.0},(31,594):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'-3':0.0},(31,593):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_1':0.0,'7_6':0.0,'8_6':0.0},(31,592):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(31,591):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(31,590):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_11':0.0},(31,589):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(31,588):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(31,587):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(31,586):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0},(31,585):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(31,584):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0},(31,583):{'4_1':0.18,'3_1':0.0,'6_2':0.0,'5_2':0.0},(31,582):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(31,581):{'4_1':0.21,'6_1':0.0,'3_1':0.0,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(31,580):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(31,579):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(31,578):{'4_1':0.27,'3_1':0.0,'6_1':0.0,'5_2':0.0,'5_1':0.0},(31,577):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(31,576):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(31,575):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(31,574):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0},(31,573):{'4_1':0.09,'3_1':0.03,'6_1':0.0},(31,572):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(31,571):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(31,570):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(31,569):{'4_1':0.09,'3_1':0.03},(31,568):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0},(31,567):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(31,566):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(31,565):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(31,564):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0},(31,563):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0},(31,562):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0},(31,561):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_2':0.0},(31,560):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(31,559):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(31,558):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0},(31,557):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(31,556):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(31,555):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(31,554):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(31,553):{'3_1':0.09,'4_1':0.03},(31,552):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(31,551):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(31,550):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(31,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(31,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(31,547):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(31,546):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(31,545):{'3_1':0.09,'4_1':0.03},(31,544):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(31,543):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(31,542):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(31,541):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(31,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(31,539):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(31,538):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(31,537):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(31,536):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(31,535):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(31,534):{'3_1':0.18,'4_1':0.0,'7_4':0.0},(31,533):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(31,532):{'3_1':0.12,'4_1':0.0},(31,531):{'3_1':0.09,'4_1':0.03},(31,530):{'3_1':0.09,'4_1':0.0},(31,529):{'3_1':0.09,'7_5':0.0},(31,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(31,527):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(31,526):{'3_1':0.06},(31,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(31,524):{'3_1':0.06,'4_1':0.0},(31,523):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(31,522):{'3_1':0.03,'4_1':0.0},(31,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(31,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(31,519):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(31,518):{'3_1':0.03,'4_1':0.0},(31,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(31,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(31,515):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0},(31,514):{'3_1':0.03,'4_1':0.0},(31,513):{'3_1':0.06,'5_2':0.0},(31,512):{'3_1':0.03,'5_2':0.0},(31,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(31,510):{'3_1':0.03,'4_1':0.0},(31,509):{'3_1':0.0,'4_1':0.0},(31,508):{'3_1':0.03,'4_1':0.0},(31,507):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(31,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(31,505):{'3_1':0.0,'4_1':0.0},(31,504):{'3_1':0.0,'4_1':0.0},(31,503):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(31,502):{'3_1':0.0},(31,501):{'3_1':0.03},(31,500):{'3_1':0.03,'4_1':0.0},(31,499):{'3_1':0.03},(31,498):{'3_1':0.06,'7_3':0.0},(31,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(31,496):{'3_1':0.0,'4_1':0.0},(31,495):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(31,494):{'3_1':0.0,'5_2':0.0},(31,493):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(31,492):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(31,491):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0},(31,490):{'3_1':0.03,'7_2':0.0},(31,489):{'3_1':0.09},(31,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(31,487):{'3_1':0.03,'4_1':0.0},(31,486):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(31,485):{'3_1':0.03,'4_1':0.0},(31,484):{'3_1':0.06,'8_19':0.0},(31,483):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(31,482):{'3_1':0.03,'4_1':0.03,'6_3':0.0,'7_1':0.0,'9_1':0.0},(31,481):{'3_1':0.06,'4_1':0.0},(31,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,479):{'3_1':0.03,'5_1':0.0},(31,478):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(31,477):{'3_1':0.06,'4_1':0.0},(31,476):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(31,475):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(31,474):{'3_1':0.06,'7_2':0.0},(31,473):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(31,472):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(31,471):{'3_1':0.03,'4_1':0.0},(31,470):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,469):{'3_1':0.0,'7_2':0.0},(31,468):{'3_1':0.06},(31,467):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(31,466):{'3_1':0.03},(31,465):{'3_1':0.03,'7_2':0.0},(31,464):{'3_1':0.03,'6_2':0.0,'7_2':0.0},(31,463):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(31,462):{'4_1':0.0,'-3':0.0},(31,461):{'3_1':0.0,'4_1':0.0},(31,460):{'3_1':0.0},(31,459):{'4_1':0.0,'7_2':0.0},(31,458):{'3_1':0.03},(31,457):{'3_1':0.0},(31,456):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(31,455):{'3_1':0.03,'4_1':0.0},(31,454):{'3_1':0.0,'4_1':0.0},(31,453):{'4_1':0.0},(31,452):{'3_1':0.03},(31,451):{'3_1':0.0,'5_1':0.0},(31,450):{'3_1':0.0,'4_1':0.0},(31,449):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(31,448):{'3_1':0.03,'4_1':0.0},(31,447):{'3_1':0.0,'4_1':0.0},(31,446):{'3_1':0.0},(31,444):{'3_1':0.0},(31,443):{'3_1':0.0,'7_2':0.0},(31,442):{'3_1':0.0},(31,441):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(31,440):{'3_1':0.03,'7_2':0.0},(31,439):{'3_1':0.0},(31,438):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,437):{'3_1':0.03},(31,436):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(31,435):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(31,434):{'3_1':0.03,'-3':0.0},(31,433):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(31,432):{'3_1':0.03,'5_2':0.0},(31,431):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(31,430):{'3_1':0.0,'5_1':0.0},(31,429):{'3_1':0.06},(31,428):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(31,427):{'3_1':0.09},(31,426):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(31,425):{'3_1':0.06},(31,424):{'3_1':0.06},(31,423):{'3_1':0.06,'4_1':0.0},(31,422):{'3_1':0.06,'5_2':0.0},(31,421):{'3_1':0.12},(31,420):{'3_1':0.09,'5_2':0.0},(31,419):{'3_1':0.06,'6_1':0.0},(31,418):{'3_1':0.06,'5_2':0.0},(31,417):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(31,416):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0},(31,415):{'3_1':0.06},(31,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(31,413):{'3_1':0.03},(31,412):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(31,411):{'3_1':0.06},(31,410):{'3_1':0.03,'5_2':0.0},(31,409):{'5_2':0.0},(31,408):{'3_1':0.03},(31,407):{'3_1':0.03,'5_2':0.0},(31,406):{'3_1':0.03},(31,405):{'3_1':0.0,'4_1':0.0,'8_8':0.0},(31,404):{'3_1':0.03,'5_2':0.0},(31,403):{'3_1':0.03},(31,402):{'3_1':0.03},(31,401):{'3_1':0.0},(31,400):{'3_1':0.03},(31,399):{'3_1':0.0,'4_1':0.0},(31,398):{'3_1':0.0,'5_1':0.0},(31,397):{'3_1':0.0,'5_2':0.0},(31,396):{'3_1':0.0},(31,395):{'3_1':0.0,'5_2':0.0},(31,394):{'3_1':0.0},(31,393):{'3_1':0.03,'5_2':0.0},(31,392):{'3_1':0.03,'5_2':0.0},(31,391):{'3_1':0.0},(31,390):{'3_1':0.0,'5_2':0.0},(31,388):{'3_1':0.0,'5_2':0.0},(31,387):{'3_1':0.0},(31,386):{'3_1':0.0},(31,385):{'3_1':0.03},(31,384):{'3_1':0.0},(31,383):{'3_1':0.0},(31,382):{'3_1':0.03},(31,381):{'3_1':0.03},(31,380):{'3_1':0.03},(31,379):{'3_1':0.0},(31,378):{'3_1':0.03,'4_1':0.0},(31,377):{'3_1':0.03},(31,376):{'3_1':0.0,'4_1':0.0},(31,375):{'3_1':0.0,'5_1':0.0},(31,374):{'4_1':0.0,'3_1':0.0},(31,373):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,372):{'3_1':0.03,'4_1':0.0},(31,371):{'3_1':0.0},(31,370):{'3_1':0.03,'4_1':0.0},(31,369):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(31,368):{'3_1':0.0},(31,367):{'7_1':0.0},(31,366):{'3_1':0.0,'5_2':0.0},(31,365):{'3_1':0.0,'9_1':0.0},(31,364):{'3_1':0.0},(31,363):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,362):{'3_1':0.0,'4_1':0.0},(31,361):{'3_1':0.0,'5_1':0.0},(31,360):{'3_1':0.0},(31,359):{'3_1':0.0},(31,358):{'3_1':0.0,'4_1':0.0},(31,357):{'3_1':0.0,'4_1':0.0},(31,356):{'3_1':0.0,'4_1':0.0},(31,355):{'3_1':0.0,'4_1':0.0},(31,354):{'3_1':0.0},(31,353):{'3_1':0.0},(31,352):{'3_1':0.0,'5_2':0.0},(31,351):{'3_1':0.0},(31,350):{'4_1':0.0},(31,349):{'3_1':0.0},(31,348):{'3_1':0.03,'4_1':0.0},(31,347):{'3_1':0.0},(31,346):{'3_1':0.0},(31,345):{'3_1':0.0,'4_1':0.0},(31,344):{'4_1':0.0},(31,343):{'3_1':0.03},(31,342):{'3_1':0.0},(31,341):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(31,340):{'3_1':0.0},(31,339):{'3_1':0.03},(31,338):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(31,337):{'3_1':0.0},(31,336):{'3_1':0.0},(31,335):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(31,334):{'3_1':0.03},(31,333):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,332):{'3_1':0.0,'4_1':0.0},(31,331):{'3_1':0.0,'4_1':0.0},(31,330):{'3_1':0.0},(31,329):{'3_1':0.03},(31,328):{'3_1':0.0},(31,327):{'3_1':0.0,'-3':0.0},(31,326):{'3_1':0.0,'4_1':0.0},(31,325):{'3_1':0.0},(31,324):{'3_1':0.0},(31,323):{'4_1':0.0},(31,322):{'3_1':0.0},(31,321):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(31,320):{'3_1':0.0},(31,319):{'3_1':0.0,'7_1':0.0},(31,318):{'3_1':0.0},(31,317):{'3_1':0.03},(31,316):{'3_1':0.0},(31,315):{'3_1':0.0,'8_20|3_1#3_1':0.0},(31,314):{'4_1':0.0},(31,313):{'3_1':0.0,'5_2':0.0},(31,312):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(31,311):{'3_1':0.0},(31,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(31,309):{'3_1':0.0},(31,308):{'3_1':0.0},(31,307):{'3_1':0.0},(31,306):{'3_1':0.0,'5_2':0.0},(31,305):{'3_1':0.0,'4_1':0.0},(31,304):{'3_1':0.0},(31,303):{'3_1':0.0,'6_1':0.0},(31,302):{'3_1':0.0},(31,301):{'3_1':0.0},(31,300):{'4_1':0.0,'8_20|3_1#3_1':0.0},(31,298):{'3_1':0.0},(31,297):{'3_1':0.0,'4_1':0.0},(31,296):{'3_1':0.0},(31,295):{'3_1':0.0},(31,294):{'3_1':0.0},(31,293):{'3_1':0.0,'4_1':0.0},(31,292):{'3_1':0.03},(31,291):{'3_1':0.0,'4_1':0.0},(31,290):{'3_1':0.03,'5_1':0.0},(31,289):{'3_1':0.0},(31,288):{'3_1':0.0},(31,287):{'3_1':0.0},(31,285):{'3_1':0.0,'4_1':0.0},(31,284):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,283):{'4_1':0.0,'3_1':0.0},(31,281):{'3_1':0.0,'4_1':0.0},(31,279):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(31,278):{'3_1':0.03,'4_1':0.0},(31,277):{'3_1':0.03,'5_1':0.0},(31,276):{'5_1':0.0},(31,275):{'3_1':0.03},(31,274):{'3_1':0.0,'5_1':0.0},(31,273):{'3_1':0.0,'5_1':0.0},(31,272):{'3_1':0.0},(31,271):{'3_1':0.03},(31,270):{'3_1':0.0},(31,269):{'3_1':0.06},(31,268):{'3_1':0.03,'4_1':0.0},(31,267):{'3_1':0.0,'4_1':0.0},(31,266):{'4_1':0.0},(31,265):{'3_1':0.03},(31,264):{'3_1':0.03},(31,263):{'3_1':0.0,'4_1':0.0},(31,262):{'3_1':0.0},(31,261):{'3_1':0.0,'5_1':0.0},(31,260):{'3_1':0.0,'5_1':0.0},(31,259):{'3_1':0.0,'5_2':0.0},(31,258):{'3_1':0.0},(31,257):{'3_1':0.0},(31,256):{'3_1':0.0},(31,255):{'3_1':0.0,'5_1':0.0},(31,254):{'3_1':0.03},(31,253):{'3_1':0.0},(31,252):{'3_1':0.03},(31,250):{'3_1':0.03},(31,249):{'3_1':0.0},(31,248):{'3_1':0.0},(31,247):{'3_1':0.03},(31,246):{'3_1':0.0},(31,245):{'3_1':0.0},(31,244):{'3_1':0.0},(31,243):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(31,242):{'3_1':0.0,'5_1':0.0},(31,241):{'3_1':0.0,'4_1':0.0},(31,240):{'3_1':0.0,'4_1':0.0},(31,239):{'3_1':0.06},(31,238):{'3_1':0.0,'5_1':0.0},(31,237):{'3_1':0.0},(31,236):{'3_1':0.0},(31,235):{'3_1':0.0,'5_1':0.0},(31,234):{'3_1':0.0,'5_1':0.0},(31,233):{'3_1':0.0},(31,232):{'3_1':0.03},(31,231):{'3_1':0.0,'5_1':0.0},(31,230):{'3_1':0.0,'5_1':0.0},(31,228):{'3_1':0.03},(31,227):{'3_1':0.0},(31,226):{'3_1':0.03},(31,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,223):{'3_1':0.0},(31,222):{'3_1':0.03},(31,221):{'5_1':0.0},(31,220):{'3_1':0.0},(31,219):{'3_1':0.03},(31,218):{'3_1':0.0},(31,217):{'3_1':0.03},(31,216):{'3_1':0.0},(31,215):{'3_1':0.0},(31,214):{'3_1':0.0},(31,212):{'3_1':0.0},(31,211):{'3_1':0.0},(31,210):{'3_1':0.03},(31,208):{'4_1':0.0},(31,207):{'3_1':0.0},(31,206):{'3_1':0.0},(31,205):{'3_1':0.0},(31,204):{'3_1':0.0},(31,202):{'3_1':0.0},(31,201):{'3_1':0.0},(31,200):{'3_1':0.0},(31,199):{'3_1':0.0},(31,198):{'3_1':0.0},(31,197):{'3_1':0.0},(31,196):{'3_1':0.0},(31,194):{'3_1':0.0},(31,193):{'3_1':0.03},(31,192):{'3_1':0.0,'4_1':0.0},(31,191):{'3_1':0.0},(31,190):{'3_1':0.0},(31,189):{'3_1':0.0},(31,188):{'3_1':0.0},(31,187):{'3_1':0.0,'5_1':0.0},(31,186):{'3_1':0.0},(31,185):{'3_1':0.0},(31,184):{'3_1':0.0,'4_1':0.0},(31,183):{'3_1':0.0},(31,182):{'3_1':0.0},(31,181):{'3_1':0.0},(31,180):{'3_1':0.03},(31,179):{'3_1':0.0,'4_1':0.0},(31,175):{'3_1':0.0},(31,172):{'3_1':0.0},(31,171):{'3_1':0.0},(31,168):{'4_1':0.0},(31,167):{'3_1':0.0},(31,166):{'4_1':0.0},(31,165):{'3_1':0.0},(31,163):{'3_1':0.0},(31,162):{'3_1':0.0},(31,161):{'3_1':0.0},(31,160):{'3_1':0.0,'4_1':0.0},(31,158):{'3_1':0.0},(31,157):{'3_1':0.0},(31,156):{'3_1':0.0},(31,155):{'3_1':0.0},(31,154):{'5_1':0.0,'3_1':0.0},(31,153):{'3_1':0.0},(31,152):{'3_1':0.0},(31,151):{'3_1':0.0},(31,150):{'3_1':0.0,'4_1':0.0},(31,149):{'3_1':0.03},(31,148):{'3_1':0.0},(31,147):{'3_1':0.0},(31,146):{'3_1':0.0},(31,145):{'3_1':0.0},(31,142):{'3_1':0.0},(31,140):{'3_1':0.0},(31,139):{'3_1':0.0},(31,138):{'3_1':0.0},(31,132):{'3_1':0.0},(31,131):{'3_1':0.0},(31,130):{'3_1':0.0,'5_1':0.0},(31,128):{'4_1':0.0},(31,127):{'3_1':0.0},(31,126):{'3_1':0.0},(31,125):{'3_1':0.0},(31,109):{'3_1':0.0},(31,107):{'3_1':0.0},(31,102):{'3_1':0.0},(31,100):{'3_1':0.0},(31,97):{'3_1':0.0},(31,93):{'3_1':0.0},(31,66):{'3_1':0.0},(31,64):{'3_1':0.0},(31,63):{'3_1':0.0},(31,62):{'3_1':0.0},(31,61):{'3_1':0.0},(31,59):{'3_1':0.0},(31,58):{'3_1':0.0},(32,727):{'5_2':0.66,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0},(32,726):{'5_2':0.72,'-3':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_15':0.0},(32,725):{'5_2':0.72,'-3':0.12,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(32,724):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.03,'5_1':0.03,'8_19':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(32,723):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0},(32,722):{'5_2':0.6,'-3':0.12,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(32,721):{'5_2':0.69,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_7':0.0},(32,720):{'5_2':0.66,'-3':0.09,'7_4':0.03,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(32,719):{'5_2':0.63,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0},(32,718):{'5_2':0.63,'-3':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(32,717):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0},(32,716):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(32,715):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,714):{'5_2':0.63,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(32,713):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(32,712):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(32,711):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_4':0.03,'8_19':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(32,710):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_5':0.03,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,709):{'5_2':0.54,'-3':0.18,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_1':0.0},(32,708):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'9_1':0.0,'1':-0.03},(32,707):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(32,706):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(32,705):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(32,704):{'5_2':0.57,'3_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_6':0.0},(32,703):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(32,702):{'5_2':0.54,'3_1':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_5':0.0},(32,701):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(32,700):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(32,699):{'5_2':0.51,'3_1':0.12,'-3':0.09,'5_1':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(32,698):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.0,'7_5':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(32,697):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(32,696):{'5_2':0.45,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0},(32,695):{'5_2':0.48,'3_1':0.12,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(32,694):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(32,693):{'5_2':0.39,'3_1':0.15,'-3':0.15,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(32,692):{'5_2':0.42,'3_1':0.27,'-3':0.06,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,691):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(32,690):{'5_2':0.33,'3_1':0.24,'-3':0.12,'5_1':0.06,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0},(32,689):{'3_1':0.33,'5_2':0.3,'-3':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0},(32,688):{'5_2':0.42,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,687):{'5_2':0.3,'3_1':0.27,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(32,686):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(32,685):{'3_1':0.42,'5_2':0.27,'7_4':0.12,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(32,684):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'-3':0.03,'7_5':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,683):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(32,682):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(32,681):{'3_1':0.63,'5_2':0.12,'-3':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(32,680):{'3_1':0.48,'5_2':0.15,'-3':0.09,'7_4':0.06,'7_7':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(32,679):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(32,678):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(32,677):{'3_1':0.51,'5_2':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(32,676):{'3_1':0.63,'7_4':0.09,'5_2':0.06,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(32,675):{'3_1':0.6,'7_4':0.06,'-3':0.06,'5_2':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(32,674):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(32,673):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_7':0.03,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(32,672):{'3_1':0.69,'5_2':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(32,671):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_7':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(32,670):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(32,669):{'3_1':0.66,'5_2':0.09,'7_7':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(32,668):{'3_1':0.69,'5_2':0.06,'7_4':0.06,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0},(32,667):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(32,666):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(32,665):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0},(32,664):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(32,663):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(32,662):{'3_1':0.51,'5_2':0.15,'7_7':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(32,661):{'3_1':0.54,'5_2':0.12,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(32,660):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(32,659):{'3_1':0.57,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(32,658):{'3_1':0.6,'5_2':0.12,'7_7':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(32,657):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(32,656):{'3_1':0.6,'5_2':0.06,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(32,655):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(32,654):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,653):{'3_1':0.45,'5_2':0.12,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(32,652):{'3_1':0.57,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(32,651):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(32,650):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(32,649):{'3_1':0.36,'5_2':0.06,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(32,648):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0},(32,647):{'3_1':0.33,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(32,646):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(32,645):{'3_1':0.39,'5_2':0.03,'-3':0.03,'5_1':0.03,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(32,644):{'3_1':0.33,'5_2':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(32,643):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(32,642):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.06,'7_4':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(32,641):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_4':0.0},(32,640):{'5_2':0.15,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(32,639):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.06,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(32,638):{'3_1':0.27,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(32,637):{'5_2':0.09,'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(32,636):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(32,635):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(32,634):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_7':0.0,'7_4':0.0,'8_14':0.0},(32,633):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(32,632):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(32,631):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_6':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(32,630):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0},(32,629):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'8_13':0.0},(32,628):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(32,627):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(32,626):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(32,625):{'3_1':0.18,'5_2':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(32,624):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0},(32,623):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'5_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(32,622):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.03,'3_1#5_1':0.0},(32,621):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(32,620):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0},(32,619):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(32,618):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'7_1':0.0,'7_6':0.0},(32,617):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(32,616):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_1':0.0},(32,615):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_6':0.0,'7_7':0.0,'8_19':0.0},(32,614):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_6':0.0,'6_1':0.0,'-3':0.0},(32,613):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'7_7':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(32,612):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(32,611):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(32,610):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(32,609):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(32,608):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_19':0.0},(32,607):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0},(32,606):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(32,605):{'3_1':0.24,'4_1':0.09,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(32,604):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_8':0.0},(32,603):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0},(32,602):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(32,601):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(32,600):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_1':0.0,'8_11':0.0},(32,599):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'8_14':0.0,'9_1':0.0,'-3':0.0},(32,598):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(32,597):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(32,596):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(32,595):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(32,594):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0},(32,593):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(32,592):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'6_1':0.0,'5_2':0.0,'7_6':0.0},(32,591):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(32,590):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'6_2':0.03,'8_11':0.0,'5_1':0.0,'7_7':0.0},(32,589):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'8_9':0.0},(32,588):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(32,587):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(32,586):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_1':0.0},(32,585):{'4_1':0.21,'3_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(32,584):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(32,583):{'4_1':0.21,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(32,582):{'4_1':0.12,'3_1':0.03,'-3':0.0},(32,581):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(32,580):{'4_1':0.21,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(32,579):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(32,578):{'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1':0.0},(32,577):{'4_1':0.21,'3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(32,576):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'6_1':0.0},(32,575):{'4_1':0.15,'3_1':0.06,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(32,574):{'4_1':0.15,'5_1':0.03,'3_1':0.0,'5_2':0.0},(32,573):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(32,572):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(32,571):{'4_1':0.18,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_2':0.0},(32,570):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(32,569):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(32,568):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(32,567):{'4_1':0.21,'3_1':0.03,'6_1':0.0},(32,566):{'4_1':0.15,'3_1':0.03,'6_1':0.0},(32,565):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(32,564):{'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(32,563):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(32,562):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(32,561):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(32,560):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0},(32,559):{'4_1':0.12,'3_1':0.09},(32,558):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(32,557):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(32,556):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(32,555):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_8':0.0},(32,554):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(32,553):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(32,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(32,551):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(32,550):{'3_1':0.15,'4_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0},(32,549):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(32,548):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(32,547):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(32,546):{'3_1':0.06,'4_1':0.0},(32,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_4':0.0},(32,544):{'3_1':0.12,'4_1':0.03},(32,543):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(32,542):{'3_1':0.12,'4_1':0.03},(32,541):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(32,540):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(32,539):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(32,538):{'3_1':0.12,'4_1':0.03},(32,537):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(32,536):{'3_1':0.21,'4_1':0.0},(32,535):{'3_1':0.12,'4_1':0.03},(32,534):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(32,533):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(32,532):{'3_1':0.12,'4_1':0.0},(32,531):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'7_4':0.0},(32,530):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(32,529):{'3_1':0.15,'4_1':0.0},(32,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(32,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(32,526):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(32,525):{'3_1':0.09,'4_1':0.0},(32,524):{'3_1':0.03,'4_1':0.0},(32,523):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(32,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(32,521):{'3_1':0.09,'4_1':0.0},(32,520):{'3_1':0.06,'4_1':0.0},(32,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,518):{'3_1':0.06,'5_2':0.0},(32,517):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(32,516):{'3_1':0.0},(32,515):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,513):{'3_1':0.03,'4_1':0.0},(32,512):{'3_1':0.03,'4_1':0.0},(32,511):{'3_1':0.03,'7_3':0.0},(32,510):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(32,509):{'3_1':0.0,'4_1':0.0},(32,508):{'3_1':0.03,'4_1':0.03},(32,507):{'3_1':0.03},(32,506):{'3_1':0.03,'5_1':0.0},(32,505):{'3_1':0.0,'4_1':0.0},(32,504):{'4_1':0.0,'7_4':0.0},(32,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(32,502):{'3_1':0.0,'4_1':0.0},(32,501):{'3_1':0.0,'4_1':0.0},(32,500):{'3_1':0.03,'4_1':0.0},(32,499):{'3_1':0.0,'5_2':0.0},(32,498):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(32,497):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(32,495):{'3_1':0.0,'4_1':0.0},(32,494):{'3_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0},(32,493):{'3_1':0.0,'4_1':0.0},(32,492):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(32,491):{'3_1':0.03,'4_1':0.0},(32,490):{'3_1':0.06,'4_1':0.0},(32,489):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,488):{'3_1':0.06},(32,487):{'3_1':0.06,'4_1':0.0},(32,486):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(32,485):{'3_1':0.06,'5_1':0.0,'-3':0.0},(32,484):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(32,483):{'3_1':0.06},(32,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0},(32,481):{'3_1':0.06,'4_1':0.0},(32,480):{'3_1':0.03},(32,479):{'3_1':0.06,'4_1':0.0,'7_4':0.0,'5_2':0.0},(32,478):{'3_1':0.06,'9_1':0.0},(32,477):{'3_1':0.03},(32,476):{'3_1':0.06,'4_1':0.0},(32,475):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(32,474):{'3_1':0.06},(32,473):{'3_1':0.03,'4_1':0.0},(32,472):{'3_1':0.03},(32,471):{'3_1':0.06,'4_1':0.0},(32,470):{'3_1':0.03,'5_1':0.0},(32,469):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,468):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(32,467):{'3_1':0.0,'4_1':0.0},(32,466):{'3_1':0.03,'4_1':0.0},(32,465):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,464):{'3_1':0.03,'4_1':0.0},(32,463):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,462):{'3_1':0.03,'4_1':0.0},(32,461):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(32,460):{'3_1':0.06,'4_1':0.0},(32,459):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(32,458):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(32,457):{'4_1':0.0,'3_1':0.0},(32,456):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(32,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,454):{'3_1':0.03,'4_1':0.0},(32,453):{'3_1':0.03},(32,452):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(32,451):{'3_1':0.0},(32,450):{'3_1':0.03,'4_1':0.0},(32,449):{'3_1':0.03,'4_1':0.0},(32,448):{'3_1':0.0},(32,447):{'3_1':0.0,'4_1':0.0},(32,446):{'3_1':0.03,'4_1':0.0},(32,445):{'4_1':0.0,'3_1':0.0,'3_1#5_1':0.0},(32,444):{'3_1':0.03,'4_1':0.0},(32,443):{'3_1':0.0},(32,442):{'3_1':0.0,'4_1':0.0},(32,441):{'3_1':0.03,'6_2':0.0},(32,440):{'3_1':0.0,'5_2':0.0},(32,439):{'3_1':0.03},(32,438):{'3_1':0.0},(32,437):{'3_1':0.03},(32,436):{'3_1':0.06,'5_1':0.0},(32,435):{'3_1':0.03,'4_1':0.0},(32,434):{'3_1':0.06,'5_2':0.0},(32,433):{'3_1':0.03,'5_1':0.0},(32,432):{'3_1':0.03},(32,431):{'3_1':0.06,'7_3':0.0},(32,430):{'3_1':0.03},(32,429):{'3_1':0.09},(32,428):{'3_1':0.03,'4_1':0.0},(32,427):{'3_1':0.0},(32,426):{'3_1':0.09},(32,425):{'3_1':0.09},(32,424):{'3_1':0.03},(32,423):{'3_1':0.06,'5_2':0.0},(32,422):{'3_1':0.06},(32,421):{'3_1':0.03},(32,420):{'3_1':0.06},(32,419):{'3_1':0.06,'5_2':0.0},(32,418):{'3_1':0.03},(32,417):{'3_1':0.03},(32,416):{'3_1':0.03,'6_2':0.0},(32,415):{'3_1':0.03,'5_2':0.0},(32,414):{'3_1':0.06},(32,413):{'3_1':0.03},(32,412):{'3_1':0.0},(32,411):{'3_1':0.06,'7_3':0.0},(32,410):{'3_1':0.03,'5_1':0.0},(32,409):{'3_1':0.0},(32,408):{'3_1':0.0},(32,407):{'3_1':0.03},(32,406):{'3_1':0.0},(32,405):{'3_1':0.06},(32,404):{'3_1':0.03},(32,402):{'3_1':0.0},(32,401):{'3_1':0.0,'4_1':0.0},(32,400):{'3_1':0.03},(32,399):{'3_1':0.0},(32,398):{'3_1':0.0},(32,397):{'3_1':0.0},(32,396):{'3_1':0.0},(32,395):{'3_1':0.03},(32,394):{'3_1':0.03},(32,393):{'3_1':0.03,'5_1':0.0},(32,392):{'5_2':0.0,'3_1':0.0},(32,391):{'3_1':0.0,'5_1':0.0},(32,390):{'3_1':0.0,'4_1':0.0},(32,389):{'3_1':0.0},(32,388):{'3_1':0.0,'4_1':0.0},(32,387):{'3_1':0.0},(32,386):{'3_1':0.03},(32,385):{'4_1':0.0},(32,384):{'3_1':0.03,'4_1':0.0},(32,383):{'3_1':0.0,'4_1':0.0},(32,382):{'3_1':0.0},(32,381):{'3_1':0.03},(32,380):{'3_1':0.03},(32,379):{'3_1':0.0},(32,378):{'3_1':0.03,'4_1':0.0},(32,377):{'3_1':0.03},(32,376):{'3_1':0.0},(32,375):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,374):{'3_1':0.03},(32,373):{'3_1':0.0},(32,372):{'3_1':0.0},(32,371):{'3_1':0.03},(32,370):{'3_1':0.03,'4_1':0.03,'-3':0.0},(32,369):{'3_1':0.0,'4_1':0.0},(32,368):{'3_1':0.0},(32,367):{'3_1':0.0,'4_1':0.0},(32,366):{'3_1':0.0},(32,365):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(32,364):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(32,363):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,362):{'3_1':0.03,'4_1':0.0},(32,361):{'3_1':0.0},(32,360):{'3_1':0.0},(32,359):{'3_1':0.0,'-3':0.0},(32,358):{'3_1':0.0},(32,357):{'3_1':0.03},(32,356):{'3_1':0.03,'4_1':0.0},(32,355):{'3_1':0.03,'5_1':0.0},(32,353):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,352):{'3_1':0.03,'5_2':0.0},(32,351):{'3_1':0.0,'4_1':0.0},(32,350):{'3_1':0.03,'4_1':0.0},(32,349):{'3_1':0.0,'4_1':0.0},(32,348):{'4_1':0.0,'3_1':0.0},(32,347):{'3_1':0.0},(32,346):{'3_1':0.03,'4_1':0.0},(32,345):{'3_1':0.0,'4_1':0.0},(32,344):{'3_1':0.03},(32,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,342):{'3_1':0.0},(32,341):{'3_1':0.0},(32,339):{'3_1':0.06},(32,338):{'3_1':0.0},(32,337):{'3_1':0.0},(32,336):{'4_1':0.0,'3_1':0.0},(32,335):{'3_1':0.0},(32,334):{'4_1':0.0,'3_1':0.0},(32,333):{'3_1':0.0},(32,332):{'3_1':0.0},(32,331):{'3_1':0.0,'4_1':0.0},(32,330):{'3_1':0.03},(32,329):{'3_1':0.0},(32,328):{'3_1':0.0,'4_1':0.0},(32,327):{'3_1':0.0},(32,326):{'3_1':0.0},(32,325):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(32,324):{'3_1':0.0},(32,323):{'3_1':0.0},(32,322):{'3_1':0.03},(32,321):{'3_1':0.0},(32,320):{'3_1':0.0},(32,319):{'3_1':0.0,'7_1':0.0},(32,318):{'3_1':0.03},(32,317):{'3_1':0.0},(32,316):{'3_1':0.0},(32,315):{'3_1':0.0,'4_1':0.0},(32,314):{'3_1':0.0},(32,313):{'3_1':0.06},(32,312):{'3_1':0.0},(32,311):{'3_1':0.0,'4_1':0.0},(32,309):{'3_1':0.0,'5_2':0.0},(32,308):{'3_1':0.0},(32,307):{'3_1':0.0},(32,306):{'3_1':0.0,'4_1':0.0},(32,305):{'3_1':0.0},(32,304):{'3_1':0.0},(32,302):{'3_1':0.0},(32,301):{'3_1':0.0},(32,300):{'3_1':0.0},(32,299):{'3_1':0.0},(32,298):{'3_1':0.0},(32,297):{'3_1':0.0},(32,296):{'3_1':0.0},(32,295):{'3_1':0.0,'4_1':0.0},(32,294):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,293):{'3_1':0.0},(32,292):{'3_1':0.0},(32,291):{'3_1':0.0},(32,290):{'3_1':0.0},(32,289):{'3_1':0.0,'4_1':0.0},(32,288):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(32,287):{'3_1':0.0},(32,286):{'3_1':0.0},(32,285):{'3_1':0.0},(32,284):{'3_1':0.0,'4_1':0.0},(32,283):{'3_1':0.03,'5_1':0.0},(32,282):{'3_1':0.0},(32,281):{'3_1':0.0},(32,280):{'3_1':0.0,'4_1':0.0},(32,279):{'3_1':0.0},(32,278):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,277):{'3_1':0.06,'5_1':0.0},(32,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(32,275):{'3_1':0.03},(32,274):{'3_1':0.12,'4_1':0.0},(32,273):{'3_1':0.0},(32,272):{'3_1':0.06,'4_1':0.0},(32,271):{'3_1':0.0,'4_1':0.0},(32,270):{'3_1':0.0,'4_1':0.0},(32,269):{'3_1':0.06},(32,268):{'3_1':0.0},(32,267):{'3_1':0.0,'4_1':0.0},(32,266):{'3_1':0.03},(32,265):{'3_1':0.06,'4_1':0.0},(32,264):{'3_1':0.03,'5_2':0.0},(32,263):{'3_1':0.0,'4_1':0.0},(32,262):{'3_1':0.0},(32,261):{'3_1':0.0},(32,260):{'3_1':0.03},(32,259):{'3_1':0.03},(32,258):{'3_1':0.0},(32,257):{'5_1':0.0},(32,256):{'3_1':0.03,'4_1':0.0},(32,255):{'3_1':0.0},(32,254):{'3_1':0.0,'4_1':0.0},(32,253):{'3_1':0.03},(32,252):{'3_1':0.0},(32,251):{'4_1':0.0,'3_1':0.0},(32,250):{'3_1':0.0},(32,249):{'3_1':0.03,'6_2':0.0},(32,248):{'3_1':0.03},(32,247):{'3_1':0.03},(32,246):{'3_1':0.0},(32,245):{'3_1':0.03},(32,244):{'3_1':0.0,'5_1':0.0},(32,243):{'3_1':0.0},(32,242):{'3_1':0.03},(32,241):{'7_1':0.0,'3_1':0.0},(32,240):{'3_1':0.03},(32,239):{'3_1':0.0},(32,238):{'3_1':0.0},(32,237):{'3_1':0.0,'4_1':0.0},(32,236):{'3_1':0.0,'5_1':0.0},(32,235):{'3_1':0.0,'4_1':0.0},(32,234):{'3_1':0.0,'5_1':0.0},(32,233):{'3_1':0.03},(32,232):{'3_1':0.0},(32,231):{'3_1':0.0},(32,230):{'3_1':0.0},(32,229):{'3_1':0.0},(32,228):{'3_1':0.0,'4_1':0.0},(32,227):{'3_1':0.03},(32,226):{'3_1':0.0},(32,225):{'3_1':0.0,'5_1':0.0},(32,224):{'3_1':0.0},(32,223):{'3_1':0.0},(32,222):{'3_1':0.03,'5_1':0.0},(32,218):{'3_1':0.03},(32,217):{'3_1':0.0},(32,215):{'3_1':0.03},(32,214):{'3_1':0.0},(32,212):{'3_1':0.0},(32,211):{'3_1':0.0},(32,210):{'3_1':0.0},(32,209):{'3_1':0.0},(32,207):{'3_1':0.0},(32,206):{'3_1':0.0},(32,204):{'3_1':0.0},(32,203):{'3_1':0.0},(32,202):{'3_1':0.0},(32,201):{'3_1':0.0},(32,199):{'3_1':0.0},(32,198):{'3_1':0.0},(32,197):{'3_1':0.0},(32,196):{'3_1':0.0},(32,195):{'3_1':0.0},(32,194):{'3_1':0.0},(32,193):{'3_1':0.0},(32,192):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(32,191):{'3_1':0.0},(32,189):{'3_1':0.0},(32,188):{'3_1':0.0},(32,187):{'3_1':0.0},(32,186):{'3_1':0.0},(32,185):{'3_1':0.0},(32,184):{'3_1':0.0},(32,180):{'3_1':0.0},(32,179):{'3_1':0.0},(32,178):{'3_1':0.0},(32,177):{'3_1':0.0},(32,176):{'3_1':0.0},(32,175):{'4_1':0.0},(32,173):{'3_1':0.03},(32,170):{'3_1':0.0},(32,169):{'3_1':0.0},(32,168):{'3_1':0.0,'4_1':0.0},(32,164):{'3_1':0.0},(32,163):{'6_2':0.0},(32,162):{'3_1':0.0},(32,161):{'3_1':0.0},(32,160):{'3_1':0.0},(32,158):{'3_1':0.0},(32,157):{'3_1':0.0},(32,156):{'3_1':0.0},(32,155):{'3_1':0.0,'4_1':0.0},(32,154):{'3_1':0.0},(32,153):{'3_1':0.0},(32,152):{'3_1':0.0},(32,151):{'4_1':0.0},(32,149):{'3_1':0.03},(32,148):{'3_1':0.0},(32,146):{'3_1':0.0},(32,145):{'3_1':0.0},(32,144):{'3_1':0.0},(32,143):{'3_1':0.0},(32,142):{'3_1':0.0},(32,139):{'3_1':0.0,'4_1':0.0},(32,138):{'3_1':0.0},(32,135):{'3_1':0.0},(32,132):{'3_1':0.0},(32,130):{'3_1':0.0},(32,128):{'3_1':0.0},(32,127):{'3_1':0.0},(32,109):{'3_1':0.0},(32,108):{'3_1':0.0},(32,107):{'3_1':0.0},(32,106):{'3_1':0.0},(32,104):{'3_1':0.0},(32,101):{'3_1':0.0},(32,96):{'3_1':0.0},(32,76):{'3_1':0.0},(32,75):{'3_1':0.0},(32,74):{'3_1':0.0},(32,73):{'3_1':0.0},(32,72):{'3_1':0.0},(32,70):{'3_1':0.0},(32,66):{'3_1':0.0},(32,64):{'3_1':0.0},(32,63):{'3_1':0.0},(32,62):{'3_1':0.0},(32,60):{'3_1':0.0},(32,59):{'3_1':0.0},(33,727):{'5_2':0.75,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'3_1#5_1':0.0},(33,726):{'5_2':0.72,'-3':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(33,725):{'5_2':0.66,'7_4':0.06,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(33,724):{'5_2':0.69,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'8_8':0.0},(33,723):{'5_2':0.78,'-3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(33,722):{'5_2':0.63,'3_1':0.06,'-3':0.06,'3_1#5_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(33,721):{'5_2':0.66,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(33,720):{'5_2':0.72,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(33,719):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(33,718):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0},(33,717):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(33,716):{'5_2':0.63,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(33,715):{'5_2':0.57,'3_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(33,714):{'5_2':0.6,'-3':0.06,'3_1':0.06,'7_4':0.06,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(33,713):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(33,712):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(33,711):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0},(33,710):{'5_2':0.48,'-3':0.12,'3_1':0.09,'5_1':0.06,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(33,709):{'5_2':0.69,'-3':0.12,'5_1':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'8_8':0.0,'1':-0.03},(33,708):{'5_2':0.6,'-3':0.09,'7_5':0.06,'7_4':0.03,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'1':-0.03},(33,707):{'5_2':0.69,'3_1':0.09,'7_4':0.06,'-3':0.0,'8_19':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(33,706):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(33,705):{'5_2':0.6,'3_1':0.12,'-3':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(33,704):{'5_2':0.63,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(33,703):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(33,702):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(33,701):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0},(33,700):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(33,699):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_8':0.0},(33,698):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_1':0.0,'1':-0.03},(33,697):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'1':-0.03},(33,696):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'2':-0.03},(33,695):{'5_2':0.54,'3_1':0.18,'-3':0.03,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'1':-0.03},(33,694):{'5_2':0.54,'-3':0.15,'3_1':0.12,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(33,693):{'5_2':0.3,'3_1':0.27,'-3':0.15,'5_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(33,692):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(33,691):{'5_2':0.42,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(33,690):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(33,689):{'5_2':0.39,'3_1':0.3,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(33,688):{'5_2':0.42,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(33,687):{'5_2':0.42,'3_1':0.3,'7_4':0.06,'-3':0.06,'5_1':0.0,'6_3':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(33,686):{'5_2':0.33,'3_1':0.3,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'6_3':0.0,'7_7':0.0},(33,685):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(33,684):{'3_1':0.39,'5_2':0.3,'-3':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'6_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(33,683):{'3_1':0.42,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(33,682):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(33,681):{'3_1':0.45,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(33,680):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_13':0.0},(33,679):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(33,678):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0},(33,677):{'3_1':0.51,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(33,676):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0},(33,675):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(33,674):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(33,673):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(33,672):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0},(33,671):{'3_1':0.57,'5_2':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(33,670):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(33,669):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(33,668):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(33,667):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(33,666):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(33,665):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(33,664):{'3_1':0.6,'5_2':0.18,'7_4':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(33,663):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(33,662):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0},(33,661):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(33,660):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(33,659):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'1':-0.03},(33,658):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(33,657):{'3_1':0.57,'5_2':0.06,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(33,656):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'-3':0.0},(33,655):{'3_1':0.57,'5_2':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,654):{'3_1':0.6,'5_2':0.15,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(33,653):{'3_1':0.51,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(33,652):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'6_3':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(33,651):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0},(33,650):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(33,649):{'3_1':0.45,'5_2':0.09,'7_7':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(33,648):{'3_1':0.39,'5_2':0.12,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(33,647):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(33,646):{'3_1':0.36,'5_2':0.06,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_6':0.0},(33,645):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'8_14':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(33,644):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(33,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(33,642):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_4':0.0,'8_11':0.0,'4_1':0.0,'7_7':0.0,'8_1':0.0,'8_13':0.0,'-3':0.0},(33,641):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(33,640):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(33,639):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(33,638):{'3_1':0.21,'5_2':0.15,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'3_1#5_1':0.0},(33,637):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0},(33,636):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(33,635):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(33,634):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_6':0.0,'7_7':0.0,'7_5':0.0},(33,633):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(33,632):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,631):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_14':0.0},(33,630):{'3_1':0.12,'5_2':0.09,'7_3':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(33,629):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(33,628):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0},(33,627):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(33,626):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(33,625):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(33,624):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(33,623):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,622):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,621):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(33,620):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(33,619):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(33,618):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(33,617):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(33,616):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(33,615):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(33,614):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(33,613):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0,'8_19':0.0},(33,612):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(33,611):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(33,610):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(33,609):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'-3':0.0,'7_4':0.0},(33,608):{'3_1':0.09,'4_1':0.03,'8_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(33,607):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(33,606):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(33,605):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(33,604):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_2':0.0},(33,603):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(33,602):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0},(33,601):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(33,600):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0},(33,599):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_1':0.0,'-3':0.0},(33,598):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(33,597):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'7_6':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(33,596):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0,'7_3':0.0},(33,595):{'4_1':0.18,'3_1':0.12,'6_1':0.0},(33,594):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'6_1':0.0,'5_2':0.0,'8_1':0.0},(33,593):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0},(33,592):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0},(33,591):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(33,590):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(33,589):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'7_5':0.0},(33,588):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_2':0.0,'-3':0.0},(33,587):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(33,586):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(33,585):{'4_1':0.24,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(33,584):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(33,583):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(33,582):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_1':0.0,'5_1':0.0},(33,581):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(33,580):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0},(33,579):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(33,578):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0},(33,577):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0},(33,576):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_2':0.0},(33,575):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(33,574):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(33,573):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(33,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(33,571):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0},(33,570):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(33,569):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_1':0.0,'8_14':0.0},(33,568):{'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_2':0.0},(33,567):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(33,566):{'4_1':0.18,'3_1':0.09,'5_1':0.0},(33,565):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(33,564):{'4_1':0.06,'3_1':0.06,'8_1':0.0,'6_1':0.0},(33,563):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'-3':0.0},(33,562):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(33,561):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(33,560):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(33,559):{'4_1':0.12,'3_1':0.0,'5_2':0.0},(33,558):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_1':0.0},(33,557):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(33,556):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(33,555):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(33,554):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_3':0.0},(33,553):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(33,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(33,551):{'3_1':0.06,'4_1':0.06,'8_1':0.0},(33,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(33,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(33,548):{'3_1':0.09,'4_1':0.06},(33,547):{'3_1':0.09,'4_1':0.0,'-3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(33,546):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(33,545):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_2':0.0},(33,544):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(33,543):{'3_1':0.15,'4_1':0.03},(33,542):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(33,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(33,540):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(33,539):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(33,538):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(33,537):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(33,536):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(33,535):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(33,534):{'3_1':0.15,'4_1':0.0},(33,533):{'3_1':0.18,'6_3':0.0,'-3':0.0},(33,532):{'3_1':0.15,'5_2':0.0},(33,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(33,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(33,529):{'3_1':0.12,'4_1':0.03},(33,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(33,527):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0},(33,526):{'3_1':0.06,'6_1':0.0,'7_3':0.0},(33,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,524):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(33,523):{'3_1':0.12,'4_1':0.0},(33,522):{'3_1':0.03,'6_1':0.0},(33,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(33,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(33,518):{'3_1':0.0,'4_1':0.0},(33,517):{'3_1':0.03},(33,516):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(33,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(33,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,513):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,512):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(33,511):{'3_1':0.06},(33,510):{'3_1':0.03,'8_20|3_1#3_1':0.0},(33,509):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,508):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,507):{'3_1':0.0,'6_1':0.0},(33,506):{'3_1':0.03,'4_1':0.0},(33,505):{'3_1':0.0,'4_1':0.0},(33,504):{'3_1':0.0,'4_1':0.0},(33,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,502):{'3_1':0.03,'-3':0.0},(33,501):{'3_1':0.0,'4_1':0.0},(33,500):{'3_1':0.03},(33,499):{'3_1':0.03,'7_3':0.0},(33,498):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,497):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(33,496):{'3_1':0.0},(33,495):{'3_1':0.0,'6_1':0.0,'7_2':0.0},(33,494):{'3_1':0.03,'6_3':0.0},(33,493):{'3_1':0.03},(33,492):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(33,491):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0},(33,490):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0},(33,489):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(33,488):{'3_1':0.03,'7_2':0.0},(33,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(33,486):{'3_1':0.03,'5_2':0.0},(33,485):{'3_1':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0},(33,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(33,483):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(33,482):{'3_1':0.09,'4_1':0.0},(33,481):{'3_1':0.03,'4_1':0.0},(33,480):{'3_1':0.03,'4_1':0.0},(33,479):{'3_1':0.06,'4_1':0.0},(33,478):{'3_1':0.0,'4_1':0.0},(33,477):{'3_1':0.06},(33,476):{'3_1':0.06},(33,475):{'3_1':0.12,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(33,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(33,473):{'3_1':0.03,'4_1':0.0},(33,472):{'3_1':0.03},(33,471):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(33,470):{'3_1':0.06,'-3':0.0},(33,469):{'3_1':0.06},(33,468):{'3_1':0.06},(33,467):{'3_1':0.03},(33,466):{'3_1':0.03},(33,465):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(33,464):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,463):{'3_1':0.0,'5_1':0.0},(33,462):{'3_1':0.0,'4_1':0.0},(33,461):{'3_1':0.0},(33,460):{'3_1':0.0},(33,459):{'3_1':0.0,'4_1':0.0},(33,458):{'3_1':0.0},(33,457):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(33,456):{'4_1':0.0,'3_1':0.0},(33,455):{'4_1':0.0,'3_1':0.0},(33,454):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,453):{'3_1':0.0},(33,452):{'3_1':0.03},(33,451):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(33,450):{'3_1':0.0},(33,449):{'3_1':0.0},(33,448):{'3_1':0.06,'6_3':0.0},(33,447):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(33,446):{'3_1':0.03},(33,445):{'3_1#5_1':0.0},(33,444):{'3_1':0.03,'7_2':0.0},(33,443):{'3_1':0.03},(33,442):{'3_1':0.0},(33,441):{'3_1':0.03},(33,440):{'3_1':0.0},(33,439):{'3_1':0.0,'7_2':0.0},(33,438):{'3_1':0.0},(33,437):{'3_1':0.06},(33,436):{'3_1':0.0,'5_2':0.0},(33,435):{'3_1':0.03},(33,434):{'3_1':0.06},(33,433):{'3_1':0.0},(33,432):{'3_1':0.06,'4_1':0.0},(33,431):{'3_1':0.06},(33,430):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(33,429):{'3_1':0.03,'5_2':0.0},(33,428):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(33,427):{'3_1':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0},(33,426):{'3_1':0.09},(33,425):{'3_1':0.06},(33,424):{'3_1':0.06},(33,423):{'3_1':0.15,'5_2':0.0,'7_2':0.0},(33,422):{'3_1':0.03,'7_2':0.0},(33,421):{'3_1':0.06},(33,420):{'3_1':0.03},(33,419):{'3_1':0.06,'5_2':0.0},(33,418):{'3_1':0.09,'5_2':0.0},(33,417):{'3_1':0.0,'5_1':0.0},(33,416):{'3_1':0.03},(33,415):{'3_1':0.06},(33,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(33,413):{'3_1':0.06,'6_2':0.0,'-3':0.0},(33,412):{'3_1':0.03},(33,411):{'3_1':0.0},(33,410):{'3_1':0.0,'5_1':0.0},(33,409):{'3_1':0.0,'5_2':0.0},(33,408):{'3_1':0.0,'5_2':0.0},(33,407):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(33,406):{'3_1':0.03},(33,405):{'3_1':0.03},(33,404):{'3_1':0.0},(33,403):{'3_1':0.06},(33,402):{'3_1':0.03,'4_1':0.0},(33,401):{'3_1':0.03},(33,400):{'3_1':0.03},(33,399):{'3_1':0.03},(33,398):{'3_1':0.03,'5_1':0.0},(33,397):{'3_1':0.06},(33,396):{'3_1':0.03},(33,395):{'3_1':0.0},(33,394):{'3_1':0.0,'5_2':0.0},(33,393):{'3_1':0.03},(33,391):{'3_1':0.0},(33,390):{'3_1':0.03},(33,389):{'3_1':0.03},(33,387):{'3_1':0.0},(33,386):{'3_1':0.0,'8_20|3_1#3_1':0.0},(33,385):{'3_1':0.03,'5_2':0.0},(33,384):{'3_1':0.0},(33,383):{'3_1':0.0},(33,382):{'3_1':0.0},(33,381):{'3_1':0.0},(33,380):{'3_1':0.0},(33,379):{'3_1':0.0},(33,378):{'3_1':0.0},(33,377):{'3_1':0.06,'4_1':0.0},(33,376):{'3_1':0.0},(33,375):{'3_1':0.06},(33,374):{'3_1':0.03},(33,373):{'3_1':0.0},(33,372):{'3_1':0.03},(33,371):{'3_1':0.0},(33,370):{'5_1':0.0,'3_1':0.0},(33,369):{'3_1':0.0,'4_1':0.0},(33,368):{'3_1':0.0},(33,367):{'4_1':0.0},(33,366):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(33,365):{'3_1':0.0,'9_1':0.0},(33,364):{'3_1':0.0,'4_1':0.0},(33,363):{'3_1':0.0,'4_1':0.0},(33,362):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,361):{'3_1':0.0,'6_2':0.0},(33,360):{'3_1':0.03,'4_1':0.0},(33,358):{'3_1':0.03,'4_1':0.0},(33,357):{'3_1':0.0},(33,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,354):{'4_1':0.0},(33,353):{'3_1':0.0},(33,352):{'3_1':0.0,'4_1':0.0},(33,351):{'3_1':0.0,'4_1':0.0},(33,350):{'3_1':0.0,'4_1':0.0},(33,349):{'3_1':0.0},(33,348):{'3_1':0.0,'6_1':0.0},(33,347):{'3_1':0.0},(33,346):{'3_1':0.0},(33,344):{'3_1':0.0},(33,343):{'5_1':0.0},(33,342):{'3_1':0.0},(33,341):{'3_1':0.0,'4_1':0.0},(33,340):{'3_1':0.0},(33,338):{'3_1':0.03},(33,337):{'3_1':0.0},(33,336):{'3_1':0.0,'4_1':0.0},(33,335):{'3_1':0.0},(33,334):{'3_1':0.0,'5_2':0.0},(33,333):{'4_1':0.0,'7_1':0.0},(33,332):{'3_1':0.0},(33,331):{'3_1':0.0,'4_1':0.0},(33,330):{'3_1':0.0},(33,329):{'3_1':0.03},(33,328):{'3_1':0.0},(33,326):{'3_1':0.03},(33,325):{'3_1':0.0,'7_1':0.0},(33,324):{'3_1':0.0},(33,323):{'3_1':0.0},(33,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(33,321):{'3_1':0.0},(33,320):{'3_1':0.03,'6_1':0.0},(33,319):{'3_1':0.0},(33,318):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(33,317):{'3_1':0.0,'5_1':0.0},(33,316):{'3_1':0.0,'4_1':0.0},(33,315):{'3_1':0.03},(33,314):{'3_1':0.0},(33,313):{'3_1':0.03},(33,312):{'3_1':0.0,'4_1':0.0},(33,311):{'3_1':0.03,'4_1':0.0},(33,310):{'3_1':0.0},(33,309):{'3_1':0.03},(33,308):{'3_1':0.03,'4_1':0.0},(33,307):{'3_1':0.06},(33,306):{'3_1':0.03},(33,305):{'3_1':0.0},(33,304):{'3_1':0.03},(33,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,302):{'3_1':0.03,'5_1':0.0},(33,301):{'3_1':0.0},(33,300):{'3_1':0.0},(33,299):{'3_1':0.0},(33,298):{'3_1':0.0},(33,297):{'3_1':0.0},(33,296):{'3_1':0.0},(33,295):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(33,294):{'3_1':0.0},(33,293):{'3_1':0.03},(33,292):{'3_1':0.0},(33,291):{'3_1':0.03,'5_1':0.0},(33,290):{'3_1':0.0},(33,289):{'3_1':0.0},(33,288):{'3_1':0.0},(33,287):{'3_1':0.0},(33,286):{'3_1':0.03},(33,285):{'3_1':0.03},(33,284):{'3_1':0.0},(33,283):{'3_1':0.06},(33,282):{'3_1':0.0},(33,281):{'3_1':0.0},(33,280):{'3_1':0.0},(33,279):{'3_1':0.0},(33,278):{'3_1':0.0},(33,277):{'3_1':0.06},(33,276):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(33,275):{'3_1':0.0},(33,274):{'3_1':0.03,'4_1':0.0},(33,273):{'3_1':0.03},(33,272):{'3_1':0.03},(33,271):{'3_1':0.0,'6_2':0.0},(33,270):{'3_1':0.0,'4_1':0.0},(33,269):{'3_1':0.06},(33,268):{'3_1':0.0},(33,267):{'3_1':0.0,'4_1':0.0},(33,265):{'3_1':0.0},(33,264):{'3_1':0.0,'5_1':0.0},(33,263):{'3_1':0.0},(33,262):{'3_1':0.0,'5_1':0.0},(33,261):{'3_1':0.0},(33,260):{'3_1':0.03,'4_1':0.0},(33,259):{'3_1':0.03},(33,257):{'3_1':0.0},(33,256):{'3_1':0.0},(33,255):{'3_1':0.0},(33,254):{'3_1':0.0},(33,253):{'3_1':0.0,'4_1':0.0},(33,252):{'3_1':0.03},(33,251):{'3_1':0.03},(33,249):{'3_1':0.0,'4_1':0.0},(33,248):{'3_1':0.0},(33,247):{'3_1':0.0},(33,246):{'3_1':0.0,'5_1':0.0},(33,245):{'3_1':0.0},(33,244):{'3_1':0.0},(33,243):{'3_1':0.03,'5_1':0.0},(33,241):{'3_1':0.0,'5_1':0.0},(33,240):{'3_1':0.0},(33,239):{'3_1':0.0},(33,238):{'3_1':0.0},(33,237):{'3_1':0.03,'4_1':0.0},(33,236):{'3_1':0.0},(33,235):{'3_1':0.0},(33,234):{'3_1':0.03},(33,233):{'3_1':0.0},(33,232):{'3_1':0.0},(33,231):{'3_1':0.0},(33,230):{'3_1':0.03,'5_1':0.0},(33,229):{'3_1':0.0},(33,228):{'3_1':0.0},(33,227):{'5_1':0.0},(33,226):{'5_2':0.0},(33,225):{'3_1':0.0,'5_1':0.0},(33,224):{'3_1':0.0},(33,223):{'3_1':0.0},(33,222):{'3_1':0.0},(33,221):{'3_1':0.03},(33,220):{'3_1':0.0},(33,219):{'3_1':0.0},(33,218):{'3_1':0.03},(33,217):{'3_1':0.0},(33,215):{'3_1':0.0},(33,213):{'3_1':0.03},(33,212):{'3_1':0.03},(33,211):{'3_1':0.0},(33,209):{'3_1':0.03},(33,208):{'3_1':0.0},(33,207):{'3_1':0.0},(33,206):{'3_1':0.0},(33,205):{'3_1':0.0},(33,204):{'3_1':0.0},(33,203):{'3_1':0.0},(33,202):{'3_1':0.0},(33,201):{'3_1':0.0},(33,200):{'3_1':0.0,'5_1':0.0},(33,199):{'3_1':0.0},(33,198):{'3_1':0.0},(33,197):{'3_1':0.0},(33,196):{'3_1':0.0},(33,194):{'3_1':0.0},(33,193):{'3_1':0.0},(33,192):{'3_1':0.0,'4_1':0.0},(33,191):{'3_1':0.0},(33,190):{'3_1':0.0},(33,188):{'3_1':0.0},(33,187):{'3_1':0.0},(33,186):{'3_1':0.0},(33,183):{'3_1':0.0},(33,182):{'3_1':0.0},(33,179):{'3_1':0.03},(33,178):{'3_1':0.0,'4_1':0.0},(33,177):{'3_1':0.0},(33,176):{'3_1':0.0},(33,175):{'3_1':0.0},(33,173):{'3_1':0.0},(33,172):{'3_1':0.0},(33,171):{'3_1':0.0},(33,169):{'3_1':0.0},(33,168):{'3_1':0.0},(33,166):{'3_1':0.0},(33,165):{'3_1':0.0},(33,164):{'3_1':0.0},(33,163):{'3_1':0.0,'6_2':0.0},(33,162):{'3_1':0.0},(33,161):{'3_1':0.0},(33,159):{'3_1':0.0,'4_1':0.0},(33,158):{'3_1':0.0},(33,157):{'3_1':0.0},(33,156):{'3_1':0.03},(33,154):{'3_1':0.03},(33,153):{'3_1':0.0},(33,152):{'3_1':0.0},(33,151):{'3_1':0.0},(33,149):{'3_1':0.0},(33,147):{'3_1':0.0},(33,144):{'3_1':0.0},(33,143):{'3_1':0.0},(33,141):{'3_1':0.0},(33,139):{'3_1':0.03},(33,130):{'3_1':0.0},(33,125):{'3_1':0.0},(33,124):{'3_1':0.0},(33,123):{'3_1':0.0},(33,109):{'3_1':0.0},(33,105):{'3_1':0.0},(33,101):{'3_1':0.0},(33,96):{'3_1':0.0},(33,71):{'3_1':0.0},(33,70):{'3_1':0.0},(33,68):{'3_1':0.03},(33,66):{'3_1':0.0},(33,65):{'3_1':0.0},(33,62):{'3_1':0.0},(33,61):{'3_1':0.0},(33,60):{'3_1':0.0},(33,59):{'3_1':0.0},(34,727):{'5_2':0.72,'7_4':0.06,'-3':0.03,'3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0},(34,726):{'5_2':0.69,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(34,725):{'5_2':0.75,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(34,724):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(34,723):{'5_2':0.75,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(34,722):{'5_2':0.66,'3_1':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0},(34,721):{'5_2':0.63,'3_1':0.12,'-3':0.06,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(34,720):{'5_2':0.66,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0},(34,719):{'5_2':0.69,'3_1':0.06,'-3':0.06,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(34,718):{'5_2':0.63,'7_4':0.06,'-3':0.06,'3_1':0.06,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(34,717):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(34,716):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0},(34,715):{'5_2':0.57,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(34,714):{'5_2':0.72,'-3':0.03,'7_2':0.03,'5_1':0.03,'3_1':0.0,'7_4':0.0,'7_1':0.0},(34,713):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0},(34,712):{'5_2':0.57,'-3':0.12,'3_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0},(34,711):{'5_2':0.54,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(34,710):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.0,'7_2':0.0,'1':-0.03},(34,709):{'5_2':0.54,'-3':0.15,'5_1':0.06,'3_1':0.06,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(34,708):{'5_2':0.6,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(34,707):{'5_2':0.57,'7_4':0.09,'3_1':0.09,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(34,706):{'5_2':0.45,'3_1':0.21,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(34,705):{'5_2':0.63,'3_1':0.12,'-3':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(34,704):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(34,703):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(34,702):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(34,701):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0},(34,700):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0},(34,699):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_19':0.0},(34,698):{'5_2':0.6,'3_1':0.09,'-3':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(34,697):{'5_2':0.39,'3_1':0.18,'-3':0.15,'7_4':0.06,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0},(34,696):{'5_2':0.51,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(34,695):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(34,694):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_3':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(34,693):{'5_2':0.39,'3_1':0.15,'7_4':0.09,'7_3':0.06,'-3':0.06,'5_1':0.03,'7_6':0.0,'8_8':0.0},(34,692):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(34,691):{'5_2':0.51,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(34,690):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(34,689):{'5_2':0.39,'3_1':0.24,'-3':0.12,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(34,688):{'3_1':0.36,'5_2':0.3,'-3':0.12,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(34,687):{'5_2':0.3,'3_1':0.27,'-3':0.06,'7_3':0.06,'7_4':0.06,'5_1':0.03,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(34,686):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'7_3':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(34,685):{'3_1':0.39,'5_2':0.3,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(34,684):{'3_1':0.42,'5_2':0.27,'5_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(34,683):{'5_2':0.33,'3_1':0.3,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(34,682):{'3_1':0.45,'5_2':0.21,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(34,681):{'3_1':0.54,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_7':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0},(34,680):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(34,679):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(34,678):{'3_1':0.57,'5_2':0.12,'7_4':0.12,'8_21|3_1#4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(34,677):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(34,676):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0},(34,675):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(34,674):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(34,673):{'3_1':0.66,'5_2':0.09,'6_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'1':-0.03},(34,672):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,671):{'3_1':0.72,'7_4':0.03,'5_2':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0},(34,670):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(34,669):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0},(34,668):{'3_1':0.69,'5_2':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(34,667):{'3_1':0.69,'7_4':0.06,'5_2':0.06,'7_7':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'-3':0.0},(34,666):{'3_1':0.66,'5_2':0.09,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(34,665):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(34,664):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(34,663):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(34,662):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(34,661):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(34,660):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(34,659):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(34,658):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(34,657):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(34,656):{'3_1':0.54,'5_2':0.12,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(34,655):{'3_1':0.6,'5_2':0.12,'-3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(34,654):{'3_1':0.63,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(34,653):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(34,652):{'3_1':0.42,'5_2':0.21,'7_7':0.03,'-3':0.0,'6_1':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(34,651):{'3_1':0.51,'5_2':0.09,'5_1':0.09,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(34,650):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(34,649):{'3_1':0.33,'5_2':0.18,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,648):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(34,647):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(34,646):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(34,645):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(34,644):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(34,643):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(34,642):{'3_1':0.21,'5_2':0.12,'7_7':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(34,641):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_16':0.0},(34,640):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(34,639):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(34,638):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_12':0.0,'-3':0.0},(34,637):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(34,636):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(34,635):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0},(34,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_6':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0},(34,633):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0},(34,632):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(34,631):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(34,630):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0},(34,629):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(34,628):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0},(34,627):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(34,626):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(34,625):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(34,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(34,623):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(34,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0},(34,621):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(34,620):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0},(34,619):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(34,618):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,617):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.06,'7_3':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(34,616):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0},(34,615):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(34,614):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(34,613):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_3':0.0,'7_6':0.0},(34,612):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_3':0.0,'3_1#5_1':0.0,'-3':0.0},(34,611):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(34,610):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(34,609):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(34,608):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_6':0.0,'8_19':0.0},(34,607):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0},(34,606):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'8_1':0.0},(34,605):{'3_1':0.12,'4_1':0.09,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(34,604):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(34,603):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0},(34,602):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_7':0.0},(34,601):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(34,600):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'7_5':0.0,'-3':0.0},(34,599):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0},(34,598):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(34,597):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(34,596):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_5':0.0,'-3':0.0},(34,595):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(34,594):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'7_6':0.0},(34,593):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(34,592):{'4_1':0.24,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(34,591):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(34,590):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(34,589):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_19':0.0},(34,588):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'8_14':0.0},(34,587):{'4_1':0.15,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(34,586):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0},(34,585):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(34,584):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(34,583):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(34,582):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(34,581):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(34,580):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(34,579):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0},(34,578):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(34,577):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(34,576):{'4_1':0.12,'6_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(34,575):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0},(34,574):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(34,573):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(34,572):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'8_1':0.0},(34,571):{'4_1':0.12,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(34,570):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(34,569):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(34,568):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(34,567):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'8_11':0.0},(34,566):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(34,565):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(34,564):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_6':0.0},(34,563):{'4_1':0.12,'6_1':0.0,'3_1':0.0,'5_2':0.0,'8_11':0.0},(34,562):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(34,561):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(34,560):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(34,559):{'4_1':0.09,'3_1':0.03,'7_5':0.0},(34,558):{'4_1':0.06,'3_1':0.03},(34,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(34,556):{'4_1':0.12,'3_1':0.03},(34,555):{'4_1':0.03,'5_2':0.0,'3_1':0.0,'5_1':0.0},(34,554):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(34,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(34,552):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(34,551):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(34,550):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(34,549):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_2':0.0},(34,548):{'3_1':0.12,'4_1':0.0},(34,547):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(34,546):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(34,545):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(34,544):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(34,543):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(34,542):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(34,541):{'3_1':0.15,'4_1':0.03},(34,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(34,539):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0,'3_1#5_1':0.0},(34,538):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0},(34,537):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(34,536):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(34,535):{'3_1':0.09,'4_1':0.0,'8_1':0.0,'8_2':0.0},(34,534):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,533):{'3_1':0.09,'4_1':0.0},(34,532):{'3_1':0.09,'4_1':0.03},(34,531):{'3_1':0.09,'4_1':0.0},(34,530):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(34,529):{'3_1':0.09,'4_1':0.03},(34,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(34,527):{'3_1':0.12,'4_1':0.0},(34,526):{'4_1':0.03,'3_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(34,525):{'3_1':0.06,'4_1':0.0},(34,524):{'3_1':0.06,'4_1':0.0},(34,523):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(34,522):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(34,521):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(34,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,519):{'3_1':0.03},(34,518):{'4_1':0.0,'3_1':0.0},(34,517):{'3_1':0.03,'6_1':0.0},(34,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(34,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,514):{'3_1':0.03},(34,513):{'3_1':0.0,'4_1':0.0,'7_7':0.0},(34,512):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(34,511):{'3_1':0.03,'5_2':0.0},(34,510):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(34,509):{'4_1':0.0},(34,508):{'3_1':0.0,'4_1':0.0},(34,507):{'3_1':0.03,'4_1':0.0},(34,506):{'3_1':0.03,'4_1':0.0},(34,505):{'3_1':0.0,'4_1':0.0},(34,504):{'4_1':0.0,'6_1':0.0},(34,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(34,502):{'3_1':0.0,'4_1':0.0},(34,501):{'3_1':0.0,'8_20|3_1#3_1':0.0},(34,499):{'3_1':0.0,'4_1':0.0},(34,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(34,496):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,495):{'3_1':0.0,'4_1':0.0},(34,494):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(34,493):{'3_1':0.03},(34,492):{'3_1':0.0,'4_1':0.0},(34,491):{'3_1':0.03,'5_1':0.0},(34,490):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(34,489):{'3_1':0.06},(34,488):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(34,487):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(34,486):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(34,485):{'3_1':0.06},(34,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(34,483):{'3_1':0.03,'5_1':0.0},(34,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(34,481):{'3_1':0.03,'4_1':0.0},(34,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(34,479):{'3_1':0.03,'4_1':0.0},(34,478):{'3_1':0.03,'5_1':0.0},(34,477):{'3_1':0.06,'5_2':0.0},(34,476):{'3_1':0.09,'6_2':0.0},(34,475):{'3_1':0.09,'8_20|3_1#3_1':0.0},(34,474):{'3_1':0.03},(34,473):{'3_1':0.03,'5_2':0.0},(34,472):{'3_1':0.03,'5_2':0.0},(34,471):{'3_1':0.06,'8_20|3_1#3_1':0.0},(34,470):{'3_1':0.09},(34,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,468):{'3_1':0.03,'4_1':0.0},(34,467):{'3_1':0.03,'4_1':0.0},(34,466):{'3_1':0.03,'4_1':0.0},(34,465):{'3_1':0.0,'5_1':0.0},(34,464):{'3_1':0.03},(34,463):{'3_1':0.0,'4_1':0.0},(34,462):{'3_1':0.0},(34,460):{'3_1':0.03,'4_1':0.0},(34,459):{'3_1':0.0,'5_2':0.0},(34,458):{'3_1':0.03,'5_2':0.0},(34,457):{'3_1':0.0},(34,456):{'3_1':0.0,'4_1':0.0},(34,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,454):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(34,453):{'3_1':0.03},(34,452):{'4_1':0.0,'8_20|3_1#3_1':0.0},(34,451):{'4_1':0.0,'3_1':0.0},(34,450):{'3_1':0.03,'4_1':0.0},(34,449):{'3_1':0.0},(34,448):{'3_1':0.0,'4_1':0.0},(34,447):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(34,446):{'3_1':0.03,'4_1':0.0},(34,445):{'3_1':0.03},(34,444):{'3_1':0.06,'4_1':0.0},(34,443):{'3_1':0.0},(34,442):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,441):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(34,440):{'3_1':0.0,'4_1':0.0},(34,439):{'3_1':0.0,'4_1':0.0},(34,438):{'3_1':0.0,'6_2':0.0},(34,437):{'3_1':0.0,'5_2':0.0},(34,436):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(34,435):{'3_1':0.03},(34,434):{'3_1':0.03},(34,433):{'3_1':0.06},(34,432):{'3_1':0.03},(34,431):{'3_1':0.0,'5_2':0.0},(34,430):{'3_1':0.06,'5_1':0.0},(34,429):{'3_1':0.03},(34,428):{'3_1':0.06,'5_2':0.0},(34,427):{'3_1':0.03,'5_2':0.0},(34,426):{'3_1':0.06},(34,425):{'3_1':0.06,'5_2':0.0},(34,424):{'3_1':0.09},(34,423):{'3_1':0.12},(34,422):{'3_1':0.03},(34,421):{'3_1':0.0,'5_2':0.0},(34,420):{'3_1':0.03},(34,419):{'3_1':0.06,'5_2':0.0},(34,418):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,417):{'3_1':0.06,'5_1':0.0},(34,416):{'3_1':0.09,'4_1':0.0},(34,415):{'3_1':0.09},(34,414):{'3_1':0.12,'8_20|3_1#3_1':0.0},(34,413):{'3_1':0.0,'5_2':0.0},(34,412):{'3_1':0.06,'7_1':0.0},(34,411):{'3_1':0.06},(34,410):{'3_1':0.03},(34,409):{'3_1':0.03},(34,408):{'3_1':0.03},(34,407):{'3_1':0.03},(34,406):{'3_1':0.03,'5_2':0.0},(34,405):{'3_1':0.06},(34,404):{'3_1':0.09},(34,403):{'3_1':0.0},(34,402):{'3_1':0.0,'5_2':0.0},(34,401):{'3_1':0.03,'4_1':0.0},(34,400):{'3_1':0.03},(34,399):{'3_1':0.03,'4_1':0.0},(34,398):{'3_1':0.0},(34,397):{'3_1':0.0},(34,396):{'3_1':0.03},(34,395):{'3_1':0.03,'5_2':0.0},(34,394):{'3_1':0.03,'7_4':0.0},(34,393):{'3_1':0.0,'5_2':0.0},(34,392):{'3_1':0.03},(34,391):{'3_1':0.0,'5_2':0.0},(34,390):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(34,389):{'3_1':0.0},(34,388):{'3_1':0.03},(34,387):{'3_1':0.0},(34,386):{'3_1':0.03},(34,385):{'3_1':0.0,'7_4':0.0},(34,384):{'3_1':0.0},(34,383):{'3_1':0.0},(34,382):{'3_1':0.03,'6_2':0.0},(34,381):{'3_1':0.03,'4_1':0.0},(34,380):{'3_1':0.03},(34,379):{'3_1':0.0},(34,378):{'3_1':0.0,'4_1':0.0},(34,377):{'3_1':0.03,'4_1':0.0},(34,376):{'3_1':0.0},(34,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,374):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,373):{'3_1':0.03},(34,372):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(34,371):{'3_1':0.03,'4_1':0.0},(34,370):{'3_1':0.0,'4_1':0.0},(34,369):{'3_1':0.03,'4_1':0.0},(34,368):{'3_1':0.0,'4_1':0.0},(34,366):{'4_1':0.0},(34,365):{'3_1':0.0,'4_1':0.0},(34,364):{'3_1':0.03,'4_1':0.0},(34,363):{'3_1':0.0},(34,362):{'3_1':0.0},(34,361):{'3_1':0.0},(34,360):{'4_1':0.0},(34,359):{'3_1':0.0},(34,358):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,357):{'3_1':0.0,'6_2':0.0},(34,356):{'3_1':0.0,'4_1':0.0},(34,353):{'3_1':0.0,'4_1':0.0},(34,352):{'3_1':0.0},(34,351):{'3_1':0.03,'4_1':0.0},(34,350):{'3_1':0.0,'4_1':0.0},(34,349):{'5_2':0.0},(34,348):{'3_1':0.0},(34,347):{'3_1':0.0},(34,346):{'3_1':0.0},(34,345):{'3_1':0.0,'4_1':0.0},(34,344):{'3_1':0.0},(34,343):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(34,342):{'3_1':0.03,'4_1':0.0},(34,341):{'3_1':0.03,'4_1':0.0},(34,340):{'5_1':0.0,'6_1':0.0},(34,339):{'3_1':0.0},(34,338):{'3_1':0.0},(34,337):{'3_1':0.03},(34,336):{'3_1':0.03},(34,335):{'3_1':0.0,'4_1':0.0},(34,334):{'3_1':0.03,'4_1':0.0},(34,333):{'3_1':0.0},(34,332):{'3_1':0.0},(34,331):{'3_1':0.03},(34,330):{'3_1':0.0},(34,329):{'3_1':0.03},(34,328):{'3_1':0.0,'5_1':0.0},(34,327):{'3_1':0.03},(34,326):{'3_1':0.0,'4_1':0.0},(34,325):{'3_1':0.03},(34,324):{'3_1':0.03},(34,323):{'3_1':0.0},(34,322):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(34,321):{'3_1':0.0},(34,320):{'3_1':0.0,'8_20|3_1#3_1':0.0},(34,319):{'3_1':0.0},(34,318):{'3_1':0.03},(34,317):{'3_1':0.03,'5_2':0.0},(34,316):{'3_1':0.0},(34,315):{'3_1':0.03,'6_3':0.0},(34,314):{'3_1':0.0},(34,313):{'3_1':0.06},(34,312):{'3_1':0.0},(34,310):{'3_1':0.03,'4_1':0.0},(34,309):{'3_1':0.0,'5_1':0.0},(34,308):{'3_1':0.0},(34,307):{'3_1':0.0},(34,306):{'3_1':0.0,'4_1':0.0},(34,305):{'3_1':0.0},(34,304):{'3_1':0.0},(34,303):{'3_1':0.0,'4_1':0.0},(34,302):{'3_1':0.0},(34,301):{'3_1':0.0},(34,300):{'3_1':0.0},(34,299):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,298):{'3_1':0.0},(34,297):{'3_1':0.0,'4_1':0.0},(34,296):{'3_1':0.03},(34,295):{'3_1':0.0,'5_2':0.0},(34,294):{'3_1':0.0,'4_1':0.0},(34,293):{'3_1':0.03},(34,292):{'3_1':0.03},(34,291):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(34,290):{'3_1':0.03},(34,289):{'3_1':0.03},(34,288):{'3_1':0.0,'4_1':0.0},(34,287):{'3_1':0.03,'4_1':0.0},(34,286):{'3_1':0.0},(34,285):{'3_1':0.03,'4_1':0.0},(34,283):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(34,282):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(34,281):{'3_1':0.03,'6_1':0.0},(34,280):{'3_1':0.0,'4_1':0.0},(34,279):{'3_1':0.0,'4_1':0.0},(34,278):{'3_1':0.0},(34,277):{'3_1':0.0,'4_1':0.0},(34,276):{'3_1':0.06},(34,275):{'3_1':0.0,'4_1':0.0},(34,274):{'3_1':0.0,'4_1':0.0},(34,273):{'3_1':0.0,'4_1':0.0},(34,272):{'3_1':0.03},(34,271):{'3_1':0.03},(34,270):{'3_1':0.0},(34,269):{'3_1':0.06,'4_1':0.0},(34,268):{'3_1':0.06},(34,266):{'3_1':0.0,'4_1':0.0},(34,264):{'3_1':0.03},(34,262):{'3_1':0.0},(34,261):{'3_1':0.0},(34,260):{'3_1':0.03},(34,259):{'3_1':0.0},(34,258):{'3_1':0.03},(34,256):{'3_1':0.0,'7_1':0.0},(34,255):{'3_1':0.0},(34,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(34,253):{'3_1':0.0},(34,251):{'3_1':0.03},(34,250):{'3_1':0.0,'5_2':0.0},(34,249):{'3_1':0.0},(34,247):{'3_1':0.0},(34,246):{'3_1':0.0,'6_2':0.0},(34,244):{'3_1':0.03},(34,243):{'3_1':0.0,'5_1':0.0},(34,242):{'3_1':0.0,'4_1':0.0},(34,241):{'3_1':0.0},(34,240):{'3_1':0.0},(34,239):{'3_1':0.0},(34,238):{'3_1':0.0,'5_1':0.0},(34,237):{'3_1':0.03,'5_1':0.0},(34,236):{'3_1':0.0},(34,235):{'3_1':0.0},(34,234):{'3_1':0.03},(34,233):{'3_1':0.03},(34,232):{'3_1':0.0},(34,231):{'3_1':0.0},(34,230):{'3_1':0.0},(34,229):{'3_1':0.06},(34,228):{'3_1':0.03,'4_1':0.0},(34,227):{'3_1':0.03},(34,226):{'3_1':0.0},(34,225):{'3_1':0.0},(34,224):{'3_1':0.0},(34,223):{'3_1':0.0},(34,222):{'3_1':0.0},(34,221):{'3_1':0.0},(34,220):{'3_1':0.0},(34,218):{'3_1':0.03},(34,217):{'3_1':0.03},(34,216):{'3_1':0.0},(34,215):{'3_1':0.0},(34,214):{'3_1':0.0},(34,211):{'3_1':0.0},(34,210):{'3_1':0.0},(34,209):{'3_1':0.0},(34,208):{'3_1':0.0},(34,207):{'3_1':0.0},(34,206):{'3_1':0.0},(34,205):{'3_1':0.0},(34,204):{'3_1':0.0},(34,203):{'3_1':0.0},(34,202):{'3_1':0.0},(34,201):{'3_1':0.03},(34,200):{'3_1':0.0},(34,199):{'3_1':0.0},(34,198):{'3_1':0.0},(34,197):{'3_1':0.0},(34,196):{'3_1':0.0},(34,195):{'3_1':0.0},(34,194):{'3_1':0.0},(34,193):{'3_1':0.0},(34,192):{'3_1':0.0},(34,191):{'3_1':0.0},(34,190):{'3_1':0.0},(34,189):{'3_1':0.0},(34,187):{'3_1':0.0,'4_1':0.0},(34,186):{'3_1':0.0},(34,185):{'3_1':0.0},(34,184):{'3_1':0.0},(34,183):{'3_1':0.0},(34,182):{'3_1':0.0},(34,181):{'3_1':0.0},(34,180):{'3_1':0.03},(34,179):{'3_1':0.0},(34,178):{'3_1':0.0},(34,176):{'3_1':0.0},(34,175):{'3_1':0.0},(34,173):{'3_1':0.0},(34,166):{'3_1':0.0},(34,165):{'3_1':0.0},(34,163):{'3_1':0.0},(34,162):{'3_1':0.0,'5_2':0.0},(34,161):{'3_1':0.0},(34,160):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(34,159):{'3_1':0.0},(34,156):{'3_1':0.0},(34,155):{'3_1':0.0},(34,153):{'3_1':0.0},(34,152):{'3_1':0.0},(34,151):{'3_1':0.0},(34,149):{'3_1':0.0},(34,145):{'3_1':0.0},(34,144):{'3_1':0.0},(34,143):{'3_1':0.0},(34,142):{'3_1':0.0},(34,139):{'3_1':0.0,'5_1':0.0},(34,138):{'3_1':0.0},(34,137):{'3_1':0.0,'7_1':0.0},(34,136):{'3_1':0.0},(34,134):{'3_1':0.0},(34,132):{'3_1':0.0},(34,128):{'3_1':0.0},(34,124):{'3_1':0.0,'4_1':0.0},(34,122):{'3_1':0.0},(34,120):{'3_1':0.0},(34,107):{'3_1':0.0},(34,75):{'3_1':0.0},(34,72):{'3_1':0.0},(34,71):{'3_1':0.0},(34,70):{'3_1':0.0},(34,68):{'3_1':0.0},(34,65):{'3_1':0.0},(34,61):{'3_1':0.0},(34,58):{'3_1':0.0},(35,727):{'5_2':0.84,'-3':0.06,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(35,726):{'5_2':0.72,'-3':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(35,725):{'5_2':0.66,'-3':0.06,'7_4':0.06,'5_1':0.03,'3_1':0.0,'8_8':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(35,724):{'5_2':0.66,'3_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_2':0.0,'3_1#5_2':0.0},(35,723):{'5_2':0.69,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(35,722):{'5_2':0.72,'-3':0.06,'7_4':0.06,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(35,721):{'5_2':0.69,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(35,720):{'5_2':0.72,'3_1':0.06,'-3':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0},(35,719):{'5_2':0.66,'-3':0.09,'5_1':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(35,718):{'5_2':0.57,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(35,717):{'5_2':0.57,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(35,716):{'5_2':0.66,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0},(35,715):{'5_2':0.66,'7_4':0.06,'3_1':0.03,'-3':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(35,714):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0},(35,713):{'5_2':0.63,'7_4':0.12,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(35,712):{'5_2':0.69,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0},(35,711):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.06,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_15':0.0},(35,710):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_5':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0},(35,709):{'5_2':0.51,'-3':0.09,'5_1':0.09,'3_1':0.06,'7_4':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(35,708):{'5_2':0.66,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(35,707):{'5_2':0.6,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(35,706):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(35,705):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(35,704):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0},(35,703):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(35,702):{'5_2':0.54,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_6':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_4':0.0},(35,701):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'1':-0.03},(35,700):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(35,699):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(35,698):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_4':0.0},(35,697):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(35,696):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(35,695):{'5_2':0.54,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(35,694):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(35,693):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_3':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0},(35,692):{'5_2':0.48,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(35,691):{'5_2':0.45,'3_1':0.15,'-3':0.12,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_3':0.0},(35,690):{'5_2':0.39,'3_1':0.21,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_7':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(35,689):{'3_1':0.36,'5_2':0.33,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_1':0.0},(35,688):{'5_2':0.48,'3_1':0.21,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(35,687):{'5_2':0.39,'3_1':0.36,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(35,686):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'7_3':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(35,685):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(35,684):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(35,683):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_3':0.0,'7_6':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(35,682):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,681):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(35,680):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,679):{'3_1':0.39,'5_2':0.3,'7_4':0.06,'7_7':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(35,678):{'3_1':0.51,'7_4':0.12,'5_2':0.09,'8_20|3_1#3_1':0.03,'7_7':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(35,677):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_3':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(35,676):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_13':0.0},(35,675):{'3_1':0.66,'7_4':0.09,'-3':0.06,'5_2':0.03,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(35,674):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(35,673):{'3_1':0.6,'5_2':0.12,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(35,672):{'3_1':0.69,'7_4':0.06,'5_2':0.06,'7_7':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(35,671):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(35,670):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(35,669):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(35,668):{'3_1':0.66,'7_4':0.09,'5_2':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(35,667):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_1':0.0,'8_19':0.0},(35,666):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.03,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(35,665):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0},(35,664):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(35,663):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'-3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(35,662):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(35,661):{'3_1':0.66,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.0},(35,660):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(35,659):{'3_1':0.51,'5_2':0.15,'7_5':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(35,658):{'3_1':0.66,'5_2':0.12,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0},(35,657):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(35,656):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0},(35,655):{'3_1':0.57,'5_2':0.09,'7_7':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(35,654):{'3_1':0.54,'5_2':0.12,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(35,653):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(35,652):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(35,651):{'3_1':0.48,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(35,650):{'3_1':0.51,'5_2':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(35,649):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(35,648):{'3_1':0.42,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(35,647):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_7':0.03,'-3':0.0,'6_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(35,646):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(35,645):{'3_1':0.36,'5_1':0.06,'5_2':0.06,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(35,644):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(35,643):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(35,642):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(35,641):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_1':0.0},(35,640):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(35,639):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(35,638):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(35,637):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(35,636):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'7_7':0.0,'5_1':0.0},(35,635):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(35,634):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(35,633):{'3_1':0.18,'5_2':0.12,'7_1':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(35,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(35,631):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(35,630):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(35,629):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(35,628):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(35,627):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03},(35,626):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'6_3':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(35,625):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(35,624):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(35,623):{'3_1':0.09,'4_1':0.06,'5_1':0.06,'5_2':0.06,'6_1':0.0,'7_7':0.0},(35,622):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(35,621):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(35,620):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(35,619):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(35,618):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0},(35,617):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(35,616):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(35,615):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(35,614):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(35,613):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(35,612):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(35,611):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(35,610):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(35,609):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'5_2':0.0},(35,608):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(35,607):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(35,606):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(35,605):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(35,604):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(35,603):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(35,602):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(35,601):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(35,600):{'3_1':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0},(35,599):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0},(35,598):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(35,597):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(35,596):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'6_2':0.0,'5_1':0.0},(35,595):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0},(35,594):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(35,593):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(35,592):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_15':0.0},(35,591):{'4_1':0.15,'3_1':0.09,'6_2':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(35,590):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(35,589):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(35,588):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(35,587):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(35,586):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0},(35,585):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(35,584):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_15':0.0},(35,583):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0},(35,582):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_7':0.0},(35,581):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0},(35,580):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(35,579):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(35,578):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(35,577):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_1':0.0},(35,576):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(35,575):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(35,574):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(35,573):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(35,572):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0},(35,571):{'4_1':0.09,'3_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(35,570):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(35,569):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(35,568):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(35,567):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_2':0.0,'8_2':0.0,'8_11':0.0},(35,566):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(35,565):{'4_1':0.09,'6_2':0.0,'3_1':0.0,'-3':0.0},(35,564):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'-3':0.0},(35,563):{'4_1':0.12,'6_1':0.06,'3_1':0.03},(35,562):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0},(35,561):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(35,560):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_2':0.0},(35,559):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_1':0.0},(35,558):{'4_1':0.03,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(35,557):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0},(35,556):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(35,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0},(35,554):{'3_1':0.06,'4_1':0.03},(35,553):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(35,552):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(35,551):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0},(35,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(35,549):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0},(35,548):{'3_1':0.03,'4_1':0.0},(35,547):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(35,546):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_2':0.0},(35,545):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0},(35,544):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(35,543):{'3_1':0.06,'4_1':0.0},(35,542):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(35,541):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(35,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(35,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(35,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(35,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(35,536):{'3_1':0.21,'4_1':0.0},(35,535):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(35,534):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(35,533):{'3_1':0.15,'5_1':0.0,'7_2':0.0},(35,532):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(35,531):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_6':0.0},(35,530):{'3_1':0.06,'5_2':0.0},(35,529):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(35,528):{'3_1':0.15,'4_1':0.03,'6_3':0.0},(35,527):{'3_1':0.09,'4_1':0.0},(35,526):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(35,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(35,524):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(35,523):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(35,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(35,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(35,520):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(35,519):{'3_1':0.03,'4_1':0.0},(35,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(35,517):{'3_1':0.06,'4_1':0.0},(35,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(35,515):{'3_1':0.0},(35,514):{'3_1':0.03,'7_2':0.0},(35,513):{'3_1':0.0},(35,512):{'3_1':0.03},(35,511):{'3_1':0.0},(35,510):{'7_4':0.0},(35,509):{'3_1':0.03,'4_1':0.0},(35,508):{'3_1':0.0,'4_1':0.0},(35,507):{'3_1':0.0,'4_1':0.0},(35,506):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(35,505):{'3_1':0.0,'5_2':0.0},(35,504):{'3_1':0.0},(35,503):{'3_1':0.0,'4_1':0.0},(35,502):{'3_1':0.0},(35,501):{'3_1':0.0},(35,500):{'4_1':0.0},(35,499):{'4_1':0.0,'3_1':0.0},(35,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(35,497):{'3_1':0.0,'6_2':0.0},(35,496):{'3_1':0.03,'7_2':0.0},(35,495):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(35,494):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(35,493):{'3_1':0.03,'4_1':0.0},(35,492):{'3_1':0.0},(35,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(35,490):{'3_1':0.0,'4_1':0.0},(35,489):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(35,488):{'3_1':0.03},(35,487):{'3_1':0.0,'4_1':0.0},(35,486):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(35,485):{'3_1':0.03,'4_1':0.0},(35,484):{'3_1':0.06,'8_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(35,483):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(35,482):{'3_1':0.03,'5_1':0.0,'9_1':0.0},(35,481):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(35,480):{'3_1':0.03,'4_1':0.0},(35,479):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(35,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(35,477):{'3_1':0.03,'7_1':0.0},(35,476):{'3_1':0.03,'4_1':0.0},(35,475):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(35,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(35,473):{'3_1':0.03,'4_1':0.0},(35,472):{'3_1':0.03,'4_1':0.0},(35,471):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(35,470):{'3_1':0.03,'4_1':0.0},(35,469):{'3_1':0.03,'4_1':0.0},(35,468):{'3_1':0.0,'4_1':0.0},(35,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(35,466):{'3_1':0.03,'4_1':0.0},(35,465):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(35,464):{'3_1':0.03,'4_1':0.0},(35,463):{'3_1':0.0},(35,462):{'3_1':0.0,'4_1':0.0},(35,461):{'3_1':0.03},(35,460):{'3_1':0.0,'4_1':0.0},(35,459):{'3_1':0.0,'5_2':0.0},(35,458):{'3_1':0.0},(35,457):{'3_1':0.03,'4_1':0.0},(35,456):{'3_1':0.0,'5_2':0.0},(35,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(35,454):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(35,453):{'3_1':0.0,'4_1':0.0},(35,452):{'3_1':0.0,'4_1':0.0},(35,451):{'3_1':0.03,'7_1':0.0,'-3':0.0},(35,450):{'3_1':0.03,'8_20|3_1#3_1':0.0},(35,449):{'3_1':0.0,'5_1':0.0},(35,448):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(35,447):{'3_1':0.0,'4_1':0.0},(35,446):{'3_1':0.03,'4_1':0.0},(35,445):{'3_1':0.03,'5_2':0.0},(35,444):{'3_1':0.03,'6_1':0.0},(35,443):{'3_1':0.0,'4_1':0.0},(35,442):{'3_1':0.0},(35,441):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(35,440):{'3_1':0.03},(35,439):{'3_1':0.0},(35,438):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(35,437):{'3_1':0.03},(35,436):{'3_1':0.0,'5_2':0.0},(35,435):{'3_1':0.03},(35,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(35,433):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(35,432):{'3_1':0.03,'5_2':0.0},(35,431):{'3_1':0.03},(35,430):{'3_1':0.0},(35,429):{'3_1':0.03},(35,428):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,427):{'3_1':0.03,'5_2':0.0},(35,426):{'3_1':0.12,'5_1':0.0},(35,425):{'3_1':0.03,'5_1':0.0},(35,424):{'3_1':0.03,'5_1':0.0},(35,423):{'3_1':0.06,'5_2':0.0},(35,422):{'3_1':0.06,'6_3':0.0},(35,421):{'3_1':0.03},(35,420):{'3_1':0.06},(35,419):{'3_1':0.03,'5_2':0.0},(35,418):{'3_1':0.03},(35,417):{'3_1':0.06,'5_1':0.0},(35,416):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(35,415):{'3_1':0.09},(35,414):{'3_1':0.06,'5_2':0.0},(35,413):{'3_1':0.06},(35,412):{'3_1':0.06},(35,411):{'3_1':0.06},(35,410):{'3_1':0.06,'5_1':0.0},(35,409):{'3_1':0.06},(35,408):{'3_1':0.06},(35,407):{'3_1':0.06},(35,406):{'3_1':0.0,'4_1':0.0},(35,405):{'3_1':0.0,'5_2':0.0},(35,404):{'3_1':0.0},(35,403):{'3_1':0.0,'4_1':0.0},(35,402):{'3_1':0.0},(35,401):{'3_1':0.0},(35,400):{'3_1':0.03,'5_1':0.0},(35,399):{'3_1':0.0},(35,398):{'3_1':0.0,'5_2':0.0},(35,397):{'3_1':0.03},(35,396):{'3_1':0.03,'5_2':0.0},(35,395):{'3_1':0.0},(35,394):{'3_1':0.06,'5_2':0.0},(35,393):{'3_1':0.03,'5_2':0.0},(35,392):{'3_1':0.06},(35,391):{'3_1':0.03},(35,390):{'3_1':0.0},(35,389):{'3_1':0.0},(35,387):{'3_1':0.03,'4_1':0.0},(35,384):{'3_1':0.0},(35,383):{'3_1':0.0},(35,382):{'3_1':0.0,'4_1':0.0},(35,381):{'3_1':0.03},(35,380):{'3_1':0.0},(35,379):{'3_1':0.0},(35,378):{'3_1':0.0,'4_1':0.0},(35,377):{'3_1':0.03,'4_1':0.0},(35,376):{'3_1':0.0,'4_1':0.0},(35,375):{'3_1':0.0},(35,374):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,373):{'3_1':0.0},(35,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(35,371):{'3_1':0.03},(35,370):{'5_1':0.0,'4_1':0.0},(35,369):{'3_1':0.0},(35,368):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(35,367):{'5_2':0.0,'7_1':0.0},(35,366):{'3_1':0.0,'7_1':0.0},(35,365):{'3_1':0.0},(35,364):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(35,362):{'3_1':0.0,'4_1':0.0},(35,361):{'4_1':0.0,'3_1':0.0},(35,360):{'3_1':0.0,'5_1':0.0},(35,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(35,358):{'4_1':0.0,'5_1':0.0},(35,357):{'3_1':0.0,'4_1':0.0},(35,356):{'3_1':0.0,'5_1':0.0},(35,355):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(35,353):{'3_1':0.0,'5_1':0.0},(35,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(35,351):{'3_1':0.0},(35,350):{'3_1':0.0},(35,349):{'3_1':0.0},(35,348):{'3_1':0.0},(35,347):{'3_1':0.0},(35,345):{'3_1':0.0},(35,344):{'3_1':0.03},(35,342):{'5_2':0.0},(35,341):{'3_1':0.0},(35,340):{'3_1':0.0},(35,339):{'4_1':0.0,'5_1':0.0},(35,338):{'3_1':0.0,'7_1':0.0},(35,337):{'3_1':0.0},(35,334):{'3_1':0.0},(35,333):{'3_1':0.03,'9_1':0.0},(35,332):{'3_1':0.03},(35,331):{'3_1':0.0,'6_2':0.0},(35,330):{'3_1':0.0},(35,328):{'3_1':0.0},(35,327):{'3_1':0.0},(35,326):{'3_1':0.03,'4_1':0.0},(35,325):{'3_1':0.0},(35,324):{'3_1':0.03},(35,323):{'3_1':0.0},(35,322):{'3_1':0.0},(35,321):{'3_1':0.0,'4_1':0.0},(35,320):{'3_1':0.0},(35,319):{'3_1':0.0,'4_1':0.0},(35,318):{'3_1':0.0},(35,317):{'3_1':0.0},(35,316):{'3_1':0.0,'4_1':0.0},(35,315):{'3_1':0.03},(35,314):{'3_1':0.0},(35,313):{'3_1':0.03},(35,311):{'3_1':0.0},(35,310):{'3_1':0.0},(35,309):{'3_1':0.0},(35,307):{'3_1':0.0},(35,306):{'3_1':0.0},(35,305):{'3_1':0.03},(35,304):{'3_1':0.03},(35,303):{'3_1':0.0},(35,302):{'3_1':0.0,'5_2':0.0},(35,301):{'3_1':0.0,'4_1':0.0},(35,300):{'3_1':0.0},(35,299):{'3_1':0.0,'4_1':0.0},(35,298):{'3_1':0.0,'4_1':0.0},(35,297):{'3_1':0.0,'4_1':0.0},(35,296):{'3_1':0.03},(35,295):{'3_1':0.03},(35,294):{'3_1':0.03},(35,292):{'3_1':0.0},(35,290):{'3_1':0.03},(35,289):{'3_1':0.0,'4_1':0.0},(35,288):{'3_1':0.0},(35,287):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(35,286):{'3_1':0.03},(35,285):{'3_1':0.0},(35,283):{'3_1':0.0},(35,282):{'3_1':0.0},(35,281):{'3_1':0.03,'4_1':0.0},(35,280):{'3_1':0.0},(35,279):{'3_1':0.03,'4_1':0.0},(35,278):{'3_1':0.0},(35,277):{'3_1':0.0},(35,276):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(35,275):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(35,274):{'3_1':0.03},(35,272):{'3_1':0.0},(35,271):{'3_1':0.0,'4_1':0.0},(35,270):{'3_1':0.0},(35,269):{'3_1':0.0},(35,268):{'3_1':0.03},(35,267):{'3_1':0.0},(35,266):{'3_1':0.0,'4_1':0.0},(35,265):{'3_1':0.03},(35,264):{'3_1':0.03,'4_1':0.0},(35,263):{'3_1':0.0},(35,262):{'3_1':0.0,'4_1':0.0},(35,261):{'3_1':0.03},(35,260):{'3_1':0.03},(35,259):{'3_1':0.03},(35,258):{'3_1':0.0},(35,257):{'3_1':0.0,'5_1':0.0},(35,256):{'3_1':0.0},(35,255):{'3_1':0.03},(35,254):{'3_1':0.0,'5_1':0.0},(35,253):{'3_1':0.03},(35,252):{'3_1':0.0},(35,251):{'3_1':0.0},(35,250):{'3_1':0.0},(35,249):{'3_1':0.0},(35,248):{'3_1':0.03},(35,247):{'3_1':0.0},(35,246):{'3_1':0.0,'5_1':0.0},(35,245):{'3_1':0.0},(35,244):{'3_1':0.0},(35,243):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(35,242):{'3_1':0.03},(35,241):{'3_1':0.0},(35,240):{'3_1':0.0},(35,239):{'3_1':0.0},(35,238):{'3_1':0.0},(35,237):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(35,236):{'3_1':0.0},(35,235):{'3_1':0.0,'5_2':0.0},(35,234):{'3_1':0.03},(35,233):{'3_1':0.0},(35,232):{'3_1':0.03},(35,231):{'3_1':0.0},(35,230):{'3_1':0.0},(35,229):{'3_1':0.0},(35,228):{'3_1':0.0},(35,227):{'4_1':0.0},(35,226):{'3_1':0.0},(35,225):{'3_1':0.0,'5_1':0.0},(35,224):{'3_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(35,223):{'3_1':0.03},(35,222):{'3_1':0.0},(35,221):{'3_1':0.0},(35,220):{'3_1':0.0},(35,219):{'3_1':0.0},(35,218):{'3_1':0.03},(35,216):{'3_1':0.0},(35,215):{'3_1':0.0},(35,213):{'3_1':0.0},(35,212):{'3_1':0.0},(35,211):{'3_1':0.03},(35,210):{'3_1':0.0},(35,208):{'3_1':0.0},(35,207):{'3_1':0.0},(35,206):{'3_1':0.0},(35,205):{'3_1':0.0},(35,204):{'3_1':0.0},(35,203):{'3_1':0.0},(35,201):{'3_1':0.0},(35,200):{'3_1':0.03,'5_1':0.0},(35,199):{'3_1':0.0},(35,198):{'3_1':0.0},(35,196):{'3_1':0.0,'8_20|3_1#3_1':0.0},(35,195):{'3_1':0.0},(35,194):{'3_1':0.03},(35,193):{'3_1':0.0},(35,192):{'4_1':0.0},(35,191):{'3_1':0.0},(35,187):{'3_1':0.0,'4_1':0.0},(35,185):{'3_1':0.0},(35,183):{'4_1':0.0},(35,180):{'3_1':0.0},(35,179):{'3_1':0.0},(35,178):{'3_1':0.0},(35,177):{'3_1':0.0},(35,176):{'3_1':0.0,'4_1':0.0},(35,175):{'3_1':0.0},(35,174):{'3_1':0.0},(35,173):{'3_1':0.0},(35,172):{'3_1':0.0},(35,171):{'3_1':0.0},(35,168):{'3_1':0.0},(35,167):{'3_1':0.0},(35,166):{'3_1':0.0},(35,165):{'3_1':0.0},(35,164):{'3_1':0.0},(35,163):{'3_1':0.0},(35,162):{'3_1':0.0},(35,161):{'3_1':0.0},(35,160):{'3_1':0.0},(35,158):{'3_1':0.0},(35,156):{'3_1':0.0},(35,154):{'3_1':0.0},(35,153):{'3_1':0.0},(35,152):{'3_1':0.0},(35,151):{'3_1':0.0},(35,150):{'3_1':0.0},(35,149):{'3_1':0.03},(35,148):{'3_1':0.0},(35,147):{'3_1':0.0},(35,146):{'3_1':0.0},(35,145):{'3_1':0.0},(35,144):{'3_1':0.0},(35,143):{'3_1':0.0},(35,142):{'3_1':0.0},(35,139):{'3_1':0.0},(35,135):{'3_1':0.0},(35,130):{'3_1':0.0},(35,127):{'3_1':0.0},(35,126):{'3_1':0.0},(35,124):{'3_1':0.0},(35,123):{'3_1':0.0},(35,122):{'3_1':0.0},(35,120):{'3_1':0.0},(35,119):{'3_1':0.0},(35,110):{'3_1':0.0},(35,76):{'3_1':0.0},(35,73):{'3_1':0.0},(35,70):{'3_1':0.0},(35,69):{'3_1':0.0},(35,64):{'3_1':0.0},(35,61):{'3_1':0.0},(35,60):{'3_1':0.0},(35,59):{'3_1':0.0},(36,727):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(36,726):{'5_2':0.69,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(36,725):{'5_2':0.75,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_19':0.0,'6_1':0.0},(36,724):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(36,723):{'5_2':0.75,'-3':0.09,'3_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(36,722):{'5_2':0.6,'-3':0.12,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(36,721):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(36,720):{'5_2':0.72,'7_4':0.06,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0},(36,719):{'5_2':0.72,'7_4':0.09,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(36,718):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(36,717):{'5_2':0.75,'3_1':0.06,'3_1#5_2':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'8_8':0.0},(36,716):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0},(36,715):{'5_2':0.69,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0},(36,714):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(36,713):{'5_2':0.63,'7_4':0.09,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(36,712):{'5_2':0.57,'7_4':0.09,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_13':0.0},(36,711):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(36,710):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'8_11':0.0,'8_13':0.0},(36,709):{'5_2':0.51,'-3':0.18,'3_1':0.06,'5_1':0.06,'7_5':0.0,'7_3':0.0,'8_1':0.0,'3_1#5_2':0.0,'2':-0.03},(36,708):{'5_2':0.69,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(36,707):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(36,706):{'5_2':0.63,'3_1':0.18,'-3':0.06,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(36,705):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(36,704):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(36,703):{'5_2':0.51,'-3':0.12,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0},(36,702):{'5_2':0.57,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(36,701):{'5_2':0.48,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_1':0.0},(36,700):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_7':0.0},(36,699):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0},(36,698):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0},(36,697):{'5_2':0.48,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.03,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(36,696):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0},(36,695):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(36,694):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0},(36,693):{'5_2':0.36,'3_1':0.24,'-3':0.12,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(36,692):{'5_2':0.54,'3_1':0.15,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(36,691):{'5_2':0.45,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(36,690):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_3':0.06,'7_4':0.03,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'5_1':0.0},(36,689):{'5_2':0.39,'3_1':0.3,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_7':0.0,'7_5':0.0},(36,688):{'5_2':0.39,'3_1':0.27,'7_4':0.09,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(36,687):{'5_2':0.39,'3_1':0.21,'7_4':0.06,'7_3':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(36,686):{'3_1':0.39,'5_2':0.33,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(36,685):{'5_2':0.42,'3_1':0.33,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(36,684):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(36,683):{'3_1':0.36,'5_2':0.27,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(36,682):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0},(36,681):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(36,680):{'3_1':0.48,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(36,679):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(36,678):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,677):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(36,676):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(36,675):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0},(36,674):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'6_1':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(36,673):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0,'8_3':0.0},(36,672):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(36,671):{'3_1':0.66,'7_4':0.09,'5_2':0.03,'-3':0.03,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(36,670):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0},(36,669):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'4_1':0.0,'7_6':0.0,'8_19':0.0},(36,668):{'3_1':0.54,'5_2':0.12,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(36,667):{'3_1':0.54,'5_2':0.12,'7_7':0.06,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_1':0.0},(36,666):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'7_7':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0,'-3':0.0},(36,665):{'3_1':0.72,'5_2':0.12,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(36,664):{'3_1':0.72,'7_4':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(36,663):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(36,662):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(36,661):{'3_1':0.6,'5_2':0.09,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(36,660):{'3_1':0.54,'5_2':0.12,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(36,659):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.0,'8_3':0.0,'-3':0.0},(36,658):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(36,657):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'7_7':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(36,656):{'3_1':0.39,'5_2':0.15,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0},(36,655):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(36,654):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(36,653):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'7_7':0.03,'3_1#5_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(36,652):{'3_1':0.57,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(36,651):{'3_1':0.54,'5_2':0.09,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(36,650):{'3_1':0.42,'5_2':0.18,'7_7':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,649):{'3_1':0.54,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(36,648):{'3_1':0.45,'5_2':0.18,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(36,647):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(36,646):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0},(36,645):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(36,644):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(36,643):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(36,642):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(36,641):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(36,640):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(36,639):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(36,638):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(36,637):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(36,636):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(36,635):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(36,634):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_1':0.0,'7_7':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0},(36,633):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(36,632):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(36,631):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_7':0.0,'8_14':0.0},(36,630):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(36,629):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(36,628):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(36,627):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(36,626):{'5_2':0.09,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_6':0.0,'7_1':0.0},(36,625):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_16':0.0},(36,624):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(36,623):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(36,622):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'7_6':0.0,'7_3':0.0,'3_1#5_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(36,621):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(36,620):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(36,619):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(36,618):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(36,617):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(36,616):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(36,615):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(36,614):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(36,613):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0},(36,612):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0,'6_1':0.0,'8_16':0.0},(36,611):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_7':0.0,'-3':0.0},(36,610):{'3_1':0.12,'4_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(36,609):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(36,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_8':0.0},(36,607):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(36,606):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(36,605):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(36,604):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(36,603):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(36,602):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(36,601):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_16':0.0},(36,600):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_6':0.0},(36,599):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'-3':0.0},(36,598):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(36,597):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(36,596):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_7':0.0},(36,595):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(36,594):{'4_1':0.21,'3_1':0.03,'6_1':0.0},(36,593):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(36,592):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_12':0.0},(36,591):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_11':0.0},(36,590):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0},(36,589):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(36,588):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0},(36,587):{'4_1':0.24,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(36,586):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(36,585):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'6_2':0.0},(36,584):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(36,583):{'4_1':0.15,'6_1':0.0,'5_2':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0},(36,582):{'4_1':0.09,'3_1':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(36,581):{'4_1':0.21,'6_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(36,580):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(36,579):{'4_1':0.21,'3_1':0.03,'-3':0.0},(36,578):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(36,577):{'4_1':0.18,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_6':0.0},(36,576):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(36,575):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(36,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(36,573):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(36,572):{'4_1':0.15,'5_2':0.0,'3_1':0.0,'6_1':0.0,'6_2':0.0},(36,571):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0},(36,570):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(36,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(36,568):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(36,567):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(36,566):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(36,565):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(36,564):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(36,563):{'4_1':0.15,'3_1':0.06},(36,562):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(36,561):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(36,560):{'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'-3':0.0},(36,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(36,558):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(36,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(36,556):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(36,555):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(36,554):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(36,553):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(36,552):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(36,551):{'3_1':0.03,'4_1':0.03},(36,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(36,549):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(36,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(36,547):{'3_1':0.15,'4_1':0.0},(36,546):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0},(36,545):{'3_1':0.12,'4_1':0.06,'8_19':0.0},(36,544):{'3_1':0.15,'4_1':0.03},(36,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(36,542):{'3_1':0.18,'4_1':0.03},(36,541):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(36,540):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_2':0.0,'-3':0.0},(36,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(36,538):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(36,537):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(36,536):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(36,535):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(36,534):{'3_1':0.15,'4_1':0.03},(36,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(36,532):{'3_1':0.12,'4_1':0.0},(36,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(36,530):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(36,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_3':0.0},(36,528):{'3_1':0.12,'4_1':0.03},(36,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,526):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'8_1':0.0},(36,525):{'3_1':0.03,'4_1':0.03},(36,524):{'3_1':0.03,'4_1':0.03},(36,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,522):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,521):{'3_1':0.06,'4_1':0.0},(36,520):{'3_1':0.06,'4_1':0.0},(36,519):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(36,518):{'3_1':0.03,'4_1':0.0},(36,517):{'3_1':0.03,'4_1':0.0},(36,516):{'3_1':0.03},(36,515):{'3_1':0.0,'4_1':0.0},(36,514):{'3_1':0.03},(36,513):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(36,512):{'3_1':0.0,'4_1':0.0},(36,511):{'3_1':0.03,'4_1':0.0},(36,510):{'3_1':0.03,'4_1':0.0},(36,509):{'3_1':0.03,'4_1':0.0},(36,508):{'3_1':0.03,'4_1':0.0},(36,507):{'4_1':0.0},(36,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(36,505):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(36,504):{'3_1':0.0,'4_1':0.0},(36,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(36,502):{'3_1':0.0},(36,501):{'3_1':0.0,'5_2':0.0},(36,500):{'3_1':0.0},(36,499):{'4_1':0.0},(36,498):{'4_1':0.0},(36,497):{'3_1':0.0,'7_2':0.0,'7_6':0.0},(36,496):{'4_1':0.0,'3_1':0.0},(36,495):{'3_1':0.0,'6_1':0.0},(36,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,493):{'3_1':0.0,'4_1':0.0},(36,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(36,491):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,490):{'3_1':0.0,'5_2':0.0},(36,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,488):{'3_1':0.0,'5_1':0.0},(36,487):{'3_1':0.03,'7_4':0.0},(36,486):{'3_1':0.0,'4_1':0.0},(36,485):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(36,484):{'3_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0},(36,483):{'3_1':0.06,'4_1':0.0},(36,482):{'3_1':0.09,'9_1':0.0},(36,481):{'3_1':0.03,'7_2':0.0},(36,480):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(36,479):{'3_1':0.06,'4_1':0.0},(36,478):{'4_1':0.03,'3_1':0.03},(36,477):{'3_1':0.06},(36,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(36,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(36,474):{'3_1':0.03},(36,473):{'3_1':0.03},(36,472):{'3_1':0.03},(36,471):{'3_1':0.09,'4_1':0.03},(36,470):{'3_1':0.0,'5_1':0.0},(36,469):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(36,468):{'3_1':0.03,'4_1':0.0},(36,467):{'3_1':0.0},(36,466):{'3_1':0.0},(36,465):{'4_1':0.0},(36,464):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,463):{'3_1':0.0},(36,462):{'3_1':0.0,'4_1':0.0},(36,461):{'3_1':0.0,'4_1':0.0},(36,460):{'3_1':0.03,'4_1':0.0},(36,459):{'3_1':0.0,'6_1':0.0},(36,458):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(36,457):{'6_2':0.0},(36,456):{'4_1':0.0,'3_1':0.0},(36,455):{'3_1':0.03,'4_1':0.0},(36,454):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(36,453):{'3_1':0.0,'5_1':0.0},(36,452):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(36,451):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(36,450):{'3_1':0.0,'4_1':0.0},(36,449):{'3_1':0.03,'4_1':0.0},(36,448):{'3_1':0.03,'5_1':0.0},(36,447):{'3_1':0.0,'5_1':0.0},(36,446):{'3_1':0.03,'5_1':0.0},(36,445):{'4_1':0.0,'3_1':0.0},(36,444):{'5_2':0.0,'3_1':0.0},(36,443):{'3_1':0.03,'4_1':0.0},(36,442):{'3_1':0.0,'4_1':0.0},(36,441):{'3_1':0.0},(36,440):{'3_1':0.0},(36,439):{'3_1':0.03},(36,438):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,437):{'3_1':0.0,'4_1':0.0},(36,436):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(36,435):{'3_1':0.0},(36,434):{'3_1':0.03},(36,433):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(36,432):{'3_1':0.06,'5_1':0.0},(36,431):{'3_1':0.03},(36,430):{'3_1':0.03},(36,429):{'3_1':0.06},(36,428):{'3_1':0.06,'6_3':0.0},(36,427):{'3_1':0.03,'5_2':0.0},(36,426):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(36,425):{'3_1':0.06},(36,424):{'3_1':0.06},(36,423):{'3_1':0.09},(36,422):{'3_1':0.03},(36,421):{'3_1':0.0},(36,420):{'3_1':0.03},(36,419):{'3_1':0.03,'5_2':0.0},(36,418):{'3_1':0.06},(36,417):{'3_1':0.03},(36,416):{'3_1':0.03,'5_1':0.0},(36,415):{'3_1':0.03,'5_1':0.0},(36,414):{'3_1':0.09},(36,413):{'3_1':0.03,'5_1':0.0},(36,412):{'3_1':0.0,'7_1':0.0},(36,411):{'3_1':0.03,'5_1':0.0},(36,410):{'3_1':0.06},(36,409):{'3_1':0.0},(36,408):{'3_1':0.0,'5_1':0.0},(36,407):{'3_1':0.03},(36,406):{'3_1':0.03},(36,405):{'3_1':0.03},(36,404):{'3_1':0.0,'5_2':0.0},(36,403):{'3_1':0.06},(36,402):{'3_1':0.0},(36,401):{'3_1':0.03},(36,400):{'3_1':0.0},(36,399):{'3_1':0.0},(36,398):{'3_1':0.0,'5_2':0.0},(36,397):{'3_1':0.03},(36,396):{'3_1':0.0},(36,395):{'3_1':0.03},(36,394):{'3_1':0.0},(36,393):{'3_1':0.0,'5_2':0.0},(36,392):{'3_1':0.0},(36,391):{'3_1':0.0},(36,390):{'3_1':0.03},(36,389):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(36,388):{'3_1':0.0},(36,387):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,386):{'3_1':0.0},(36,385):{'3_1':0.0},(36,384):{'3_1':0.0},(36,383):{'3_1':0.0},(36,382):{'3_1':0.0},(36,381):{'3_1':0.0},(36,380):{'3_1':0.0,'5_1':0.0},(36,379):{'3_1':0.0},(36,378):{'3_1':0.03},(36,377):{'3_1':0.03,'4_1':0.0},(36,376):{'3_1':0.0},(36,375):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(36,374):{'3_1':0.0},(36,373):{'3_1':0.0},(36,372):{'3_1':0.03,'5_1':0.0},(36,371):{'3_1':0.0},(36,370):{'3_1':0.0},(36,369):{'3_1':0.0,'4_1':0.0},(36,367):{'3_1':0.0,'4_1':0.0},(36,366):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(36,365):{'3_1':0.03},(36,364):{'3_1':0.0,'6_1':0.0},(36,363):{'5_1':0.0},(36,362):{'3_1':0.0,'4_1':0.0},(36,361):{'3_1':0.03,'5_1':0.0},(36,360):{'3_1':0.0,'5_1':0.0},(36,359):{'4_1':0.0,'5_2':0.0,'7_1':0.0},(36,358):{'3_1':0.0,'4_1':0.0},(36,357):{'3_1':0.0,'4_1':0.0},(36,356):{'3_1':0.0},(36,355):{'4_1':0.0},(36,354):{'4_1':0.0,'3_1':0.0},(36,353):{'3_1':0.0},(36,352):{'3_1':0.0},(36,351):{'3_1':0.0},(36,350):{'3_1':0.0},(36,349):{'3_1':0.0,'5_2':0.0},(36,348):{'3_1':0.0},(36,347):{'3_1':0.03},(36,346):{'3_1':0.0},(36,345):{'3_1':0.0},(36,344):{'3_1':0.03},(36,342):{'3_1':0.0},(36,341):{'3_1':0.0},(36,340):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(36,339):{'3_1':0.0,'5_2':0.0},(36,338):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(36,337):{'3_1':0.03,'5_2':0.0},(36,336):{'3_1':0.0,'5_2':0.0},(36,335):{'3_1':0.0,'5_2':0.0},(36,334):{'3_1':0.0},(36,333):{'3_1':0.0,'5_2':0.0},(36,332):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(36,331):{'3_1':0.0},(36,330):{'3_1':0.0,'6_2':0.0},(36,328):{'3_1':0.0,'5_2':0.0},(36,327):{'3_1':0.0},(36,326):{'3_1':0.0,'5_2':0.0},(36,324):{'3_1':0.0},(36,323):{'3_1':0.0,'4_1':0.0},(36,322):{'3_1':0.0,'5_1':0.0},(36,321):{'3_1':0.0},(36,320):{'3_1':0.0,'4_1':0.0},(36,319):{'3_1':0.0},(36,318):{'3_1':0.03},(36,317):{'3_1':0.03,'7_4':0.0},(36,316):{'3_1':0.0,'5_2':0.0},(36,315):{'3_1':0.0,'5_2':0.0},(36,314):{'3_1':0.0,'5_2':0.0},(36,313):{'3_1':0.03,'5_2':0.0},(36,312):{'3_1':0.0,'5_2':0.0},(36,311):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(36,310):{'3_1':0.03,'5_2':0.0},(36,309):{'3_1':0.0,'4_1':0.0},(36,308):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(36,307):{'3_1':0.0},(36,306):{'3_1':0.0},(36,305):{'3_1':0.0},(36,304):{'3_1':0.03,'6_2':0.0},(36,303):{'4_1':0.0,'5_1':0.0,'3_1':0.0},(36,302):{'3_1':0.0,'5_2':0.0},(36,301):{'3_1':0.0},(36,300):{'3_1':0.0},(36,299):{'3_1':0.0},(36,298):{'3_1':0.0},(36,297):{'3_1':0.06,'5_2':0.0},(36,296):{'3_1':0.0},(36,295):{'3_1':0.0},(36,294):{'3_1':0.0,'4_1':0.0},(36,293):{'3_1':0.0},(36,292):{'3_1':0.03},(36,291):{'3_1':0.0,'5_2':0.0},(36,290):{'3_1':0.0},(36,289):{'3_1':0.03},(36,288):{'3_1':0.0,'4_1':0.0},(36,287):{'3_1':0.0,'4_1':0.0},(36,286):{'3_1':0.0,'4_1':0.0},(36,285):{'3_1':0.0},(36,284):{'3_1':0.0,'4_1':0.0},(36,283):{'3_1':0.0},(36,282):{'3_1':0.0},(36,281):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(36,280):{'8_2':0.0},(36,279):{'3_1':0.03},(36,278):{'3_1':0.0},(36,277):{'3_1':0.03},(36,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(36,275):{'3_1':0.06,'5_2':0.0},(36,274):{'3_1':0.0,'4_1':0.0},(36,273):{'3_1':0.0,'5_1':0.0},(36,272):{'3_1':0.03,'4_1':0.0},(36,271):{'3_1':0.06,'4_1':0.0},(36,270):{'3_1':0.0,'5_2':0.0},(36,269):{'3_1':0.0},(36,268):{'3_1':0.03},(36,267):{'3_1':0.03},(36,266):{'3_1':0.03,'5_2':0.0},(36,265):{'3_1':0.0,'5_1':0.0},(36,264):{'3_1':0.0},(36,263):{'3_1':0.0},(36,262):{'3_1':0.0},(36,261):{'3_1':0.0},(36,260):{'3_1':0.0},(36,259):{'3_1':0.03},(36,258):{'3_1':0.0},(36,257):{'3_1':0.0,'4_1':0.0},(36,256):{'3_1':0.03},(36,255):{'3_1':0.0},(36,254):{'3_1':0.03,'5_1':0.0},(36,253):{'3_1':0.0},(36,252):{'3_1':0.0,'5_1':0.0},(36,251):{'3_1':0.03},(36,250):{'3_1':0.0},(36,249):{'3_1':0.03},(36,248):{'3_1':0.03},(36,246):{'3_1':0.0},(36,245):{'3_1':0.0},(36,244):{'3_1':0.03},(36,243):{'3_1':0.0},(36,242):{'3_1':0.0},(36,241):{'3_1':0.0},(36,240):{'3_1':0.0},(36,239):{'3_1':0.0},(36,237):{'3_1':0.03,'5_1':0.0},(36,236):{'3_1':0.0},(36,235):{'3_1':0.03},(36,234):{'3_1':0.0},(36,233):{'3_1':0.0,'5_1':0.0},(36,232):{'3_1':0.0},(36,230):{'3_1':0.0},(36,229):{'3_1':0.03},(36,228):{'3_1':0.0},(36,227):{'3_1':0.0},(36,226):{'3_1':0.0},(36,225):{'3_1':0.0,'5_2':0.0},(36,224):{'3_1':0.0,'6_2':0.0},(36,223):{'3_1':0.0,'5_2':0.0},(36,222):{'3_1':0.0},(36,221):{'3_1':0.0,'5_2':0.0},(36,220):{'3_1':0.0,'5_2':0.0},(36,219):{'3_1':0.0},(36,218):{'3_1':0.0},(36,217):{'3_1':0.0},(36,216):{'3_1':0.0},(36,214):{'3_1':0.0},(36,213):{'3_1':0.0},(36,210):{'3_1':0.0},(36,209):{'3_1':0.0},(36,207):{'3_1':0.0},(36,206):{'3_1':0.0},(36,205):{'3_1':0.0},(36,203):{'3_1':0.0},(36,202):{'3_1':0.0},(36,201):{'3_1':0.0},(36,200):{'5_1':0.0},(36,199):{'3_1':0.03},(36,196):{'3_1':0.0},(36,194):{'3_1':0.03},(36,193):{'3_1':0.03},(36,192):{'3_1':0.0},(36,191):{'3_1':0.03,'4_1':0.0},(36,190):{'3_1':0.0},(36,188):{'3_1':0.0},(36,187):{'3_1':0.0},(36,186):{'3_1':0.0},(36,185):{'3_1':0.0},(36,184):{'3_1':0.0,'4_1':0.0},(36,183):{'3_1':0.0},(36,181):{'3_1':0.0},(36,180):{'3_1':0.03},(36,178):{'3_1':0.0},(36,177):{'4_1':0.0},(36,176):{'3_1':0.0},(36,175):{'3_1':0.0},(36,172):{'3_1':0.0},(36,171):{'3_1':0.0},(36,170):{'3_1':0.0},(36,169):{'3_1':0.0},(36,167):{'3_1':0.0},(36,166):{'3_1':0.0},(36,165):{'3_1':0.0},(36,164):{'3_1':0.0},(36,163):{'3_1':0.0},(36,162):{'3_1':0.0},(36,161):{'3_1':0.0},(36,160):{'3_1':0.0},(36,159):{'3_1':0.0},(36,158):{'3_1':0.0},(36,157):{'3_1':0.0},(36,156):{'3_1':0.0},(36,155):{'3_1':0.0},(36,151):{'3_1':0.0},(36,150):{'3_1':0.0},(36,149):{'3_1':0.03},(36,147):{'3_1':0.0},(36,144):{'3_1':0.0},(36,143):{'3_1':0.0,'4_1':0.0},(36,139):{'3_1':0.0},(36,137):{'5_1':0.0},(36,136):{'3_1':0.0},(36,124):{'3_1':0.0},(36,122):{'3_1':0.0},(36,76):{'3_1':0.0},(36,75):{'3_1':0.0},(36,72):{'3_1':0.0},(36,71):{'3_1':0.0},(36,68):{'3_1':0.0},(36,63):{'3_1':0.03},(36,62):{'3_1':0.03},(36,59):{'3_1':0.0},(37,727):{'5_2':0.75,'3_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.03,'8_8':0.0},(37,726):{'5_2':0.69,'-3':0.09,'3_1':0.06,'7_4':0.03,'4_1':0.0,'3':-0.03},(37,725):{'5_2':0.69,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0},(37,724):{'5_2':0.72,'-3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(37,723):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_13':0.0},(37,722):{'5_2':0.63,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(37,721):{'5_2':0.66,'3_1':0.09,'7_4':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(37,720):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(37,719):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(37,718):{'5_2':0.69,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(37,717):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0},(37,716):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_2':0.0,'8_16':0.0,'8_19':0.0},(37,715):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'4':-0.03},(37,714):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0,'8_16':0.0,'3_1#5_2':0.0},(37,713):{'5_2':0.6,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0,'8_16':0.0},(37,712):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(37,711):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0},(37,710):{'5_2':0.57,'3_1':0.15,'-3':0.15,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(37,709):{'5_2':0.63,'-3':0.12,'5_1':0.03,'7_5':0.03,'3_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(37,708):{'5_2':0.66,'-3':0.12,'5_1':0.06,'3_1':0.0,'7_4':0.0,'8_16':0.0,'3_1#5_2':0.0},(37,707):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_1':0.0,'8_16':0.0,'8_19':0.0,'1':-0.03},(37,706):{'5_2':0.45,'3_1':0.15,'7_4':0.09,'-3':0.09,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0},(37,705):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(37,704):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0,'1':-0.03},(37,703):{'5_2':0.63,'-3':0.12,'3_1':0.09,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(37,702):{'5_2':0.66,'3_1':0.09,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(37,701):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(37,700):{'5_2':0.63,'3_1':0.12,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(37,699):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(37,698):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'1':-0.03},(37,697):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(37,696):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_6':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'3_1#5_2':0.0},(37,695):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'8_19':0.0,'6_1':0.0,'7_2':0.0},(37,694):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(37,693):{'5_2':0.45,'3_1':0.18,'7_3':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(37,692):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(37,691):{'5_2':0.42,'3_1':0.21,'-3':0.12,'5_1':0.0,'7_4':0.0,'8_14':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(37,690):{'5_2':0.3,'3_1':0.24,'-3':0.15,'7_4':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'6_3':0.0,'7_7':0.0},(37,689):{'3_1':0.39,'5_2':0.3,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(37,688):{'5_2':0.45,'3_1':0.24,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(37,687):{'5_2':0.3,'3_1':0.27,'-3':0.09,'7_4':0.06,'5_1':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(37,686):{'3_1':0.36,'5_2':0.21,'7_4':0.12,'-3':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(37,685):{'3_1':0.39,'5_2':0.33,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_15':0.0},(37,684):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(37,683):{'3_1':0.45,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(37,682):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'5_1':0.03,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(37,681):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(37,680):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(37,679):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(37,678):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(37,677):{'3_1':0.63,'5_2':0.12,'6_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(37,676):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(37,675):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0},(37,674):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(37,673):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0},(37,672):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.06,'7_7':0.0,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(37,671):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(37,670):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(37,669):{'3_1':0.66,'5_2':0.09,'7_4':0.0,'5_1':0.0,'3_1#5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(37,668):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(37,667):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(37,666):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(37,665):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'-3':0.0,'6_3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(37,664):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(37,663):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(37,662):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0},(37,661):{'3_1':0.63,'5_2':0.12,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(37,660):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_7':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0},(37,659):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(37,658):{'3_1':0.6,'5_2':0.09,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(37,657):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0},(37,656):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0},(37,655):{'3_1':0.66,'5_2':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(37,654):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,653):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(37,652):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(37,651):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,650):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0},(37,649):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,648):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(37,647):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(37,646):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(37,645):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(37,644):{'3_1':0.36,'5_1':0.09,'5_2':0.09,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(37,643):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(37,642):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(37,641):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0,'1':-0.03},(37,640):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(37,639):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'-3':0.0},(37,638):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(37,637):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(37,636):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(37,635):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(37,634):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(37,633):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(37,632):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(37,631):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(37,630):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_7':0.0,'-3':0.0,'7_3':0.0},(37,629):{'5_2':0.09,'3_1':0.06,'7_1':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(37,628):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(37,627):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0},(37,626):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(37,625):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_13':0.0,'8_16':0.0},(37,624):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(37,623):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(37,622):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(37,621):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_6':0.0,'8_13':0.0},(37,620):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(37,619):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(37,618):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_10':0.0},(37,617):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0},(37,616):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(37,615):{'3_1':0.15,'4_1':0.09,'5_1':0.09,'5_2':0.03,'7_7':0.0},(37,614):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(37,613):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(37,612):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(37,611):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(37,610):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(37,609):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'8_19':0.0,'-3':0.0},(37,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(37,607):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(37,606):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(37,605):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(37,604):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0},(37,603):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(37,602):{'3_1':0.15,'4_1':0.06,'6_2':0.0},(37,601):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(37,600):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(37,599):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(37,598):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(37,597):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'6_1':0.0,'8_1':0.0},(37,596):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(37,595):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(37,594):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(37,593):{'4_1':0.3,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0},(37,592):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(37,591):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_6':0.0},(37,590):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0},(37,589):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(37,588):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(37,587):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(37,586):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(37,585):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(37,584):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_2':0.0,'7_7':0.0},(37,583):{'4_1':0.24,'3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(37,582):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(37,581):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0},(37,580):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'8_4':0.0},(37,579):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(37,578):{'4_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0},(37,577):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(37,576):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0},(37,575):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(37,574):{'4_1':0.18,'3_1':0.03,'5_2':0.0},(37,573):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_7':0.0},(37,572):{'4_1':0.09,'5_2':0.0,'3_1':0.0},(37,571):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(37,570):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(37,569):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(37,568):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(37,567):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(37,566):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(37,565):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'7_7':0.0},(37,564):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0},(37,563):{'4_1':0.09,'3_1':0.03},(37,562):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(37,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(37,560):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(37,559):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(37,558):{'3_1':0.06,'4_1':0.06},(37,557):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(37,556):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(37,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,554):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(37,553):{'3_1':0.03,'4_1':0.03},(37,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(37,551):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(37,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(37,549):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(37,548):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(37,547):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,546):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(37,545):{'3_1':0.09,'4_1':0.0},(37,544):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(37,543):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(37,542):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(37,541):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(37,540):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(37,539):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(37,538):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(37,537):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(37,536):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(37,535):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(37,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(37,533):{'3_1':0.06,'6_3':0.0,'8_21|3_1#4_1':0.0},(37,532):{'3_1':0.09,'4_1':0.0},(37,531):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(37,530):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(37,529):{'3_1':0.09,'6_1':0.0},(37,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(37,527):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(37,526):{'3_1':0.06},(37,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,524):{'3_1':0.09,'4_1':0.0},(37,523):{'3_1':0.06,'6_3':0.0},(37,522):{'3_1':0.09,'4_1':0.0},(37,521):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(37,520):{'3_1':0.03,'5_1':0.0},(37,519):{'3_1':0.06,'4_1':0.0},(37,518):{'3_1':0.03,'5_2':0.0},(37,517):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(37,516):{'3_1':0.03,'4_1':0.0},(37,515):{'3_1':0.0,'4_1':0.0},(37,514):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(37,513):{'3_1':0.06,'4_1':0.0},(37,512):{'3_1':0.03,'6_2':0.0},(37,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(37,510):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(37,509):{'3_1':0.0,'4_1':0.0},(37,508):{'3_1':0.03},(37,507):{'3_1':0.0,'6_1':0.0},(37,506):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(37,505):{'3_1':0.03,'6_2':0.0},(37,504):{'3_1':0.03,'6_2':0.0},(37,503):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(37,502):{'3_1':0.03,'4_1':0.0},(37,501):{'3_1':0.03},(37,500):{'3_1':0.03,'4_1':0.0},(37,499):{'3_1':0.0},(37,498):{'3_1':0.0},(37,497):{'3_1':0.03},(37,496):{'3_1':0.0,'4_1':0.0},(37,495):{'3_1':0.0,'4_1':0.0},(37,494):{'3_1':0.0},(37,493):{'3_1':0.0,'4_1':0.0},(37,492):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(37,491):{'3_1':0.03},(37,490):{'3_1':0.03},(37,489):{'3_1':0.0,'4_1':0.0},(37,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,487):{'3_1':0.0,'4_1':0.0},(37,486):{'3_1':0.03,'4_1':0.0},(37,485):{'3_1':0.09,'4_1':0.03},(37,484):{'3_1':0.06,'5_1':0.0},(37,483):{'3_1':0.03},(37,482):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(37,481):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(37,480):{'3_1':0.06},(37,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,478):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'9_1':0.0},(37,477):{'3_1':0.03},(37,476):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(37,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(37,474):{'3_1':0.03,'5_2':0.0},(37,473):{'3_1':0.03,'5_1':0.0},(37,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,471):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(37,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,469):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(37,468):{'3_1':0.03,'4_1':0.0},(37,467):{'3_1':0.03,'4_1':0.0},(37,466):{'3_1':0.03},(37,465):{'3_1':0.03,'5_2':0.0},(37,464):{'3_1':0.03,'4_1':0.0},(37,463):{'3_1':0.0,'4_1':0.0},(37,462):{'3_1':0.0},(37,461):{'3_1':0.03,'4_1':0.0},(37,460):{'3_1':0.03,'5_1':0.0},(37,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,458):{'4_1':0.0},(37,457):{'3_1':0.03,'4_1':0.0},(37,456):{'3_1':0.0,'4_1':0.0},(37,455):{'5_1':0.0},(37,454):{'3_1':0.0,'4_1':0.0},(37,453):{'3_1':0.0,'4_1':0.0},(37,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(37,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,450):{'3_1':0.06,'4_1':0.0},(37,449):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(37,448):{'3_1':0.0},(37,447):{'3_1':0.0},(37,446):{'3_1':0.03},(37,445):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(37,444):{'3_1':0.03,'4_1':0.0},(37,443):{'3_1':0.0,'5_2':0.0},(37,442):{'3_1':0.06},(37,441):{'3_1':0.03},(37,440):{'3_1':0.03},(37,439):{'3_1':0.03,'4_1':0.0},(37,438):{'3_1':0.06,'6_2':0.0},(37,437):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,436):{'3_1':0.06,'5_1':0.0},(37,435):{'3_1':0.03,'4_1':0.0},(37,434):{'3_1':0.06},(37,433):{'3_1':0.06},(37,432):{'3_1':0.03},(37,431):{'3_1':0.0,'5_2':0.0},(37,430):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(37,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(37,428):{'3_1':0.06,'5_2':0.0},(37,427):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(37,426):{'3_1':0.0,'4_1':0.0},(37,425):{'3_1':0.0,'4_1':0.0},(37,424):{'3_1':0.06},(37,423):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(37,422):{'3_1':0.03},(37,421):{'3_1':0.0,'5_1':0.0},(37,420):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(37,419):{'3_1':0.03,'5_1':0.0},(37,418):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(37,417):{'3_1':0.03},(37,416):{'3_1':0.03,'7_3':0.0},(37,415):{'3_1':0.03},(37,414):{'3_1':0.12,'5_1':0.0},(37,413):{'3_1':0.06,'5_2':0.0},(37,412):{'3_1':0.03,'5_1':0.0},(37,411):{'3_1':0.09},(37,410):{'3_1':0.0,'5_1':0.0},(37,409):{'3_1':0.0,'5_1':0.0},(37,408):{'3_1':0.06,'5_2':0.0},(37,407):{'3_1':0.06},(37,406):{'3_1':0.03,'7_2':0.0},(37,405):{'3_1':0.03},(37,404):{'3_1':0.0},(37,403):{'3_1':0.09,'5_2':0.0},(37,402):{'3_1':0.0},(37,401):{'3_1':0.0},(37,400):{'3_1':0.03},(37,399):{'3_1':0.03},(37,398):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,397):{'3_1':0.03},(37,396):{'3_1':0.0},(37,395):{'3_1':0.06},(37,394):{'3_1':0.0},(37,393):{'3_1':0.03},(37,392):{'3_1':0.03},(37,391):{'3_1':0.0,'5_2':0.0},(37,390):{'5_1':0.0},(37,389):{'3_1':0.0},(37,388):{'3_1':0.03,'4_1':0.0},(37,387):{'3_1':0.0},(37,386):{'3_1':0.0},(37,384):{'3_1':0.0},(37,383):{'3_1':0.03},(37,381):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(37,380):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(37,379):{'3_1':0.0,'5_2':0.0},(37,378):{'3_1':0.0,'5_2':0.0},(37,377):{'3_1':0.03},(37,376):{'3_1':0.03,'5_1':0.0},(37,375):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(37,374):{'3_1':0.0,'4_1':0.0},(37,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,372):{'3_1':0.0},(37,370):{'3_1':0.0,'4_1':0.0},(37,369):{'3_1':0.03,'4_1':0.0},(37,368):{'3_1':0.0},(37,367):{'3_1':0.0,'5_2':0.0},(37,366):{'3_1':0.0,'4_1':0.0},(37,365):{'3_1':0.03,'5_2':0.0},(37,364):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(37,363):{'3_1':0.0,'4_1':0.0},(37,362):{'3_1':0.0,'4_1':0.0},(37,361):{'3_1':0.0,'4_1':0.0},(37,360):{'3_1':0.0},(37,359):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(37,358):{'3_1':0.03,'4_1':0.0},(37,357):{'3_1':0.0,'5_2':0.0},(37,356):{'3_1':0.0},(37,355):{'3_1':0.0,'5_2':0.0},(37,354):{'3_1':0.0,'4_1':0.0},(37,353):{'3_1':0.0},(37,352):{'3_1':0.0,'5_2':0.0},(37,351):{'3_1':0.0,'7_4':0.0},(37,350):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(37,349):{'3_1':0.0,'7_2':0.0},(37,348):{'3_1':0.0,'6_2':0.0},(37,347):{'3_1':0.0,'4_1':0.0},(37,346):{'3_1':0.0,'7_2':0.0},(37,345):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(37,344):{'3_1':0.03},(37,343):{'3_1':0.03,'4_1':0.0},(37,342):{'3_1':0.03,'-3':0.0},(37,341):{'3_1':0.0},(37,340):{'3_1':0.0,'4_1':0.0},(37,339):{'3_1':0.0},(37,338):{'5_1':0.0,'4_1':0.0},(37,337):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,335):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(37,331):{'3_1':0.0,'5_1':0.0},(37,330):{'3_1':0.0,'5_1':0.0},(37,329):{'3_1':0.0},(37,328):{'3_1':0.0},(37,327):{'3_1':0.0},(37,325):{'3_1':0.0},(37,324):{'5_1':0.0},(37,323):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(37,322):{'3_1':0.0},(37,321):{'3_1':0.0},(37,320):{'3_1':0.0},(37,318):{'3_1':0.06},(37,317):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(37,316):{'3_1':0.0},(37,315):{'3_1':0.0},(37,314):{'3_1':0.0,'5_2':0.0},(37,313):{'3_1':0.03,'5_1':0.0},(37,312):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(37,311):{'3_1':0.03,'5_2':0.0},(37,310):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,309):{'3_1':0.0},(37,308):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(37,307):{'3_1':0.03},(37,306):{'3_1':0.0,'5_2':0.0},(37,305):{'3_1':0.0,'5_2':0.0},(37,304):{'3_1':0.03},(37,303):{'5_2':0.0},(37,302):{'3_1':0.0,'4_1':0.0},(37,301):{'3_1':0.03,'4_1':0.0},(37,300):{'3_1':0.03,'5_1':0.0},(37,299):{'3_1':0.0},(37,298):{'3_1':0.0,'5_1':0.0},(37,297):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(37,296):{'3_1':0.0,'5_2':0.0},(37,295):{'3_1':0.0,'5_1':0.0},(37,294):{'3_1':0.03,'4_1':0.0},(37,293):{'3_1':0.0,'4_1':0.0},(37,292):{'3_1':0.03,'4_1':0.0},(37,291):{'3_1':0.0},(37,290):{'3_1':0.0},(37,289):{'3_1':0.03},(37,288):{'3_1':0.0},(37,287):{'3_1':0.03},(37,286):{'3_1':0.0,'4_1':0.0},(37,285):{'3_1':0.0},(37,284):{'3_1':0.0},(37,283):{'3_1':0.0,'4_1':0.0},(37,282):{'3_1':0.0,'4_1':0.0},(37,281):{'3_1':0.0},(37,279):{'3_1':0.03},(37,278):{'3_1':0.03,'4_1':0.0},(37,277):{'3_1':0.0,'8_20|3_1#3_1':0.0},(37,276):{'3_1':0.0},(37,275):{'3_1':0.0},(37,274):{'3_1':0.12},(37,273):{'4_1':0.0},(37,272):{'3_1':0.06},(37,271):{'3_1':0.0},(37,270):{'3_1':0.0},(37,269):{'3_1':0.0,'4_1':0.0},(37,268):{'3_1':0.0},(37,267):{'3_1':0.0,'4_1':0.0},(37,266):{'3_1':0.0},(37,265):{'3_1':0.0},(37,264):{'3_1':0.03,'6_2':0.0},(37,263):{'3_1':0.0},(37,261):{'3_1':0.0},(37,260):{'3_1':0.0},(37,259):{'3_1':0.0},(37,258):{'3_1':0.0,'4_1':0.0},(37,257):{'3_1':0.03},(37,256):{'3_1':0.0},(37,255):{'3_1':0.03},(37,254):{'3_1':0.0},(37,253):{'3_1':0.0},(37,252):{'3_1':0.03},(37,251):{'3_1':0.0,'5_1':0.0},(37,250):{'3_1':0.0},(37,249):{'3_1':0.0},(37,248):{'3_1':0.0},(37,246):{'3_1':0.0},(37,245):{'3_1':0.0},(37,243):{'3_1':0.0},(37,242):{'3_1':0.0},(37,241):{'3_1':0.0},(37,240):{'3_1':0.0},(37,239):{'3_1':0.0},(37,237):{'3_1':0.0},(37,236):{'3_1':0.03},(37,235):{'3_1':0.03},(37,234):{'3_1':0.0},(37,233):{'3_1':0.03,'4_1':0.0},(37,232):{'3_1':0.0},(37,231):{'3_1':0.0,'6_2':0.0},(37,230):{'3_1':0.0,'5_1':0.0},(37,229):{'3_1':0.0,'5_1':0.0},(37,228):{'3_1':0.0},(37,227):{'3_1':0.0},(37,226):{'3_1':0.0},(37,225):{'3_1':0.0},(37,224):{'3_1':0.0,'5_1':0.0},(37,223):{'3_1':0.0},(37,222):{'3_1':0.0},(37,221):{'3_1':0.0},(37,220):{'3_1':0.0},(37,219):{'3_1':0.0},(37,218):{'3_1':0.0},(37,217):{'3_1':0.0},(37,215):{'3_1':0.0},(37,214):{'3_1':0.0},(37,213):{'3_1':0.0},(37,210):{'3_1':0.0},(37,209):{'3_1':0.0},(37,208):{'3_1':0.0},(37,207):{'3_1':0.0},(37,205):{'3_1':0.0},(37,204):{'3_1':0.0},(37,203):{'3_1':0.0},(37,202):{'3_1':0.0},(37,201):{'3_1':0.0},(37,199):{'3_1':0.0},(37,198):{'3_1':0.0},(37,197):{'3_1':0.0},(37,195):{'3_1':0.0,'5_1':0.0},(37,194):{'3_1':0.0},(37,192):{'3_1':0.0},(37,191):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,189):{'3_1':0.0},(37,188):{'3_1':0.0},(37,185):{'3_1':0.0},(37,183):{'3_1':0.0},(37,181):{'3_1':0.0},(37,180):{'3_1':0.0},(37,179):{'3_1':0.0},(37,178):{'4_1':0.0},(37,175):{'4_1':0.0},(37,174):{'3_1':0.0},(37,172):{'3_1':0.0},(37,171):{'3_1':0.0},(37,170):{'3_1':0.0},(37,169):{'3_1':0.0},(37,166):{'3_1':0.0},(37,165):{'3_1':0.0},(37,164):{'3_1':0.0},(37,163):{'3_1':0.0},(37,162):{'3_1':0.0},(37,161):{'3_1':0.0,'4_1':0.0},(37,159):{'3_1':0.0},(37,158):{'3_1':0.0},(37,156):{'3_1':0.0},(37,155):{'3_1':0.0},(37,154):{'3_1':0.0},(37,149):{'3_1':0.0},(37,146):{'3_1':0.0},(37,144):{'3_1':0.0},(37,141):{'3_1':0.0},(37,136):{'3_1':0.0},(37,131):{'3_1':0.0},(37,130):{'3_1':0.0},(37,129):{'3_1':0.0},(37,127):{'3_1':0.0},(37,126):{'3_1':0.0},(37,124):{'3_1':0.03,'4_1':0.0},(37,122):{'3_1':0.0},(37,75):{'3_1':0.0},(37,74):{'3_1':0.0},(37,73):{'3_1':0.0},(37,69):{'3_1':0.0},(37,68):{'3_1':0.0},(37,59):{'3_1':0.0},(38,727):{'5_2':0.72,'-3':0.06,'3_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(38,726):{'5_2':0.69,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(38,725):{'5_2':0.69,'-3':0.09,'3_1':0.09,'5_1':0.0,'7_4':0.0},(38,724):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(38,723):{'5_2':0.72,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0},(38,722):{'5_2':0.75,'3_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(38,721):{'5_2':0.66,'-3':0.06,'5_1':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_19':0.0,'1':-0.03},(38,720):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0},(38,719):{'5_2':0.66,'-3':0.06,'7_4':0.03,'5_1':0.03,'8_19':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_5':0.0,'7_2':0.0,'9_1':0.0},(38,718):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0},(38,717):{'5_2':0.66,'3_1':0.09,'7_4':0.03,'7_3':0.03,'-3':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_8':0.0,'8_19':0.0},(38,716):{'5_2':0.72,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(38,715):{'5_2':0.66,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'3_1#5_2':0.0,'1':-0.03},(38,714):{'5_2':0.6,'7_4':0.06,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_8':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0},(38,713):{'5_2':0.72,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(38,712):{'5_2':0.63,'7_4':0.09,'3_1':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(38,711):{'5_2':0.63,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'8_15':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(38,710):{'5_2':0.63,'7_5':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(38,709):{'5_2':0.54,'-3':0.18,'3_1':0.03,'5_1':0.03,'7_5':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'2':-0.03},(38,708):{'5_2':0.63,'-3':0.12,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(38,707):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(38,706):{'5_2':0.57,'3_1':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(38,705):{'5_2':0.54,'3_1':0.12,'-3':0.09,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(38,704):{'5_2':0.57,'3_1':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'8_14':0.0},(38,703):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(38,702):{'5_2':0.6,'3_1':0.12,'-3':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_11':0.0,'2':-0.03},(38,701):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(38,700):{'5_2':0.48,'3_1':0.15,'-3':0.06,'7_4':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(38,699):{'5_2':0.51,'3_1':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,698):{'5_2':0.6,'3_1':0.15,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,697):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(38,696):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0,'2':-0.03},(38,695):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0},(38,694):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_3':0.06,'5_1':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,693):{'5_2':0.54,'3_1':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'-3':0.03,'7_6':0.0},(38,692):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(38,691):{'5_2':0.48,'3_1':0.21,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0},(38,690):{'5_2':0.39,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_19':0.0},(38,689):{'5_2':0.36,'3_1':0.33,'7_4':0.06,'-3':0.06,'3_1#5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(38,688):{'5_2':0.51,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'2':-0.03},(38,687):{'5_2':0.36,'3_1':0.27,'-3':0.12,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_14':0.0},(38,686):{'5_2':0.33,'3_1':0.3,'7_4':0.09,'7_3':0.06,'5_1':0.03,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(38,685):{'5_2':0.36,'3_1':0.33,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,684):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'-3':0.06,'7_7':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(38,683):{'3_1':0.3,'5_2':0.3,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(38,682):{'3_1':0.42,'5_2':0.27,'7_4':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(38,681):{'3_1':0.45,'5_2':0.24,'-3':0.06,'7_4':0.06,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(38,680):{'3_1':0.48,'5_2':0.18,'5_1':0.06,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(38,679):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,678):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,677):{'3_1':0.63,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,676):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(38,675):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,674):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(38,673):{'3_1':0.69,'5_2':0.09,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(38,672):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,671):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(38,670):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(38,669):{'3_1':0.66,'5_2':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(38,668):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0},(38,667):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,666):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(38,665):{'3_1':0.75,'5_2':0.09,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(38,664):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0},(38,663):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(38,662):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'5_1':0.03,'-3':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(38,661):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0},(38,660):{'3_1':0.57,'5_2':0.12,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,659):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(38,658):{'3_1':0.63,'5_2':0.06,'5_1':0.03,'7_4':0.03,'6_3':0.0,'-3':0.0,'7_5':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(38,657):{'3_1':0.66,'5_2':0.09,'7_4':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(38,656):{'3_1':0.57,'5_2':0.09,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(38,655):{'3_1':0.66,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_5':0.0},(38,654):{'3_1':0.51,'5_2':0.18,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(38,653):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(38,652):{'3_1':0.57,'5_2':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(38,651):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0},(38,650):{'3_1':0.45,'5_2':0.15,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(38,649):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_11':0.0},(38,648):{'3_1':0.33,'5_2':0.21,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(38,647):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'7_6':0.0,'9_1':0.0},(38,646):{'3_1':0.3,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(38,645):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(38,644):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0},(38,643):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(38,642):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(38,641):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(38,640):{'3_1':0.18,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(38,639):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(38,638):{'3_1':0.27,'5_2':0.12,'6_1':0.0,'3_1#5_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(38,637):{'3_1':0.15,'5_2':0.09,'6_2':0.0,'8_19':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(38,636):{'3_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0},(38,635):{'3_1':0.09,'5_2':0.09,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(38,634):{'5_2':0.24,'3_1':0.12,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(38,633):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_3':0.0},(38,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(38,631):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(38,630):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(38,629):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(38,628):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(38,627):{'5_2':0.09,'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(38,626):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(38,625):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0},(38,624):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'1':-0.03},(38,623):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(38,622):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(38,621):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(38,620):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(38,619):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(38,618):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(38,617):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(38,616):{'3_1':0.09,'5_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(38,615):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(38,614):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'7_7':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(38,613):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(38,612):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0},(38,611):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0},(38,610):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(38,609):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(38,608):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_3':0.0,'-3':0.0},(38,607):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(38,606):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(38,605):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0},(38,604):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'7_3':0.0,'7_7':0.0},(38,603):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(38,602):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'-3':0.0},(38,601):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(38,600):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(38,599):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(38,598):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0},(38,597):{'4_1':0.12,'6_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0},(38,596):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(38,595):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(38,594):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(38,593):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0},(38,592):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(38,591):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'8_11':0.0,'-3':0.0},(38,590):{'4_1':0.18,'3_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(38,589):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(38,588):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(38,587):{'4_1':0.21,'3_1':0.12,'9_1':0.0},(38,586):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(38,585):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'8_11':0.0,'-3':0.0},(38,584):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(38,583):{'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_2':0.0,'8_11':0.0},(38,582):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'9_1':0.0},(38,581):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(38,580):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(38,579):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'7_5':0.0,'7_6':0.0},(38,578):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(38,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'9_1':0.0},(38,576):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'8_11':0.0},(38,575):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(38,574):{'4_1':0.09,'3_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(38,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(38,572):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'9_1':0.0},(38,571):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0,'9_1':0.0,'-3':0.0},(38,570):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0},(38,569):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(38,568):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(38,567):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0},(38,566):{'4_1':0.09,'3_1':0.0,'6_2':0.0},(38,565):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'7_3':0.0},(38,564):{'4_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(38,563):{'4_1':0.12,'3_1':0.0,'7_3':0.0,'9_1':0.0,'6_1':0.0,'6_2':0.0},(38,562):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(38,561):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0},(38,560):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(38,559):{'4_1':0.09,'3_1':0.03,'6_1':0.0},(38,558):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(38,557):{'3_1':0.06,'4_1':0.03},(38,556):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(38,555):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(38,554):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0},(38,553):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(38,552):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(38,551):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0},(38,550):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_3':0.0},(38,549):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(38,548):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(38,547):{'3_1':0.06,'4_1':0.0},(38,546):{'3_1':0.24,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,545):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(38,544):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'9_1':0.0},(38,543):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0},(38,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(38,541):{'3_1':0.15,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(38,540):{'3_1':0.12,'4_1':0.03},(38,539):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(38,538):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(38,537):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(38,536):{'3_1':0.15,'4_1':0.0},(38,535):{'3_1':0.09,'4_1':0.0,'-3':0.0},(38,534):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(38,533):{'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0},(38,532):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(38,531):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(38,530):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(38,529):{'3_1':0.15,'4_1':0.0,'7_1':0.0,'-3':0.0},(38,528):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(38,527):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(38,526):{'3_1':0.06,'5_1':0.0},(38,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,524):{'3_1':0.06,'4_1':0.03},(38,523):{'3_1':0.06,'4_1':0.03},(38,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(38,521):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(38,520):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0},(38,519):{'3_1':0.03,'4_1':0.0,'-3':0.0},(38,518):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(38,517):{'3_1':0.0,'4_1':0.0},(38,516):{'3_1':0.0,'5_1':0.0},(38,515):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(38,514):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(38,513):{'3_1':0.03,'4_1':0.0},(38,512):{'3_1':0.0,'4_1':0.0},(38,511):{'3_1':0.03},(38,510):{'3_1':0.0,'7_1':0.0},(38,509):{'3_1':0.0},(38,508):{'3_1':0.0,'7_1':0.0},(38,507):{'3_1':0.0,'5_1':0.0,'-3':0.0},(38,506):{'3_1':0.03},(38,505):{'3_1':0.0,'5_1':0.0},(38,504):{'3_1':0.0},(38,503):{'3_1':0.0},(38,502):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,501):{'3_1':0.0,'5_1':0.0},(38,500):{'3_1':0.0},(38,499):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(38,498):{'3_1':0.0,'4_1':0.0},(38,497):{'3_1':0.03},(38,496):{'3_1':0.03,'7_1':0.0,'4_1':0.0},(38,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,494):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(38,493):{'3_1':0.0},(38,492):{'3_1':0.03,'4_1':0.0,'9_1':0.0,'-3':0.0},(38,491):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,490):{'3_1':0.03,'4_1':0.0},(38,489):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(38,488):{'3_1':0.03},(38,487):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(38,486):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(38,485):{'3_1':0.06,'5_1':0.0},(38,484):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(38,483):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(38,482):{'3_1':0.06,'5_1':0.0,'9_1':0.0},(38,481):{'3_1':0.03,'7_1':0.0},(38,480):{'3_1':0.03},(38,479):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(38,478):{'3_1':0.12,'4_1':0.0,'9_1':0.0},(38,477):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,475):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(38,474):{'3_1':0.0},(38,473):{'3_1':0.03},(38,472):{'3_1':0.06,'4_1':0.0},(38,471):{'3_1':0.06,'4_1':0.0},(38,470):{'3_1':0.0,'5_1':0.0},(38,469):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(38,468):{'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(38,467):{'3_1':0.0,'7_1':0.0},(38,466):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(38,465):{'3_1':0.03,'4_1':0.0},(38,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,462):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(38,461):{'3_1':0.0},(38,460):{'4_1':0.0,'3_1':0.0},(38,459):{'3_1':0.0,'4_1':0.0},(38,458):{'3_1':0.0},(38,457):{'3_1':0.0,'4_1':0.0},(38,456):{'3_1':0.0,'4_1':0.0},(38,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(38,453):{'3_1':0.03},(38,452):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(38,451):{'3_1':0.03},(38,450):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(38,449):{'3_1':0.0},(38,448):{'3_1':0.03,'5_1':0.0},(38,447):{'5_2':0.0,'5_1':0.0},(38,446):{'3_1':0.03},(38,445):{'3_1':0.03,'4_1':0.0},(38,444):{'3_1':0.03},(38,443):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,442):{'3_1':0.03,'4_1':0.0},(38,441):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(38,440):{'3_1':0.03},(38,439):{'3_1':0.0,'5_1':0.0},(38,438):{'4_1':0.0,'5_1':0.0},(38,437):{'3_1':0.03,'5_2':0.0},(38,436):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(38,435):{'3_1':0.03,'5_2':0.0},(38,434):{'3_1':0.03},(38,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,432):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(38,431):{'3_1':0.06,'5_1':0.0},(38,430):{'3_1':0.03},(38,429):{'3_1':0.03,'4_1':0.0},(38,428):{'3_1':0.09,'5_1':0.0},(38,427):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(38,426):{'3_1':0.06,'4_1':0.0},(38,425):{'3_1':0.06,'5_2':0.0},(38,424):{'3_1':0.06,'5_2':0.0},(38,423):{'3_1':0.03},(38,422):{'3_1':0.06},(38,421):{'3_1':0.0,'4_1':0.0},(38,420):{'3_1':0.09,'5_2':0.0},(38,419):{'3_1':0.0},(38,418):{'3_1':0.09},(38,417):{'3_1':0.06},(38,416):{'3_1':0.03},(38,415):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(38,414):{'3_1':0.03,'5_1':0.0},(38,413):{'3_1':0.03},(38,412):{'3_1':0.03},(38,411):{'3_1':0.0,'7_1':0.0},(38,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,409):{'3_1':0.0,'5_1':0.0},(38,408):{'3_1':0.03},(38,407):{'3_1':0.06},(38,406):{'5_2':0.0},(38,405):{'3_1':0.0,'5_1':0.0},(38,404):{'3_1':0.0,'4_1':0.0},(38,403):{'3_1':0.0},(38,402):{'3_1':0.03},(38,401):{'3_1':0.0,'5_2':0.0},(38,400):{'3_1':0.0,'5_1':0.0},(38,399):{'3_1':0.0,'5_1':0.0},(38,398):{'3_1':0.0},(38,397):{'3_1':0.0},(38,396):{'3_1':0.03},(38,394):{'3_1':0.06},(38,393):{'3_1':0.0},(38,392):{'3_1':0.0,'5_2':0.0},(38,391):{'3_1':0.0},(38,390):{'3_1':0.03},(38,389):{'5_1':0.0},(38,388):{'5_2':0.0,'3_1':0.0},(38,387):{'3_1':0.03},(38,386):{'3_1':0.06,'4_1':0.0},(38,385):{'3_1':0.06},(38,384):{'3_1':0.06,'4_1':0.0},(38,383):{'3_1':0.03},(38,382):{'3_1':0.0},(38,381):{'3_1':0.0},(38,380):{'3_1':0.0},(38,379):{'3_1':0.0,'4_1':0.0},(38,378):{'3_1':0.03},(38,377):{'3_1':0.0,'4_1':0.0},(38,376):{'3_1':0.0,'5_1':0.0},(38,375):{'3_1':0.03},(38,374):{'3_1':0.0,'4_1':0.0},(38,373):{'3_1':0.0},(38,372):{'3_1':0.0},(38,371):{'3_1':0.03,'5_2':0.0},(38,370):{'3_1':0.03},(38,369):{'3_1':0.03,'7_1':0.0},(38,368):{'3_1':0.0,'5_2':0.0},(38,367):{'3_1':0.0,'7_1':0.0},(38,366):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(38,365):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(38,364):{'3_1':0.0},(38,363):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(38,362):{'4_1':0.0},(38,361):{'3_1':0.0},(38,360):{'3_1':0.0,'5_2':0.0},(38,359):{'3_1':0.0},(38,358):{'3_1':0.0},(38,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,356):{'3_1':0.0,'5_2':0.0},(38,355):{'3_1':0.0},(38,354):{'3_1':0.0},(38,353):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(38,352):{'3_1':0.03,'5_2':0.0},(38,351):{'3_1':0.0},(38,350):{'3_1':0.0,'4_1':0.0},(38,349):{'3_1':0.0},(38,348):{'3_1':0.0,'5_2':0.0},(38,347):{'3_1':0.0},(38,346):{'3_1':0.0},(38,345):{'3_1':0.0},(38,344):{'3_1':0.0,'4_1':0.0},(38,343):{'3_1':0.0,'6_2':0.0,'8_1':0.0},(38,342):{'3_1':0.0},(38,341):{'3_1':0.0},(38,340):{'4_1':0.0},(38,339):{'3_1':0.0,'5_2':0.0},(38,337):{'3_1':0.0,'5_2':0.0},(38,336):{'3_1':0.0,'4_1':0.0},(38,335):{'3_1':0.03},(38,334):{'3_1':0.0,'4_1':0.0},(38,333):{'3_1':0.0},(38,332):{'3_1':0.0},(38,331):{'4_1':0.0},(38,330):{'3_1':0.03,'6_1':0.0},(38,329):{'3_1':0.0},(38,328):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(38,327):{'3_1':0.0},(38,326):{'3_1':0.0,'4_1':0.0},(38,325):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(38,323):{'3_1':0.0},(38,322):{'3_1':0.0,'4_1':0.0},(38,321):{'3_1':0.0},(38,320):{'3_1':0.0},(38,319):{'3_1':0.03},(38,318):{'3_1':0.0},(38,317):{'3_1':0.0},(38,316):{'3_1':0.0},(38,315):{'4_1':0.0,'5_2':0.0},(38,314):{'3_1':0.0,'5_2':0.0},(38,313):{'3_1':0.0},(38,312):{'3_1':0.0,'4_1':0.0},(38,311):{'3_1':0.0},(38,310):{'3_1':0.0},(38,309):{'3_1':0.0},(38,308):{'3_1':0.0},(38,307):{'3_1':0.0,'5_1':0.0},(38,306):{'3_1':0.0},(38,305):{'3_1':0.03,'4_1':0.0},(38,304):{'3_1':0.0,'4_1':0.0},(38,303):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(38,302):{'3_1':0.0},(38,301):{'3_1':0.03},(38,300):{'3_1':0.0},(38,299):{'3_1':0.0},(38,298):{'3_1':0.0},(38,297):{'3_1':0.0},(38,296):{'3_1':0.0},(38,295):{'3_1':0.0,'4_1':0.0},(38,294):{'5_1':0.0},(38,293):{'3_1':0.0,'6_1':0.0},(38,292):{'3_1':0.0,'4_1':0.0},(38,291):{'3_1':0.03},(38,290):{'3_1':0.0},(38,289):{'3_1':0.0,'5_1':0.0},(38,288):{'3_1':0.0},(38,287):{'3_1':0.03},(38,286):{'3_1':0.0},(38,285):{'3_1':0.03},(38,284):{'3_1':0.03},(38,283):{'3_1':0.0},(38,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(38,281):{'3_1':0.0},(38,280):{'3_1':0.0},(38,279):{'3_1':0.0,'4_1':0.0},(38,278):{'3_1':0.0},(38,276):{'3_1':0.03},(38,275):{'3_1':0.0},(38,274):{'3_1':0.0},(38,273):{'3_1':0.0},(38,272):{'3_1':0.0,'4_1':0.0},(38,271):{'3_1':0.0},(38,270):{'3_1':0.03},(38,269):{'3_1':0.0},(38,268):{'3_1':0.03},(38,267):{'3_1':0.03},(38,265):{'3_1':0.0},(38,264):{'3_1':0.03},(38,262):{'3_1':0.0},(38,261):{'3_1':0.03},(38,260):{'3_1':0.03},(38,259):{'3_1':0.0},(38,257):{'3_1':0.0},(38,256):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(38,255):{'3_1':0.03,'5_1':0.0},(38,254):{'5_1':0.0},(38,253):{'3_1':0.03},(38,252):{'3_1':0.0},(38,250):{'3_1':0.03},(38,249):{'3_1':0.0},(38,248):{'3_1':0.0},(38,247):{'6_2':0.0},(38,246):{'3_1':0.0},(38,245):{'3_1':0.0,'5_2':0.0},(38,244):{'3_1':0.0},(38,243):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(38,242):{'3_1':0.0,'4_1':0.0},(38,241):{'3_1':0.0,'4_1':0.0},(38,238):{'3_1':0.0},(38,237):{'3_1':0.0},(38,236):{'4_1':0.0},(38,235):{'3_1':0.0},(38,234):{'3_1':0.0,'5_1':0.0},(38,233):{'3_1':0.0,'5_1':0.0},(38,232):{'3_1':0.0},(38,231):{'3_1':0.0,'4_1':0.0},(38,230):{'3_1':0.0},(38,229):{'3_1':0.03},(38,228):{'3_1':0.0},(38,227):{'3_1':0.0},(38,226):{'3_1':0.0},(38,225):{'3_1':0.0,'5_1':0.0},(38,222):{'3_1':0.0},(38,221):{'3_1':0.0},(38,220):{'3_1':0.0},(38,219):{'3_1':0.0},(38,218):{'3_1':0.0},(38,217):{'3_1':0.0},(38,216):{'3_1':0.0},(38,215):{'3_1':0.0},(38,213):{'3_1':0.0},(38,211):{'3_1':0.0},(38,210):{'3_1':0.0},(38,209):{'3_1':0.0},(38,208):{'3_1':0.0},(38,206):{'3_1':0.0},(38,203):{'3_1':0.0},(38,201):{'3_1':0.0},(38,200):{'3_1':0.0},(38,199):{'3_1':0.0},(38,198):{'3_1':0.0},(38,196):{'3_1':0.0},(38,195):{'3_1':0.03,'5_1':0.0},(38,194):{'3_1':0.0},(38,193):{'3_1':0.0},(38,192):{'4_1':0.03,'3_1':0.0},(38,191):{'3_1':0.03},(38,190):{'3_1':0.0},(38,189):{'3_1':0.0},(38,188):{'3_1':0.0},(38,187):{'3_1':0.0},(38,186):{'3_1':0.0},(38,185):{'3_1':0.0},(38,184):{'3_1':0.0},(38,180):{'3_1':0.0},(38,179):{'3_1':0.0},(38,178):{'4_1':0.0},(38,176):{'3_1':0.0},(38,175):{'3_1':0.0,'4_1':0.0},(38,174):{'3_1':0.0},(38,173):{'3_1':0.0},(38,171):{'3_1':0.0},(38,170):{'3_1':0.0},(38,169):{'3_1':0.0},(38,167):{'3_1':0.0},(38,165):{'3_1':0.0,'4_1':0.0},(38,164):{'3_1':0.03},(38,162):{'3_1':0.0},(38,160):{'3_1':0.0},(38,154):{'3_1':0.0},(38,153):{'3_1':0.0},(38,151):{'3_1':0.0},(38,150):{'3_1':0.0},(38,149):{'3_1':0.0},(38,146):{'3_1':0.0},(38,145):{'3_1':0.0},(38,143):{'3_1':0.0},(38,141):{'3_1':0.0},(38,140):{'3_1':0.0},(38,137):{'3_1':0.0,'4_1':0.0},(38,136):{'3_1':0.0},(38,128):{'3_1':0.0},(38,127):{'3_1':0.0},(38,121):{'3_1':0.0},(38,86):{'3_1':0.0},(38,85):{'3_1':0.0},(38,84):{'3_1':0.0},(38,82):{'3_1':0.0},(38,72):{'3_1':0.0},(38,70):{'3_1':0.0},(38,69):{'3_1':0.0},(38,60):{'3_1':0.0},(39,727):{'5_2':0.78,'3_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'8_8':0.0},(39,726):{'5_2':0.78,'-3':0.09,'3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(39,725):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0},(39,724):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(39,723):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_5':0.0},(39,722):{'5_2':0.69,'3_1':0.06,'-3':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(39,721):{'5_2':0.63,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(39,720):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(39,719):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(39,718):{'5_2':0.66,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(39,717):{'5_2':0.63,'3_1':0.09,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_8':0.0},(39,716):{'5_2':0.72,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'1':-0.03},(39,715):{'5_2':0.72,'-3':0.09,'5_1':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.0},(39,714):{'5_2':0.75,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(39,713):{'5_2':0.63,'3_1':0.09,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(39,712):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(39,711):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'8_15':0.0},(39,710):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'1':-0.03},(39,709):{'5_2':0.6,'-3':0.09,'7_5':0.03,'5_1':0.03,'3_1#5_2':0.0,'3_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_16':0.0,'8_19':0.0,'3':-0.03},(39,708):{'5_2':0.63,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0,'8_2':0.0,'8_16':0.0},(39,707):{'5_2':0.63,'3_1':0.09,'-3':0.09,'7_4':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(39,706):{'5_2':0.51,'3_1':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'8_16':0.0,'3_1#5_2':0.0},(39,705):{'5_2':0.57,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(39,704):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(39,703):{'5_2':0.6,'3_1':0.12,'-3':0.09,'7_3':0.03,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0},(39,702):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(39,701):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(39,700):{'5_2':0.45,'3_1':0.18,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(39,699):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(39,698):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(39,697):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(39,696):{'5_2':0.48,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(39,695):{'5_2':0.45,'3_1':0.21,'-3':0.12,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(39,694):{'5_2':0.54,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(39,693):{'5_2':0.36,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_7':0.0,'7_6':0.0,'8_14':0.0},(39,692):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.09,'5_1':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0},(39,691):{'5_2':0.42,'-3':0.18,'3_1':0.12,'7_3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(39,690):{'5_2':0.54,'3_1':0.12,'7_3':0.06,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(39,689):{'5_2':0.42,'3_1':0.24,'7_4':0.06,'-3':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(39,688):{'5_2':0.39,'3_1':0.27,'7_4':0.09,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(39,687):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(39,686):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0},(39,685):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'-3':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(39,684):{'3_1':0.39,'5_2':0.33,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(39,683):{'3_1':0.42,'5_2':0.21,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(39,682):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_4':0.03,'3_1#5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(39,681):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(39,680):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(39,679):{'3_1':0.54,'5_2':0.12,'7_4':0.12,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(39,678):{'3_1':0.54,'7_4':0.12,'5_2':0.12,'-3':0.06,'6_3':0.0,'7_7':0.0},(39,677):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(39,676):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(39,675):{'3_1':0.63,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(39,674):{'3_1':0.57,'5_2':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(39,673):{'3_1':0.66,'5_2':0.06,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(39,672):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'-3':0.03,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(39,671):{'3_1':0.66,'5_2':0.03,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(39,670):{'3_1':0.6,'7_4':0.12,'5_2':0.06,'5_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(39,669):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(39,668):{'3_1':0.66,'7_4':0.12,'5_2':0.03,'7_7':0.03,'-3':0.03},(39,667):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0},(39,666):{'3_1':0.63,'5_2':0.09,'7_4':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_6':0.0,'1':-0.03},(39,665):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0},(39,664):{'3_1':0.51,'7_4':0.15,'5_2':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(39,663):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(39,662):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_8':0.0,'8_19':0.0,'-3':0.0},(39,661):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0},(39,660):{'3_1':0.54,'5_2':0.15,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_16':0.0},(39,659):{'3_1':0.54,'5_2':0.12,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_3':0.0},(39,658):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(39,657):{'3_1':0.6,'5_2':0.15,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(39,656):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(39,655):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(39,654):{'3_1':0.57,'5_2':0.18,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0},(39,653):{'3_1':0.54,'5_2':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(39,652):{'3_1':0.51,'5_2':0.09,'7_7':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(39,651):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(39,650):{'3_1':0.54,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(39,649):{'3_1':0.48,'5_2':0.09,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0},(39,648):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(39,647):{'3_1':0.42,'5_2':0.21,'5_1':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(39,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(39,645):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(39,644):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'-3':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_1':0.0},(39,643):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'7_3':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0},(39,642):{'3_1':0.21,'5_2':0.12,'7_1':0.03,'7_3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'-3':0.0,'1':-0.03},(39,641):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0,'-3':0.0,'1':-0.03},(39,640):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(39,639):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0},(39,638):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(39,637):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(39,636):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(39,635):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(39,634):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(39,633):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(39,632):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(39,631):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(39,630):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(39,629):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(39,628):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'-3':0.0,'5_1':0.0},(39,627):{'3_1':0.06,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_12':0.0,'8_14':0.0},(39,626):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_6':0.0,'-3':0.0,'7_1':0.0},(39,625):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(39,624):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(39,623):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(39,622):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(39,621):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'8_16':0.0,'8_19':0.0,'-3':0.0},(39,620):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.06,'3_1#5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(39,619):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(39,618):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_7':0.0},(39,617):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(39,616):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(39,615):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'1':-0.03},(39,614):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(39,613):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(39,612):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(39,611):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(39,610):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_7':0.0,'-3':0.0},(39,609):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0},(39,608):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(39,607):{'3_1':0.15,'4_1':0.06,'-3':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(39,606):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_7':0.0},(39,605):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_15':0.0,'-3':0.0},(39,604):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(39,603):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(39,602):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(39,601):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'7_3':0.0},(39,600):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(39,599):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(39,598):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(39,597):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'7_6':0.0,'-3':0.0},(39,596):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_12':0.0,'-3':0.0},(39,595):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_19':0.0},(39,594):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_6':0.0},(39,593):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'7_6':0.0,'6_2':0.0,'8_3':0.0},(39,592):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(39,591):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(39,590):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(39,589):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(39,588):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(39,587):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0},(39,586):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(39,585):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(39,584):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(39,583):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(39,582):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(39,581):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(39,580):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(39,579):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(39,578):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(39,577):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(39,576):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(39,575):{'3_1':0.09,'4_1':0.06,'8_19':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(39,574):{'4_1':0.15,'3_1':0.0,'5_2':0.0},(39,573):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0},(39,572):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(39,571):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_1':0.0},(39,570):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0},(39,569):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_19':0.0},(39,568):{'4_1':0.06,'3_1':0.0,'6_1':0.0,'5_2':0.0},(39,567):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(39,566):{'4_1':0.09,'3_1':0.0,'7_3':0.0},(39,565):{'4_1':0.09,'6_1':0.0,'3_1':0.0,'3_1#5_2':0.0},(39,564):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(39,563):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(39,562):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(39,561):{'4_1':0.06,'3_1':0.06},(39,560):{'4_1':0.06,'5_1':0.0,'3_1':0.0,'8_6':0.0},(39,559):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0},(39,558):{'4_1':0.09,'3_1':0.06},(39,557):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(39,556):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(39,555):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(39,554):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0},(39,553):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(39,552):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(39,551):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(39,550):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0},(39,549):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(39,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(39,547):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0,'7_2':0.0},(39,546):{'3_1':0.09,'4_1':0.06,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(39,545):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(39,544):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(39,543):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(39,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0},(39,541):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(39,540):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(39,539):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(39,538):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(39,537):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(39,536):{'3_1':0.12,'4_1':0.0},(39,535):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(39,534):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(39,533):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(39,532):{'3_1':0.15,'4_1':0.0},(39,531):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(39,530):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(39,529):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(39,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(39,527):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,526):{'3_1':0.03,'4_1':0.0},(39,525):{'3_1':0.06,'4_1':0.0},(39,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(39,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(39,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,521):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(39,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(39,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(39,518):{'3_1':0.06},(39,517):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(39,516):{'3_1':0.03,'4_1':0.0},(39,515):{'3_1':0.03,'4_1':0.0},(39,514):{'3_1':0.03,'4_1':0.0},(39,513):{'3_1':0.0,'5_2':0.0},(39,512):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(39,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(39,510):{'3_1':0.03},(39,509):{'3_1':0.03,'4_1':0.0},(39,508):{'3_1':0.03},(39,507):{'3_1':0.0,'4_1':0.0},(39,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(39,505):{'3_1':0.06},(39,504):{'3_1':0.0},(39,503):{'3_1':0.0},(39,502):{'3_1':0.03,'4_1':0.0},(39,501):{'3_1':0.03},(39,500):{'3_1':0.0,'4_1':0.0},(39,499):{'3_1':0.0,'4_1':0.0},(39,498):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(39,497):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(39,496):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(39,495):{'5_1':0.0,'4_1':0.0},(39,494):{'3_1':0.03},(39,493):{'3_1':0.03,'4_1':0.0},(39,492):{'3_1':0.0,'4_1':0.0},(39,491):{'4_1':0.0,'3_1':0.0},(39,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(39,489):{'3_1':0.03},(39,488):{'3_1':0.03,'4_1':0.0},(39,487):{'3_1':0.06,'4_1':0.0},(39,486):{'3_1':0.06,'8_20|3_1#3_1':0.0},(39,485):{'3_1':0.03,'4_1':0.0},(39,484):{'3_1':0.06,'4_1':0.0},(39,483):{'3_1':0.03,'4_1':0.0},(39,482):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(39,481):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(39,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(39,478):{'3_1':0.06,'4_1':0.0},(39,477):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(39,476):{'3_1':0.09,'5_1':0.0},(39,475):{'3_1':0.09,'4_1':0.0},(39,474):{'3_1':0.06},(39,473):{'3_1':0.03},(39,472):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(39,471):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(39,469):{'3_1':0.03},(39,468):{'3_1':0.03,'4_1':0.0},(39,467):{'3_1':0.03,'4_1':0.0,'8_7':0.0},(39,466):{'3_1':0.03,'4_1':0.0},(39,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,464):{'3_1':0.0,'4_1':0.0},(39,463):{'3_1':0.0},(39,462):{'3_1':0.0,'6_2':0.0,'7_4':0.0},(39,461):{'3_1':0.0},(39,460):{'4_1':0.0,'3_1':0.0},(39,459):{'3_1':0.0,'4_1':0.0},(39,458):{'3_1':0.0},(39,457):{'3_1':0.03,'4_1':0.0},(39,456):{'3_1':0.0},(39,455):{'3_1':0.0,'4_1':0.0},(39,454):{'3_1':0.03,'4_1':0.0},(39,453):{'3_1':0.06,'4_1':0.0,'8_4':0.0},(39,452):{'3_1':0.0,'4_1':0.0},(39,451):{'3_1':0.06,'4_1':0.0},(39,450):{'3_1':0.0},(39,449):{'3_1':0.06,'6_3':0.0},(39,448):{'3_1':0.03,'4_1':0.0},(39,447):{'3_1':0.06,'8_20|3_1#3_1':0.0},(39,446):{'3_1':0.03},(39,445):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(39,444):{'3_1':0.0,'6_3':0.0},(39,443):{'3_1':0.0,'5_2':0.0},(39,442):{'3_1':0.03,'4_1':0.0},(39,441):{'3_1':0.03,'4_1':0.0},(39,440):{'3_1':0.03},(39,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,438):{'3_1':0.0,'4_1':0.0},(39,437):{'3_1':0.0},(39,436):{'3_1':0.06,'5_2':0.0},(39,435):{'3_1':0.06,'4_1':0.0},(39,434):{'3_1':0.0,'4_1':0.0},(39,433):{'3_1':0.03,'4_1':0.0},(39,432):{'3_1':0.09,'4_1':0.0},(39,431):{'3_1':0.06},(39,430):{'3_1':0.0,'5_1':0.0},(39,429):{'3_1':0.06},(39,428):{'3_1':0.03,'5_2':0.0},(39,427):{'3_1':0.03},(39,426):{'3_1':0.0,'5_2':0.0},(39,425):{'3_1':0.03},(39,424):{'3_1':0.06,'4_1':0.0},(39,423):{'3_1':0.03,'5_2':0.0},(39,422):{'3_1':0.03},(39,421):{'3_1':0.03,'5_1':0.0},(39,420):{'3_1':0.03},(39,419):{'3_1':0.06,'5_2':0.0},(39,418):{'3_1':0.0,'5_1':0.0},(39,417):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(39,416):{'3_1':0.03},(39,415):{'3_1':0.06,'5_2':0.0},(39,414):{'3_1':0.09},(39,413):{'3_1':0.03,'7_3':0.0},(39,412):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(39,411):{'3_1':0.0,'5_1':0.0},(39,410):{'3_1':0.0,'7_3':0.0},(39,409):{'3_1':0.0},(39,408):{'3_1':0.0,'5_1':0.0},(39,407):{'3_1':0.0},(39,406):{'3_1':0.0,'5_1':0.0},(39,405):{'3_1':0.0,'5_2':0.0},(39,403):{'3_1':0.0,'5_1':0.0},(39,402):{'3_1':0.03},(39,401):{'3_1':0.03,'5_1':0.0},(39,400):{'3_1':0.0},(39,399):{'3_1':0.03,'4_1':0.0},(39,398):{'3_1':0.03,'4_1':0.0},(39,397):{'3_1':0.0},(39,396):{'3_1':0.03},(39,395):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(39,394):{'3_1':0.0,'5_1':0.0},(39,393):{'3_1':0.0},(39,392):{'3_1':0.0},(39,391):{'3_1':0.03,'4_1':0.0},(39,389):{'3_1':0.03},(39,388):{'3_1':0.0,'6_2':0.0},(39,387):{'3_1':0.0,'5_1':0.0},(39,386):{'3_1':0.03},(39,385):{'3_1':0.0},(39,384):{'3_1':0.03,'5_1':0.0},(39,383):{'3_1':0.03},(39,382):{'3_1':0.0},(39,381):{'5_2':0.0},(39,380):{'3_1':0.0,'5_1':0.0},(39,379):{'3_1':0.0},(39,378):{'3_1':0.0},(39,377):{'3_1':0.0},(39,376):{'3_1':0.0,'5_1':0.0},(39,375):{'3_1':0.0},(39,374):{'3_1':0.0,'5_1':0.0},(39,373):{'3_1':0.0},(39,372):{'4_1':0.0,'5_1':0.0},(39,371):{'3_1':0.03,'5_2':0.0},(39,369):{'3_1':0.0},(39,368):{'3_1':0.0,'7_4':0.0},(39,367):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,366):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(39,365):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,364):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(39,363):{'3_1':0.0,'4_1':0.0},(39,362):{'3_1':0.0,'7_1':0.0},(39,361):{'3_1':0.0,'5_2':0.0},(39,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,358):{'3_1':0.0},(39,357):{'3_1':0.0,'4_1':0.0},(39,356):{'3_1':0.03},(39,355):{'3_1':0.0},(39,354):{'3_1':0.0,'5_1':0.0},(39,353):{'3_1':0.0},(39,352):{'4_1':0.0,'3_1':0.0},(39,351):{'3_1':0.03,'4_1':0.0},(39,349):{'3_1':0.03},(39,348):{'3_1':0.0,'6_2':0.0},(39,347):{'3_1':0.0},(39,346):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(39,345):{'3_1':0.03},(39,344):{'3_1':0.0},(39,343):{'3_1':0.03,'4_1':0.0},(39,342):{'3_1':0.0},(39,341):{'3_1':0.03},(39,340):{'3_1':0.0,'4_1':0.0},(39,339):{'3_1':0.0},(39,338):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(39,337):{'3_1':0.03},(39,336):{'3_1':0.0},(39,335):{'3_1':0.0,'6_2':0.0},(39,334):{'3_1':0.0,'4_1':0.0},(39,333):{'3_1':0.0},(39,332):{'3_1':0.0},(39,331):{'3_1':0.0},(39,330):{'3_1':0.0},(39,329):{'3_1':0.03},(39,328):{'3_1':0.0},(39,327):{'3_1':0.0},(39,326):{'3_1':0.03,'4_1':0.0},(39,325):{'3_1':0.0,'7_1':0.0},(39,324):{'3_1':0.0},(39,323):{'4_1':0.0,'5_2':0.0},(39,322):{'3_1':0.0},(39,321):{'3_1':0.0},(39,320):{'3_1':0.0},(39,319):{'3_1':0.03},(39,318):{'3_1':0.0},(39,317):{'3_1':0.03},(39,316):{'3_1':0.0},(39,315):{'3_1':0.0},(39,314):{'3_1':0.0},(39,313):{'3_1':0.0},(39,312):{'3_1':0.03},(39,311):{'3_1':0.0},(39,310):{'3_1':0.0,'5_1':0.0},(39,309):{'3_1':0.06},(39,308):{'3_1':0.0},(39,307):{'3_1':0.0},(39,306):{'3_1':0.0},(39,305):{'3_1':0.0},(39,304):{'3_1':0.0},(39,303):{'3_1':0.03,'5_1':0.0},(39,302):{'3_1':0.0},(39,301):{'3_1':0.0,'4_1':0.0},(39,300):{'3_1':0.0},(39,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(39,298):{'3_1':0.03,'4_1':0.0},(39,297):{'3_1':0.03},(39,296):{'4_1':0.0},(39,295):{'3_1':0.0,'4_1':0.0},(39,294):{'3_1':0.0},(39,293):{'4_1':0.0},(39,292):{'3_1':0.0},(39,291):{'3_1':0.0},(39,290):{'3_1':0.03},(39,289):{'3_1':0.0,'4_1':0.0},(39,288):{'3_1':0.0},(39,287):{'3_1':0.0},(39,286):{'3_1':0.0},(39,285):{'3_1':0.0,'4_1':0.0},(39,284):{'5_1':0.0},(39,283):{'3_1':0.0},(39,282):{'3_1':0.0,'6_2':0.0},(39,281):{'3_1':0.0,'5_1':0.0},(39,280):{'3_1':0.0},(39,279):{'3_1':0.0},(39,278):{'3_1':0.0},(39,277):{'3_1':0.0},(39,276):{'3_1':0.0},(39,275):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(39,274):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(39,273):{'3_1':0.0},(39,272):{'4_1':0.0},(39,271):{'3_1':0.0},(39,270):{'3_1':0.0},(39,269):{'3_1':0.0},(39,268):{'3_1':0.03},(39,267):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(39,265):{'3_1':0.0},(39,264):{'3_1':0.03},(39,263):{'3_1':0.0,'5_2':0.0},(39,262):{'3_1':0.0},(39,261):{'3_1':0.0},(39,260):{'3_1':0.06},(39,259):{'3_1':0.0},(39,257):{'3_1':0.0},(39,256):{'5_2':0.0},(39,255):{'3_1':0.0,'5_1':0.0},(39,254):{'3_1':0.03,'7_2':0.0},(39,253):{'3_1':0.0},(39,252):{'3_1':0.0},(39,251):{'3_1':0.0,'4_1':0.0},(39,250):{'3_1':0.0},(39,249):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(39,248):{'3_1':0.0},(39,247):{'7_2':0.0},(39,246):{'3_1':0.0},(39,245):{'3_1':0.0},(39,244):{'3_1':0.0},(39,243):{'3_1':0.0},(39,242):{'3_1':0.0},(39,241):{'5_1':0.0,'3_1':0.0},(39,240):{'3_1':0.0},(39,239):{'3_1':0.0},(39,238):{'3_1':0.03},(39,237):{'3_1':0.0},(39,236):{'3_1':0.03},(39,235):{'3_1':0.0},(39,234):{'3_1':0.0},(39,233):{'3_1':0.0},(39,232):{'3_1':0.0},(39,231):{'3_1':0.0},(39,229):{'3_1':0.03},(39,228):{'3_1':0.0},(39,227):{'3_1':0.0},(39,225):{'3_1':0.0,'5_1':0.0},(39,224):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(39,223):{'3_1':0.0,'7_2':0.0},(39,222):{'3_1':0.0},(39,221):{'3_1':0.0,'5_1':0.0},(39,220):{'3_1':0.0},(39,219):{'3_1':0.0,'7_1':0.0},(39,218):{'3_1':0.03},(39,217):{'3_1':0.0},(39,216):{'3_1':0.0},(39,215):{'3_1':0.0},(39,214):{'5_1':0.0},(39,213):{'3_1':0.0,'5_1':0.0},(39,211):{'3_1':0.0},(39,210):{'3_1':0.0},(39,209):{'3_1':0.0},(39,208):{'3_1':0.0},(39,207):{'3_1':0.0},(39,206):{'3_1':0.0,'7_2':0.0},(39,205):{'3_1':0.0},(39,203):{'3_1':0.0},(39,202):{'3_1':0.0,'5_2':0.0},(39,201):{'3_1':0.0},(39,200):{'3_1':0.0},(39,199):{'3_1':0.0},(39,198):{'3_1':0.0},(39,197):{'3_1':0.0},(39,195):{'3_1':0.0,'5_1':0.0},(39,193):{'3_1':0.0},(39,189):{'3_1':0.0},(39,188):{'3_1':0.0},(39,185):{'3_1':0.03},(39,183):{'3_1':0.0},(39,182):{'3_1':0.0},(39,180):{'3_1':0.0},(39,179):{'3_1':0.0,'5_1':0.0},(39,177):{'3_1':0.0},(39,176):{'3_1':0.0},(39,174):{'3_1':0.0},(39,173):{'4_1':0.0},(39,172):{'3_1':0.0},(39,171):{'3_1':0.0},(39,169):{'3_1':0.0},(39,168):{'3_1':0.0},(39,167):{'3_1':0.0},(39,166):{'3_1':0.0},(39,165):{'3_1':0.0},(39,164):{'3_1':0.0},(39,162):{'3_1':0.0},(39,161):{'3_1':0.0},(39,160):{'3_1':0.0},(39,159):{'3_1':0.0},(39,158):{'3_1':0.03},(39,157):{'3_1':0.0},(39,156):{'3_1':0.0},(39,155):{'3_1':0.0},(39,154):{'3_1':0.0},(39,153):{'3_1':0.0},(39,149):{'3_1':0.0},(39,146):{'3_1':0.0},(39,144):{'3_1':0.0},(39,142):{'3_1':0.0},(39,140):{'3_1':0.0},(39,139):{'3_1':0.0},(39,138):{'3_1':0.0},(39,137):{'3_1':0.0},(39,134):{'3_1':0.0},(39,130):{'3_1':0.0},(39,127):{'3_1':0.0},(39,125):{'3_1':0.0},(39,121):{'3_1':0.0},(39,84):{'3_1':0.0},(39,80):{'3_1':0.0},(39,75):{'3_1':0.0},(39,72):{'3_1':0.0},(39,71):{'3_1':0.0},(39,70):{'3_1':0.0},(39,69):{'3_1':0.0},(39,66):{'3_1':0.0},(39,62):{'3_1':0.0},(39,61):{'3_1':0.0},(39,58):{'3_1':0.0},(40,727):{'5_2':0.75,'3_1':0.06,'7_4':0.06,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0},(40,726):{'5_2':0.81,'-3':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'1':-0.03},(40,725):{'5_2':0.66,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'1':-0.03},(40,724):{'5_2':0.75,'-3':0.06,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_16':0.0},(40,723):{'5_2':0.78,'7_4':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0},(40,722):{'5_2':0.6,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(40,721):{'5_2':0.75,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(40,720):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_1':0.0},(40,719):{'5_2':0.63,'-3':0.09,'3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(40,718):{'5_2':0.66,'-3':0.06,'7_4':0.03,'5_1':0.03,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0},(40,717):{'5_2':0.6,'3_1':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'8_8':0.0},(40,716):{'5_2':0.66,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_2':0.0,'6_1':0.0,'7_7':0.0},(40,715):{'5_2':0.69,'7_4':0.06,'3_1':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(40,714):{'5_2':0.69,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(40,713):{'5_2':0.66,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(40,712):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(40,711):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(40,710):{'5_2':0.57,'-3':0.15,'3_1':0.06,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(40,709):{'5_2':0.63,'-3':0.15,'5_1':0.03,'3_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(40,708):{'5_2':0.66,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_4':0.0,'1':-0.03},(40,707):{'5_2':0.72,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(40,706):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(40,705):{'5_2':0.66,'3_1':0.09,'-3':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(40,704):{'5_2':0.63,'-3':0.09,'3_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0},(40,703):{'5_2':0.63,'3_1':0.09,'-3':0.09,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(40,702):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(40,701):{'5_2':0.57,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(40,700):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_14':0.0},(40,699):{'5_2':0.57,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(40,698):{'5_2':0.54,'5_1':0.09,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(40,697):{'5_2':0.63,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'1':-0.03},(40,696):{'5_2':0.42,'3_1':0.18,'-3':0.15,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(40,695):{'5_2':0.57,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(40,694):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_6':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(40,693):{'5_2':0.48,'3_1':0.18,'-3':0.09,'7_3':0.06,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(40,692):{'5_2':0.48,'3_1':0.15,'-3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(40,691):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.06,'3_1#5_2':0.0,'6_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(40,690):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(40,689):{'5_2':0.39,'3_1':0.3,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(40,688):{'5_2':0.45,'3_1':0.27,'-3':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'8_14':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(40,687):{'3_1':0.33,'5_2':0.33,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(40,686):{'5_2':0.33,'3_1':0.24,'7_4':0.12,'7_3':0.06,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0},(40,685):{'3_1':0.45,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0},(40,684):{'3_1':0.42,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(40,683):{'3_1':0.42,'5_2':0.27,'7_4':0.09,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(40,682):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(40,681):{'3_1':0.48,'5_2':0.21,'-3':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(40,680):{'3_1':0.54,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,679):{'3_1':0.48,'5_2':0.18,'7_4':0.12,'-3':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(40,678):{'3_1':0.51,'5_2':0.18,'7_4':0.15,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(40,677):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(40,676):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(40,675):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_7':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(40,674):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(40,673):{'3_1':0.69,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(40,672):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(40,671):{'3_1':0.66,'7_4':0.12,'7_3':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(40,670):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(40,669):{'3_1':0.72,'5_2':0.06,'7_4':0.06,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(40,668):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'1':-0.03},(40,667):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'-3':0.0,'7_7':0.0,'5_1':0.0},(40,666):{'3_1':0.69,'5_2':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0},(40,665):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(40,664):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(40,663):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0},(40,662):{'3_1':0.6,'5_2':0.15,'-3':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(40,661):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0},(40,660):{'3_1':0.57,'5_2':0.18,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(40,659):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(40,658):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0},(40,657):{'3_1':0.66,'5_2':0.09,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,656):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0},(40,655):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(40,654):{'3_1':0.66,'5_2':0.12,'5_1':0.0,'4_1':0.0},(40,653):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,652):{'3_1':0.48,'5_2':0.18,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(40,651):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_7':0.0},(40,650):{'3_1':0.45,'5_2':0.15,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(40,649):{'3_1':0.48,'5_2':0.12,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(40,648):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(40,647):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(40,646):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(40,645):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(40,644):{'3_1':0.24,'5_2':0.12,'5_1':0.12,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(40,643):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0},(40,642):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_4':0.0,'-3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0},(40,641):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'7_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(40,640):{'3_1':0.24,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(40,639):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_10':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(40,638):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'-3':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(40,637):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0,'7_3':0.0},(40,636):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_1':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(40,635):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_1':0.0,'5_1':0.0},(40,634):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(40,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_1':0.0},(40,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(40,631):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(40,630):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,629):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(40,628):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(40,627):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(40,626):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_6':0.0},(40,625):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(40,624):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(40,623):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_19':0.0},(40,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(40,621):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(40,620):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(40,619):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'8_16':0.0,'8_19':0.0},(40,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(40,617):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(40,616):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(40,615):{'3_1':0.09,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0},(40,614):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(40,612):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_1':0.0},(40,611):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_19':0.0,'7_4':0.0,'8_10':0.0,'-3':0.0},(40,610):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(40,609):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(40,608):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(40,607):{'3_1':0.21,'4_1':0.06,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(40,606):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0},(40,605):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(40,604):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'-3':0.0},(40,603):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(40,602):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(40,601):{'4_1':0.12,'3_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(40,600):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(40,599):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(40,598):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(40,597):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(40,596):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(40,595):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(40,594):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(40,593):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(40,592):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0},(40,591):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'8_4':0.0},(40,590):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(40,589):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(40,588):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(40,587):{'4_1':0.09,'3_1':0.03,'8_21|3_1#4_1':0.0},(40,586):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'8_6':0.0},(40,585):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'7_6':0.0},(40,584):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(40,583):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(40,582):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(40,581):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_5':0.0},(40,580):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(40,579):{'4_1':0.15,'3_1':0.06,'7_5':0.0,'8_19':0.0},(40,578):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'8_19':0.0},(40,577):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(40,576):{'4_1':0.12,'3_1':0.0,'5_2':0.0},(40,575):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_3':0.0},(40,574):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(40,573):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(40,572):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_19':0.0},(40,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(40,570):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_7':0.0},(40,569):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(40,568):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0},(40,567):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(40,566):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(40,565):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,564):{'4_1':0.06,'3_1':0.0,'7_5':0.0,'8_19':0.0},(40,563):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(40,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(40,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(40,560):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(40,559):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(40,558):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'7_6':0.0,'8_19':0.0},(40,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(40,556):{'3_1':0.03,'4_1':0.0},(40,555):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(40,554):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(40,553):{'3_1':0.06,'6_3':0.0,'5_2':0.0},(40,552):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(40,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(40,550):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(40,549):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(40,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(40,547):{'3_1':0.09,'8_19':0.0},(40,546):{'3_1':0.18,'4_1':0.0},(40,545):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(40,544):{'3_1':0.09,'4_1':0.0,'-3':0.0},(40,543):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(40,542):{'3_1':0.12,'4_1':0.03},(40,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,540):{'3_1':0.12,'4_1':0.03},(40,539):{'3_1':0.15,'4_1':0.03},(40,538):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(40,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(40,536):{'3_1':0.15,'4_1':0.0},(40,535):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,534):{'3_1':0.12,'4_1':0.03},(40,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(40,532):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(40,531):{'3_1':0.12,'5_1':0.0},(40,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(40,528):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(40,527):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(40,526):{'3_1':0.03},(40,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,524):{'3_1':0.03,'4_1':0.0},(40,523):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,522):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(40,521):{'3_1':0.09,'4_1':0.0},(40,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,518):{'3_1':0.03,'6_1':0.0},(40,517):{'3_1':0.03,'4_1':0.0},(40,516):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,515):{'3_1':0.0,'4_1':0.0},(40,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,513):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,512):{'3_1':0.03,'4_1':0.0},(40,511):{'3_1':0.0,'4_1':0.0},(40,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,509):{'3_1':0.03,'5_1':0.0},(40,508):{'3_1':0.0},(40,507):{'4_1':0.0,'3_1':0.0},(40,506):{'3_1':0.0},(40,505):{'3_1':0.03,'4_1':0.0},(40,504):{'3_1':0.03},(40,503):{'3_1':0.03},(40,502):{'3_1':0.0},(40,501):{'3_1':0.0,'5_1':0.0},(40,500):{'3_1':0.0},(40,499):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(40,498):{'3_1':0.0,'4_1':0.0},(40,497):{'3_1':0.03,'4_1':0.0},(40,496):{'3_1':0.03},(40,495):{'3_1':0.03},(40,494):{'3_1':0.03,'5_2':0.0},(40,493):{'3_1':0.0},(40,492):{'3_1':0.03},(40,491):{'3_1':0.03},(40,490):{'3_1':0.0,'4_1':0.0},(40,489):{'3_1':0.06,'6_3':0.0},(40,488):{'3_1':0.06,'5_1':0.0},(40,487):{'3_1':0.06,'4_1':0.0},(40,486):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,485):{'3_1':0.06},(40,484):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(40,483):{'3_1':0.06,'4_1':0.0},(40,482):{'3_1':0.09,'6_2':0.0},(40,481):{'3_1':0.06},(40,480):{'3_1':0.03},(40,479):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0},(40,478):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(40,477):{'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(40,476):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(40,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(40,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,473):{'3_1':0.03,'5_2':0.0},(40,472):{'3_1':0.0,'5_1':0.0,'7_4':0.0},(40,471):{'3_1':0.06,'4_1':0.0},(40,470):{'3_1':0.06,'5_1':0.0},(40,469):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(40,468):{'3_1':0.03},(40,467):{'3_1':0.0,'6_3':0.0},(40,466):{'3_1':0.03,'5_1':0.0},(40,465):{'3_1':0.0},(40,464):{'3_1':0.03,'4_1':0.0},(40,463):{'3_1':0.0,'4_1':0.0},(40,462):{'3_1':0.0,'4_1':0.0},(40,461):{'3_1':0.0,'4_1':0.0},(40,460):{'3_1':0.03},(40,459):{'3_1':0.0,'4_1':0.0},(40,458):{'3_1':0.03,'4_1':0.0},(40,457):{'3_1':0.0},(40,456):{'3_1':0.03},(40,455):{'5_1':0.0},(40,454):{'3_1':0.03},(40,453):{'3_1':0.03},(40,452):{'3_1':0.0,'5_1':0.0},(40,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,450):{'3_1':0.03},(40,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,448):{'3_1':0.06,'4_1':0.0},(40,447):{'3_1':0.03},(40,446):{'3_1':0.0,'4_1':0.0},(40,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(40,444):{'3_1':0.03,'5_2':0.0},(40,443):{'3_1':0.0,'5_2':0.0},(40,442):{'3_1':0.03},(40,441):{'3_1':0.03,'4_1':0.0},(40,440):{'4_1':0.0,'3_1':0.0},(40,439):{'3_1':0.03,'4_1':0.0},(40,438):{'3_1':0.03},(40,437):{'3_1':0.06},(40,436):{'3_1':0.0},(40,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(40,434):{'4_1':0.0,'5_2':0.0},(40,433):{'3_1':0.03},(40,432):{'3_1':0.03},(40,431):{'3_1':0.03,'5_2':0.0},(40,430):{'3_1':0.03},(40,429):{'3_1':0.06,'4_1':0.0},(40,428):{'3_1':0.03},(40,427):{'3_1':0.03},(40,426):{'3_1':0.03},(40,425):{'3_1':0.0},(40,424):{'3_1':0.0},(40,423):{'3_1':0.03,'4_1':0.0},(40,422):{'3_1':0.06},(40,421):{'3_1':0.06},(40,420):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,419):{'3_1':0.0},(40,418):{'3_1':0.0,'5_2':0.0},(40,417):{'3_1':0.06,'7_5':0.0},(40,416):{'3_1':0.06},(40,415):{'3_1':0.06,'5_1':0.0},(40,414):{'3_1':0.0,'5_2':0.0},(40,413):{'3_1':0.03},(40,412):{'3_1':0.03,'5_2':0.0},(40,411):{'3_1':0.0,'5_2':0.0},(40,410):{'3_1':0.0},(40,409):{'3_1':0.03,'4_1':0.0},(40,408):{'3_1':0.0},(40,407):{'3_1':0.0},(40,406):{'3_1':0.03},(40,405):{'3_1':0.03},(40,404):{'3_1':0.0},(40,403):{'3_1':0.03},(40,402):{'3_1':0.0},(40,401):{'3_1':0.03},(40,400):{'3_1':0.03},(40,399):{'3_1':0.0,'5_2':0.0},(40,398):{'3_1':0.0,'5_2':0.0},(40,397):{'3_1':0.0,'6_2':0.0},(40,396):{'3_1':0.0,'5_1':0.0},(40,395):{'3_1':0.03,'5_1':0.0},(40,394):{'3_1':0.03},(40,393):{'3_1':0.03,'5_2':0.0},(40,392):{'3_1':0.0},(40,391):{'3_1':0.0},(40,390):{'3_1':0.0},(40,389):{'3_1':0.0},(40,388):{'3_1':0.0,'4_1':0.0},(40,387):{'3_1':0.0},(40,386):{'3_1':0.0},(40,385):{'3_1':0.0},(40,384):{'3_1':0.0},(40,383):{'3_1':0.0},(40,382):{'3_1':0.0},(40,381):{'3_1':0.03},(40,380):{'3_1':0.06,'5_2':0.0},(40,379):{'3_1':0.03,'4_1':0.0},(40,378):{'3_1':0.0,'5_1':0.0},(40,377):{'3_1':0.0},(40,376):{'3_1':0.03},(40,375):{'3_1':0.03},(40,374):{'3_1':0.0,'5_1':0.0},(40,373):{'3_1':0.03,'5_1':0.0},(40,372):{'3_1':0.0,'5_1':0.0},(40,371):{'3_1':0.0},(40,370):{'3_1':0.03},(40,369):{'3_1':0.0,'5_2':0.0},(40,368):{'3_1':0.0,'4_1':0.0},(40,367):{'3_1':0.0},(40,366):{'4_1':0.0,'7_1':0.0},(40,365):{'3_1':0.0,'9_1':0.0},(40,364):{'5_1':0.0,'5_2':0.0,'7_1':0.0},(40,361):{'3_1':0.0},(40,359):{'3_1':0.03,'4_1':0.0},(40,358):{'3_1':0.0},(40,357):{'3_1':0.0,'5_1':0.0},(40,356):{'5_1':0.0},(40,355):{'3_1':0.0,'4_1':0.0},(40,354):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(40,352):{'3_1':0.0},(40,351):{'3_1':0.0},(40,350):{'3_1':0.0},(40,348):{'3_1':0.0},(40,347):{'3_1':0.0},(40,346):{'3_1':0.0},(40,345):{'3_1':0.0,'4_1':0.0},(40,344):{'3_1':0.0},(40,343):{'3_1':0.0,'4_1':0.0},(40,342):{'3_1':0.0,'5_2':0.0},(40,341):{'3_1':0.03},(40,340):{'5_1':0.0,'6_2':0.0},(40,339):{'3_1':0.0,'5_1':0.0},(40,338):{'3_1':0.0,'5_1':0.0},(40,337):{'3_1':0.0},(40,336):{'3_1':0.03},(40,335):{'3_1':0.0,'4_1':0.0},(40,333):{'5_1':0.0},(40,332):{'3_1':0.03},(40,331):{'3_1':0.0},(40,330):{'3_1':0.0,'4_1':0.0},(40,329):{'3_1':0.0},(40,328):{'3_1':0.0},(40,326):{'3_1':0.0},(40,325):{'3_1':0.0},(40,324):{'3_1':0.0},(40,321):{'3_1':0.0},(40,320):{'3_1':0.0,'4_1':0.0},(40,319):{'3_1':0.0,'4_1':0.0},(40,318):{'3_1':0.03},(40,317):{'3_1':0.0,'4_1':0.0},(40,315):{'3_1':0.0},(40,314):{'3_1':0.0},(40,313):{'3_1':0.0},(40,312):{'3_1':0.0,'4_1':0.0},(40,311):{'3_1':0.0},(40,310):{'3_1':0.03},(40,309):{'3_1':0.0},(40,308):{'3_1':0.0},(40,307):{'3_1':0.03},(40,306):{'3_1':0.0},(40,305):{'3_1':0.0},(40,304):{'3_1':0.0,'4_1':0.0},(40,303):{'3_1':0.0},(40,302):{'3_1':0.0},(40,301):{'3_1':0.0,'4_1':0.0},(40,300):{'3_1':0.03},(40,299):{'3_1':0.0},(40,298):{'3_1':0.0},(40,297):{'3_1':0.0},(40,296):{'3_1':0.03},(40,295):{'3_1':0.0},(40,294):{'3_1':0.0},(40,293):{'3_1':0.0},(40,292):{'3_1':0.0},(40,289):{'3_1':0.03},(40,286):{'3_1':0.0,'6_3':0.0},(40,285):{'3_1':0.0,'4_1':0.0},(40,284):{'5_1':0.0},(40,283):{'3_1':0.0},(40,282):{'3_1':0.03},(40,281):{'4_1':0.0},(40,280):{'3_1':0.0},(40,279):{'3_1':0.0,'5_2':0.0},(40,278):{'3_1':0.0,'5_2':0.0},(40,277):{'3_1':0.0},(40,276):{'3_1':0.03},(40,275):{'3_1':0.03,'4_1':0.0},(40,274):{'3_1':0.0},(40,273):{'3_1':0.0},(40,272):{'3_1':0.0},(40,271):{'3_1':0.0},(40,270):{'3_1':0.03,'4_1':0.0},(40,269):{'3_1':0.0},(40,268):{'3_1':0.03},(40,267):{'3_1':0.0},(40,266):{'3_1':0.03,'5_1':0.0},(40,265):{'3_1':0.0},(40,264):{'3_1':0.0,'6_2':0.0},(40,263):{'3_1':0.03,'5_2':0.0},(40,262):{'3_1':0.0},(40,261):{'3_1':0.0,'4_1':0.0},(40,260):{'3_1':0.0,'4_1':0.0},(40,259):{'3_1':0.0},(40,258):{'3_1':0.0},(40,255):{'3_1':0.0},(40,254):{'3_1':0.0},(40,253):{'3_1':0.0},(40,252):{'5_2':0.0},(40,251):{'5_2':0.0,'5_1':0.0},(40,250):{'3_1':0.0},(40,248):{'3_1':0.03},(40,246):{'4_1':0.0},(40,245):{'3_1':0.03,'5_2':0.0},(40,243):{'5_1':0.0},(40,242):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(40,241):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,240):{'3_1':0.0},(40,239):{'3_1':0.0},(40,238):{'3_1':0.0},(40,237):{'3_1':0.0,'5_2':0.0},(40,236):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(40,235):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(40,234):{'3_1':0.0},(40,233):{'3_1':0.0},(40,232):{'3_1':0.0},(40,231):{'3_1':0.0},(40,230):{'3_1':0.0},(40,229):{'4_1':0.0,'8_2':0.0},(40,228):{'3_1':0.0},(40,227):{'3_1':0.0,'5_1':0.0},(40,226):{'3_1':0.0},(40,225):{'3_1':0.0},(40,224):{'3_1':0.0,'5_1':0.0},(40,222):{'3_1':0.03},(40,220):{'3_1':0.0,'5_2':0.0},(40,219):{'5_1':0.0},(40,218):{'3_1':0.0},(40,217):{'3_1':0.0},(40,216):{'3_1':0.0},(40,215):{'3_1':0.0},(40,214):{'3_1':0.0},(40,211):{'3_1':0.0},(40,208):{'3_1':0.0},(40,207):{'3_1':0.0},(40,206):{'3_1':0.03},(40,203):{'3_1':0.0},(40,201):{'3_1':0.0},(40,199):{'3_1':0.0},(40,197):{'3_1':0.0},(40,195):{'3_1':0.0},(40,194):{'3_1':0.0},(40,193):{'3_1':0.0},(40,192):{'3_1':0.0},(40,191):{'3_1':0.0},(40,190):{'3_1':0.0},(40,189):{'3_1':0.0},(40,187):{'4_1':0.0},(40,185):{'4_1':0.0},(40,184):{'3_1':0.0,'4_1':0.0},(40,183):{'3_1':0.0},(40,181):{'3_1':0.0},(40,179):{'4_1':0.0},(40,178):{'3_1':0.0},(40,177):{'3_1':0.0},(40,176):{'4_1':0.0,'3_1':0.0},(40,175):{'3_1':0.0},(40,174):{'3_1':0.0},(40,172):{'3_1':0.0},(40,168):{'3_1':0.0},(40,166):{'3_1':0.0},(40,165):{'4_1':0.0},(40,164):{'3_1':0.0},(40,163):{'3_1':0.0},(40,162):{'3_1':0.0},(40,161):{'3_1':0.0},(40,160):{'3_1':0.0},(40,158):{'3_1':0.0},(40,157):{'3_1':0.0,'4_1':0.0},(40,156):{'3_1':0.0},(40,154):{'3_1':0.0,'4_1':0.0},(40,150):{'3_1':0.0},(40,149):{'3_1':0.0},(40,147):{'3_1':0.0},(40,146):{'3_1':0.0},(40,144):{'3_1':0.0},(40,142):{'3_1':0.0},(40,141):{'3_1':0.0},(40,140):{'4_1':0.0},(40,138):{'3_1':0.0,'4_1':0.0},(40,134):{'3_1':0.0},(40,133):{'3_1':0.0},(40,122):{'3_1':0.0},(40,121):{'3_1':0.0},(40,119):{'3_1':0.0},(40,118):{'3_1':0.0},(40,82):{'3_1':0.0},(40,75):{'3_1':0.0},(40,66):{'3_1':0.0},(41,727):{'5_2':0.81,'3_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(41,726):{'5_2':0.78,'-3':0.06,'3_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(41,725):{'5_2':0.6,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.03,'8_16':0.0,'4_1':0.0,'7_5':0.0},(41,724):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0},(41,723):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(41,722):{'5_2':0.75,'3_1':0.03,'7_4':0.03,'-3':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0,'1':-0.03},(41,721):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(41,720):{'5_2':0.66,'7_4':0.06,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0},(41,719):{'5_2':0.72,'7_4':0.06,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'1':-0.03},(41,718):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0},(41,717):{'5_2':0.6,'7_4':0.09,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(41,716):{'5_2':0.66,'7_4':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(41,715):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'8_11':0.0,'8_19':0.0},(41,714):{'5_2':0.6,'7_4':0.09,'-3':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.0,'8_19':0.0},(41,713):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(41,712):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(41,711):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0},(41,710):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(41,709):{'5_2':0.54,'-3':0.18,'3_1':0.06,'5_1':0.03,'7_5':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(41,708):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'8_2':0.0,'8_19':0.0,'1':-0.03},(41,707):{'5_2':0.63,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0},(41,706):{'5_2':0.6,'3_1':0.15,'-3':0.09,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(41,705):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_5':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_2':0.0},(41,704):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0},(41,703):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(41,702):{'5_2':0.6,'-3':0.09,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(41,701):{'5_2':0.66,'3_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'-3':0.0},(41,700):{'5_2':0.57,'3_1':0.09,'7_4':0.09,'-3':0.06,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(41,699):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0},(41,698):{'5_2':0.6,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(41,697):{'5_2':0.51,'-3':0.15,'3_1':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(41,696):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(41,695):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(41,694):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0},(41,693):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'-3':0.06,'3_1#5_2':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(41,692):{'5_2':0.48,'3_1':0.15,'7_4':0.09,'-3':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(41,691):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(41,690):{'5_2':0.36,'3_1':0.24,'-3':0.09,'5_1':0.06,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(41,689):{'5_2':0.39,'3_1':0.27,'7_4':0.06,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(41,688):{'5_2':0.33,'3_1':0.3,'-3':0.12,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(41,687):{'3_1':0.33,'5_2':0.3,'7_4':0.09,'-3':0.06,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0},(41,686):{'5_2':0.3,'3_1':0.24,'7_4':0.12,'7_3':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'1':-0.03},(41,685):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(41,684):{'3_1':0.33,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(41,683):{'3_1':0.45,'5_2':0.21,'7_4':0.12,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(41,682):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(41,681):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(41,680):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(41,679):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'1':-0.03},(41,678):{'3_1':0.6,'5_2':0.15,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(41,677):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(41,676):{'3_1':0.72,'7_4':0.09,'5_2':0.03,'6_1':0.0,'-3':0.0},(41,675):{'3_1':0.57,'5_2':0.12,'7_4':0.12,'-3':0.0,'5_1':0.0,'7_7':0.0},(41,674):{'3_1':0.63,'5_2':0.15,'7_4':0.06,'7_3':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,673):{'3_1':0.66,'7_4':0.12,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(41,672):{'3_1':0.6,'7_4':0.09,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(41,671):{'3_1':0.66,'7_4':0.09,'5_2':0.03,'7_7':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(41,670):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(41,669):{'3_1':0.63,'7_4':0.06,'5_2':0.06,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(41,668):{'3_1':0.69,'5_2':0.12,'7_4':0.06,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(41,667):{'3_1':0.63,'7_4':0.15,'5_2':0.09,'5_1':0.0},(41,666):{'3_1':0.69,'5_2':0.06,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(41,665):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(41,664):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(41,663):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'-3':0.0},(41,662):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'8_3':0.0},(41,661):{'3_1':0.66,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0},(41,660):{'3_1':0.51,'5_2':0.21,'5_1':0.03,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0},(41,659):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'8_3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(41,658):{'3_1':0.57,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(41,657):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,656):{'3_1':0.54,'5_2':0.09,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(41,655):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(41,654):{'3_1':0.6,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0},(41,653):{'3_1':0.48,'5_2':0.12,'-3':0.06,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(41,652):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(41,651):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(41,650):{'3_1':0.48,'5_2':0.06,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(41,649):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(41,648):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(41,647):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(41,646):{'3_1':0.36,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(41,645):{'3_1':0.39,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(41,644):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(41,643):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(41,642):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(41,641):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(41,640):{'5_2':0.21,'3_1':0.21,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(41,639):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(41,638):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_3':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(41,637):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_2':0.0},(41,636):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(41,635):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(41,634):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(41,633):{'3_1':0.06,'5_2':0.06,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0},(41,632):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(41,631):{'5_2':0.12,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(41,630):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(41,629):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_1':0.03,'7_7':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(41,628):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(41,627):{'3_1':0.09,'5_2':0.09,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(41,626):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(41,625):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(41,624):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'8_15':0.0,'8_19':0.0},(41,623):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_6':0.0},(41,622):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_1':0.0},(41,621):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(41,620):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(41,619):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(41,618):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(41,617):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(41,616):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(41,615):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(41,614):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(41,613):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(41,612):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_15':0.0},(41,611):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(41,610):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'1':-0.03},(41,609):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(41,608):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(41,607):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(41,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(41,605):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(41,604):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(41,603):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0},(41,602):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0},(41,601):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(41,600):{'4_1':0.09,'3_1':0.06,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(41,599):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(41,598):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(41,597):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0},(41,596):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0},(41,595):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(41,594):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(41,593):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(41,592):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'7_3':0.0},(41,591):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0},(41,590):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(41,589):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(41,588):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(41,587):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(41,586):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(41,585):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_6':0.0,'8_19':0.0},(41,584):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(41,583):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(41,582):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0},(41,581):{'4_1':0.12,'6_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(41,580):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'6_2':0.0},(41,579):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'8_1':0.0,'-3':0.0},(41,578):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(41,577):{'4_1':0.15,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(41,576):{'4_1':0.18,'3_1':0.0,'7_1':0.0,'8_19':0.0},(41,575):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(41,574):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0},(41,573):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_19':0.0},(41,572):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(41,571):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0},(41,570):{'4_1':0.12,'3_1':0.0,'7_1':0.0},(41,569):{'4_1':0.12,'3_1':0.06,'7_1':0.0},(41,568):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(41,567):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_11':0.0},(41,566):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'8_19':0.0},(41,565):{'4_1':0.06,'3_1':0.0},(41,564):{'4_1':0.09,'3_1':0.03},(41,563):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(41,562):{'4_1':0.06,'3_1':0.0,'7_3':0.0,'7_7':0.0},(41,561):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(41,560):{'4_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(41,559):{'4_1':0.09,'3_1':0.03,'6_2':0.0},(41,558):{'3_1':0.03,'4_1':0.03,'7_7':0.0},(41,557):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(41,556):{'4_1':0.06,'3_1':0.03},(41,555):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(41,554):{'3_1':0.09,'4_1':0.09,'7_3':0.0},(41,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_10':0.0},(41,552):{'3_1':0.09,'4_1':0.03},(41,551):{'3_1':0.09,'4_1':0.0},(41,550):{'3_1':0.12,'4_1':0.0},(41,549):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(41,548):{'3_1':0.09,'4_1':0.0},(41,547):{'3_1':0.18,'4_1':0.03,'7_3':0.0},(41,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(41,545):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(41,544):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(41,543):{'3_1':0.09,'4_1':0.06},(41,542):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(41,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(41,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,539):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(41,538):{'3_1':0.15,'4_1':0.0},(41,537):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(41,536):{'3_1':0.12,'4_1':0.0,'8_2':0.0},(41,535):{'3_1':0.09,'4_1':0.03,'7_1':0.0},(41,534):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(41,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(41,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(41,531):{'3_1':0.12,'4_1':0.0},(41,530):{'3_1':0.06,'4_1':0.0,'-3':0.0},(41,529):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(41,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(41,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(41,526):{'3_1':0.06,'5_1':0.0},(41,525):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(41,524):{'3_1':0.09,'4_1':0.0},(41,523):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(41,522):{'3_1':0.06,'8_21|3_1#4_1':0.0},(41,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(41,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(41,519):{'3_1':0.03},(41,518):{'3_1':0.03},(41,517):{'3_1':0.03},(41,516):{'4_1':0.06,'3_1':0.03},(41,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,514):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(41,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,512):{'3_1':0.03},(41,511):{'3_1':0.0,'4_1':0.0},(41,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,509):{'3_1':0.09,'4_1':0.0},(41,508):{'3_1':0.0},(41,507):{'3_1':0.03},(41,506):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(41,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,504):{'3_1':0.0,'5_1':0.0},(41,503):{'3_1':0.03,'8_20|3_1#3_1':0.0},(41,502):{'3_1':0.03},(41,501):{'5_1':0.0},(41,500):{'3_1':0.0,'4_1':0.0},(41,499):{'3_1':0.0,'5_2':0.0},(41,498):{'3_1':0.03,'5_1':0.0},(41,497):{'3_1':0.0,'5_2':0.0},(41,496):{'3_1':0.0,'4_1':0.0},(41,495):{'4_1':0.0,'3_1':0.0},(41,494):{'3_1':0.06},(41,493):{'3_1':0.03},(41,492):{'3_1':0.03},(41,491):{'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(41,490):{'3_1':0.03},(41,489):{'3_1':0.0},(41,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(41,487):{'3_1':0.06},(41,486):{'3_1':0.06,'4_1':0.0},(41,485):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(41,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(41,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,481):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(41,480):{'3_1':0.03,'4_1':0.0},(41,479):{'3_1':0.09},(41,478):{'3_1':0.03},(41,477):{'3_1':0.03},(41,476):{'3_1':0.09,'5_2':0.0},(41,475):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(41,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,473):{'3_1':0.0,'4_1':0.0},(41,472):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,471):{'3_1':0.06},(41,470):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(41,469):{'3_1':0.03,'4_1':0.0},(41,468):{'3_1':0.0,'4_1':0.0},(41,467):{'3_1':0.06},(41,466):{'3_1':0.03},(41,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,464):{'3_1':0.0},(41,463):{'3_1':0.03},(41,462):{'3_1':0.0},(41,461):{'3_1':0.03,'4_1':0.0},(41,460):{'3_1':0.03,'4_1':0.0},(41,459):{'3_1':0.0,'4_1':0.0},(41,458):{'3_1':0.03},(41,457):{'3_1':0.03},(41,456):{'3_1':0.0,'4_1':0.0},(41,455):{'3_1':0.0,'4_1':0.0},(41,454):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(41,453):{'3_1':0.0},(41,452):{'3_1':0.0},(41,451):{'3_1':0.03,'6_2':0.0},(41,450):{'3_1':0.03,'5_1':0.0},(41,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(41,448):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,447):{'3_1':0.03,'4_1':0.0},(41,446):{'3_1':0.0,'4_1':0.0},(41,445):{'3_1':0.03},(41,444):{'3_1':0.06,'4_1':0.0},(41,443):{'3_1':0.03,'5_2':0.0},(41,442):{'3_1':0.0},(41,441):{'3_1':0.0,'5_1':0.0},(41,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(41,439):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(41,438):{'3_1':0.06,'5_2':0.0},(41,437):{'3_1':0.0,'4_1':0.0},(41,436):{'3_1':0.03,'4_1':0.0},(41,435):{'3_1':0.0,'5_2':0.0},(41,434):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(41,433):{'3_1':0.0},(41,432):{'3_1':0.06,'4_1':0.0},(41,431):{'3_1':0.0,'5_1':0.0},(41,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(41,428):{'3_1':0.03,'5_1':0.0},(41,427):{'3_1':0.0},(41,426):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(41,425):{'3_1':0.0},(41,424):{'3_1':0.03},(41,423):{'3_1':0.06,'5_2':0.0},(41,422):{'5_1':0.0,'3_1':0.0},(41,421):{'3_1':0.0,'4_1':0.0},(41,420):{'3_1':0.03},(41,419):{'3_1':0.03,'5_2':0.0},(41,418):{'3_1':0.0,'5_2':0.0},(41,417):{'3_1':0.06},(41,416):{'3_1':0.03},(41,415):{'3_1':0.03},(41,414):{'3_1':0.03},(41,413):{'3_1':0.03},(41,412):{'3_1':0.0},(41,411):{'3_1':0.0},(41,410):{'3_1':0.03},(41,409):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(41,408):{'5_2':0.0},(41,407):{'3_1':0.06},(41,406):{'3_1':0.03},(41,405):{'3_1':0.0},(41,404):{'3_1':0.03},(41,403):{'3_1':0.0},(41,402):{'3_1':0.0},(41,401):{'3_1':0.03},(41,400):{'3_1':0.0},(41,399):{'3_1':0.0},(41,397):{'3_1':0.0},(41,396):{'3_1':0.0},(41,395):{'3_1':0.0},(41,394):{'3_1':0.0},(41,393):{'3_1':0.0},(41,392):{'3_1':0.0,'5_1':0.0},(41,391):{'3_1':0.0},(41,390):{'3_1':0.0,'5_2':0.0},(41,389):{'3_1':0.0,'4_1':0.0},(41,388):{'3_1':0.0},(41,387):{'3_1':0.0},(41,386):{'3_1':0.0},(41,385):{'3_1':0.0,'5_2':0.0},(41,384):{'3_1':0.0},(41,383):{'3_1':0.0,'4_1':0.0},(41,382):{'4_1':0.0},(41,381):{'3_1':0.0},(41,380):{'3_1':0.03},(41,379):{'3_1':0.0},(41,378):{'3_1':0.0},(41,377):{'3_1':0.0},(41,376):{'4_1':0.0},(41,375):{'3_1':0.0},(41,374):{'3_1':0.0},(41,373):{'3_1':0.03,'6_3':0.0},(41,372):{'3_1':0.0,'5_1':0.0},(41,370):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(41,369):{'3_1':0.0,'5_1':0.0},(41,368):{'3_1':0.0},(41,367):{'6_1':0.0},(41,366):{'3_1':0.0,'5_1':0.0},(41,363):{'3_1':0.0},(41,362):{'3_1':0.0},(41,361):{'3_1':0.0},(41,360):{'3_1':0.0},(41,359):{'3_1':0.0,'7_1':0.0},(41,358):{'3_1':0.0},(41,357):{'3_1':0.0},(41,356):{'5_1':0.0},(41,355):{'3_1':0.03},(41,354):{'3_1':0.0},(41,353):{'4_1':0.0,'5_1':0.0},(41,352):{'3_1':0.0},(41,351):{'3_1':0.0,'4_1':0.0},(41,350):{'3_1':0.0,'4_1':0.0},(41,346):{'3_1':0.0},(41,345):{'3_1':0.0},(41,344):{'3_1':0.0},(41,343):{'3_1':0.0,'4_1':0.0},(41,342):{'3_1':0.0,'5_1':0.0},(41,341):{'3_1':0.0},(41,340):{'3_1':0.0},(41,339):{'3_1':0.0},(41,338):{'3_1':0.0},(41,337):{'3_1':0.0,'5_1':0.0},(41,336):{'3_1':0.0},(41,335):{'3_1':0.0},(41,333):{'3_1':0.0,'5_1':0.0},(41,332):{'7_1':0.0},(41,331):{'3_1':0.0},(41,330):{'3_1':0.0,'4_1':0.0},(41,329):{'3_1':0.0},(41,328):{'3_1':0.0,'4_1':0.0},(41,326):{'3_1':0.0},(41,324):{'3_1':0.0},(41,323):{'3_1':0.0,'4_1':0.0},(41,322):{'3_1':0.0},(41,321):{'3_1':0.0,'5_1':0.0},(41,320):{'3_1':0.0},(41,319):{'3_1':0.0},(41,318):{'3_1':0.03,'4_1':0.0},(41,317):{'3_1':0.0,'4_1':0.0},(41,316):{'3_1':0.0,'4_1':0.0},(41,315):{'3_1':0.03},(41,314):{'3_1':0.0},(41,312):{'3_1':0.0},(41,310):{'3_1':0.0,'4_1':0.0},(41,309):{'3_1':0.03},(41,308):{'3_1':0.0},(41,307):{'3_1':0.0},(41,306):{'3_1':0.0},(41,305):{'3_1':0.0},(41,304):{'3_1':0.0},(41,303):{'5_1':0.0,'3_1':0.0},(41,302):{'3_1':0.03},(41,301):{'3_1':0.0,'4_1':0.0},(41,300):{'3_1':0.0},(41,299):{'3_1':0.0},(41,298):{'3_1':0.03,'4_1':0.0},(41,297):{'3_1':0.0,'4_1':0.0},(41,296):{'3_1':0.0},(41,295):{'3_1':0.0},(41,294):{'3_1':0.03,'4_1':0.0},(41,292):{'3_1':0.0},(41,290):{'3_1':0.0,'5_1':0.0},(41,289):{'4_1':0.0},(41,288):{'3_1':0.0,'5_2':0.0},(41,287):{'3_1':0.0},(41,285):{'3_1':0.0},(41,284):{'3_1':0.0},(41,283):{'3_1':0.0},(41,281):{'3_1':0.0},(41,280):{'3_1':0.03},(41,279):{'3_1':0.0},(41,278):{'3_1':0.0},(41,277):{'3_1':0.0},(41,276):{'3_1':0.06,'4_1':0.0},(41,275):{'3_1':0.0},(41,274):{'3_1':0.0,'5_1':0.0},(41,273):{'3_1':0.0},(41,272):{'3_1':0.0},(41,271):{'3_1':0.0},(41,270):{'3_1':0.03,'4_1':0.0},(41,269):{'3_1':0.0},(41,268):{'3_1':0.0},(41,267):{'3_1':0.03},(41,266):{'3_1':0.0},(41,265):{'3_1':0.0},(41,264):{'8_14':0.0},(41,263):{'3_1':0.0},(41,261):{'3_1':0.0},(41,260):{'3_1':0.03},(41,259):{'3_1':0.0,'4_1':0.0},(41,258):{'3_1':0.0},(41,257):{'3_1':0.0},(41,256):{'3_1':0.0,'4_1':0.0},(41,255):{'3_1':0.0},(41,253):{'3_1':0.0},(41,252):{'3_1':0.0,'5_1':0.0},(41,251):{'3_1':0.0},(41,250):{'3_1':0.0},(41,249):{'3_1':0.0,'6_1':0.0},(41,248):{'3_1':0.0},(41,247):{'3_1':0.0,'4_1':0.0},(41,246):{'3_1':0.0,'4_1':0.0},(41,245):{'3_1':0.0},(41,244):{'3_1':0.0},(41,243):{'3_1':0.0},(41,240):{'3_1':0.0},(41,239):{'3_1':0.0},(41,238):{'3_1':0.0,'6_1':0.0},(41,237):{'3_1':0.0},(41,236):{'3_1':0.0},(41,235):{'8_1':0.0},(41,234):{'3_1':0.0},(41,233):{'3_1':0.0,'4_1':0.0},(41,232):{'3_1':0.0},(41,231):{'3_1':0.03,'6_1':0.0},(41,230):{'3_1':0.0},(41,229):{'3_1':0.0},(41,228):{'3_1':0.0},(41,226):{'3_1':0.0},(41,225):{'3_1':0.0},(41,223):{'3_1':0.0},(41,222):{'3_1':0.0},(41,221):{'5_2':0.0},(41,219):{'3_1':0.0},(41,218):{'3_1':0.0},(41,217):{'3_1':0.0},(41,215):{'3_1':0.0},(41,214):{'3_1':0.0},(41,211):{'3_1':0.0},(41,210):{'3_1':0.0},(41,209):{'3_1':0.0},(41,207):{'3_1':0.0},(41,206):{'3_1':0.0},(41,205):{'3_1':0.0},(41,204):{'3_1':0.0},(41,203):{'3_1':0.03},(41,202):{'3_1':0.0},(41,201):{'3_1':0.03},(41,199):{'3_1':0.0},(41,198):{'3_1':0.03},(41,197):{'3_1':0.0},(41,196):{'3_1':0.0},(41,195):{'3_1':0.0,'5_1':0.0},(41,194):{'3_1':0.0},(41,193):{'3_1':0.0,'5_1':0.0},(41,192):{'3_1':0.0},(41,191):{'3_1':0.0,'4_1':0.0},(41,189):{'3_1':0.0},(41,188):{'3_1':0.0,'5_1':0.0},(41,187):{'3_1':0.0},(41,185):{'3_1':0.0,'4_1':0.0},(41,182):{'3_1':0.0},(41,181):{'3_1':0.0},(41,180):{'3_1':0.0},(41,179):{'3_1':0.0},(41,178):{'3_1':0.0},(41,177):{'3_1':0.0},(41,176):{'3_1':0.0},(41,175):{'5_1':0.0},(41,174):{'3_1':0.0},(41,172):{'3_1':0.0},(41,171):{'4_1':0.0},(41,169):{'3_1':0.0},(41,168):{'3_1':0.0,'4_1':0.0},(41,166):{'3_1':0.0},(41,163):{'4_1':0.0},(41,162):{'3_1':0.0,'4_1':0.0},(41,161):{'3_1':0.0},(41,160):{'3_1':0.0},(41,159):{'3_1':0.0},(41,157):{'3_1':0.0},(41,153):{'3_1':0.0},(41,146):{'3_1':0.0},(41,144):{'3_1':0.0},(41,142):{'3_1':0.0},(41,141):{'3_1':0.0},(41,139):{'3_1':0.0},(41,138):{'3_1':0.0},(41,137):{'3_1':0.0},(41,136):{'4_1':0.0},(41,133):{'3_1':0.0},(41,129):{'3_1':0.0},(41,127):{'3_1':0.0},(41,82):{'3_1':0.0},(41,81):{'3_1':0.0},(42,727):{'5_2':0.75,'3_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0},(42,726):{'5_2':0.72,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_2':0.0},(42,725):{'5_2':0.78,'7_4':0.06,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0},(42,724):{'5_2':0.72,'-3':0.06,'3_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(42,723):{'5_2':0.78,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0},(42,722):{'5_2':0.78,'-3':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(42,721):{'5_2':0.6,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(42,720):{'5_2':0.75,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_8':0.0},(42,719):{'5_2':0.63,'7_4':0.09,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'8_19':0.0},(42,718):{'5_2':0.63,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'1':-0.03},(42,717):{'5_2':0.81,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0},(42,716):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(42,715):{'5_2':0.69,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0},(42,714):{'5_2':0.78,'3_1':0.03,'7_3':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0,'1':-0.03},(42,713):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_5':0.03,'7_3':0.0,'7_2':0.0},(42,712):{'5_2':0.69,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(42,711):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(42,710):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0},(42,709):{'5_2':0.63,'-3':0.09,'5_1':0.06,'3_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(42,708):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.06,'5_1':0.06,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(42,707):{'5_2':0.72,'7_4':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(42,706):{'5_2':0.6,'3_1':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_2':0.0,'7_3':0.0},(42,705):{'5_2':0.6,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(42,704):{'5_2':0.6,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(42,703):{'5_2':0.63,'-3':0.12,'3_1':0.09,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0},(42,702):{'5_2':0.63,'3_1':0.12,'-3':0.06,'3_1#5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(42,701):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'3_1#5_2':0.0},(42,700):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(42,699):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'2':-0.03},(42,698):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(42,697):{'5_2':0.51,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.03,'7_3':0.0,'8_19':0.0,'1':-0.03},(42,696):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_3':0.0},(42,695):{'5_2':0.51,'3_1':0.18,'-3':0.09,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(42,694):{'5_2':0.54,'3_1':0.12,'-3':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0},(42,693):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(42,692):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(42,691):{'5_2':0.42,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(42,690):{'5_2':0.39,'3_1':0.24,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(42,689):{'5_2':0.45,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(42,688):{'5_2':0.39,'3_1':0.3,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(42,687):{'5_2':0.39,'3_1':0.27,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'1':-0.03},(42,686):{'3_1':0.3,'5_2':0.3,'7_4':0.12,'7_3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0},(42,685):{'3_1':0.42,'5_2':0.3,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(42,684):{'3_1':0.42,'5_2':0.27,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(42,683):{'3_1':0.39,'5_2':0.3,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'1':-0.03},(42,682):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'5_1':0.06,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_8':0.0},(42,681):{'3_1':0.54,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(42,680):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(42,679):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'-3':0.0,'9_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(42,678):{'3_1':0.54,'7_4':0.12,'5_2':0.12,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(42,677):{'3_1':0.6,'5_2':0.15,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(42,676):{'3_1':0.6,'5_2':0.15,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0},(42,675):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(42,674):{'3_1':0.69,'7_4':0.09,'5_2':0.06,'-3':0.03,'7_7':0.0},(42,673):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0},(42,672):{'3_1':0.63,'5_2':0.12,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0},(42,671):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(42,670):{'3_1':0.72,'7_4':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,669):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(42,668):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0},(42,667):{'3_1':0.66,'5_2':0.12,'7_4':0.09,'5_1':0.0,'-3':0.0,'7_7':0.0},(42,666):{'3_1':0.69,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0},(42,665):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(42,664):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(42,663):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(42,662):{'3_1':0.69,'5_2':0.15,'7_4':0.03,'7_6':0.0,'5_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(42,661):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(42,660):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(42,659):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(42,658):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,657):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(42,656):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(42,655):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(42,654):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(42,653):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(42,652):{'3_1':0.45,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(42,651):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_4':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,650):{'3_1':0.45,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0},(42,649):{'3_1':0.42,'5_2':0.15,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(42,648):{'3_1':0.45,'5_2':0.18,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(42,647):{'3_1':0.39,'5_2':0.21,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(42,646):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(42,645):{'3_1':0.45,'5_2':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(42,644):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_4':0.03,'7_6':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(42,643):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(42,642):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(42,641):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'7_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'8_15':0.0},(42,640):{'5_2':0.18,'3_1':0.15,'5_1':0.06,'7_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(42,639):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0},(42,638):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(42,637):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(42,636):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(42,635):{'3_1':0.18,'5_2':0.15,'4_1':0.0,'5_1':0.0,'8_19':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(42,634):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'-3':0.0,'6_1':0.0,'8_15':0.0},(42,633):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(42,632):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(42,631):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(42,630):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(42,629):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(42,628):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0},(42,627):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0},(42,626):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(42,625):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0},(42,624):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(42,623):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(42,622):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0},(42,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(42,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(42,619):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(42,618):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'1':-0.03},(42,617):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(42,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_10':0.0,'-3':0.0},(42,615):{'3_1':0.15,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_3':0.0},(42,614):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(42,613):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0},(42,612):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0},(42,611):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03},(42,610):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0},(42,609):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(42,608):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(42,607):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_3':0.0,'8_19':0.0,'7_7':0.0},(42,606):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(42,605):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(42,604):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(42,603):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(42,602):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(42,601):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(42,600):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(42,599):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(42,598):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0},(42,597):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'6_1':0.0,'7_7':0.0},(42,596):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(42,595):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(42,594):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(42,593):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(42,592):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(42,591):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(42,590):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(42,589):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(42,588):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0},(42,587):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(42,586):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(42,585):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(42,584):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_16':0.0,'3_1#5_2':0.0},(42,583):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(42,582):{'4_1':0.15,'5_2':0.0,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_7':0.0},(42,581):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(42,580):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(42,579):{'4_1':0.21,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0},(42,578):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(42,577):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0},(42,576):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(42,575):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(42,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0},(42,573):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(42,572):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(42,571):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(42,570):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'8_19':0.0},(42,569):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(42,568):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(42,567):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(42,566):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,565):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0},(42,564):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0},(42,563):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(42,562):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(42,561):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0},(42,560):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(42,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(42,558):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(42,557):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(42,556):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(42,555):{'3_1':0.09,'5_2':0.0},(42,554):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(42,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(42,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(42,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(42,550):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(42,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(42,548):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(42,547):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(42,546):{'3_1':0.15,'4_1':0.0,'8_21|3_1#4_1':0.0},(42,545):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'-3':0.0},(42,544):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(42,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(42,542):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(42,541):{'3_1':0.12,'4_1':0.0,'8_19':0.0},(42,540):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_5':0.0},(42,539):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0},(42,538):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(42,537):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'8_5':0.0,'-3':0.0},(42,536):{'3_1':0.15,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(42,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(42,534):{'3_1':0.09,'4_1':0.0},(42,533):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,532):{'3_1':0.15},(42,531):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(42,530):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0},(42,528):{'3_1':0.09,'4_1':0.0,'-3':0.0},(42,527):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(42,526):{'3_1':0.09,'4_1':0.0,'8_19':0.0},(42,525):{'3_1':0.0,'4_1':0.0},(42,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(42,523):{'3_1':0.09},(42,522):{'3_1':0.03,'4_1':0.0},(42,521):{'3_1':0.03,'5_2':0.0},(42,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,519):{'3_1':0.09,'6_1':0.0,'7_1':0.0,'7_7':0.0},(42,518):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,517):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,516):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(42,515):{'4_1':0.0,'3_1':0.0},(42,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(42,513):{'3_1':0.09,'4_1':0.0},(42,512):{'3_1':0.03,'4_1':0.0},(42,511):{'3_1':0.03},(42,510):{'3_1':0.0},(42,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(42,508):{'3_1':0.03,'5_2':0.0},(42,507):{'3_1':0.03,'4_1':0.0},(42,506):{'3_1':0.0,'4_1':0.0},(42,505):{'3_1':0.0,'4_1':0.0},(42,504):{'3_1':0.06},(42,503):{'3_1':0.0},(42,502):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(42,501):{'3_1':0.0},(42,500):{'3_1':0.0,'4_1':0.0},(42,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,498):{'3_1':0.0},(42,497):{'3_1':0.0,'7_1':0.0},(42,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,495):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(42,494):{'3_1':0.03,'5_1':0.0},(42,493):{'4_1':0.0,'3_1':0.0},(42,492):{'3_1':0.03,'5_1':0.0},(42,491):{'3_1':0.0,'5_1':0.0},(42,490):{'3_1':0.0,'4_1':0.0},(42,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,488):{'3_1':0.0},(42,487):{'3_1':0.06,'5_2':0.0,'8_19':0.0},(42,486):{'3_1':0.06,'4_1':0.0},(42,485):{'3_1':0.03,'5_2':0.0},(42,484):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(42,483):{'3_1':0.03,'4_1':0.0},(42,482):{'3_1':0.06},(42,481):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,480):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(42,479):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(42,478):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'9_1':0.0},(42,477):{'3_1':0.03,'4_1':0.0},(42,476):{'3_1':0.06,'5_1':0.0},(42,475):{'3_1':0.06,'5_1':0.0},(42,474):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(42,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(42,472):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(42,471):{'3_1':0.06},(42,470):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,469):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(42,467):{'3_1':0.0,'5_1':0.0,'-3':0.0},(42,466):{'3_1':0.0,'4_1':0.0},(42,465):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(42,464):{'3_1':0.0,'4_1':0.0},(42,463):{'3_1':0.0},(42,462):{'3_1':0.0,'4_1':0.0},(42,460):{'3_1':0.0,'4_1':0.0},(42,459):{'3_1':0.0},(42,458):{'3_1':0.03,'5_1':0.0},(42,457):{'3_1':0.0},(42,456):{'3_1':0.0,'4_1':0.0},(42,455):{'3_1':0.0,'4_1':0.0},(42,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(42,453):{'3_1':0.0,'5_1':0.0},(42,452):{'3_1':0.0,'5_1':0.0},(42,451):{'3_1':0.03,'4_1':0.0},(42,450):{'3_1':0.0,'5_1':0.0},(42,449):{'3_1':0.03,'6_3':0.0},(42,448):{'3_1':0.03},(42,447):{'3_1':0.03},(42,446):{'3_1':0.0},(42,445):{'3_1':0.0,'4_1':0.0},(42,444):{'3_1':0.06},(42,443):{'3_1':0.0},(42,442):{'3_1':0.0},(42,441):{'3_1':0.03},(42,440):{'3_1':0.0,'4_1':0.0},(42,439):{'3_1':0.06,'5_2':0.0},(42,438):{'3_1':0.0},(42,437):{'3_1':0.0},(42,436):{'3_1':0.06,'5_1':0.0},(42,435):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,434):{'3_1':0.06,'5_1':0.0},(42,433):{'3_1':0.06,'5_2':0.0},(42,432):{'3_1':0.06,'5_1':0.0},(42,431):{'3_1':0.03},(42,430):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,429):{'3_1':0.0,'4_1':0.0},(42,428):{'3_1':0.06},(42,427):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(42,426):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(42,425):{'3_1':0.0,'4_1':0.0},(42,424):{'3_1':0.03,'5_2':0.0},(42,423):{'3_1':0.06,'5_2':0.0},(42,422):{'3_1':0.03},(42,421):{'3_1':0.03,'5_1':0.0},(42,420):{'3_1':0.06},(42,419):{'3_1':0.03},(42,418):{'3_1':0.09},(42,417):{'3_1':0.0},(42,416):{'3_1':0.0,'5_1':0.0},(42,415):{'3_1':0.06},(42,414):{'3_1':0.03},(42,413):{'3_1':0.03,'4_1':0.0},(42,412):{'3_1':0.03},(42,411):{'3_1':0.0,'5_2':0.0},(42,410):{'3_1':0.0,'5_1':0.0},(42,409):{'3_1':0.0,'6_2':0.0},(42,408):{'3_1':0.0},(42,407):{'3_1':0.0},(42,406):{'3_1':0.0,'7_5':0.0},(42,405):{'3_1':0.0},(42,404):{'3_1':0.0},(42,402):{'3_1':0.0},(42,399):{'3_1':0.0},(42,398):{'3_1':0.0,'5_2':0.0},(42,397):{'3_1':0.0},(42,396):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(42,395):{'3_1':0.03},(42,394):{'3_1':0.0},(42,393):{'3_1':0.0,'5_1':0.0},(42,392):{'3_1':0.0},(42,390):{'3_1':0.03},(42,389):{'3_1':0.0},(42,384):{'3_1':0.03},(42,383):{'3_1':0.03},(42,382):{'3_1':0.03},(42,381):{'3_1':0.0},(42,380):{'3_1':0.03},(42,379):{'3_1':0.0},(42,378):{'3_1':0.03,'4_1':0.0},(42,377):{'3_1':0.0,'4_1':0.0},(42,376):{'3_1':0.03,'6_2':0.0},(42,375):{'3_1':0.03},(42,374):{'3_1':0.03},(42,373):{'3_1':0.0},(42,372):{'3_1':0.0,'5_2':0.0},(42,371):{'3_1':0.03},(42,370):{'3_1':0.0,'4_1':0.0},(42,369):{'3_1':0.0},(42,367):{'3_1':0.0},(42,366):{'3_1':0.03},(42,365):{'3_1':0.0},(42,364):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(42,363):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,362):{'3_1':0.0},(42,361):{'3_1':0.0},(42,360):{'3_1':0.0},(42,359):{'3_1':0.0,'7_1':0.0},(42,356):{'3_1':0.0},(42,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,354):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(42,353):{'3_1':0.0},(42,352):{'3_1':0.0,'4_1':0.0},(42,351):{'3_1':0.03},(42,350):{'4_1':0.0,'3_1':0.0},(42,349):{'3_1':0.0},(42,348):{'3_1':0.0,'5_2':0.0},(42,347):{'3_1':0.0},(42,346):{'3_1':0.03},(42,345):{'3_1':0.0},(42,344):{'3_1':0.0},(42,343):{'3_1':0.0,'5_1':0.0},(42,342):{'3_1':0.0,'4_1':0.0},(42,341):{'3_1':0.0,'5_1':0.0},(42,339):{'3_1':0.0},(42,338):{'3_1':0.0},(42,336):{'3_1':0.03},(42,335):{'3_1':0.03},(42,333):{'3_1':0.0},(42,331):{'3_1':0.03},(42,330):{'3_1':0.0},(42,329):{'3_1':0.0},(42,328):{'3_1':0.0,'7_1':0.0},(42,327):{'3_1':0.0},(42,326):{'3_1':0.0},(42,325):{'3_1':0.0,'4_1':0.0},(42,324):{'3_1':0.0},(42,323):{'3_1':0.0},(42,322):{'3_1':0.0},(42,320):{'3_1':0.0},(42,319):{'3_1':0.0,'5_1':0.0},(42,318):{'3_1':0.03,'4_1':0.0},(42,317):{'3_1':0.03,'5_2':0.0},(42,316):{'3_1':0.03,'6_2':0.0},(42,315):{'3_1':0.0},(42,314):{'3_1':0.0},(42,313):{'3_1':0.0},(42,312):{'3_1':0.0},(42,311):{'3_1':0.0,'6_3':0.0},(42,310):{'3_1':0.03,'5_1':0.0},(42,309):{'3_1':0.03},(42,308):{'3_1':0.0},(42,307):{'3_1':0.0},(42,306):{'3_1':0.0,'6_2':0.0},(42,305):{'3_1':0.0},(42,304):{'3_1':0.0},(42,303):{'3_1':0.0},(42,302):{'3_1':0.03},(42,301):{'3_1':0.0},(42,300):{'3_1':0.0},(42,299):{'3_1':0.0},(42,298):{'3_1':0.0},(42,297):{'3_1':0.03},(42,296):{'3_1':0.0},(42,295):{'3_1':0.0,'4_1':0.0},(42,294):{'3_1':0.0},(42,293):{'3_1':0.0},(42,292):{'3_1':0.0},(42,291):{'3_1':0.0},(42,290):{'6_2':0.0},(42,289):{'3_1':0.0},(42,288):{'3_1':0.03,'4_1':0.0},(42,287):{'3_1':0.0},(42,286):{'3_1':0.0},(42,285):{'8_20|3_1#3_1':0.0},(42,284):{'3_1':0.0},(42,283):{'5_1':0.0},(42,282):{'3_1':0.0},(42,281):{'3_1':0.0},(42,280):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(42,279):{'3_1':0.0},(42,278):{'3_1':0.03,'4_1':0.0},(42,277):{'3_1':0.03,'5_1':0.0},(42,276):{'3_1':0.03},(42,275):{'3_1':0.0},(42,273):{'3_1':0.0},(42,272):{'3_1':0.0},(42,271):{'3_1':0.0},(42,270):{'3_1':0.0},(42,269):{'3_1':0.0,'5_2':0.0},(42,268):{'3_1':0.0},(42,267):{'3_1':0.0},(42,266):{'3_1':0.0},(42,265):{'3_1':0.0},(42,264):{'3_1':0.0},(42,263):{'3_1':0.0},(42,262):{'3_1':0.0},(42,261):{'3_1':0.0},(42,259):{'3_1':0.0},(42,256):{'3_1':0.0},(42,254):{'3_1':0.0},(42,253):{'3_1':0.0},(42,252):{'3_1':0.0},(42,251):{'3_1':0.0},(42,250):{'5_2':0.0},(42,248):{'3_1':0.0},(42,247):{'3_1':0.0},(42,244):{'3_1':0.0},(42,243):{'3_1':0.0},(42,242):{'3_1':0.0},(42,241):{'3_1':0.0,'5_1':0.0},(42,240):{'3_1':0.0},(42,239):{'3_1':0.0},(42,238):{'3_1':0.0},(42,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,235):{'3_1':0.03},(42,234):{'3_1':0.0},(42,232):{'3_1':0.0},(42,231):{'3_1':0.0},(42,230):{'3_1':0.0},(42,227):{'3_1':0.0},(42,226):{'3_1':0.0},(42,225):{'3_1':0.0},(42,223):{'3_1':0.0},(42,222):{'3_1':0.03},(42,220):{'3_1':0.0},(42,217):{'3_1':0.0},(42,215):{'3_1':0.0},(42,214):{'3_1':0.0},(42,213):{'3_1':0.0},(42,210):{'3_1':0.0},(42,209):{'3_1':0.0},(42,208):{'3_1':0.0},(42,207):{'3_1':0.0,'5_2':0.0},(42,206):{'3_1':0.03},(42,203):{'3_1':0.0},(42,202):{'3_1':0.0},(42,201):{'3_1':0.0},(42,200):{'3_1':0.0},(42,198):{'3_1':0.0},(42,197):{'3_1':0.03},(42,196):{'3_1':0.0},(42,195):{'3_1':0.0},(42,193):{'3_1':0.0},(42,192):{'3_1':0.0},(42,191):{'3_1':0.0},(42,190):{'3_1':0.0},(42,188):{'3_1':0.0},(42,187):{'3_1':0.0},(42,184):{'3_1':0.0},(42,183):{'3_1':0.0},(42,182):{'3_1':0.0},(42,181):{'3_1':0.0},(42,180):{'3_1':0.0},(42,179):{'3_1':0.0},(42,176):{'3_1':0.0},(42,175):{'5_1':0.0},(42,174):{'3_1':0.0},(42,172):{'3_1':0.0},(42,170):{'3_1':0.0},(42,168):{'3_1':0.0},(42,166):{'3_1':0.0},(42,164):{'3_1':0.03},(42,163):{'3_1':0.0},(42,162):{'3_1':0.0},(42,161):{'3_1':0.0},(42,160):{'3_1':0.0},(42,159):{'3_1':0.0},(42,158):{'3_1':0.03},(42,157):{'3_1':0.0},(42,156):{'3_1':0.0},(42,154):{'3_1':0.0},(42,153):{'3_1':0.0},(42,152):{'3_1':0.0},(42,148):{'3_1':0.0},(42,146):{'3_1':0.0},(42,145):{'3_1':0.0},(42,144):{'3_1':0.0},(42,143):{'3_1':0.0},(42,142):{'3_1':0.0},(42,140):{'3_1':0.0},(42,138):{'3_1':0.0,'5_1':0.0},(42,137):{'3_1':0.0},(42,136):{'3_1':0.0},(42,135):{'3_1':0.0,'7_1':0.0},(42,132):{'3_1':0.0},(42,131):{'5_1':0.0},(42,130):{'4_1':0.0},(42,124):{'3_1':0.0},(42,88):{'3_1':0.0},(43,727):{'5_2':0.75,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(43,726):{'5_2':0.72,'-3':0.09,'3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'1':-0.03},(43,725):{'5_2':0.72,'3_1':0.06,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0},(43,724):{'5_2':0.66,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0},(43,723):{'5_2':0.72,'-3':0.09,'7_4':0.03,'7_5':0.0,'7_3':0.0,'3_1':0.0,'7_2':0.0,'8_13':0.0},(43,722):{'5_2':0.75,'5_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(43,721):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(43,720):{'5_2':0.75,'7_4':0.06,'3_1':0.0,'-3':0.0,'5_1':0.0,'8_8':0.0},(43,719):{'5_2':0.66,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0},(43,718):{'5_2':0.75,'3_1':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0},(43,717):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(43,716):{'5_2':0.78,'7_4':0.06,'5_1':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(43,715):{'5_2':0.72,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(43,714):{'5_2':0.75,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0},(43,713):{'5_2':0.57,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0},(43,712):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(43,711):{'5_2':0.6,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.0,'8_15':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(43,710):{'5_2':0.54,'7_5':0.09,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(43,709):{'5_2':0.6,'-3':0.18,'3_1':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(43,708):{'5_2':0.63,'5_1':0.09,'-3':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(43,707):{'5_2':0.66,'3_1':0.09,'-3':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(43,706):{'5_2':0.48,'3_1':0.18,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(43,705):{'5_2':0.6,'3_1':0.12,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(43,704):{'5_2':0.57,'3_1':0.12,'-3':0.06,'3_1#5_2':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0},(43,703):{'5_2':0.63,'-3':0.09,'7_4':0.09,'3_1':0.03,'7_6':0.0,'7_3':0.0,'7_5':0.0},(43,702):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(43,701):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(43,700):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(43,699):{'5_2':0.57,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(43,698):{'5_2':0.57,'3_1':0.12,'-3':0.09,'3_1#5_2':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(43,697):{'5_2':0.45,'3_1':0.15,'-3':0.09,'5_1':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(43,696):{'5_2':0.45,'3_1':0.18,'7_4':0.09,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(43,695):{'5_2':0.45,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(43,694):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0},(43,693):{'5_2':0.42,'3_1':0.18,'7_3':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0},(43,692):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.09,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(43,691):{'5_2':0.36,'3_1':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'1':-0.03},(43,690):{'5_2':0.39,'3_1':0.24,'-3':0.09,'7_3':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'3_1#5_1':0.0},(43,689):{'3_1':0.3,'5_2':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'1':-0.03},(43,688):{'5_2':0.39,'3_1':0.21,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'1':-0.03},(43,687):{'5_2':0.33,'3_1':0.27,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_2':0.0,'8_14':0.0,'1':-0.03},(43,686):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(43,685):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(43,684):{'3_1':0.42,'5_2':0.27,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(43,683):{'3_1':0.36,'5_2':0.27,'7_4':0.12,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(43,682):{'3_1':0.51,'5_2':0.21,'7_4':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_8':0.0,'3_1#5_2':0.0},(43,681):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(43,680):{'3_1':0.54,'5_2':0.21,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(43,679):{'3_1':0.48,'5_2':0.21,'7_4':0.12,'-3':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(43,678):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(43,677):{'3_1':0.57,'5_2':0.15,'7_4':0.09,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(43,676):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(43,675):{'3_1':0.57,'7_4':0.12,'5_2':0.09,'-3':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(43,674):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_15':0.0},(43,673):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(43,672):{'3_1':0.66,'5_2':0.09,'7_4':0.09,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(43,671):{'3_1':0.66,'7_4':0.09,'5_2':0.09,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_5':0.0},(43,670):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(43,669):{'3_1':0.75,'5_2':0.06,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,668):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(43,667):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0},(43,666):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(43,665):{'3_1':0.75,'5_2':0.06,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0},(43,664):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(43,663):{'3_1':0.57,'5_2':0.21,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(43,662):{'3_1':0.6,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(43,661):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(43,660):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,659):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(43,658):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(43,657):{'3_1':0.6,'5_2':0.15,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(43,656):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(43,655):{'3_1':0.63,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,654):{'3_1':0.63,'5_2':0.09,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(43,653):{'3_1':0.57,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0},(43,652):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(43,651):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(43,650):{'3_1':0.57,'5_2':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(43,649):{'3_1':0.42,'5_2':0.12,'5_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(43,648):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(43,647):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'-3':0.0},(43,646):{'3_1':0.33,'5_2':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(43,645):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'7_4':0.0,'-3':0.0,'3_1#5_1':0.0,'4_1':0.0},(43,644):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(43,643):{'3_1':0.33,'5_1':0.09,'5_2':0.09,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0},(43,642):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(43,641):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_16':0.0},(43,640):{'5_2':0.18,'3_1':0.18,'5_1':0.03,'7_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0},(43,639):{'3_1':0.18,'5_2':0.09,'-3':0.0,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(43,638):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(43,637):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(43,636):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(43,635):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(43,634):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(43,633):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(43,632):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(43,631):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0},(43,630):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(43,629):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0},(43,628):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(43,627):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0,'8_6':0.0},(43,626):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0},(43,625):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_15':0.0},(43,624):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(43,623):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(43,622):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(43,621):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(43,620):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(43,619):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(43,618):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(43,617):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'8_4':0.0},(43,616):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(43,615):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(43,614):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(43,613):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(43,612):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(43,611):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(43,610):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(43,609):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'8_19':0.0},(43,608):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(43,607):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(43,606):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(43,605):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(43,604):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,603):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(43,602):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(43,601):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(43,600):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(43,599):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(43,598):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(43,597):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_2':0.0},(43,596):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_1':0.0},(43,595):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(43,594):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(43,593):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(43,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(43,591):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'-3':0.0},(43,590):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(43,589):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(43,588):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(43,587):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'8_12':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(43,586):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(43,585):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_6':0.0,'8_16':0.0},(43,584):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(43,583):{'4_1':0.12,'3_1':0.03,'7_1':0.0,'5_2':0.0,'6_1':0.0},(43,582):{'4_1':0.18,'3_1':0.03,'7_1':0.0},(43,581):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(43,580):{'4_1':0.18,'5_1':0.0,'5_2':0.0,'8_9':0.0},(43,579):{'4_1':0.12,'6_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(43,578):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0},(43,577):{'4_1':0.21,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0},(43,576):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_17':0.0},(43,575):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(43,574):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0},(43,573):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(43,572):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0},(43,571):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(43,570):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(43,569):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(43,568):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0},(43,567):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'3_1#5_1':0.0},(43,566):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'7_1':0.0},(43,565):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(43,564):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(43,563):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0},(43,562):{'4_1':0.06,'3_1':0.03},(43,561):{'3_1':0.06,'4_1':0.0},(43,560):{'4_1':0.09,'3_1':0.03,'5_1':0.0},(43,559):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0},(43,558):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(43,557):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(43,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(43,555):{'3_1':0.06,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(43,554):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(43,553):{'3_1':0.09,'4_1':0.03},(43,552):{'3_1':0.12,'4_1':0.0},(43,551):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(43,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(43,549):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(43,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(43,547):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(43,546):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(43,545):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(43,544):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_2':0.0},(43,543):{'3_1':0.12,'5_1':0.0},(43,542):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(43,541):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(43,540):{'3_1':0.09,'4_1':0.0},(43,539):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(43,538):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(43,537):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(43,536):{'3_1':0.24,'4_1':0.0,'8_19':0.0},(43,535):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(43,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(43,533):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(43,532):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(43,531):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(43,530):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(43,529):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0},(43,528):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(43,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(43,526):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(43,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(43,524):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(43,523):{'3_1':0.0,'4_1':0.0},(43,522):{'3_1':0.03,'5_1':0.0},(43,521):{'3_1':0.03,'4_1':0.0},(43,520):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(43,519):{'3_1':0.03,'6_1':0.0},(43,518):{'3_1':0.03},(43,517):{'4_1':0.03,'3_1':0.03},(43,516):{'3_1':0.03,'5_2':0.0},(43,515):{'3_1':0.03,'4_1':0.0},(43,514):{'3_1':0.0,'4_1':0.0},(43,513):{'3_1':0.09},(43,512):{'3_1':0.03,'4_1':0.0},(43,511):{'3_1':0.0,'5_1':0.0},(43,510):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(43,509):{'3_1':0.03},(43,508):{'3_1':0.0,'4_1':0.0},(43,507):{'3_1':0.03,'4_1':0.0},(43,506):{'3_1':0.0},(43,505):{'3_1':0.03},(43,504):{'3_1':0.0,'5_1':0.0},(43,503):{'4_1':0.0,'5_1':0.0},(43,502):{'3_1':0.0},(43,501):{'3_1':0.03},(43,500):{'3_1':0.0,'4_1':0.0},(43,499):{'3_1':0.03},(43,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(43,497):{'3_1':0.03},(43,496):{'3_1':0.03,'4_1':0.0},(43,495):{'3_1':0.0},(43,494):{'3_1':0.06},(43,493):{'3_1':0.03},(43,492):{'3_1':0.03,'5_1':0.0},(43,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(43,490):{'6_1':0.0,'3_1':0.0},(43,489):{'3_1':0.06},(43,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,487):{'3_1':0.06,'4_1':0.0},(43,486):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,485):{'3_1':0.03,'7_1':0.0},(43,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(43,483):{'3_1':0.06,'4_1':0.03},(43,482):{'3_1':0.03,'5_1':0.0},(43,481):{'3_1':0.03,'7_5':0.0},(43,480):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(43,479):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(43,478):{'3_1':0.03},(43,477):{'3_1':0.06,'4_1':0.0},(43,476):{'3_1':0.09,'4_1':0.0,'7_5':0.0},(43,475):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(43,474):{'3_1':0.06},(43,473):{'3_1':0.03},(43,472):{'3_1':0.03},(43,471):{'3_1':0.06,'4_1':0.0},(43,470):{'3_1':0.0},(43,469):{'5_1':0.0},(43,468):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(43,467):{'3_1':0.03,'5_2':0.0},(43,466):{'3_1':0.03,'4_1':0.0},(43,465):{'3_1':0.0,'4_1':0.0},(43,464):{'3_1':0.0},(43,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,462):{'3_1':0.06},(43,461):{'3_1':0.03,'4_1':0.0},(43,460):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(43,459):{'3_1':0.03,'5_2':0.0},(43,458):{'3_1':0.03,'4_1':0.0},(43,457):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(43,456):{'3_1':0.0},(43,455):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(43,454):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(43,453):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(43,452):{'3_1':0.03},(43,451):{'3_1':0.0,'6_1':0.0},(43,450):{'3_1':0.06},(43,449):{'3_1':0.03,'5_2':0.0},(43,448):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(43,447):{'4_1':0.0},(43,446):{'3_1':0.0},(43,445):{'3_1':0.0,'4_1':0.0},(43,444):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(43,443):{'3_1':0.03,'4_1':0.0},(43,442):{'3_1':0.03},(43,441):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(43,440):{'3_1':0.0},(43,439):{'3_1':0.03,'8_20|3_1#3_1':0.0},(43,438):{'3_1':0.03,'5_2':0.0},(43,437):{'3_1':0.0,'4_1':0.0},(43,436):{'3_1':0.06,'4_1':0.0},(43,435):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(43,434):{'3_1':0.0,'4_1':0.0},(43,433):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(43,432):{'3_1':0.09,'5_1':0.0},(43,431):{'3_1':0.06,'5_2':0.0},(43,430):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(43,429):{'3_1':0.03},(43,428):{'3_1':0.06,'5_1':0.0},(43,427):{'3_1':0.06,'4_1':0.0},(43,426):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(43,425):{'3_1':0.06,'5_2':0.0},(43,424):{'3_1':0.06},(43,423):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(43,422):{'3_1':0.03},(43,421):{'3_1':0.03},(43,420):{'3_1':0.03,'5_1':0.0},(43,418):{'3_1':0.0},(43,417):{'3_1':0.0},(43,416):{'3_1':0.03},(43,415):{'3_1':0.03,'5_2':0.0},(43,414):{'3_1':0.06},(43,413):{'3_1':0.06},(43,412):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(43,411):{'3_1':0.03},(43,410):{'3_1':0.0},(43,409):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(43,408):{'3_1':0.03},(43,407):{'3_1':0.0,'5_1':0.0},(43,406):{'3_1':0.03},(43,405):{'3_1':0.03},(43,404):{'3_1':0.0,'5_2':0.0},(43,403):{'3_1':0.03,'4_1':0.0},(43,402):{'3_1':0.0},(43,401):{'3_1':0.0},(43,400):{'3_1':0.0},(43,399):{'3_1':0.0},(43,398):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(43,397):{'3_1':0.0},(43,396):{'3_1':0.03},(43,395):{'3_1':0.06},(43,394):{'3_1':0.0},(43,393):{'3_1':0.03,'5_2':0.0},(43,392):{'3_1':0.0},(43,391):{'3_1':0.03},(43,390):{'3_1':0.0},(43,389):{'3_1':0.0},(43,388):{'3_1':0.0},(43,387):{'3_1':0.0,'5_2':0.0},(43,386):{'3_1':0.0,'4_1':0.0},(43,385):{'3_1':0.0},(43,384):{'3_1':0.0},(43,383):{'3_1':0.0,'5_2':0.0},(43,382):{'3_1':0.0},(43,381):{'3_1':0.0},(43,380):{'3_1':0.0},(43,379):{'3_1':0.0},(43,378):{'3_1':0.03},(43,377):{'3_1':0.03},(43,376):{'3_1':0.03},(43,375):{'3_1':0.0,'4_1':0.0},(43,374):{'3_1':0.03,'5_2':0.0},(43,373):{'3_1':0.0},(43,372):{'3_1':0.0},(43,371):{'3_1':0.0,'7_4':0.0},(43,370):{'4_1':0.03,'3_1':0.0},(43,369):{'3_1':0.03},(43,366):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(43,365):{'4_1':0.0},(43,364):{'3_1':0.0},(43,363):{'5_1':0.0},(43,362):{'3_1':0.0},(43,361):{'4_1':0.0},(43,360):{'3_1':0.0,'4_1':0.0},(43,359):{'3_1':0.0,'4_1':0.0},(43,358):{'3_1':0.0},(43,357):{'3_1':0.0,'5_1':0.0},(43,355):{'3_1':0.03},(43,354):{'3_1':0.0,'4_1':0.0},(43,353):{'3_1':0.0},(43,352):{'3_1':0.0,'4_1':0.0},(43,351):{'3_1':0.0},(43,350):{'3_1':0.0},(43,349):{'3_1':0.03},(43,348):{'3_1':0.0,'7_5':0.0},(43,347):{'3_1':0.0},(43,346):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(43,345):{'3_1':0.0},(43,344):{'3_1':0.0},(43,343):{'3_1':0.0},(43,340):{'3_1':0.03},(43,339):{'4_1':0.0,'5_1':0.0},(43,338):{'3_1':0.0},(43,335):{'3_1':0.0},(43,334):{'4_1':0.0},(43,333):{'3_1':0.0},(43,332):{'5_1':0.0},(43,330):{'5_2':0.0},(43,329):{'3_1':0.0},(43,328):{'3_1':0.0},(43,327):{'3_1':0.0},(43,326):{'3_1':0.0,'4_1':0.0},(43,325):{'3_1':0.0},(43,324):{'4_1':0.0},(43,322):{'3_1':0.0,'5_1':0.0},(43,321):{'3_1':0.03},(43,320):{'3_1':0.0,'5_2':0.0},(43,319):{'3_1':0.0},(43,318):{'3_1':0.06},(43,317):{'3_1':0.0},(43,316):{'3_1':0.0},(43,315):{'3_1':0.0},(43,314):{'3_1':0.0,'5_1':0.0},(43,313):{'3_1':0.0},(43,312):{'3_1':0.0,'5_1':0.0},(43,311):{'3_1':0.0},(43,309):{'3_1':0.0},(43,307):{'3_1':0.0},(43,306):{'3_1':0.0},(43,304):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(43,303):{'3_1':0.0,'4_1':0.0},(43,302):{'3_1':0.0},(43,301):{'3_1':0.0},(43,300):{'3_1':0.0,'4_1':0.0},(43,299):{'3_1':0.0,'4_1':0.0},(43,298):{'3_1':0.03,'4_1':0.0},(43,297):{'3_1':0.0},(43,296):{'4_1':0.0},(43,295):{'3_1':0.0},(43,294):{'3_1':0.0},(43,293):{'4_1':0.0},(43,292):{'3_1':0.0},(43,291):{'3_1':0.0,'4_1':0.0},(43,289):{'3_1':0.0},(43,288):{'3_1':0.0,'4_1':0.0},(43,287):{'3_1':0.0,'4_1':0.0},(43,286):{'3_1':0.0,'4_1':0.0},(43,284):{'3_1':0.0,'4_1':0.0},(43,283):{'3_1':0.0},(43,282):{'3_1':0.0},(43,281):{'3_1':0.0},(43,280):{'3_1':0.0},(43,279):{'3_1':0.0,'4_1':0.0},(43,278):{'3_1':0.0,'5_1':0.0},(43,277):{'3_1':0.03},(43,276):{'3_1':0.06,'6_1':0.0},(43,275):{'3_1':0.06},(43,274):{'3_1':0.0},(43,273):{'3_1':0.03},(43,272):{'3_1':0.0},(43,271):{'3_1':0.0,'4_1':0.0},(43,270):{'3_1':0.03},(43,269):{'3_1':0.0},(43,268):{'3_1':0.0,'4_1':0.0},(43,267):{'3_1':0.0,'6_1':0.0},(43,265):{'3_1':0.0},(43,264):{'3_1':0.0,'5_1':0.0},(43,263):{'3_1':0.0,'4_1':0.0},(43,262):{'3_1':0.0},(43,261):{'3_1':0.03},(43,260):{'3_1':0.03,'5_1':0.0},(43,259):{'3_1':0.0},(43,257):{'3_1':0.0},(43,255):{'3_1':0.0,'5_1':0.0},(43,254):{'3_1':0.0},(43,253):{'3_1':0.03},(43,252):{'3_1':0.0},(43,251):{'3_1':0.0},(43,250):{'3_1':0.0},(43,249):{'3_1':0.0,'5_2':0.0},(43,248):{'3_1':0.0},(43,247):{'3_1':0.0,'4_1':0.0},(43,246):{'5_2':0.0},(43,245):{'3_1':0.0},(43,244):{'3_1':0.0},(43,242):{'3_1':0.0,'5_1':0.0},(43,241):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(43,240):{'3_1':0.0},(43,239):{'3_1':0.0},(43,238):{'3_1':0.0,'4_1':0.0},(43,237):{'3_1':0.0},(43,236):{'3_1':0.0},(43,235):{'3_1':0.0},(43,234):{'3_1':0.0},(43,233):{'3_1':0.0},(43,232):{'3_1':0.0},(43,231):{'3_1':0.0},(43,230):{'3_1':0.0},(43,229):{'3_1':0.0},(43,227):{'3_1':0.0},(43,224):{'3_1':0.0},(43,223):{'3_1':0.0},(43,222):{'3_1':0.0},(43,221):{'3_1':0.0},(43,220):{'3_1':0.0},(43,219):{'3_1':0.0},(43,218):{'3_1':0.0},(43,217):{'3_1':0.0},(43,216):{'3_1':0.0},(43,214):{'3_1':0.0},(43,211):{'3_1':0.0},(43,210):{'3_1':0.0},(43,209):{'3_1':0.0},(43,208):{'3_1':0.0,'5_2':0.0},(43,207):{'3_1':0.0},(43,206):{'3_1':0.0},(43,205):{'3_1':0.0},(43,204):{'3_1':0.0},(43,203):{'3_1':0.0},(43,201):{'3_1':0.0},(43,200):{'3_1':0.0},(43,199):{'3_1':0.0},(43,198):{'3_1':0.0},(43,197):{'3_1':0.03},(43,196):{'3_1':0.03},(43,195):{'3_1':0.03},(43,194):{'3_1':0.0},(43,193):{'3_1':0.0},(43,192):{'3_1':0.0},(43,191):{'4_1':0.0,'3_1':0.0},(43,189):{'3_1':0.0},(43,188):{'3_1':0.0},(43,187):{'3_1':0.0,'4_1':0.0},(43,186):{'3_1':0.03},(43,185):{'3_1':0.0},(43,184):{'3_1':0.0},(43,183):{'3_1':0.0},(43,182):{'3_1':0.0},(43,181):{'3_1':0.0},(43,179):{'3_1':0.0},(43,178):{'3_1':0.0},(43,176):{'3_1':0.0},(43,175):{'3_1':0.0,'5_1':0.0},(43,173):{'3_1':0.0},(43,172):{'3_1':0.0},(43,170):{'3_1':0.03},(43,167):{'3_1':0.0},(43,166):{'4_1':0.0},(43,163):{'3_1':0.0},(43,162):{'3_1':0.0},(43,161):{'3_1':0.0},(43,159):{'3_1':0.0},(43,158):{'3_1':0.0,'4_1':0.0},(43,157):{'3_1':0.0},(43,155):{'3_1':0.0,'4_1':0.0},(43,152):{'3_1':0.0},(43,151):{'3_1':0.0},(43,150):{'3_1':0.0},(43,149):{'3_1':0.0},(43,147):{'3_1':0.0},(43,144):{'3_1':0.03},(43,143):{'3_1':0.0},(43,138):{'3_1':0.0},(43,137):{'3_1':0.0},(43,136):{'3_1':0.0},(43,134):{'3_1':0.0},(43,132):{'3_1':0.0},(43,131):{'3_1':0.0},(43,130):{'3_1':0.0},(43,126):{'3_1':0.0},(43,124):{'3_1':0.0},(43,123):{'3_1':0.0},(43,96):{'3_1':0.0},(43,92):{'5_2':0.0},(44,727):{'5_2':0.72,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0},(44,726):{'5_2':0.78,'3_1':0.06,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(44,725):{'5_2':0.75,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0},(44,724):{'5_2':0.75,'3_1':0.03,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_3':0.0},(44,723):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'8_2':0.0,'3_1#5_2':0.0},(44,722):{'5_2':0.72,'3_1':0.06,'-3':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(44,721):{'5_2':0.78,'3_1':0.03,'5_1':0.03,'7_5':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0},(44,720):{'5_2':0.69,'3_1':0.12,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(44,719):{'5_2':0.75,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(44,718):{'5_2':0.75,'3_1':0.06,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0},(44,717):{'5_2':0.81,'-3':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(44,716):{'5_2':0.75,'3_1':0.06,'-3':0.03,'7_4':0.03,'8_15':0.0},(44,715):{'5_2':0.63,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(44,714):{'5_2':0.63,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(44,713):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(44,712):{'5_2':0.6,'3_1':0.09,'7_4':0.09,'-3':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(44,711):{'5_2':0.57,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_2':0.0},(44,710):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(44,709):{'5_2':0.57,'-3':0.15,'3_1':0.06,'5_1':0.03,'7_5':0.0,'7_1':0.0},(44,708):{'5_2':0.63,'-3':0.06,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_11':0.0},(44,707):{'5_2':0.66,'-3':0.06,'5_1':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'7_4':0.0,'6_1':0.0,'8_1':0.0,'1':-0.03},(44,706):{'5_2':0.63,'3_1':0.12,'7_4':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(44,705):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(44,704):{'5_2':0.69,'3_1':0.09,'-3':0.06,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0},(44,703):{'5_2':0.63,'3_1':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0},(44,702):{'5_2':0.72,'3_1':0.09,'-3':0.06,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(44,701):{'5_2':0.6,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_5':0.03,'3_1#5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(44,700):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(44,699):{'5_2':0.57,'3_1':0.15,'-3':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(44,698):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(44,697):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(44,696):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(44,695):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(44,694):{'5_2':0.42,'3_1':0.21,'-3':0.12,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_14':0.0},(44,693):{'5_2':0.45,'3_1':0.15,'7_4':0.09,'-3':0.09,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0},(44,692):{'5_2':0.48,'3_1':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(44,691):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(44,690):{'5_2':0.36,'3_1':0.24,'7_4':0.09,'-3':0.06,'5_1':0.06,'7_3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(44,689):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(44,688):{'5_2':0.42,'3_1':0.24,'7_4':0.09,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0},(44,687):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_4':0.06,'7_3':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0},(44,686):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'7_3':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(44,685):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'8_19':0.0},(44,684):{'3_1':0.45,'5_2':0.24,'7_3':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0},(44,683):{'3_1':0.45,'5_2':0.27,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(44,682):{'3_1':0.54,'5_2':0.15,'7_4':0.12,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(44,681):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(44,680):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(44,679):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(44,678):{'3_1':0.57,'7_4':0.15,'5_2':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(44,677):{'3_1':0.54,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(44,676):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(44,675):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'-3':0.03,'6_2':0.0,'7_3':0.0},(44,674):{'3_1':0.69,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(44,673):{'3_1':0.63,'7_4':0.09,'-3':0.06,'5_2':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0},(44,672):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(44,671):{'3_1':0.66,'7_4':0.09,'5_2':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(44,670):{'3_1':0.75,'5_1':0.03,'7_4':0.03,'-3':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(44,669):{'3_1':0.72,'5_2':0.09,'-3':0.03,'7_4':0.03,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(44,668):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(44,667):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(44,666):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,665):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(44,664):{'3_1':0.72,'5_2':0.09,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0},(44,663):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_19':0.0},(44,662):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(44,661):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(44,660):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'3_1#5_1':0.0},(44,659):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(44,658):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(44,657):{'3_1':0.57,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(44,656):{'3_1':0.63,'5_2':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0},(44,655):{'3_1':0.63,'5_2':0.12,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(44,654):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(44,653):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(44,652):{'3_1':0.51,'5_2':0.15,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(44,651):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(44,650):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(44,649):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(44,648):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_7':0.0},(44,647):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(44,646):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'8_15':0.0},(44,645):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'-3':0.03,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(44,644):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_4':0.03,'-3':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(44,643):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(44,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(44,641):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0},(44,640):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(44,639):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(44,638):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_1':0.0},(44,637):{'3_1':0.18,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(44,636):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03},(44,635):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(44,634):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(44,633):{'3_1':0.18,'5_2':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(44,632):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(44,631):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(44,630):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_6':0.0},(44,629):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(44,628):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(44,627):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_14':0.0,'-3':0.0},(44,626):{'5_2':0.18,'3_1':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(44,625):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(44,624):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0},(44,623):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'8_1':0.0},(44,622):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_14':0.0},(44,621):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'-3':0.0},(44,620):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(44,619):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,618):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0},(44,617):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_6':0.0},(44,616):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(44,615):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0},(44,614):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(44,613):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(44,612):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'-3':0.0},(44,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0},(44,610):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(44,609):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(44,608):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(44,607):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0},(44,606):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_4':0.0},(44,605):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_19':0.0},(44,604):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(44,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(44,602):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(44,601):{'3_1':0.09,'4_1':0.09,'6_1':0.0},(44,600):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,599):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(44,598):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(44,597):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(44,596):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0},(44,595):{'4_1':0.12,'3_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(44,594):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(44,593):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_1':0.0,'6_2':0.0},(44,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(44,591):{'4_1':0.12,'3_1':0.06,'7_6':0.03,'5_1':0.0},(44,590):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(44,589):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_16':0.0,'-3':0.0},(44,588):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(44,587):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'8_4':0.0,'-3':0.0},(44,586):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(44,585):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0},(44,584):{'4_1':0.12,'3_1':0.06,'5_2':0.03},(44,583):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(44,582):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,581):{'4_1':0.09,'5_2':0.0,'8_1':0.0,'3_1':0.0},(44,580):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(44,579):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_5':0.0,'5_2':0.0,'8_19':0.0},(44,578):{'4_1':0.18,'5_1':0.03,'3_1':0.0,'5_2':0.0,'7_1':0.0},(44,577):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0},(44,576):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(44,575):{'4_1':0.09,'3_1':0.03,'5_1':0.03,'6_2':0.0},(44,574):{'4_1':0.12,'3_1':0.03,'6_1':0.0},(44,573):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_6':0.0},(44,572):{'4_1':0.09,'5_2':0.0,'6_1':0.0,'3_1':0.0},(44,571):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(44,570):{'4_1':0.06,'5_2':0.0,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(44,569):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(44,568):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(44,567):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(44,566):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(44,565):{'4_1':0.09,'3_1':0.0,'8_1':0.0,'8_2':0.0},(44,564):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(44,563):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(44,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(44,561):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(44,560):{'3_1':0.03,'4_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(44,559):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(44,558):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(44,557):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(44,556):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(44,555):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(44,554):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(44,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(44,552):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(44,551):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(44,550):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'8_1':0.0},(44,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,548):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_1':0.0},(44,547):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0},(44,546):{'3_1':0.06,'4_1':0.0},(44,545):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(44,544):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(44,543):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(44,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,541):{'3_1':0.12,'4_1':0.0},(44,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0},(44,539):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(44,538):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,537):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(44,536):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(44,535):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,534):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(44,533):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_1':0.0},(44,532):{'3_1':0.06,'-3':0.0},(44,531):{'3_1':0.12,'7_2':0.0},(44,530):{'3_1':0.06,'4_1':0.0},(44,529):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'-3':0.0},(44,528):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(44,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(44,526):{'3_1':0.06,'8_1':0.0},(44,525):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(44,524):{'3_1':0.06},(44,523):{'3_1':0.06,'4_1':0.0},(44,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0},(44,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(44,520):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(44,519):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(44,518):{'3_1':0.0},(44,517):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(44,516):{'3_1':0.0,'4_1':0.0},(44,515):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,514):{'3_1':0.0},(44,513):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,512):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(44,511):{'3_1':0.0,'4_1':0.0},(44,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,509):{'3_1':0.0,'4_1':0.0},(44,508):{'3_1':0.0,'5_2':0.0},(44,507):{'3_1':0.0,'4_1':0.0},(44,506):{'3_1':0.0,'6_1':0.0},(44,505):{'3_1':0.03},(44,504):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(44,503):{'3_1':0.03,'4_1':0.0},(44,502):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(44,501):{'3_1':0.03,'5_2':0.0},(44,500):{'3_1':0.03,'5_1':0.0},(44,499):{'3_1':0.03,'6_1':0.0},(44,498):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(44,497):{'3_1':0.0,'6_1':0.0},(44,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(44,494):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,493):{'3_1':0.03},(44,492):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(44,491):{'4_1':0.0},(44,490):{'3_1':0.0,'5_2':0.0},(44,489):{'3_1':0.0,'4_1':0.0},(44,488):{'3_1':0.03,'4_1':0.0},(44,487):{'3_1':0.06},(44,486):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(44,485):{'3_1':0.06},(44,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(44,483):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(44,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(44,481):{'3_1':0.03},(44,480):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_14':0.0},(44,479):{'3_1':0.06,'4_1':0.0},(44,478):{'3_1':0.0,'8_4':0.0},(44,477):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(44,476):{'3_1':0.06},(44,475):{'3_1':0.06},(44,474):{'5_1':0.0,'3_1':0.0},(44,473):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(44,472):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(44,471):{'3_1':0.03},(44,470):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(44,469):{'3_1':0.03,'4_1':0.0},(44,468):{'3_1':0.03,'6_1':0.0},(44,467):{'3_1':0.03,'4_1':0.0},(44,466):{'3_1':0.03,'6_1':0.0},(44,465):{'3_1':0.0},(44,464):{'3_1':0.03},(44,463):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(44,462):{'3_1':0.0},(44,461):{'3_1':0.03},(44,460):{'3_1':0.03,'5_2':0.0},(44,459):{'3_1':0.0,'4_1':0.0},(44,458):{'3_1':0.03},(44,457):{'3_1':0.0},(44,456):{'3_1':0.03,'5_2':0.0},(44,455):{'3_1':0.03,'4_1':0.0},(44,454):{'3_1':0.03},(44,453):{'3_1':0.03,'6_1':0.0},(44,452):{'3_1':0.0},(44,451):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(44,450):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_4':0.0},(44,449):{'3_1':0.06,'5_1':0.0},(44,448):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(44,447):{'3_1':0.03},(44,446):{'3_1':0.0},(44,445):{'3_1':0.0,'5_2':0.0},(44,444):{'3_1':0.03},(44,443):{'3_1':0.03},(44,442):{'3_1':0.03,'4_1':0.0},(44,441):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(44,440):{'3_1':0.03,'4_1':0.0},(44,439):{'3_1':0.03,'5_2':0.0},(44,438):{'3_1':0.06},(44,437):{'3_1':0.03},(44,436):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(44,435):{'3_1':0.06,'4_1':0.0},(44,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(44,433):{'3_1':0.03,'5_2':0.0},(44,432):{'3_1':0.0},(44,431):{'3_1':0.03},(44,430):{'3_1':0.03},(44,429):{'3_1':0.0},(44,428):{'3_1':0.06,'6_1':0.0},(44,427):{'3_1':0.03,'5_2':0.0},(44,426):{'3_1':0.09},(44,425):{'3_1':0.0,'6_1':0.0},(44,424):{'3_1':0.06},(44,423):{'3_1':0.03,'6_1':0.0},(44,422):{'3_1':0.06,'4_1':0.0},(44,421):{'3_1':0.03,'5_2':0.0},(44,420):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(44,419):{'3_1':0.09},(44,418):{'3_1':0.03},(44,417):{'3_1':0.06},(44,416):{'3_1':0.03},(44,415):{'3_1':0.03},(44,414):{'3_1':0.03,'4_1':0.0},(44,413):{'3_1':0.03},(44,412):{'3_1':0.03},(44,411):{'3_1':0.0},(44,410):{'3_1':0.0},(44,409):{'3_1':0.03},(44,408):{'3_1':0.0,'5_1':0.0},(44,407):{'5_2':0.0},(44,406):{'3_1':0.0},(44,405):{'3_1':0.0},(44,404):{'3_1':0.0},(44,403):{'3_1':0.0},(44,402):{'5_2':0.0},(44,401):{'3_1':0.0,'4_1':0.0},(44,400):{'3_1':0.0},(44,399):{'5_2':0.0},(44,398):{'3_1':0.0},(44,397):{'3_1':0.0},(44,394):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(44,393):{'3_1':0.0},(44,392):{'3_1':0.0},(44,391):{'3_1':0.0},(44,390):{'3_1':0.0},(44,389):{'3_1':0.0},(44,387):{'3_1':0.0},(44,386):{'8_20|3_1#3_1':0.0},(44,385):{'3_1':0.0},(44,384):{'3_1':0.0},(44,383):{'3_1':0.0},(44,382):{'3_1':0.0},(44,381):{'3_1':0.0},(44,379):{'3_1':0.0,'4_1':0.0},(44,378):{'3_1':0.0},(44,377):{'3_1':0.0,'4_1':0.0},(44,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(44,374):{'3_1':0.0,'5_1':0.0},(44,373):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,371):{'4_1':0.0,'3_1':0.0},(44,370):{'3_1':0.0},(44,369):{'3_1':0.03},(44,368):{'3_1':0.03},(44,367):{'3_1':0.0,'4_1':0.0},(44,366):{'3_1':0.03,'5_1':0.0},(44,365):{'3_1':0.0},(44,363):{'3_1':0.0},(44,361):{'3_1':0.0,'4_1':0.0},(44,360):{'4_1':0.0},(44,359):{'3_1':0.0,'7_1':0.0},(44,358):{'3_1':0.03},(44,356):{'3_1':0.0,'4_1':0.0},(44,355):{'3_1':0.0,'4_1':0.0},(44,354):{'3_1':0.0},(44,353):{'3_1':0.0},(44,352):{'3_1':0.0,'5_2':0.0},(44,351):{'3_1':0.0},(44,350):{'3_1':0.0,'4_1':0.0},(44,349):{'3_1':0.0},(44,348):{'3_1':0.0},(44,347):{'3_1':0.0},(44,346):{'3_1':0.0},(44,345):{'3_1':0.0,'4_1':0.0},(44,343):{'3_1':0.03,'4_1':0.0},(44,342):{'3_1':0.0},(44,341):{'3_1':0.0,'4_1':0.0},(44,339):{'3_1':0.0},(44,338):{'3_1':0.03},(44,337):{'3_1':0.0},(44,336):{'3_1':0.0},(44,335):{'3_1':0.0},(44,334):{'3_1':0.0},(44,332):{'3_1':0.0},(44,331):{'3_1':0.0},(44,330):{'3_1':0.0},(44,328):{'3_1':0.0,'9_1':0.0},(44,327):{'3_1':0.03},(44,326):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(44,325):{'3_1':0.0},(44,324):{'3_1':0.0},(44,322):{'3_1':0.0,'4_1':0.0},(44,321):{'3_1':0.0},(44,320):{'3_1':0.0},(44,318):{'3_1':0.03},(44,317):{'3_1':0.03},(44,315):{'3_1':0.0},(44,314):{'3_1':0.03},(44,312):{'3_1':0.0},(44,311):{'3_1':0.0},(44,310):{'3_1':0.0},(44,309):{'3_1':0.03},(44,308):{'3_1':0.0},(44,307):{'3_1':0.0},(44,305):{'3_1':0.03},(44,304):{'3_1':0.0,'6_1':0.0},(44,303):{'3_1':0.0},(44,302):{'3_1':0.03,'4_1':0.0},(44,301):{'3_1':0.0,'8_20|3_1#3_1':0.0},(44,300):{'3_1':0.03},(44,299):{'3_1':0.0},(44,298):{'3_1':0.0},(44,297):{'3_1':0.0},(44,296):{'3_1':0.0},(44,295):{'3_1':0.0},(44,294):{'3_1':0.0},(44,293):{'3_1':0.0},(44,292):{'3_1':0.0},(44,291):{'3_1':0.0},(44,290):{'3_1':0.0},(44,289):{'3_1':0.0},(44,288):{'3_1':0.0},(44,287):{'3_1':0.0},(44,286):{'3_1':0.03},(44,285):{'3_1':0.0},(44,284):{'3_1':0.03},(44,283):{'3_1':0.0},(44,281):{'3_1':0.03},(44,280):{'3_1':0.0},(44,279):{'3_1':0.03,'8_20|3_1#3_1':0.0},(44,278):{'3_1':0.03,'6_1':0.0},(44,277):{'3_1':0.03,'5_1':0.0},(44,276):{'3_1':0.03},(44,275):{'3_1':0.0},(44,274):{'3_1':0.0,'4_1':0.0},(44,273):{'3_1':0.0},(44,272):{'3_1':0.06},(44,271):{'3_1':0.03,'5_2':0.0},(44,270):{'3_1':0.0},(44,269):{'3_1':0.0,'6_2':0.0},(44,268):{'3_1':0.0},(44,267):{'3_1':0.03},(44,266):{'3_1':0.0,'5_2':0.0},(44,264):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(44,263):{'3_1':0.0},(44,262):{'6_2':0.0},(44,261):{'3_1':0.0},(44,260):{'3_1':0.03},(44,259):{'3_1':0.0,'5_1':0.0},(44,258):{'3_1':0.0},(44,257):{'3_1':0.0},(44,256):{'3_1':0.0},(44,255):{'3_1':0.0},(44,253):{'3_1':0.0},(44,251):{'3_1':0.0},(44,250):{'3_1':0.0},(44,249):{'3_1':0.0,'6_1':0.0},(44,248):{'3_1':0.0},(44,247):{'3_1':0.03},(44,246):{'4_1':0.0},(44,245):{'3_1':0.0},(44,244):{'3_1':0.0},(44,243):{'3_1':0.0,'4_1':0.0},(44,242):{'3_1':0.0},(44,241):{'3_1':0.03},(44,240):{'3_1':0.0,'4_1':0.0},(44,239):{'3_1':0.0},(44,238):{'3_1':0.0},(44,237):{'3_1':0.03},(44,236):{'3_1':0.0},(44,234):{'3_1':0.03},(44,233):{'3_1':0.0,'5_2':0.0},(44,232):{'3_1':0.0},(44,231):{'3_1':0.0},(44,230):{'3_1':0.0},(44,229):{'3_1':0.0,'5_2':0.0},(44,228):{'3_1':0.0},(44,227):{'3_1':0.0},(44,226):{'3_1':0.0},(44,225):{'3_1':0.0},(44,222):{'3_1':0.0},(44,221):{'3_1':0.0},(44,220):{'3_1':0.0},(44,218):{'3_1':0.0},(44,217):{'3_1':0.0},(44,216):{'3_1':0.0},(44,215):{'3_1':0.0},(44,214):{'3_1':0.0},(44,213):{'3_1':0.0},(44,211):{'3_1':0.0},(44,210):{'3_1':0.0},(44,209):{'3_1':0.0},(44,208):{'3_1':0.0},(44,207):{'3_1':0.0},(44,205):{'3_1':0.06,'5_2':0.0},(44,204):{'3_1':0.0},(44,203):{'3_1':0.0},(44,202):{'3_1':0.03},(44,201):{'3_1':0.0},(44,200):{'3_1':0.0},(44,198):{'3_1':0.0},(44,197):{'3_1':0.03},(44,196):{'3_1':0.0},(44,195):{'3_1':0.0},(44,194):{'3_1':0.0},(44,193):{'3_1':0.0},(44,192):{'3_1':0.0},(44,191):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,190):{'3_1':0.0},(44,188):{'3_1':0.0},(44,187):{'3_1':0.0,'4_1':0.0},(44,185):{'3_1':0.0,'4_1':0.0},(44,184):{'3_1':0.0},(44,182):{'3_1':0.0},(44,180):{'3_1':0.0},(44,179):{'4_1':0.0},(44,177):{'4_1':0.0},(44,176):{'3_1':0.0},(44,175):{'4_1':0.0},(44,174):{'3_1':0.0},(44,173):{'3_1':0.0},(44,172):{'3_1':0.0},(44,171):{'3_1':0.0},(44,169):{'3_1':0.0,'5_2':0.0},(44,167):{'3_1':0.0},(44,166):{'3_1':0.0,'4_1':0.0},(44,164):{'3_1':0.0},(44,161):{'3_1':0.0},(44,158):{'3_1':0.0},(44,157):{'3_1':0.0},(44,156):{'3_1':0.0},(44,155):{'3_1':0.0},(44,153):{'3_1':0.0},(44,152):{'3_1':0.0},(44,151):{'3_1':0.0},(44,150):{'3_1':0.03},(44,149):{'3_1':0.0},(44,148):{'3_1':0.0},(44,145):{'3_1':0.0},(44,144):{'3_1':0.0},(44,141):{'3_1':0.0},(44,140):{'5_1':0.0},(44,138):{'5_1':0.0},(44,137):{'3_1':0.0,'4_1':0.0},(44,135):{'3_1':0.0},(44,134):{'5_1':0.0},(44,133):{'3_1':0.0},(44,130):{'3_1':0.0},(44,127):{'3_1':0.0},(44,124):{'3_1':0.0},(45,727):{'5_2':0.75,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(45,726):{'5_2':0.75,'3_1':0.06,'-3':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(45,725):{'5_2':0.75,'7_4':0.06,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(45,724):{'5_2':0.75,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(45,723):{'5_2':0.75,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0},(45,722):{'5_2':0.72,'3_1':0.06,'-3':0.06,'3_1#5_2':0.0,'8_8':0.0,'7_4':0.0,'7_5':0.0},(45,721):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.0,'7_5':0.0,'5_1':0.0},(45,720):{'5_2':0.66,'7_4':0.06,'3_1':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0},(45,719):{'5_2':0.72,'3_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'7_3':0.0,'3_1#5_2':0.0},(45,718):{'5_2':0.69,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(45,717):{'5_2':0.72,'7_4':0.03,'-3':0.03,'3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0},(45,716):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(45,715):{'5_2':0.63,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0},(45,714):{'5_2':0.66,'3_1':0.06,'-3':0.06,'7_4':0.06,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0},(45,713):{'5_2':0.66,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0},(45,712):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0},(45,711):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(45,710):{'5_2':0.57,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_5':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(45,709):{'5_2':0.6,'-3':0.12,'3_1':0.06,'5_1':0.06,'7_5':0.0,'6_1':0.0,'8_19':0.0},(45,708):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'3_1#5_2':0.0,'1':-0.03},(45,707):{'5_2':0.63,'3_1':0.12,'7_4':0.09,'-3':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(45,706):{'5_2':0.63,'3_1':0.09,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(45,705):{'5_2':0.54,'3_1':0.15,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(45,704):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(45,703):{'5_2':0.51,'3_1':0.12,'7_4':0.09,'-3':0.09,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(45,702):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'1':-0.03},(45,701):{'5_2':0.54,'3_1':0.15,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0},(45,700):{'5_2':0.51,'3_1':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(45,699):{'5_2':0.51,'3_1':0.15,'-3':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(45,698):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0},(45,697):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_4':0.06,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'8_21|3_1#4_1':0.0},(45,696):{'5_2':0.51,'3_1':0.21,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(45,695):{'5_2':0.63,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(45,694):{'5_2':0.48,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(45,693):{'5_2':0.45,'3_1':0.15,'7_3':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(45,692):{'5_2':0.51,'3_1':0.15,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(45,691):{'5_2':0.42,'3_1':0.21,'-3':0.12,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(45,690):{'5_2':0.45,'3_1':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0},(45,689):{'5_2':0.39,'3_1':0.36,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(45,688):{'5_2':0.45,'3_1':0.24,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(45,687):{'5_2':0.36,'3_1':0.33,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(45,686):{'3_1':0.33,'5_2':0.33,'7_4':0.09,'7_3':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0},(45,685):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(45,684):{'3_1':0.42,'5_2':0.3,'7_4':0.06,'7_5':0.03,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(45,683):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'7_3':0.03,'6_1':0.03,'3_1#5_2':0.03,'5_1':0.03,'-3':0.03,'4_1':0.0,'8_13':0.0},(45,682):{'3_1':0.51,'5_2':0.21,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0},(45,681):{'3_1':0.54,'5_2':0.24,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0},(45,680):{'3_1':0.48,'5_2':0.27,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0},(45,679):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(45,678):{'3_1':0.51,'5_2':0.18,'7_4':0.12,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(45,677):{'3_1':0.6,'5_2':0.27,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(45,676):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(45,675):{'3_1':0.6,'7_4':0.09,'-3':0.06,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(45,674):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(45,673):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(45,672):{'3_1':0.75,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(45,671):{'3_1':0.69,'7_4':0.09,'5_2':0.03,'5_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(45,670):{'3_1':0.72,'5_2':0.06,'7_4':0.06,'-3':0.0,'7_3':0.0,'8_8':0.0},(45,669):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'8_19':0.0},(45,668):{'3_1':0.63,'7_4':0.09,'5_2':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(45,667):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(45,666):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(45,665):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(45,664):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(45,663):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0},(45,662):{'3_1':0.63,'5_2':0.18,'7_4':0.03,'8_19':0.0,'-3':0.0},(45,661):{'3_1':0.72,'5_2':0.09,'-3':0.0,'7_4':0.0,'5_1':0.0},(45,660):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(45,659):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0},(45,658):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_3':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(45,657):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'5_1':0.0,'8_14':0.0,'-3':0.0},(45,656):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(45,655):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(45,654):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(45,653):{'3_1':0.48,'5_2':0.12,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'3_1#5_2':0.0},(45,652):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_1':0.0},(45,651):{'3_1':0.48,'5_2':0.18,'5_1':0.06,'7_4':0.0,'7_7':0.0,'-3':0.0},(45,650):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(45,649):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(45,648):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(45,647):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(45,646):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(45,645):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(45,644):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(45,643):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0},(45,642):{'3_1':0.24,'5_2':0.18,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0},(45,641):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(45,640):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(45,639):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(45,638):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0},(45,637):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(45,636):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(45,635):{'5_2':0.12,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(45,634):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(45,633):{'5_2':0.15,'3_1':0.09,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(45,632):{'5_2':0.06,'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(45,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'-3':0.0,'7_4':0.0},(45,630):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(45,629):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'6_1':0.0},(45,628):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(45,627):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(45,626):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0},(45,625):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0},(45,624):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(45,623):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0},(45,622):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(45,621):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_1':0.0},(45,620):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(45,619):{'3_1':0.18,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(45,618):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0},(45,617):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(45,616):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0},(45,615):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0},(45,614):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(45,613):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(45,612):{'3_1':0.09,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0},(45,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(45,610):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(45,609):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0},(45,608):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(45,607):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(45,606):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0},(45,605):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(45,604):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(45,603):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0},(45,602):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_2':0.0},(45,601):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(45,600):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(45,599):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(45,598):{'4_1':0.12,'3_1':0.12,'6_1':0.0},(45,597):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_1':0.0},(45,596):{'4_1':0.18,'3_1':0.09,'5_2':0.03},(45,595):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(45,594):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(45,593):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(45,592):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(45,591):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(45,590):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(45,589):{'4_1':0.18,'3_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(45,588):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(45,587):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(45,586):{'4_1':0.18,'5_2':0.0,'3_1':0.0,'6_1':0.0,'7_1':0.0},(45,585):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'7_6':0.0,'8_1':0.0},(45,584):{'4_1':0.21,'3_1':0.0,'5_1':0.0},(45,583):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(45,582):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(45,581):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'7_6':0.0},(45,580):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(45,579):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(45,578):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(45,577):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(45,576):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(45,575):{'4_1':0.15,'5_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(45,574):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_16':0.0},(45,573):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0},(45,572):{'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(45,571):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(45,570):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(45,569):{'4_1':0.06,'3_1':0.0,'8_20|3_1#3_1':0.0},(45,568):{'4_1':0.06,'3_1':0.0,'6_1':0.0},(45,567):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_7':0.0},(45,566):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(45,565):{'4_1':0.06,'3_1':0.0},(45,564):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(45,563):{'4_1':0.12,'5_1':0.0,'3_1':0.0,'5_2':0.0},(45,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(45,561):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(45,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(45,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,558):{'3_1':0.03,'4_1':0.0},(45,557):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(45,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(45,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(45,554):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,553):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(45,552):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(45,551):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(45,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(45,549):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(45,548):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,547):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0},(45,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(45,545):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(45,544):{'3_1':0.15,'4_1':0.0},(45,543):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_11':0.0,'8_19':0.0},(45,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(45,541):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(45,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(45,539):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(45,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,537):{'3_1':0.18,'5_1':0.0},(45,536):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(45,535):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(45,534):{'3_1':0.12},(45,533):{'3_1':0.12,'4_1':0.0},(45,532):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(45,531):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_19':0.0},(45,530):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(45,529):{'3_1':0.12},(45,528):{'3_1':0.06,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(45,527):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0},(45,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,525):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(45,524):{'3_1':0.06,'4_1':0.0},(45,523):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(45,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(45,521):{'3_1':0.06,'5_1':0.03},(45,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(45,519):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(45,518):{'3_1':0.06},(45,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,516):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(45,515):{'3_1':0.03,'4_1':0.0},(45,514):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(45,513):{'3_1':0.03},(45,512):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(45,511):{'3_1':0.0},(45,510):{'3_1':0.0},(45,509):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(45,508):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(45,507):{'3_1':0.0,'4_1':0.0},(45,506):{'3_1':0.0,'7_2':0.0},(45,505):{'3_1':0.03},(45,504):{'3_1':0.0,'4_1':0.0},(45,503):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(45,502):{'3_1':0.0},(45,501):{'3_1':0.03,'5_2':0.0},(45,500):{'3_1':0.0},(45,499):{'3_1':0.03},(45,498):{'3_1':0.0,'5_2':0.0},(45,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(45,496):{'3_1':0.03},(45,495):{'3_1':0.06,'4_1':0.0},(45,494):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(45,493):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(45,492):{'3_1':0.0},(45,491):{'3_1':0.0,'4_1':0.0},(45,490):{'3_1':0.06},(45,489):{'3_1':0.06},(45,488):{'3_1':0.0,'5_2':0.0},(45,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(45,485):{'3_1':0.03},(45,484):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,483):{'3_1':0.09},(45,482):{'3_1':0.09},(45,481):{'3_1':0.0,'5_1':0.0},(45,480):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(45,479):{'3_1':0.0},(45,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,477):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(45,476):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(45,475):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(45,474):{'3_1':0.0,'5_1':0.0},(45,473):{'3_1':0.0,'7_1':0.0},(45,472):{'3_1':0.03},(45,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(45,470):{'3_1':0.03},(45,469):{'3_1':0.0},(45,468):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(45,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(45,466):{'3_1':0.0},(45,465):{'3_1':0.03,'4_1':0.0},(45,464):{'3_1':0.0},(45,463):{'3_1':0.03},(45,462):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(45,461):{'3_1':0.0},(45,460):{'3_1':0.03},(45,459):{'3_1':0.03,'4_1':0.0},(45,458):{'3_1':0.03},(45,457):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(45,456):{'4_1':0.0},(45,455):{'3_1':0.0,'5_2':0.0},(45,454):{'3_1':0.0,'4_1':0.0},(45,453):{'3_1':0.0,'4_1':0.0},(45,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(45,450):{'3_1':0.0,'4_1':0.0},(45,449):{'5_2':0.0},(45,448):{'3_1':0.0,'4_1':0.0},(45,447):{'3_1':0.0},(45,446):{'3_1':0.0,'4_1':0.0},(45,445):{'3_1':0.0},(45,444):{'3_1':0.06,'5_1':0.0},(45,443):{'3_1':0.03},(45,442):{'3_1':0.0,'4_1':0.0},(45,441):{'3_1':0.06},(45,440):{'3_1':0.03},(45,439):{'3_1':0.06,'5_2':0.0},(45,438):{'3_1':0.0,'5_2':0.0},(45,437):{'3_1':0.03,'8_20|3_1#3_1':0.0},(45,436):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(45,435):{'3_1':0.03,'4_1':0.0},(45,434):{'3_1':0.03,'4_1':0.0},(45,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,432):{'3_1':0.03},(45,431):{'3_1':0.03,'5_1':0.0},(45,430):{'3_1':0.06,'5_2':0.0},(45,429):{'3_1':0.03},(45,428):{'3_1':0.0},(45,427):{'3_1':0.03},(45,426):{'3_1':0.03,'5_2':0.0},(45,425):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(45,424):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(45,423):{'3_1':0.06},(45,422):{'3_1':0.12},(45,421):{'3_1':0.03},(45,420):{'3_1':0.03,'5_2':0.0},(45,419):{'3_1':0.0,'5_2':0.0},(45,418):{'3_1':0.03,'5_2':0.0},(45,417):{'3_1':0.03,'5_1':0.0},(45,416):{'3_1':0.06,'5_1':0.0},(45,415):{'3_1':0.06,'5_1':0.0},(45,414):{'3_1':0.03,'5_1':0.0},(45,413):{'3_1':0.0},(45,412):{'3_1':0.03},(45,411):{'3_1':0.0},(45,410):{'3_1':0.0,'5_1':0.0},(45,409):{'3_1':0.0,'7_4':0.0},(45,408):{'3_1':0.0},(45,407):{'3_1':0.03},(45,406):{'3_1':0.0},(45,405):{'3_1':0.0,'5_2':0.0},(45,404):{'3_1':0.0},(45,403):{'3_1':0.03},(45,402):{'3_1':0.0},(45,401):{'3_1':0.0,'4_1':0.0},(45,400):{'3_1':0.0},(45,399):{'3_1':0.03,'5_2':0.0},(45,398):{'5_2':0.0},(45,397):{'3_1':0.0},(45,396):{'3_1':0.03,'5_2':0.0},(45,395):{'3_1':0.0},(45,394):{'3_1':0.03},(45,393):{'3_1':0.0},(45,391):{'3_1':0.03},(45,389):{'3_1':0.0},(45,388):{'3_1':0.0,'8_20|3_1#3_1':0.0},(45,387):{'3_1':0.0},(45,386):{'3_1':0.0},(45,385):{'3_1':0.03,'6_2':0.0},(45,384):{'3_1':0.0},(45,383):{'3_1':0.0},(45,382):{'3_1':0.0,'4_1':0.0},(45,380):{'3_1':0.03},(45,378):{'3_1':0.0},(45,377):{'3_1':0.0},(45,376):{'3_1':0.0},(45,375):{'3_1':0.03},(45,374):{'3_1':0.0,'4_1':0.0},(45,373):{'3_1':0.0},(45,372):{'3_1':0.0},(45,371):{'3_1':0.03},(45,370):{'3_1':0.0,'4_1':0.0},(45,368):{'3_1':0.0},(45,367):{'4_1':0.0},(45,366):{'3_1':0.03},(45,365):{'3_1':0.0},(45,364):{'3_1':0.03},(45,362):{'3_1':0.0},(45,361):{'3_1':0.0,'4_1':0.0},(45,360):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(45,359):{'5_1':0.0},(45,357):{'3_1':0.0},(45,355):{'3_1':0.0},(45,354):{'3_1':0.0,'7_1':0.0},(45,353):{'3_1':0.0},(45,352):{'4_1':0.0},(45,351):{'3_1':0.0},(45,350):{'3_1':0.0},(45,349):{'3_1':0.03},(45,348):{'3_1':0.0},(45,347):{'3_1':0.0},(45,346):{'3_1':0.0},(45,344):{'3_1':0.0},(45,343):{'5_1':0.0},(45,342):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(45,341):{'3_1':0.0,'4_1':0.0},(45,340):{'3_1':0.0},(45,339):{'3_1':0.0},(45,338):{'3_1':0.0,'4_1':0.0},(45,337):{'3_1':0.0,'4_1':0.0},(45,336):{'3_1':0.0},(45,335):{'3_1':0.0},(45,334):{'3_1':0.0},(45,332):{'3_1':0.0},(45,331):{'6_1':0.0},(45,330):{'3_1':0.0,'4_1':0.0},(45,329):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(45,328):{'3_1':0.0,'5_2':0.0},(45,327):{'-3':0.03,'3_1':0.0},(45,326):{'3_1':0.03,'6_1':0.0},(45,325):{'7_1':0.0},(45,323):{'3_1':0.0,'4_1':0.0},(45,322):{'5_1':0.0},(45,321):{'3_1':0.0},(45,320):{'3_1':0.0},(45,319):{'3_1':0.0},(45,318):{'3_1':0.0},(45,317):{'3_1':0.03,'4_1':0.0},(45,316):{'3_1':0.0},(45,313):{'3_1':0.0,'4_1':0.0},(45,312):{'4_1':0.0},(45,311):{'3_1':0.03},(45,310):{'3_1':0.0,'4_1':0.0},(45,309):{'3_1':0.0},(45,308):{'3_1':0.0},(45,307):{'3_1':0.03},(45,306):{'3_1':0.0},(45,305):{'3_1':0.03,'8_20|3_1#3_1':0.0},(45,304):{'3_1':0.03},(45,303):{'3_1':0.0,'4_1':0.0},(45,302):{'3_1':0.0,'4_1':0.0},(45,300):{'3_1':0.03,'4_1':0.0},(45,299):{'3_1':0.03},(45,295):{'3_1':0.0,'5_2':0.0},(45,294):{'3_1':0.0},(45,293):{'4_1':0.0},(45,292):{'3_1':0.0},(45,291):{'3_1':0.0},(45,290):{'3_1':0.0},(45,289):{'3_1':0.06},(45,288):{'3_1':0.0},(45,287):{'3_1':0.0},(45,286):{'3_1':0.0},(45,285):{'3_1':0.0},(45,284):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(45,283):{'3_1':0.0,'4_1':0.0},(45,282):{'3_1':0.0},(45,281):{'3_1':0.0},(45,280):{'3_1':0.03},(45,279):{'3_1':0.03},(45,278):{'3_1':0.03},(45,277):{'3_1':0.0},(45,276):{'3_1':0.0},(45,275):{'3_1':0.03},(45,274):{'5_1':0.0},(45,273):{'3_1':0.0},(45,272):{'3_1':0.06},(45,271):{'3_1':0.0},(45,270):{'3_1':0.0},(45,269):{'3_1':0.03},(45,268):{'3_1':0.0},(45,267):{'3_1':0.0},(45,266):{'3_1':0.0},(45,265):{'3_1':0.0},(45,264):{'3_1':0.0},(45,263):{'3_1':0.0,'4_1':0.0},(45,262):{'8_1':0.0},(45,261):{'3_1':0.0},(45,260):{'3_1':0.0},(45,259):{'3_1':0.0},(45,258):{'3_1':0.0},(45,257):{'3_1':0.0},(45,256):{'3_1':0.0},(45,255):{'3_1':0.0},(45,254):{'3_1':0.0},(45,253):{'3_1':0.03},(45,252):{'3_1':0.0,'4_1':0.0},(45,251):{'4_1':0.0},(45,250):{'3_1':0.0},(45,249):{'3_1':0.0},(45,247):{'3_1':0.0},(45,246):{'3_1':0.0},(45,244):{'3_1':0.03},(45,243):{'3_1':0.0},(45,241):{'3_1':0.0},(45,240):{'4_1':0.0},(45,239):{'3_1':0.0},(45,238):{'3_1':0.0},(45,237):{'3_1':0.0,'5_1':0.0},(45,236):{'3_1':0.0},(45,235):{'3_1':0.0},(45,234):{'3_1':0.03},(45,233):{'3_1':0.0},(45,232):{'3_1':0.0},(45,230):{'3_1':0.0},(45,228):{'3_1':0.0},(45,227):{'3_1':0.0,'4_1':0.0},(45,226):{'3_1':0.0},(45,225):{'3_1':0.0,'5_2':0.0},(45,224):{'3_1':0.0},(45,222):{'3_1':0.0},(45,221):{'3_1':0.0},(45,220):{'3_1':0.0},(45,219):{'3_1':0.0},(45,218):{'3_1':0.0},(45,217):{'3_1':0.0,'5_2':0.0},(45,216):{'3_1':0.0},(45,214):{'3_1':0.0},(45,213):{'3_1':0.0},(45,210):{'3_1':0.0,'4_1':0.0},(45,209):{'3_1':0.0},(45,208):{'3_1':0.0},(45,207):{'3_1':0.0},(45,206):{'3_1':0.0},(45,205):{'3_1':0.0},(45,204):{'3_1':0.0},(45,203):{'3_1':0.0},(45,202):{'3_1':0.03,'5_2':0.0},(45,201):{'3_1':0.0},(45,200):{'3_1':0.0},(45,199):{'3_1':0.0},(45,198):{'5_1':0.0,'3_1':0.0},(45,197):{'3_1':0.0,'5_1':0.0},(45,196):{'3_1':0.0},(45,195):{'3_1':0.0,'5_1':0.0},(45,194):{'3_1':0.03},(45,193):{'3_1':0.03},(45,192):{'3_1':0.0},(45,191):{'4_1':0.0,'5_1':0.0},(45,189):{'3_1':0.0},(45,186):{'3_1':0.0},(45,185):{'4_1':0.0,'3_1':0.0},(45,184):{'3_1':0.0},(45,183):{'3_1':0.0},(45,182):{'3_1':0.0},(45,181):{'3_1':0.0},(45,180):{'3_1':0.0},(45,179):{'3_1':0.0},(45,178):{'3_1':0.0},(45,177):{'3_1':0.0},(45,176):{'3_1':0.0},(45,175):{'4_1':0.0},(45,174):{'3_1':0.0},(45,173):{'3_1':0.03},(45,172):{'3_1':0.0,'6_1':0.0},(45,171):{'3_1':0.0},(45,170):{'3_1':0.0},(45,169):{'3_1':0.0},(45,168):{'3_1':0.0},(45,167):{'4_1':0.0,'3_1':0.0},(45,166):{'3_1':0.0},(45,162):{'3_1':0.03},(45,161):{'3_1':0.0,'4_1':0.0},(45,160):{'3_1':0.0},(45,159):{'3_1':0.0},(45,158):{'3_1':0.0},(45,156):{'3_1':0.0},(45,155):{'3_1':0.0},(45,154):{'3_1':0.0,'4_1':0.0},(45,151):{'3_1':0.0},(45,150):{'3_1':0.0},(45,147):{'3_1':0.0},(45,146):{'3_1':0.0},(45,144):{'3_1':0.03},(45,143):{'3_1':0.0},(45,142):{'3_1':0.0},(45,141):{'3_1':0.0},(45,140):{'3_1':0.0},(45,139):{'3_1':0.0},(45,134):{'5_1':0.0},(45,130):{'3_1':0.0},(45,128):{'3_1':0.0},(45,127):{'3_1':0.0},(45,126):{'3_1':0.0},(45,123):{'3_1':0.0},(45,85):{'3_1':0.0},(45,78):{'3_1':0.0},(46,727):{'5_2':0.78,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0},(46,726):{'5_2':0.78,'-3':0.06,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0},(46,725):{'5_2':0.75,'3_1':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(46,724):{'5_2':0.72,'-3':0.06,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(46,723):{'5_2':0.75,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0},(46,722):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(46,721):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(46,720):{'5_2':0.72,'-3':0.09,'7_4':0.06,'3_1':0.03,'3_1#5_2':0.0,'8_19':0.0},(46,719):{'5_2':0.78,'7_4':0.03,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(46,718):{'5_2':0.72,'7_4':0.06,'3_1':0.06,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(46,717):{'5_2':0.66,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(46,716):{'5_2':0.72,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'8_11':0.0},(46,715):{'5_2':0.72,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'3_1':0.0,'3_1#5_2':0.0},(46,714):{'5_2':0.72,'3_1':0.06,'7_4':0.06,'7_2':0.03,'-3':0.0,'5_1':0.0,'8_8':0.0},(46,713):{'5_2':0.6,'7_4':0.09,'3_1':0.09,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(46,712):{'5_2':0.57,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0},(46,711):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_5':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_4':0.0},(46,710):{'5_2':0.54,'7_5':0.06,'3_1':0.06,'7_4':0.06,'-3':0.06,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0,'2':-0.03},(46,709):{'5_2':0.57,'-3':0.15,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(46,708):{'5_2':0.6,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(46,707):{'5_2':0.66,'3_1':0.09,'7_4':0.03,'7_5':0.03,'-3':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(46,706):{'5_2':0.57,'3_1':0.15,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0},(46,705):{'5_2':0.6,'3_1':0.12,'-3':0.03,'7_4':0.03,'7_2':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(46,704):{'5_2':0.54,'3_1':0.09,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(46,703):{'5_2':0.57,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(46,702):{'5_2':0.57,'3_1':0.15,'7_4':0.06,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(46,701):{'5_2':0.57,'7_4':0.12,'-3':0.09,'3_1':0.06,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(46,700):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'1':-0.03},(46,699):{'5_2':0.51,'3_1':0.18,'7_3':0.06,'7_4':0.03,'-3':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(46,698):{'5_2':0.54,'3_1':0.12,'-3':0.06,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(46,697):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0},(46,696):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(46,695):{'5_2':0.57,'3_1':0.15,'-3':0.09,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(46,694):{'5_2':0.51,'3_1':0.21,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(46,693):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.03,'6_3':0.0,'3_1#5_2':0.0},(46,692):{'5_2':0.51,'3_1':0.21,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(46,691):{'5_2':0.51,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0},(46,690):{'5_2':0.51,'3_1':0.24,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(46,689):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(46,688):{'3_1':0.39,'5_2':0.36,'7_4':0.06,'-3':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(46,687):{'3_1':0.36,'5_2':0.3,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(46,686):{'5_2':0.36,'3_1':0.3,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(46,685):{'3_1':0.45,'5_2':0.24,'7_3':0.03,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(46,684):{'3_1':0.36,'5_2':0.3,'-3':0.06,'7_4':0.06,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(46,683):{'3_1':0.36,'5_2':0.24,'7_4':0.12,'-3':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(46,682):{'3_1':0.51,'5_2':0.24,'7_4':0.06,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(46,681):{'3_1':0.57,'5_2':0.21,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(46,680):{'3_1':0.45,'5_2':0.27,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(46,679):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(46,678):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0},(46,677):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(46,676):{'3_1':0.54,'7_4':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(46,675):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(46,674):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'7_7':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(46,673):{'3_1':0.66,'5_2':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(46,672):{'3_1':0.63,'7_4':0.06,'5_2':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(46,671):{'3_1':0.72,'5_2':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(46,670):{'3_1':0.72,'5_2':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(46,669):{'3_1':0.78,'5_2':0.09,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(46,668):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0},(46,667):{'3_1':0.75,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_5':0.0},(46,666):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(46,665):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(46,664):{'3_1':0.75,'5_2':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(46,663):{'3_1':0.57,'5_2':0.18,'7_4':0.09,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(46,662):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(46,661):{'3_1':0.66,'5_2':0.18,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0},(46,660):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(46,659):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(46,658):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(46,657):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(46,656):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(46,655):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0},(46,654):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(46,653):{'3_1':0.45,'5_2':0.12,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(46,652):{'3_1':0.48,'5_2':0.15,'-3':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(46,651):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(46,650):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(46,649):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(46,648):{'3_1':0.45,'5_2':0.15,'4_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(46,647):{'3_1':0.3,'5_2':0.18,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_14':0.0},(46,646):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(46,645):{'3_1':0.36,'5_2':0.15,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(46,644):{'3_1':0.33,'5_2':0.15,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(46,643):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_15':0.0,'3_1#5_2':0.0},(46,642):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(46,641):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0},(46,640):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(46,639):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(46,638):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(46,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(46,636):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(46,635):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(46,634):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(46,633):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0},(46,632):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(46,631):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.0},(46,630):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(46,629):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_7':0.0},(46,628):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(46,627):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(46,626):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(46,625):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(46,624):{'5_2':0.12,'3_1':0.06,'5_1':0.0,'7_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(46,623):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(46,622):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(46,621):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(46,620):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(46,619):{'3_1':0.15,'5_2':0.0,'7_3':0.0,'4_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(46,618):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(46,617):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0},(46,616):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0},(46,615):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(46,614):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(46,613):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(46,612):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(46,611):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(46,610):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(46,609):{'3_1':0.21,'4_1':0.06,'7_3':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(46,608):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(46,607):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'3_1#5_2':0.0},(46,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(46,605):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(46,604):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(46,603):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(46,602):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(46,601):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(46,600):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(46,599):{'3_1':0.06,'4_1':0.06,'8_1':0.0},(46,598):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'8_1':0.0},(46,597):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(46,596):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(46,595):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(46,594):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(46,593):{'4_1':0.21,'3_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(46,592):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(46,591):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0},(46,590):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(46,589):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(46,588):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'8_1':0.0,'8_21|3_1#4_1':0.0},(46,587):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(46,586):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(46,585):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(46,584):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0},(46,583):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(46,582):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'5_2':0.0},(46,581):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(46,580):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(46,579):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(46,578):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'5_1':0.0},(46,577):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(46,576):{'4_1':0.09,'3_1':0.03,'6_1':0.0},(46,575):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_3':0.0},(46,574):{'4_1':0.09,'5_2':0.03,'3_1':0.0},(46,573):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'8_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(46,572):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(46,571):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(46,570):{'4_1':0.09,'5_1':0.0,'6_2':0.0},(46,569):{'4_1':0.18,'3_1':0.03,'5_2':0.0},(46,568):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(46,567):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(46,566):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(46,565):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(46,564):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(46,563):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(46,562):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(46,561):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(46,560):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(46,559):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(46,558):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(46,557):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(46,556):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0},(46,555):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0},(46,554):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(46,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(46,552):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(46,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(46,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(46,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_2':0.0},(46,548):{'3_1':0.12,'4_1':0.0,'-3':0.0},(46,547):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,546):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(46,545):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(46,544):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(46,543):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0},(46,542):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(46,540):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(46,539):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(46,538):{'3_1':0.15,'4_1':0.0},(46,537):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(46,536):{'3_1':0.09,'5_2':0.0},(46,535):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_10':0.0},(46,534):{'3_1':0.15,'5_1':0.0,'6_1':0.0,'7_1':0.0},(46,533):{'3_1':0.12,'6_1':0.0,'-3':0.0},(46,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,531):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(46,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(46,528):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_2':0.0},(46,527):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0},(46,526):{'3_1':0.06,'4_1':0.0},(46,525):{'3_1':0.09,'5_1':0.03,'6_1':0.0},(46,524):{'3_1':0.12,'4_1':0.0},(46,523):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(46,522):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(46,521):{'3_1':0.09},(46,520):{'3_1':0.03,'5_1':0.0},(46,519):{'3_1':0.0,'8_3':0.0},(46,518):{'3_1':0.0},(46,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,516):{'3_1':0.03,'5_2':0.0},(46,515):{'3_1':0.0},(46,514):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(46,513):{'3_1':0.03,'5_2':0.0},(46,512):{'3_1':0.0,'5_1':0.0},(46,511):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,510):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,509):{'3_1':0.0,'5_2':0.0},(46,508):{'4_1':0.0,'3_1':0.0},(46,507):{'3_1':0.0,'4_1':0.0},(46,506):{'3_1':0.0,'4_1':0.0},(46,505):{'3_1':0.03},(46,504):{'3_1':0.0},(46,503):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(46,502):{'3_1':0.03,'4_1':0.0},(46,501):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(46,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(46,499):{'3_1':0.0,'5_1':0.0},(46,498):{'3_1':0.03},(46,497):{'3_1':0.03},(46,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(46,495):{'3_1':0.0,'7_1':0.0},(46,494):{'3_1':0.0,'5_1':0.0},(46,493):{'3_1':0.03},(46,492):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,491):{'3_1':0.0},(46,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(46,489):{'3_1':0.06,'4_1':0.0},(46,488):{'3_1':0.03,'5_1':0.0},(46,487):{'4_1':0.0,'3_1':0.0},(46,486):{'3_1':0.03},(46,485):{'3_1':0.06,'4_1':0.0},(46,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(46,483):{'3_1':0.03,'5_1':0.0},(46,482):{'3_1':0.06,'5_1':0.0,'-3':0.0},(46,481):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(46,480):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(46,479):{'3_1':0.06},(46,478):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(46,477):{'3_1':0.03},(46,476):{'3_1':0.03},(46,475):{'3_1':0.06},(46,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,473):{'3_1':0.06,'5_1':0.0},(46,472):{'3_1':0.0},(46,471):{'3_1':0.03,'5_1':0.0},(46,470):{'3_1':0.03},(46,469):{'3_1':0.0},(46,468):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(46,467):{'3_1':0.03,'8_20|3_1#3_1':0.0},(46,466):{'3_1':0.03},(46,465):{'3_1':0.0,'4_1':0.0},(46,464):{'3_1':0.0,'4_1':0.0},(46,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,462):{'3_1':0.0},(46,461):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(46,460):{'3_1':0.0,'4_1':0.0},(46,459):{'3_1':0.0},(46,458):{'3_1':0.03,'4_1':0.0},(46,457):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(46,456):{'3_1':0.0},(46,455):{'3_1':0.0,'5_2':0.0},(46,454):{'3_1':0.0},(46,453):{'3_1':0.0,'5_1':0.0},(46,452):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(46,451):{'3_1':0.0,'4_1':0.0},(46,450):{'3_1':0.0,'4_1':0.0},(46,449):{'5_1':0.0,'3_1':0.0},(46,448):{'3_1':0.0},(46,447):{'3_1':0.03},(46,446):{'3_1':0.0},(46,445):{'3_1':0.0},(46,444):{'3_1':0.03},(46,443):{'3_1':0.0},(46,442):{'3_1':0.0},(46,441):{'3_1':0.06},(46,440):{'3_1':0.0},(46,439):{'3_1':0.0,'4_1':0.0},(46,437):{'3_1':0.03},(46,436):{'3_1':0.0,'5_1':0.0},(46,435):{'3_1':0.03,'4_1':0.0},(46,434):{'3_1':0.03,'5_1':0.0},(46,433):{'3_1':0.09,'5_2':0.0},(46,432):{'3_1':0.0,'5_1':0.0},(46,431):{'3_1':0.03},(46,430):{'3_1':0.06},(46,429):{'3_1':0.06,'4_1':0.0},(46,428):{'3_1':0.06,'5_2':0.0},(46,427):{'3_1':0.0},(46,426):{'3_1':0.03},(46,425):{'3_1':0.03},(46,424):{'3_1':0.03},(46,423):{'3_1':0.0,'5_2':0.0},(46,422):{'3_1':0.06},(46,421):{'3_1':0.0},(46,420):{'3_1':0.03,'5_2':0.0},(46,419):{'3_1':0.03},(46,418):{'3_1':0.03},(46,417):{'3_1':0.0},(46,416):{'3_1':0.03},(46,415):{'3_1':0.03,'5_1':0.0},(46,414):{'3_1':0.03},(46,413):{'3_1':0.03},(46,412):{'3_1':0.0},(46,411):{'3_1':0.0},(46,410):{'3_1':0.03,'4_1':0.0},(46,409):{'3_1':0.03,'4_1':0.0},(46,408):{'3_1':0.03},(46,407):{'3_1':0.0},(46,406):{'3_1':0.03},(46,405):{'3_1':0.0},(46,404):{'3_1':0.0},(46,403):{'3_1':0.0},(46,402):{'3_1':0.0},(46,401):{'3_1':0.03},(46,400):{'3_1':0.0},(46,399):{'3_1':0.0},(46,398):{'3_1':0.0,'5_2':0.0},(46,397):{'3_1':0.0,'4_1':0.0},(46,396):{'3_1':0.0,'5_2':0.0},(46,395):{'3_1':0.0,'7_2':0.0},(46,394):{'3_1':0.03},(46,393):{'3_1':0.0},(46,391):{'3_1':0.03},(46,390):{'3_1':0.0},(46,388):{'3_1':0.0},(46,387):{'3_1':0.0},(46,386):{'3_1':0.0},(46,385):{'3_1':0.0,'4_1':0.0},(46,384):{'3_1':0.0,'5_2':0.0},(46,383):{'3_1':0.0},(46,382):{'3_1':0.0},(46,381):{'3_1':0.03,'4_1':0.0},(46,380):{'3_1':0.03},(46,379):{'3_1':0.0},(46,378):{'3_1':0.0,'4_1':0.0},(46,377):{'3_1':0.03},(46,376):{'3_1':0.0,'4_1':0.0},(46,375):{'3_1':0.0,'4_1':0.0},(46,374):{'5_1':0.0,'3_1':0.0},(46,373):{'3_1':0.0,'4_1':0.0},(46,372):{'3_1':0.03},(46,371):{'3_1':0.03},(46,370):{'3_1':0.0},(46,369):{'3_1':0.03,'4_1':0.0},(46,368):{'3_1':0.03},(46,367):{'3_1':0.0},(46,366):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(46,365):{'3_1':0.0},(46,364):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(46,363):{'3_1':0.0,'4_1':0.0},(46,362):{'3_1':0.0},(46,361):{'3_1':0.0},(46,360):{'3_1':0.0},(46,358):{'3_1':0.0},(46,357):{'3_1':0.0},(46,356):{'3_1':0.0},(46,355):{'3_1':0.0},(46,354):{'3_1':0.0},(46,353):{'3_1':0.0,'5_1':0.0},(46,352):{'3_1':0.0},(46,351):{'4_1':0.0},(46,350):{'3_1':0.0},(46,349):{'3_1':0.0,'4_1':0.0},(46,348):{'3_1':0.0},(46,347):{'3_1':0.0},(46,346):{'3_1':0.0,'5_2':0.0},(46,345):{'3_1':0.0},(46,344):{'3_1':0.0},(46,343):{'3_1':0.0},(46,342):{'3_1':0.03},(46,341):{'3_1':0.0},(46,340):{'5_1':0.0},(46,339):{'3_1':0.0,'5_1':0.0},(46,338):{'3_1':0.0},(46,337):{'3_1':0.0,'5_1':0.0},(46,336):{'3_1':0.0},(46,334):{'3_1':0.0,'4_1':0.0},(46,333):{'3_1':0.0},(46,332):{'3_1':0.0},(46,330):{'3_1':0.0,'4_1':0.0},(46,328):{'3_1':0.0},(46,327):{'3_1':0.0,'-3':0.0},(46,326):{'3_1':0.0,'4_1':0.0},(46,325):{'3_1':0.0},(46,324):{'3_1':0.03},(46,323):{'3_1':0.0},(46,322):{'3_1':0.0,'5_1':0.0},(46,321):{'3_1':0.0},(46,319):{'3_1':0.0},(46,318):{'3_1':0.0},(46,316):{'3_1':0.0},(46,315):{'3_1':0.0},(46,314):{'3_1':0.0},(46,312):{'4_1':0.0,'5_2':0.0},(46,311):{'3_1':0.0},(46,310):{'3_1':0.0},(46,309):{'3_1':0.03},(46,308):{'3_1':0.0},(46,307):{'3_1':0.03,'4_1':0.0},(46,306):{'3_1':0.0},(46,305):{'3_1':0.0,'5_2':0.0},(46,304):{'3_1':0.0,'5_1':0.0},(46,303):{'3_1':0.0,'5_2':0.0},(46,302):{'3_1':0.0,'4_1':0.0},(46,301):{'3_1':0.0},(46,300):{'3_1':0.03,'4_1':0.0},(46,299):{'3_1':0.06},(46,298):{'3_1':0.0,'4_1':0.0},(46,297):{'3_1':0.0},(46,296):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(46,295):{'3_1':0.06},(46,294):{'3_1':0.0},(46,293):{'3_1':0.0},(46,292):{'3_1':0.0},(46,291):{'3_1':0.0,'4_1':0.0},(46,290):{'3_1':0.0},(46,289):{'3_1':0.0},(46,288):{'3_1':0.03},(46,284):{'3_1':0.0},(46,283):{'3_1':0.0},(46,282):{'3_1':0.0},(46,281):{'3_1':0.0},(46,280):{'3_1':0.0},(46,279):{'3_1':0.03},(46,278):{'3_1':0.03},(46,277):{'3_1':0.03},(46,276):{'3_1':0.03},(46,275):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(46,274):{'3_1':0.0},(46,273):{'3_1':0.03},(46,271):{'3_1':0.0},(46,270):{'4_1':0.0},(46,269):{'3_1':0.0},(46,268):{'3_1':0.03},(46,267):{'3_1':0.0,'4_1':0.0},(46,266):{'3_1':0.0},(46,265):{'3_1':0.0,'6_1':0.0},(46,264):{'3_1':0.0,'5_2':0.0},(46,263):{'3_1':0.0},(46,262):{'3_1':0.0},(46,260):{'3_1':0.0},(46,259):{'3_1':0.0,'6_1':0.0},(46,258):{'3_1':0.0},(46,257):{'3_1':0.0,'6_1':0.0},(46,256):{'3_1':0.0},(46,255):{'3_1':0.0,'5_1':0.0},(46,254):{'3_1':0.0},(46,253):{'3_1':0.0},(46,251):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,249):{'3_1':0.03},(46,248):{'3_1':0.0,'4_1':0.0},(46,247):{'3_1':0.0,'5_2':0.0},(46,245):{'3_1':0.0,'4_1':0.0},(46,244):{'3_1':0.03},(46,243):{'3_1':0.0},(46,242):{'3_1':0.0},(46,241):{'3_1':0.03},(46,240):{'3_1':0.0},(46,239):{'3_1':0.0},(46,238):{'3_1':0.0},(46,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(46,236):{'3_1':0.0,'5_2':0.0},(46,235):{'3_1':0.0},(46,234):{'3_1':0.0},(46,233):{'3_1':0.0},(46,232):{'4_1':0.0},(46,231):{'3_1':0.0},(46,230):{'3_1':0.0},(46,229):{'3_1':0.0},(46,228):{'3_1':0.0},(46,227):{'3_1':0.0},(46,226):{'3_1':0.0},(46,225):{'3_1':0.0},(46,224):{'3_1':0.0},(46,223):{'3_1':0.0},(46,222):{'3_1':0.0,'5_2':0.0},(46,220):{'3_1':0.0},(46,219):{'3_1':0.0},(46,217):{'3_1':0.0},(46,216):{'3_1':0.0},(46,214):{'3_1':0.0},(46,213):{'3_1':0.0},(46,206):{'3_1':0.03},(46,205):{'3_1':0.0},(46,204):{'3_1':0.0},(46,203):{'3_1':0.0},(46,202):{'3_1':0.03},(46,201):{'3_1':0.03},(46,199):{'3_1':0.0},(46,198):{'3_1':0.0},(46,197):{'3_1':0.0},(46,196):{'3_1':0.0,'4_1':0.0},(46,195):{'3_1':0.0},(46,194):{'3_1':0.03},(46,193):{'3_1':0.0},(46,192):{'3_1':0.0},(46,191):{'5_1':0.0},(46,190):{'3_1':0.0},(46,189):{'3_1':0.0},(46,187):{'3_1':0.0},(46,186):{'3_1':0.0},(46,185):{'3_1':0.0},(46,184):{'3_1':0.0},(46,183):{'3_1':0.0},(46,182):{'3_1':0.0},(46,179):{'4_1':0.0},(46,178):{'3_1':0.0},(46,177):{'3_1':0.0},(46,175):{'3_1':0.0},(46,174):{'3_1':0.03},(46,173):{'3_1':0.0},(46,172):{'3_1':0.0},(46,171):{'3_1':0.0},(46,170):{'3_1':0.0},(46,168):{'3_1':0.0},(46,167):{'3_1':0.0},(46,166):{'3_1':0.0,'4_1':0.0},(46,165):{'4_1':0.0},(46,163):{'4_1':0.0},(46,162):{'3_1':0.0},(46,161):{'3_1':0.0},(46,158):{'3_1':0.0},(46,157):{'3_1':0.0},(46,156):{'3_1':0.0},(46,155):{'3_1':0.0},(46,153):{'3_1':0.0},(46,152):{'3_1':0.0},(46,151):{'3_1':0.0},(46,150):{'3_1':0.0},(46,149):{'3_1':0.0},(46,148):{'3_1':0.0},(46,147):{'3_1':0.0},(46,146):{'3_1':0.0},(46,144):{'3_1':0.03},(46,143):{'3_1':0.0},(46,142):{'3_1':0.0},(46,141):{'3_1':0.0},(46,140):{'3_1':0.0},(46,139):{'3_1':0.0},(46,138):{'5_1':0.0},(46,137):{'3_1':0.0,'4_1':0.0},(46,136):{'5_1':0.0},(46,134):{'3_1':0.0},(46,132):{'3_1':0.0},(46,131):{'3_1':0.0},(46,128):{'5_1':0.0},(46,92):{'3_1':0.0},(47,727):{'5_2':0.75,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0},(47,726):{'5_2':0.87,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(47,725):{'5_2':0.75,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(47,724):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0},(47,723):{'5_2':0.75,'-3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(47,722):{'5_2':0.72,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0},(47,721):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(47,720):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_3':0.0,'8_19':0.0},(47,719):{'5_2':0.63,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'8_19':0.0},(47,718):{'5_2':0.66,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'5_1':0.0},(47,717):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0},(47,716):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.03,'8_8':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(47,715):{'5_2':0.69,'7_4':0.06,'7_2':0.03,'3_1':0.0,'7_5':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(47,714):{'5_2':0.72,'7_4':0.06,'3_1':0.06,'-3':0.0,'7_2':0.0,'4_1':0.0},(47,713):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'7_3':0.03,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_3':0.0},(47,712):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(47,711):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_3':0.0,'8_15':0.0},(47,710):{'5_2':0.6,'-3':0.12,'3_1':0.09,'7_5':0.03,'7_4':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0},(47,709):{'5_2':0.6,'-3':0.18,'3_1':0.03,'7_5':0.03,'5_1':0.03,'7_6':0.0},(47,708):{'5_2':0.63,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_5':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(47,707):{'5_2':0.66,'3_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(47,706):{'5_2':0.54,'3_1':0.18,'7_4':0.09,'-3':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(47,705):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'8_3':0.0,'8_8':0.0},(47,704):{'5_2':0.63,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0},(47,703):{'5_2':0.63,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(47,702):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_3':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(47,701):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(47,700):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(47,699):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(47,698):{'5_2':0.6,'3_1':0.12,'7_3':0.03,'-3':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'5_1':0.0},(47,697):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(47,696):{'5_2':0.42,'3_1':0.24,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0},(47,695):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0,'5_1':0.0,'3_1#5_2':0.0},(47,694):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(47,693):{'5_2':0.42,'3_1':0.18,'7_3':0.06,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(47,692):{'5_2':0.51,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(47,691):{'5_2':0.48,'3_1':0.21,'-3':0.06,'7_3':0.0,'3_1#5_2':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(47,690):{'5_2':0.42,'3_1':0.27,'-3':0.09,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(47,689):{'5_2':0.39,'3_1':0.24,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'1':-0.03},(47,688):{'5_2':0.39,'3_1':0.27,'7_3':0.06,'7_4':0.06,'-3':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(47,687):{'5_2':0.33,'3_1':0.24,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(47,686):{'3_1':0.39,'5_2':0.24,'5_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(47,685):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'7_3':0.03,'5_1':0.03,'-3':0.0,'6_3':0.0},(47,684):{'3_1':0.42,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(47,683):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'-3':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(47,682):{'3_1':0.45,'5_2':0.24,'7_4':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(47,681):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(47,680):{'3_1':0.48,'5_2':0.21,'7_4':0.09,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(47,679):{'3_1':0.48,'5_2':0.21,'7_4':0.09,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,678):{'3_1':0.51,'7_4':0.15,'5_2':0.09,'-3':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(47,677):{'3_1':0.54,'5_2':0.15,'7_4':0.09,'5_1':0.03,'-3':0.0},(47,676):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(47,675):{'3_1':0.69,'5_2':0.06,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,674):{'3_1':0.54,'5_2':0.15,'7_4':0.12,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(47,673):{'3_1':0.66,'5_2':0.15,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'-3':0.0},(47,672):{'3_1':0.72,'5_2':0.06,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(47,671):{'3_1':0.66,'7_4':0.06,'5_2':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0},(47,670):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(47,669):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_19':0.0},(47,668):{'3_1':0.66,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0},(47,667):{'3_1':0.66,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,666):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,665):{'3_1':0.66,'5_2':0.12,'7_4':0.06,'-3':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,664):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(47,663):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(47,662):{'3_1':0.63,'5_2':0.18,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(47,661):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0},(47,660):{'3_1':0.63,'5_2':0.12,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(47,659):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(47,658):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(47,657):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(47,656):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(47,655):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(47,654):{'3_1':0.69,'5_2':0.15,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(47,653):{'3_1':0.6,'5_2':0.15,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,652):{'3_1':0.48,'5_2':0.12,'-3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0,'1':-0.03},(47,651):{'3_1':0.51,'5_2':0.15,'4_1':0.03,'7_4':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(47,650):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_3':0.0,'6_3':0.0,'-3':0.0},(47,649):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(47,648):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(47,647):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'6_1':0.0},(47,646):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(47,645):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(47,644):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(47,643):{'3_1':0.39,'5_2':0.15,'5_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(47,642):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'7_4':0.0},(47,641):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'-3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'4_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(47,640):{'5_2':0.18,'3_1':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(47,639):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(47,638):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(47,637):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(47,636):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(47,635):{'5_2':0.12,'3_1':0.09,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(47,634):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(47,633):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0},(47,632):{'5_2':0.09,'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(47,631):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(47,630):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(47,629):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(47,628):{'3_1':0.15,'5_2':0.09,'7_3':0.0,'5_1':0.0,'7_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(47,627):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(47,626):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(47,625):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(47,624):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_14':0.0},(47,623):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0},(47,622):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(47,621):{'3_1':0.18,'5_2':0.12,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(47,620):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(47,619):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(47,618):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0},(47,617):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(47,616):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(47,615):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(47,614):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(47,613):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(47,612):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(47,611):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_7':0.0,'5_2':0.0,'-3':0.0},(47,610):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(47,609):{'3_1':0.15,'4_1':0.03,'7_3':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(47,608):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(47,607):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(47,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0},(47,605):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(47,604):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0},(47,603):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(47,602):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(47,601):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0},(47,600):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(47,599):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(47,598):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0},(47,597):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(47,596):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(47,595):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_5':0.0,'7_6':0.0},(47,594):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0},(47,593):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'6_2':0.0},(47,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0,'6_1':0.0},(47,591):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(47,590):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(47,589):{'4_1':0.21,'3_1':0.06,'8_19':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(47,588):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(47,587):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0},(47,586):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(47,585):{'4_1':0.15,'3_1':0.06,'5_2':0.03},(47,584):{'4_1':0.09,'5_2':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(47,583):{'4_1':0.18,'3_1':0.03,'5_2':0.0},(47,582):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(47,581):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(47,580):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0},(47,579):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(47,578):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0},(47,577):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'7_6':0.0},(47,576):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(47,575):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'-3':0.0},(47,574):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(47,573):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(47,572):{'4_1':0.09,'3_1':0.03,'5_1':0.0},(47,571):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(47,570):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(47,569):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(47,568):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'8_1':0.0},(47,567):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(47,566):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(47,565):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(47,564):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(47,563):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_6':0.0},(47,562):{'4_1':0.06,'3_1':0.03},(47,561):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(47,560):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,559):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(47,558):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(47,557):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(47,556):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(47,555):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(47,554):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(47,553):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,552):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,551):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(47,550):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(47,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(47,548):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(47,547):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,546):{'3_1':0.09,'4_1':0.0},(47,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(47,544):{'3_1':0.12,'4_1':0.0},(47,543):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(47,542):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(47,541):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(47,540):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_1':0.0},(47,539):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(47,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(47,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,536):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(47,535):{'3_1':0.12,'4_1':0.0},(47,534):{'3_1':0.12,'5_2':0.0},(47,533):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(47,532):{'3_1':0.18,'4_1':0.03},(47,531):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(47,530):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(47,529):{'3_1':0.0},(47,528):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(47,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(47,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(47,525):{'3_1':0.06,'4_1':0.0},(47,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(47,523):{'3_1':0.06,'4_1':0.0},(47,522):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,521):{'3_1':0.03,'5_1':0.0},(47,520):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(47,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(47,518):{'3_1':0.0},(47,517):{'3_1':0.03},(47,516):{'3_1':0.03,'4_1':0.0},(47,515):{'3_1':0.06},(47,514):{'3_1':0.06},(47,513):{'3_1':0.06,'4_1':0.0},(47,512):{'3_1':0.06,'5_2':0.0},(47,511):{'3_1':0.0,'4_1':0.0},(47,510):{'3_1':0.03,'4_1':0.0},(47,509):{'3_1':0.03},(47,508):{'3_1':0.0,'4_1':0.0},(47,507):{'3_1':0.03},(47,506):{'3_1':0.03,'4_1':0.0},(47,505):{'3_1':0.06},(47,504):{'3_1':0.0},(47,503):{'3_1':0.03},(47,502):{'3_1':0.0,'4_1':0.0},(47,501):{'3_1':0.0},(47,500):{'3_1':0.03,'4_1':0.0},(47,499):{'3_1':0.0,'4_1':0.0},(47,498):{'5_1':0.0},(47,497):{'3_1':0.03},(47,496):{'3_1':0.0,'5_2':0.0},(47,495):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(47,494):{'3_1':0.0,'4_1':0.0},(47,493):{'3_1':0.09},(47,492):{'3_1':0.0},(47,491):{'3_1':0.03,'4_1':0.0},(47,490):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,489):{'3_1':0.03},(47,488):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(47,487):{'3_1':0.06,'5_2':0.0},(47,486):{'3_1':0.06,'5_1':0.0},(47,485):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(47,484):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,483):{'3_1':0.06,'4_1':0.0},(47,482):{'3_1':0.03},(47,481):{'3_1':0.0},(47,480):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(47,479):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(47,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(47,477):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(47,476):{'3_1':0.06,'4_1':0.0},(47,475):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,474):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(47,473):{'3_1':0.03,'5_2':0.0},(47,472):{'3_1':0.06,'5_2':0.0},(47,471):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,470):{'3_1':0.03,'5_2':0.0},(47,469):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(47,468):{'3_1':0.03,'5_2':0.0},(47,467):{'3_1':0.0,'4_1':0.0},(47,466):{'3_1':0.0},(47,465):{'3_1':0.0,'4_1':0.0},(47,464):{'3_1':0.03},(47,463):{'3_1':0.0},(47,462):{'3_1':0.06,'4_1':0.0},(47,461):{'3_1':0.03,'4_1':0.0},(47,460):{'3_1':0.0,'4_1':0.0},(47,459):{'3_1':0.06,'4_1':0.0},(47,458):{'4_1':0.0,'3_1':0.0},(47,457):{'3_1':0.0},(47,456):{'3_1':0.03},(47,455):{'3_1':0.0,'4_1':0.0},(47,454):{'3_1':0.0,'5_2':0.0},(47,453):{'3_1':0.0,'8_20|3_1#3_1':0.0},(47,452):{'3_1':0.03},(47,451):{'3_1':0.0,'4_1':0.0},(47,450):{'3_1':0.0,'8_1':0.0},(47,449):{'3_1':0.0,'5_2':0.0},(47,448):{'3_1':0.03},(47,447):{'3_1':0.03},(47,446):{'3_1':0.03,'5_1':0.0},(47,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,444):{'5_1':0.0},(47,443):{'3_1':0.0,'5_2':0.0},(47,442):{'3_1':0.0},(47,441):{'3_1':0.03},(47,440):{'3_1':0.06},(47,439):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(47,438):{'3_1':0.0,'5_2':0.0},(47,437):{'3_1':0.03,'5_1':0.0},(47,436):{'3_1':0.09},(47,435):{'3_1':0.03,'5_2':0.0},(47,434):{'3_1':0.06,'6_3':0.0},(47,433):{'3_1':0.03},(47,432):{'3_1':0.03,'6_1':0.0},(47,431):{'3_1':0.03,'4_1':0.0},(47,430):{'3_1':0.0},(47,429):{'3_1':0.03},(47,428):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(47,427):{'3_1':0.03},(47,426):{'3_1':0.03},(47,425):{'3_1':0.0},(47,424):{'3_1':0.03},(47,423):{'3_1':0.03},(47,422):{'3_1':0.06},(47,421):{'3_1':0.0},(47,420):{'3_1':0.03},(47,419):{'3_1':0.06,'5_2':0.0},(47,418):{'3_1':0.03,'5_1':0.0},(47,417):{'3_1':0.09},(47,416):{'3_1':0.0,'5_1':0.0},(47,415):{'3_1':0.03,'4_1':0.0},(47,414):{'3_1':0.06},(47,413):{'3_1':0.0},(47,412):{'3_1':0.0},(47,411):{'6_1':0.0},(47,409):{'3_1':0.0},(47,408):{'3_1':0.03},(47,407):{'3_1':0.03},(47,406):{'3_1':0.0},(47,405):{'3_1':0.03},(47,404):{'3_1':0.0,'4_1':0.0},(47,403):{'3_1':0.0},(47,402):{'3_1':0.0},(47,401):{'3_1':0.0},(47,400):{'3_1':0.0},(47,399):{'3_1':0.0},(47,398):{'3_1':0.03,'5_2':0.0},(47,397):{'3_1':0.0},(47,396):{'3_1':0.0},(47,395):{'4_1':0.0,'3_1':0.0},(47,394):{'3_1':0.0},(47,393):{'3_1':0.0},(47,392):{'3_1':0.03,'-3':0.0},(47,391):{'3_1':0.03},(47,390):{'3_1':0.0,'4_1':0.0},(47,389):{'3_1':0.03},(47,388):{'3_1':0.0},(47,387):{'3_1':0.0},(47,386):{'3_1':0.0},(47,384):{'3_1':0.0},(47,383):{'5_2':0.0,'8_20|3_1#3_1':0.0},(47,382):{'3_1':0.03},(47,381):{'3_1':0.0,'7_2':0.0},(47,380):{'3_1':0.0},(47,379):{'3_1':0.03},(47,378):{'3_1':0.0},(47,377):{'3_1':0.03},(47,376):{'3_1':0.0},(47,375):{'3_1':0.03},(47,374):{'4_1':0.0,'5_1':0.0},(47,373):{'3_1':0.0,'5_1':0.0},(47,372):{'3_1':0.0},(47,371):{'3_1':0.0,'6_1':0.0},(47,370):{'6_1':0.0},(47,369):{'3_1':0.03,'4_1':0.0},(47,368):{'3_1':0.0},(47,367):{'3_1':0.03,'4_1':0.0},(47,366):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(47,365):{'4_1':0.0,'9_1':0.0},(47,364):{'3_1':0.0,'4_1':0.0},(47,363):{'3_1':0.0},(47,362):{'3_1':0.03},(47,361):{'3_1':0.0},(47,360):{'4_1':0.0},(47,358):{'3_1':0.0},(47,357):{'3_1':0.0},(47,354):{'3_1':0.03,'5_1':0.0},(47,353):{'3_1':0.0,'5_1':0.0},(47,352):{'3_1':0.0,'4_1':0.0},(47,351):{'3_1':0.0},(47,350):{'3_1':0.0},(47,349):{'3_1':0.0,'4_1':0.0},(47,348):{'3_1':0.0},(47,346):{'3_1':0.0},(47,344):{'3_1':0.0},(47,343):{'3_1':0.0},(47,342):{'3_1':0.0},(47,341):{'3_1':0.0},(47,340):{'3_1':0.0},(47,339):{'3_1':0.0},(47,338):{'3_1':0.0},(47,337):{'3_1':0.0,'7_1':0.0},(47,336):{'3_1':0.0},(47,334):{'3_1':0.0},(47,333):{'3_1':0.0},(47,332):{'3_1':0.0,'-3':0.0},(47,331):{'3_1':0.0},(47,330):{'3_1':0.0},(47,329):{'8_3':0.0},(47,328):{'8_20|3_1#3_1':0.0},(47,327):{'3_1':0.0},(47,325):{'3_1':0.0,'4_1':0.0},(47,324):{'3_1':0.0,'5_1':0.0},(47,322):{'3_1':0.0,'4_1':0.0},(47,321):{'3_1':0.0},(47,320):{'3_1':0.0,'4_1':0.0},(47,319):{'5_1':0.0},(47,318):{'3_1':0.0},(47,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(47,315):{'3_1':0.0},(47,314):{'3_1':0.0},(47,312):{'3_1':0.03},(47,311):{'3_1':0.0},(47,310):{'3_1':0.03},(47,309):{'3_1':0.0},(47,308):{'3_1':0.03},(47,307):{'3_1':0.0,'4_1':0.0},(47,306):{'3_1':0.0},(47,305):{'4_1':0.0,'5_1':0.0},(47,304):{'3_1':0.03},(47,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(47,302):{'3_1':0.03,'4_1':0.0},(47,301):{'3_1':0.0,'4_1':0.0},(47,300):{'3_1':0.0},(47,299):{'3_1':0.0,'4_1':0.0},(47,298):{'3_1':0.0,'4_1':0.0},(47,297):{'3_1':0.03},(47,296):{'3_1':0.03},(47,295):{'3_1':0.0},(47,294):{'3_1':0.0},(47,292):{'3_1':0.0},(47,291):{'3_1':0.0},(47,290):{'3_1':0.0},(47,289):{'3_1':0.0},(47,288):{'3_1':0.0},(47,286):{'3_1':0.0},(47,285):{'3_1':0.0},(47,284):{'4_1':0.0},(47,283):{'3_1':0.0},(47,282):{'3_1':0.0},(47,281):{'3_1':0.0},(47,280):{'3_1':0.0},(47,279):{'3_1':0.03},(47,278):{'5_2':0.0},(47,277):{'3_1':0.03,'5_2':0.0},(47,276):{'3_1':0.03},(47,275):{'3_1':0.0},(47,274):{'3_1':0.0},(47,273):{'3_1':0.06},(47,272):{'3_1':0.03},(47,271):{'3_1':0.0},(47,270):{'3_1':0.0},(47,269):{'3_1':0.0},(47,268):{'3_1':0.0},(47,267):{'3_1':0.03},(47,266):{'3_1':0.0},(47,265):{'3_1':0.0},(47,264):{'3_1':0.0},(47,263):{'4_1':0.0},(47,262):{'3_1':0.0},(47,261):{'3_1':0.0},(47,260):{'3_1':0.0},(47,259):{'3_1':0.0},(47,257):{'3_1':0.0},(47,256):{'3_1':0.0,'5_1':0.0},(47,255):{'3_1':0.0},(47,254):{'3_1':0.0,'5_1':0.0},(47,253):{'3_1':0.0},(47,252):{'3_1':0.0,'4_1':0.0},(47,251):{'3_1':0.0,'3_1#5_1':0.0},(47,248):{'3_1':0.0},(47,247):{'3_1':0.0},(47,245):{'3_1':0.0},(47,243):{'3_1':0.0},(47,242):{'3_1':0.0},(47,241):{'3_1':0.03,'4_1':0.0},(47,240):{'3_1':0.0},(47,239):{'3_1':0.0},(47,238):{'3_1':0.0},(47,237):{'3_1':0.0,'5_1':0.0},(47,236):{'3_1':0.0,'4_1':0.0},(47,235):{'3_1':0.03,'4_1':0.0},(47,234):{'3_1':0.0,'4_1':0.0},(47,233):{'3_1':0.0},(47,232):{'3_1':0.0},(47,231):{'3_1':0.0},(47,230):{'3_1':0.0},(47,229):{'3_1':0.0,'5_1':0.0},(47,227):{'3_1':0.03},(47,226):{'3_1':0.0},(47,224):{'3_1':0.0},(47,222):{'3_1':0.0},(47,221):{'3_1':0.0},(47,220):{'3_1':0.0},(47,219):{'3_1':0.0},(47,218):{'3_1':0.0},(47,216):{'3_1':0.0,'5_2':0.0},(47,215):{'3_1':0.0},(47,212):{'3_1':0.0},(47,210):{'3_1':0.0},(47,209):{'3_1':0.0},(47,207):{'3_1':0.0},(47,206):{'3_1':0.0},(47,205):{'3_1':0.0},(47,203):{'3_1':0.0},(47,202):{'3_1':0.0},(47,201):{'3_1':0.0},(47,200):{'3_1':0.0},(47,199):{'3_1':0.0},(47,198):{'3_1':0.0,'5_1':0.0},(47,197):{'3_1':0.0,'5_1':0.0},(47,194):{'3_1':0.0},(47,193):{'3_1':0.0},(47,192):{'4_1':0.0},(47,191):{'3_1':0.0},(47,190):{'3_1':0.0},(47,187):{'3_1':0.0},(47,184):{'3_1':0.0},(47,183):{'3_1':0.0},(47,181):{'3_1':0.0},(47,180):{'3_1':0.0},(47,179):{'3_1':0.0},(47,178):{'3_1':0.0},(47,177):{'3_1':0.0},(47,176):{'3_1':0.03},(47,175):{'3_1':0.0},(47,174):{'3_1':0.0},(47,170):{'3_1':0.0},(47,169):{'3_1':0.03},(47,168):{'3_1':0.0},(47,165):{'3_1':0.0},(47,164):{'3_1':0.0},(47,162):{'3_1':0.0},(47,159):{'3_1':0.0},(47,158):{'3_1':0.0},(47,157):{'3_1':0.0},(47,156):{'3_1':0.0},(47,155):{'3_1':0.0},(47,154):{'3_1':0.0},(47,151):{'3_1':0.0},(47,150):{'3_1':0.0},(47,149):{'3_1':0.0},(47,148):{'3_1':0.0},(47,147):{'3_1':0.0},(47,146):{'3_1':0.0},(47,145):{'3_1':0.03},(47,144):{'3_1':0.03},(47,143):{'3_1':0.0},(47,141):{'3_1':0.0},(47,140):{'3_1':0.0,'5_1':0.0},(47,139):{'3_1':0.0},(47,138):{'5_1':0.0},(47,137):{'3_1':0.0},(47,136):{'3_1':0.0},(47,135):{'3_1':0.0},(47,134):{'3_1':0.0},(47,131):{'3_1':0.0},(47,130):{'3_1':0.0},(47,127):{'3_1':0.0,'5_1':0.0},(47,126):{'3_1':0.0},(47,125):{'3_1':0.0},(47,124):{'3_1':0.0},(47,123):{'3_1':0.0},(47,122):{'3_1':0.0},(47,120):{'3_1':0.0},(47,118):{'3_1':0.0},(48,727):{'5_2':0.75,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(48,726):{'5_2':0.75,'-3':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(48,725):{'5_2':0.78,'3_1':0.03,'-3':0.03,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_1':0.0},(48,724):{'5_2':0.75,'3_1':0.06,'7_4':0.03,'-3':0.0,'5_1':0.0},(48,723):{'5_2':0.69,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0},(48,722):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(48,721):{'5_2':0.66,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0},(48,720):{'5_2':0.75,'7_4':0.06,'3_1':0.03,'7_5':0.0,'4_1':0.0,'8_8':0.0,'-3':0.0,'5_1':0.0},(48,719):{'5_2':0.72,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'8_8':0.0},(48,718):{'5_2':0.66,'3_1':0.06,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(48,717):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(48,716):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0},(48,715):{'5_2':0.72,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0},(48,714):{'5_2':0.72,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,713):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(48,712):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0},(48,711):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_2':0.0,'8_15':0.0,'4_1':0.0,'7_3':0.0,'8_16':0.0},(48,710):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0},(48,709):{'5_2':0.51,'-3':0.18,'3_1':0.06,'7_5':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(48,708):{'5_2':0.66,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(48,707):{'5_2':0.63,'3_1':0.09,'-3':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0},(48,706):{'5_2':0.54,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0},(48,705):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1#5_2':0.06,'3_1':0.06,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(48,704):{'5_2':0.57,'-3':0.09,'3_1':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(48,703):{'5_2':0.63,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0},(48,702):{'5_2':0.69,'3_1':0.12,'-3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(48,701):{'5_2':0.6,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(48,700):{'5_2':0.57,'7_4':0.12,'3_1':0.09,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(48,699):{'5_2':0.51,'3_1':0.12,'7_3':0.06,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0},(48,698):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0},(48,697):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0},(48,696):{'5_2':0.57,'3_1':0.18,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(48,695):{'5_2':0.54,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.03,'8_8':0.0,'3_1#5_2':0.0},(48,694):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0},(48,693):{'5_2':0.48,'3_1':0.24,'7_4':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(48,692):{'5_2':0.51,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_3':0.0},(48,691):{'5_2':0.54,'3_1':0.18,'-3':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(48,690):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0},(48,689):{'5_2':0.36,'3_1':0.27,'7_4':0.09,'-3':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(48,688):{'3_1':0.36,'5_2':0.36,'7_3':0.03,'-3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(48,687):{'5_2':0.45,'3_1':0.3,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'8_15':0.0},(48,686):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'5_1':0.06,'7_3':0.03,'-3':0.03,'7_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(48,685):{'3_1':0.42,'5_2':0.3,'7_4':0.06,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(48,684):{'3_1':0.45,'5_2':0.21,'7_3':0.06,'7_4':0.03,'-3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0},(48,683):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'5_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(48,682):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(48,681):{'3_1':0.51,'5_2':0.21,'7_4':0.09,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(48,680):{'3_1':0.54,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(48,679):{'3_1':0.51,'5_2':0.24,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(48,678):{'3_1':0.57,'7_4':0.12,'5_2':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(48,677):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'-3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(48,676):{'3_1':0.63,'7_4':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(48,675):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(48,674):{'3_1':0.6,'5_2':0.12,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(48,673):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(48,672):{'3_1':0.72,'5_2':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'3_1#5_1':0.0},(48,671):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_4':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,670):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(48,669):{'3_1':0.72,'5_2':0.06,'7_4':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(48,668):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,667):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'-3':0.0},(48,666):{'3_1':0.63,'7_4':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(48,665):{'3_1':0.75,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0},(48,664):{'3_1':0.75,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(48,663):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(48,662):{'3_1':0.6,'5_2':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_4':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(48,661):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(48,660):{'3_1':0.66,'5_2':0.09,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(48,659):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(48,658):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(48,657):{'3_1':0.6,'5_2':0.12,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(48,656):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(48,655):{'3_1':0.6,'5_2':0.18,'5_1':0.0,'7_7':0.0,'-3':0.0},(48,654):{'3_1':0.48,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(48,653):{'3_1':0.48,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(48,652):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(48,651):{'3_1':0.51,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(48,650):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(48,649):{'3_1':0.54,'5_2':0.12,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(48,648):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'3_1#5_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(48,647):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'-3':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(48,646):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(48,645):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(48,644):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(48,643):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(48,642):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0},(48,641):{'3_1':0.18,'5_2':0.12,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,640):{'3_1':0.36,'5_2':0.09,'7_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_6':0.0},(48,639):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(48,638):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,637):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(48,636):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(48,635):{'5_2':0.12,'3_1':0.09,'5_1':0.0,'7_1':0.0,'7_4':0.0},(48,634):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(48,633):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(48,632):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0},(48,631):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(48,630):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'7_6':0.0},(48,629):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(48,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_12':0.0,'3_1#5_2':0.0,'-3':0.0},(48,627):{'3_1':0.09,'5_2':0.09,'5_1':0.0,'4_1':0.0},(48,626):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(48,625):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(48,624):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(48,623):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(48,622):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'3_1#5_1':0.0},(48,621):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(48,620):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_6':0.0},(48,619):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(48,618):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(48,617):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(48,616):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,615):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(48,614):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(48,613):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(48,612):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(48,611):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(48,610):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(48,609):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'7_3':0.0,'6_3':0.0,'-3':0.0},(48,608):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(48,607):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(48,606):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(48,605):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(48,604):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(48,603):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_19':0.0},(48,602):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0},(48,601):{'4_1':0.09,'3_1':0.09},(48,600):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'7_1':0.0},(48,599):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(48,598):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(48,597):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(48,596):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(48,595):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(48,594):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(48,593):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0},(48,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0},(48,591):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'7_6':0.0,'8_19':0.0},(48,590):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_4':0.0},(48,589):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(48,588):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(48,587):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(48,586):{'4_1':0.15,'3_1':0.0,'8_19':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(48,585):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(48,584):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(48,583):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(48,582):{'4_1':0.15,'3_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(48,581):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(48,580):{'4_1':0.09,'5_2':0.0,'6_1':0.0,'3_1':0.0,'6_2':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(48,579):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(48,578):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(48,577):{'4_1':0.18,'3_1':0.0,'6_2':0.0},(48,576):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'8_19':0.0},(48,575):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_13':0.0},(48,574):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(48,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(48,572):{'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(48,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(48,570):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'8_4':0.0},(48,569):{'4_1':0.09,'3_1':0.03,'8_21|3_1#4_1':0.0},(48,568):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,567):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(48,566):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_3':0.0,'8_19':0.0},(48,565):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'8_19':0.0},(48,564):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_11':0.0},(48,563):{'4_1':0.09,'3_1':0.03,'8_19':0.0},(48,562):{'4_1':0.06,'5_2':0.0,'3_1':0.0,'5_1':0.0,'8_6':0.0},(48,561):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_1':0.0},(48,560):{'4_1':0.09,'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(48,559):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,558):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(48,557):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,556):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(48,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(48,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(48,553):{'3_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0},(48,552):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(48,551):{'3_1':0.03},(48,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(48,549):{'3_1':0.09,'4_1':0.0},(48,548):{'3_1':0.09,'4_1':0.0},(48,547):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(48,546):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(48,545):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(48,544):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(48,543):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_2':0.0},(48,542):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(48,541):{'3_1':0.09},(48,540):{'3_1':0.12,'4_1':0.0},(48,539):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(48,538):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(48,537):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(48,536):{'3_1':0.21,'4_1':0.0},(48,535):{'3_1':0.09,'5_1':0.0},(48,534):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(48,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(48,532):{'3_1':0.09,'4_1':0.0},(48,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,530):{'3_1':0.12,'4_1':0.0},(48,529):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0},(48,528):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,527):{'3_1':0.06,'5_2':0.0},(48,526):{'3_1':0.06,'4_1':0.0,'8_19':0.0},(48,525):{'3_1':0.12,'8_21|3_1#4_1':0.0},(48,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,523):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(48,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_5':0.0},(48,521):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(48,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,519):{'3_1':0.03,'8_20|3_1#3_1':0.0},(48,518):{'3_1':0.0},(48,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,516):{'3_1':0.03,'4_1':0.0},(48,515):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(48,514):{'3_1':0.03,'4_1':0.0},(48,513):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(48,512):{'3_1':0.0},(48,511):{'3_1':0.06,'4_1':0.0},(48,510):{'3_1':0.0,'5_1':0.0},(48,509):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_5':0.0},(48,508):{'3_1':0.03,'4_1':0.0},(48,507):{'3_1':0.09,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(48,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(48,505):{'3_1':0.06},(48,504):{'3_1':0.03,'8_21|3_1#4_1':0.0},(48,503):{'3_1':0.03,'5_2':0.0},(48,502):{'3_1':0.03},(48,501):{'3_1':0.03},(48,500):{'3_1':0.0},(48,499):{'3_1':0.03,'4_1':0.0},(48,498):{'3_1':0.03},(48,497):{'3_1':0.03,'8_20|3_1#3_1':0.0},(48,496):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(48,495):{'3_1':0.03,'5_1':0.0},(48,494):{'3_1':0.03},(48,493):{'3_1':0.03,'4_1':0.0},(48,492):{'3_1':0.0,'4_1':0.0},(48,491):{'3_1':0.0,'8_20|3_1#3_1':0.0},(48,490):{'3_1':0.03,'4_1':0.0},(48,489):{'3_1':0.03,'5_2':0.0},(48,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(48,487):{'3_1':0.0},(48,486):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(48,485):{'3_1':0.0,'8_20|3_1#3_1':0.0},(48,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(48,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(48,482):{'3_1':0.06,'4_1':0.0},(48,481):{'3_1':0.06,'5_1':0.0,'8_5':0.0},(48,480):{'3_1':0.06,'6_3':0.0},(48,479):{'3_1':0.06,'4_1':0.0},(48,478):{'3_1':0.06,'7_1':0.0},(48,477):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(48,476):{'3_1':0.03},(48,475):{'3_1':0.09,'6_1':0.0},(48,474):{'3_1':0.06},(48,473):{'3_1':0.0,'4_1':0.0},(48,472):{'3_1':0.03,'5_2':0.0},(48,471):{'3_1':0.06,'8_21|3_1#4_1':0.0},(48,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(48,469):{'3_1':0.03},(48,468):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(48,467):{'3_1':0.03,'6_1':0.0},(48,466):{'3_1':0.03,'5_2':0.0},(48,465):{'3_1':0.0},(48,464):{'3_1':0.03},(48,463):{'3_1':0.03},(48,462):{'3_1':0.03,'6_1':0.0},(48,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,460):{'3_1':0.03},(48,459):{'3_1':0.03,'4_1':0.0},(48,458):{'3_1':0.06},(48,457):{'3_1':0.0,'4_1':0.0},(48,456):{'3_1':0.03,'4_1':0.0},(48,455):{'3_1':0.03,'5_1':0.0},(48,454):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(48,453):{'3_1':0.0},(48,452):{'3_1':0.03,'4_1':0.0},(48,451):{'3_1':0.06,'4_1':0.0},(48,450):{'3_1':0.06,'4_1':0.0},(48,449):{'3_1':0.03,'7_3':0.0},(48,448):{'3_1':0.09},(48,447):{'3_1':0.03,'5_2':0.0},(48,446):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(48,445):{'3_1':0.03,'4_1':0.0},(48,444):{'3_1':0.03,'5_2':0.0},(48,443):{'3_1':0.03,'5_2':0.0},(48,442):{'3_1':0.0},(48,441):{'3_1':0.06},(48,440):{'3_1':0.06},(48,439):{'3_1':0.0,'5_1':0.0},(48,438):{'3_1':0.0},(48,437):{'3_1':0.03,'8_1':0.0},(48,436):{'3_1':0.0},(48,435):{'3_1':0.06,'5_1':0.0},(48,434):{'3_1':0.03,'5_1':0.0},(48,433):{'3_1':0.0},(48,432):{'3_1':0.03},(48,431):{'3_1':0.06},(48,430):{'3_1':0.06},(48,429):{'3_1':0.03},(48,428):{'3_1':0.06,'7_2':0.0},(48,427):{'3_1':0.06},(48,426):{'3_1':0.06,'5_2':0.0},(48,425):{'3_1':0.03},(48,424):{'3_1':0.03},(48,423):{'3_1':0.03},(48,422):{'3_1':0.06},(48,421):{'3_1':0.0,'4_1':0.0},(48,420):{'3_1':0.03,'5_1':0.0},(48,419):{'3_1':0.03,'5_2':0.0},(48,418):{'3_1':0.0},(48,417):{'3_1':0.03,'5_1':0.0},(48,416):{'3_1':0.0,'3_1#5_1':0.0},(48,415):{'3_1':0.03,'4_1':0.0},(48,414):{'3_1':0.0,'5_1':0.0},(48,413):{'3_1':0.0},(48,412):{'3_1':0.03},(48,411):{'3_1':0.0},(48,410):{'3_1':0.03,'5_2':0.0},(48,409):{'3_1':0.0},(48,408):{'3_1':0.0,'5_2':0.0},(48,407):{'3_1':0.0},(48,406):{'3_1':0.0},(48,405):{'3_1':0.0},(48,404):{'4_1':0.0},(48,403):{'3_1':0.0,'4_1':0.0},(48,401):{'3_1':0.0,'8_3':0.0},(48,400):{'3_1':0.0},(48,399):{'3_1':0.0,'4_1':0.0},(48,398):{'3_1':0.0,'5_2':0.0},(48,396):{'3_1':0.0},(48,395):{'3_1':0.0},(48,394):{'3_1':0.0},(48,393):{'3_1':0.0},(48,391):{'3_1':0.0,'8_20|3_1#3_1':0.0},(48,390):{'3_1':0.0,'5_2':0.0},(48,389):{'3_1':0.0,'7_2':0.0},(48,388):{'3_1':0.0,'6_1':0.0},(48,387):{'3_1':0.0},(48,386):{'3_1':0.0},(48,385):{'3_1':0.0},(48,384):{'3_1':0.0},(48,383):{'3_1':0.0},(48,382):{'3_1':0.0},(48,381):{'3_1':0.03},(48,380):{'3_1':0.0},(48,379):{'3_1':0.0},(48,378):{'3_1':0.0},(48,377):{'3_1':0.03},(48,376):{'3_1':0.03,'5_1':0.0},(48,375):{'3_1':0.0},(48,374):{'3_1':0.03},(48,373):{'3_1':0.0,'4_1':0.0},(48,372):{'3_1':0.0},(48,371):{'3_1':0.0},(48,370):{'3_1':0.03,'5_1':0.0},(48,369):{'3_1#5_1':0.0},(48,368):{'3_1':0.0},(48,367):{'7_1':0.0},(48,366):{'4_1':0.0},(48,365):{'3_1':0.0},(48,364):{'3_1':0.03},(48,363):{'3_1':0.0},(48,361):{'3_1':0.0,'4_1':0.0},(48,360):{'3_1':0.0},(48,359):{'3_1':0.0},(48,358):{'3_1':0.0},(48,357):{'3_1':0.0},(48,356):{'3_1':0.0},(48,354):{'3_1':0.0},(48,353):{'3_1':0.0,'5_1':0.0},(48,352):{'3_1':0.0},(48,351):{'3_1':0.0},(48,350):{'3_1':0.0},(48,349):{'3_1':0.0},(48,348):{'3_1':0.0,'4_1':0.0},(48,347):{'3_1':0.0},(48,346):{'3_1':0.0},(48,345):{'3_1':0.0},(48,344):{'3_1':0.0},(48,343):{'3_1':0.03},(48,342):{'3_1':0.0,'7_1':0.0},(48,341):{'3_1':0.03},(48,340):{'3_1':0.0},(48,339):{'3_1':0.0},(48,338):{'3_1':0.0,'4_1':0.0},(48,337):{'3_1':0.0,'7_1':0.0},(48,336):{'3_1':0.03},(48,335):{'3_1':0.03},(48,334):{'3_1':0.0},(48,333):{'3_1':0.0},(48,332):{'3_1':0.03},(48,331):{'3_1':0.0},(48,330):{'3_1':0.0},(48,329):{'3_1':0.0,'6_1':0.0},(48,328):{'3_1':0.0},(48,326):{'3_1':0.0,'4_1':0.0},(48,325):{'3_1':0.0},(48,324):{'3_1':0.0,'4_1':0.0},(48,322):{'3_1':0.0},(48,321):{'4_1':0.0,'3_1':0.0},(48,320):{'3_1':0.0,'4_1':0.0},(48,319):{'3_1':0.0,'5_1':0.0},(48,318):{'3_1':0.0},(48,317):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,316):{'3_1':0.0},(48,315):{'3_1':0.0,'5_2':0.0},(48,314):{'3_1':0.0},(48,313):{'3_1':0.0},(48,312):{'3_1':0.0},(48,311):{'3_1':0.0},(48,310):{'3_1':0.0},(48,309):{'3_1':0.0},(48,308):{'3_1':0.03,'4_1':0.0},(48,307):{'3_1':0.0},(48,306):{'3_1':0.0},(48,305):{'3_1':0.0},(48,304):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(48,303):{'3_1':0.0},(48,302):{'3_1':0.03},(48,301):{'3_1':0.03},(48,300):{'3_1':0.03},(48,299):{'3_1':0.0},(48,298):{'3_1':0.03},(48,297):{'3_1':0.03,'4_1':0.0},(48,296):{'3_1':0.0},(48,295):{'3_1':0.0,'5_1':0.0},(48,294):{'3_1':0.0,'4_1':0.0},(48,293):{'3_1':0.03},(48,292):{'3_1':0.0},(48,291):{'3_1':0.0},(48,290):{'3_1':0.0},(48,289):{'3_1':0.0},(48,288):{'3_1':0.03,'5_1':0.0},(48,286):{'3_1':0.0},(48,285):{'3_1':0.0},(48,284):{'3_1':0.0},(48,283):{'3_1':0.03},(48,282):{'3_1':0.0},(48,281):{'3_1':0.0},(48,279):{'3_1':0.0},(48,278):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,277):{'3_1':0.03},(48,276):{'3_1':0.06},(48,275):{'3_1':0.03},(48,274):{'3_1':0.0},(48,273):{'3_1':0.03},(48,272):{'3_1':0.0},(48,271):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(48,270):{'3_1':0.0},(48,269):{'3_1':0.06},(48,268):{'3_1':0.03},(48,267):{'3_1':0.0},(48,266):{'3_1':0.0,'4_1':0.0},(48,265):{'3_1':0.0},(48,264):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(48,263):{'3_1':0.0},(48,262):{'3_1':0.0,'6_2':0.0},(48,261):{'3_1':0.0,'4_1':0.0},(48,260):{'3_1':0.0,'6_1':0.0},(48,259):{'3_1':0.03},(48,258):{'3_1':0.0},(48,257):{'3_1':0.0,'4_1':0.0},(48,255):{'3_1':0.0},(48,254):{'3_1':0.0},(48,253):{'3_1':0.03},(48,252):{'3_1':0.0},(48,251):{'5_1':0.0},(48,249):{'3_1':0.0,'4_1':0.0},(48,248):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(48,245):{'3_1':0.0},(48,244):{'3_1':0.0},(48,243):{'3_1':0.0},(48,242):{'3_1':0.0},(48,241):{'5_1':0.0},(48,240):{'3_1':0.0},(48,239):{'3_1':0.03},(48,237):{'3_1':0.0,'5_1':0.0},(48,236):{'3_1':0.0,'5_2':0.0},(48,235):{'3_1':0.0},(48,234):{'3_1':0.0},(48,233):{'3_1':0.0},(48,232):{'3_1':0.0},(48,231):{'3_1':0.0,'4_1':0.0},(48,230):{'3_1':0.0},(48,229):{'3_1':0.0,'5_2':0.0},(48,228):{'3_1':0.0},(48,227):{'4_1':0.0,'5_2':0.0},(48,226):{'3_1':0.0},(48,225):{'3_1':0.0},(48,224):{'3_1':0.0},(48,223):{'3_1':0.0},(48,221):{'3_1':0.0},(48,220):{'3_1':0.0},(48,218):{'3_1':0.0},(48,217):{'3_1':0.0},(48,214):{'3_1':0.0},(48,213):{'3_1':0.0},(48,212):{'3_1':0.0},(48,211):{'3_1':0.0},(48,210):{'3_1':0.0},(48,209):{'3_1':0.0},(48,206):{'3_1':0.0},(48,205):{'3_1':0.0},(48,204):{'5_2':0.0},(48,202):{'3_1':0.03},(48,201):{'3_1':0.0},(48,199):{'3_1':0.0},(48,198):{'3_1':0.0},(48,195):{'3_1':0.0},(48,194):{'4_1':0.0,'5_1':0.0},(48,193):{'3_1':0.0},(48,192):{'3_1':0.0,'4_1':0.0},(48,191):{'3_1':0.0,'4_1':0.0},(48,189):{'3_1':0.0},(48,188):{'3_1':0.0},(48,187):{'3_1':0.0},(48,185):{'3_1':0.0},(48,184):{'3_1':0.0},(48,182):{'3_1':0.0},(48,181):{'3_1':0.0},(48,180):{'4_1':0.0},(48,179):{'3_1':0.0,'4_1':0.0},(48,178):{'3_1':0.0},(48,175):{'3_1':0.0},(48,172):{'3_1':0.0},(48,171):{'3_1':0.0},(48,170):{'3_1':0.0},(48,169):{'3_1':0.0},(48,168):{'3_1':0.0},(48,167):{'3_1':0.0},(48,166):{'3_1':0.0},(48,165):{'3_1':0.0,'4_1':0.0},(48,164):{'3_1':0.0},(48,163):{'3_1':0.0},(48,162):{'3_1':0.0},(48,161):{'3_1':0.0},(48,160):{'3_1':0.0},(48,159):{'3_1':0.0},(48,158):{'3_1':0.0},(48,156):{'3_1':0.0},(48,152):{'3_1':0.0},(48,151):{'3_1':0.03},(48,150):{'3_1':0.0},(48,149):{'3_1':0.0},(48,148):{'3_1':0.0},(48,147):{'3_1':0.0},(48,146):{'3_1':0.03},(48,145):{'3_1':0.0},(48,144):{'3_1':0.03},(48,143):{'3_1':0.0},(48,142):{'3_1':0.0},(48,141):{'3_1':0.0},(48,140):{'3_1':0.0},(48,137):{'3_1':0.0},(48,136):{'3_1':0.0},(48,134):{'3_1':0.0},(48,131):{'3_1':0.0},(48,127):{'3_1':0.0},(48,123):{'3_1':0.0},(48,120):{'3_1':0.0},(49,727):{'5_2':0.69,'7_4':0.06,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(49,726):{'5_2':0.72,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_7':0.0,'8_12':0.0},(49,725):{'5_2':0.75,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(49,724):{'5_2':0.75,'-3':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_4':0.0,'8_16':0.0,'3_1#5_2':0.0},(49,723):{'5_2':0.72,'7_4':0.06,'-3':0.06,'7_5':0.0,'3_1':0.0,'5_1':0.0,'8_8':0.0,'8_15':0.0},(49,722):{'5_2':0.75,'3_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(49,721):{'5_2':0.78,'7_4':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0},(49,720):{'5_2':0.72,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(49,719):{'5_2':0.72,'3_1':0.06,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(49,718):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_13':0.0},(49,717):{'5_2':0.66,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(49,716):{'5_2':0.69,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0},(49,715):{'5_2':0.78,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(49,714):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(49,713):{'5_2':0.6,'7_2':0.03,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(49,712):{'5_2':0.63,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_2':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(49,711):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_8':0.0,'8_14':0.0},(49,710):{'5_2':0.63,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(49,709):{'5_2':0.6,'-3':0.15,'5_1':0.06,'3_1':0.03,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(49,708):{'5_2':0.66,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0},(49,707):{'5_2':0.66,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0},(49,706):{'5_2':0.57,'3_1':0.12,'7_4':0.06,'-3':0.06,'6_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(49,705):{'5_2':0.66,'3_1':0.09,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(49,704):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(49,703):{'5_2':0.57,'-3':0.12,'7_4':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(49,702):{'5_2':0.63,'3_1':0.12,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(49,701):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0},(49,700):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(49,699):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(49,698):{'5_2':0.63,'3_1':0.09,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(49,697):{'5_2':0.51,'-3':0.12,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(49,696):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(49,695):{'5_2':0.57,'3_1':0.12,'7_3':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'5_1':0.0},(49,694):{'5_2':0.57,'3_1':0.12,'7_3':0.09,'-3':0.03,'7_6':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0},(49,693):{'5_2':0.42,'3_1':0.21,'-3':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0},(49,692):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(49,691):{'5_2':0.36,'3_1':0.24,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(49,690):{'5_2':0.42,'3_1':0.27,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(49,689):{'5_2':0.33,'3_1':0.3,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0},(49,688):{'5_2':0.45,'3_1':0.3,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(49,687):{'5_2':0.42,'3_1':0.24,'7_4':0.09,'7_3':0.06,'-3':0.03,'6_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(49,686):{'5_2':0.33,'3_1':0.3,'7_4':0.12,'7_3':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(49,685):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0},(49,684):{'3_1':0.48,'5_2':0.24,'7_4':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0},(49,683):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'5_1':0.03,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(49,682):{'3_1':0.51,'5_2':0.15,'7_4':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(49,681):{'3_1':0.54,'5_2':0.24,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(49,680):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(49,679):{'3_1':0.51,'5_2':0.18,'7_4':0.15,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(49,678):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(49,677):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(49,676):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'5_1':0.03,'-3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(49,675):{'3_1':0.48,'5_2':0.15,'7_4':0.15,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(49,674):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,673):{'3_1':0.69,'7_4':0.06,'5_2':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(49,672):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0},(49,671):{'3_1':0.63,'7_4':0.12,'5_2':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_12':0.0},(49,670):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0},(49,669):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'8_19':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(49,668):{'3_1':0.6,'5_2':0.12,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(49,667):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_7':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(49,666):{'3_1':0.69,'5_2':0.12,'7_4':0.06,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(49,665):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(49,664):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(49,663):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(49,662):{'3_1':0.54,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(49,661):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,660):{'3_1':0.69,'5_2':0.09,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_5':0.0,'3_1#5_2':0.0},(49,659):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'5_1':0.0,'-3':0.0,'3_1#5_2':0.0},(49,658):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0},(49,657):{'3_1':0.63,'5_2':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(49,656):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'3_1#5_1':0.0},(49,655):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0},(49,654):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(49,653):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(49,652):{'3_1':0.51,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(49,651):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(49,650):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(49,649):{'3_1':0.54,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_6':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(49,648):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(49,647):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,646):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,645):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(49,644):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(49,643):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(49,642):{'5_2':0.18,'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(49,641):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(49,640):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(49,639):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(49,638):{'3_1':0.21,'5_2':0.12,'5_1':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(49,636):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(49,635):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(49,634):{'3_1':0.21,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(49,633):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(49,632):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.03,'8_1':0.0,'-3':0.0},(49,631):{'5_2':0.09,'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0},(49,630):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(49,629):{'5_2':0.15,'3_1':0.03,'4_1':0.0,'7_6':0.0},(49,628):{'3_1':0.15,'5_2':0.09,'6_1':0.0,'4_1':0.0,'7_3':0.0},(49,627):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0},(49,626):{'5_2':0.18,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(49,625):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(49,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(49,623):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(49,622):{'3_1':0.15,'5_2':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(49,621):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(49,620):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_10':0.0},(49,619):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(49,618):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0},(49,617):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(49,616):{'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(49,615):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0},(49,614):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0},(49,613):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0},(49,612):{'3_1':0.21,'5_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(49,611):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(49,610):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(49,609):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(49,608):{'3_1':0.21,'-3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,607):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_10':0.0,'-3':0.0},(49,606):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(49,605):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(49,604):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(49,603):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(49,602):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(49,601):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(49,600):{'3_1':0.12,'4_1':0.06,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(49,599):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0},(49,598):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(49,597):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(49,596):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(49,595):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(49,594):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(49,593):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(49,592):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(49,591):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0,'7_6':0.0},(49,590):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(49,589):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0},(49,588):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0},(49,587):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(49,586):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(49,585):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(49,584):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(49,583):{'4_1':0.09,'3_1':0.03,'7_6':0.0,'5_2':0.0},(49,582):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(49,581):{'4_1':0.18,'5_1':0.03,'3_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(49,580):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_2':0.0},(49,579):{'4_1':0.09,'3_1':0.03,'7_1':0.0,'7_5':0.0},(49,578):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(49,577):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(49,576):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(49,575):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(49,574):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(49,573):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(49,572):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(49,571):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(49,570):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(49,569):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0},(49,568):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_2':0.0},(49,567):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(49,566):{'3_1':0.09,'4_1':0.09,'9_1':0.0},(49,565):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(49,564):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_1':0.0},(49,563):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(49,562):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(49,561):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(49,560):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(49,559):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0},(49,558):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(49,557):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(49,556):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(49,555):{'3_1':0.06,'4_1':0.06},(49,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(49,553):{'3_1':0.12},(49,552):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(49,551):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0},(49,550):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(49,549):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(49,548):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(49,547):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(49,546):{'3_1':0.12,'4_1':0.03,'8_1':0.0,'8_20|3_1#3_1':0.0},(49,545):{'3_1':0.09,'4_1':0.0},(49,544):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(49,543):{'3_1':0.03,'4_1':0.0},(49,542):{'3_1':0.12,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(49,541):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(49,540):{'3_1':0.15,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(49,539):{'3_1':0.15,'4_1':0.0},(49,538):{'3_1':0.09,'5_1':0.0},(49,537):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,536):{'3_1':0.18,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_1':0.0},(49,535):{'3_1':0.12},(49,534):{'3_1':0.09,'5_1':0.0},(49,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(49,532):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(49,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(49,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(49,529):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(49,528):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(49,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(49,526):{'3_1':0.06},(49,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(49,524):{'3_1':0.09,'4_1':0.0},(49,523):{'3_1':0.06},(49,522):{'3_1':0.06,'4_1':0.0},(49,521):{'3_1':0.06,'5_1':0.0},(49,520):{'3_1':0.03,'5_1':0.0},(49,519):{'3_1':0.03},(49,518):{'3_1':0.03,'4_1':0.0},(49,517):{'3_1':0.06},(49,516):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(49,515):{'3_1':0.03,'4_1':0.0},(49,514):{'3_1':0.06,'4_1':0.0},(49,513):{'3_1':0.0,'4_1':0.0},(49,512):{'3_1':0.0,'8_1':0.0},(49,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,510):{'3_1':0.0,'8_1':0.0},(49,509):{'3_1':0.0,'4_1':0.0},(49,508):{'3_1':0.03,'4_1':0.0},(49,507):{'3_1':0.03,'5_2':0.0},(49,506):{'3_1':0.0},(49,505):{'3_1':0.0,'4_1':0.0},(49,504):{'3_1':0.0},(49,503):{'3_1':0.03},(49,502):{'3_1':0.0,'4_1':0.0},(49,501):{'3_1':0.0,'4_1':0.0},(49,500):{'3_1':0.0},(49,499):{'3_1':0.06},(49,498):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(49,497):{'3_1':0.03},(49,496):{'3_1':0.03,'8_20|3_1#3_1':0.0},(49,495):{'3_1':0.03},(49,494):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(49,493):{'3_1':0.03,'5_2':0.0},(49,492):{'3_1':0.03,'4_1':0.0},(49,491):{'4_1':0.0},(49,490):{'3_1':0.0,'5_2':0.0},(49,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(49,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(49,487):{'3_1':0.03,'4_1':0.0},(49,486):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(49,485):{'3_1':0.06},(49,484):{'3_1':0.03,'4_1':0.0},(49,483):{'3_1':0.03,'5_1':0.0},(49,482):{'3_1':0.09,'8_1':0.0},(49,481):{'3_1':0.03},(49,480):{'3_1':0.0,'4_1':0.0},(49,479):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(49,478):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(49,477):{'3_1':0.0,'5_1':0.0},(49,476):{'3_1':0.06},(49,475):{'3_1':0.06,'5_1':0.0},(49,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(49,473):{'3_1':0.0,'4_1':0.0},(49,472):{'3_1':0.03,'5_1':0.0},(49,471):{'3_1':0.03},(49,470):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(49,469):{'3_1':0.03},(49,468):{'3_1':0.03,'4_1':0.0},(49,467):{'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(49,466):{'3_1':0.03},(49,465):{'3_1':0.0},(49,464):{'3_1':0.03,'6_3':0.0},(49,463):{'3_1':0.03},(49,462):{'3_1':0.03},(49,461):{'3_1':0.03,'4_1':0.0},(49,460):{'3_1':0.06,'5_1':0.0},(49,459):{'3_1':0.03},(49,458):{'3_1':0.03,'4_1':0.0},(49,457):{'3_1':0.03,'5_1':0.0},(49,456):{'3_1':0.03,'4_1':0.0},(49,455):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(49,454):{'3_1':0.0},(49,453):{'3_1':0.0},(49,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_3':0.0},(49,451):{'3_1':0.06},(49,450):{'3_1':0.03},(49,449):{'3_1':0.03,'5_2':0.0},(49,448):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(49,447):{'3_1':0.0},(49,446):{'3_1':0.03},(49,445):{'3_1':0.06},(49,444):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(49,443):{'3_1':0.06},(49,442):{'3_1':0.0,'5_1':0.0},(49,441):{'3_1':0.03,'6_3':0.0},(49,440):{'3_1':0.03},(49,439):{'3_1':0.0},(49,438):{'3_1':0.0},(49,437):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,436):{'3_1':0.06},(49,435):{'3_1':0.0,'5_1':0.0,'7_6':0.0},(49,434):{'3_1':0.03},(49,433):{'3_1':0.0,'4_1':0.0},(49,432):{'3_1':0.06,'5_1':0.0},(49,431):{'3_1':0.03,'5_2':0.0},(49,430):{'3_1':0.0},(49,429):{'3_1':0.03},(49,428):{'3_1':0.06},(49,427):{'3_1':0.03},(49,426):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(49,425):{'3_1':0.03},(49,424):{'3_1':0.06},(49,423):{'3_1':0.03},(49,422):{'3_1':0.0},(49,421):{'3_1':0.03},(49,420):{'3_1':0.03},(49,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(49,418):{'3_1':0.03,'5_2':0.0},(49,417):{'3_1':0.0},(49,416):{'3_1':0.0},(49,415):{'3_1':0.06,'7_2':0.0},(49,414):{'3_1':0.06},(49,413):{'3_1':0.0},(49,412):{'3_1':0.0},(49,411):{'6_1':0.0},(49,410):{'3_1':0.03},(49,409):{'3_1':0.0},(49,408):{'3_1':0.0},(49,406):{'3_1':0.0},(49,405):{'3_1':0.0,'4_1':0.0},(49,404):{'3_1':0.03},(49,402):{'3_1':0.0},(49,401):{'3_1':0.0},(49,400):{'3_1':0.0},(49,399):{'3_1':0.0,'5_2':0.0},(49,398):{'3_1':0.0,'5_2':0.0},(49,396):{'3_1':0.0},(49,395):{'3_1':0.03},(49,394):{'3_1':0.0},(49,393):{'3_1':0.0},(49,392):{'3_1':0.0},(49,391):{'3_1':0.0},(49,390):{'3_1':0.0,'5_2':0.0},(49,389):{'3_1':0.03},(49,388):{'3_1':0.0},(49,387):{'3_1':0.0},(49,386):{'3_1':0.0},(49,385):{'3_1':0.0},(49,384):{'3_1':0.0},(49,383):{'3_1':0.0},(49,382):{'3_1':0.03},(49,381):{'3_1':0.0,'4_1':0.0},(49,380):{'3_1':0.0},(49,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(49,378):{'3_1':0.0},(49,377):{'3_1':0.03},(49,376):{'5_2':0.0},(49,375):{'3_1':0.03},(49,374):{'3_1':0.0,'4_1':0.0},(49,373):{'3_1':0.0,'4_1':0.0},(49,372):{'3_1':0.0},(49,371):{'4_1':0.0,'5_1':0.0},(49,370):{'3_1':0.0},(49,369):{'3_1':0.0,'7_1':0.0},(49,368):{'3_1':0.0},(49,367):{'3_1':0.0},(49,366):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(49,365):{'3_1':0.03,'4_1':0.0},(49,364):{'3_1':0.0,'4_1':0.0},(49,363):{'3_1':0.0,'4_1':0.0},(49,362):{'3_1':0.0},(49,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(49,359):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(49,358):{'3_1':0.03},(49,357):{'3_1':0.0},(49,356):{'3_1':0.0},(49,355):{'3_1':0.0},(49,354):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(49,353):{'3_1':0.0},(49,352):{'3_1':0.0},(49,351):{'3_1':0.0,'4_1':0.0},(49,350):{'3_1':0.06,'6_1':0.0},(49,349):{'3_1':0.0},(49,348):{'3_1':0.0},(49,347):{'3_1':0.0},(49,346):{'3_1':0.0},(49,345):{'3_1':0.03},(49,344):{'3_1':0.0},(49,343):{'3_1':0.0},(49,342):{'3_1':0.03},(49,341):{'3_1':0.0,'4_1':0.0},(49,340):{'3_1':0.0,'4_1':0.0},(49,339):{'5_1':0.0,'3_1':0.0,'6_1':0.0},(49,338):{'3_1':0.0,'5_1':0.0},(49,337):{'3_1':0.0},(49,336):{'3_1':0.0},(49,335):{'3_1':0.0},(49,334):{'3_1':0.0},(49,332):{'3_1':0.0,'4_1':0.0},(49,331):{'3_1':0.03},(49,330):{'3_1':0.0},(49,329):{'3_1':0.03,'7_1':0.0},(49,328):{'3_1':0.0},(49,327):{'3_1':0.0},(49,326):{'3_1':0.0},(49,325):{'3_1':0.0,'4_1':0.0},(49,324):{'3_1':0.0},(49,323):{'3_1':0.0},(49,322):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(49,321):{'3_1':0.0},(49,320):{'3_1':0.0},(49,318):{'3_1':0.0},(49,317):{'3_1':0.0},(49,316):{'3_1':0.03},(49,315):{'3_1':0.0},(49,313):{'3_1':0.0,'4_1':0.0},(49,312):{'3_1':0.0},(49,311):{'3_1':0.0,'4_1':0.0},(49,310):{'3_1':0.0,'4_1':0.0},(49,309):{'3_1':0.0},(49,308):{'3_1':0.0},(49,307):{'3_1':0.0,'5_2':0.0},(49,306):{'3_1':0.0},(49,305):{'3_1':0.0},(49,304):{'3_1':0.0},(49,303):{'3_1':0.0,'5_1':0.0},(49,302):{'3_1':0.03},(49,301):{'3_1':0.03},(49,300):{'3_1':0.0},(49,299):{'3_1':0.03},(49,297):{'3_1':0.0},(49,295):{'3_1':0.03},(49,293):{'3_1':0.0},(49,292):{'3_1':0.0},(49,291):{'3_1':0.0},(49,290):{'3_1':0.03},(49,289):{'3_1':0.03},(49,288):{'3_1':0.0,'5_1':0.0},(49,286):{'3_1':0.0},(49,285):{'3_1':0.0},(49,284):{'3_1':0.0,'4_1':0.0},(49,283):{'3_1':0.0},(49,282):{'4_1':0.0,'5_2':0.0},(49,281):{'3_1':0.03},(49,280):{'3_1':0.0},(49,279):{'3_1':0.0},(49,278):{'3_1':0.0,'5_1':0.0},(49,277):{'3_1':0.0},(49,276):{'3_1':0.0},(49,275):{'3_1':0.03},(49,274):{'3_1':0.03},(49,273):{'3_1':0.0,'5_1':0.0},(49,272):{'3_1':0.0,'5_2':0.0},(49,271):{'3_1':0.0},(49,270):{'3_1':0.0},(49,268):{'3_1':0.0},(49,267):{'3_1':0.0},(49,266):{'3_1':0.0},(49,265):{'3_1':0.0},(49,264):{'3_1':0.0},(49,263):{'3_1':0.0},(49,262):{'3_1':0.03,'6_2':0.0},(49,261):{'3_1':0.0},(49,259):{'3_1':0.03},(49,258):{'3_1':0.03},(49,257):{'3_1':0.0},(49,256):{'3_1':0.0},(49,255):{'3_1':0.0},(49,253):{'3_1':0.0},(49,252):{'3_1':0.03},(49,250):{'3_1':0.0},(49,249):{'3_1':0.0},(49,248):{'3_1':0.0},(49,247):{'3_1':0.0},(49,246):{'3_1':0.0},(49,245):{'3_1':0.03},(49,244):{'3_1':0.0,'4_1':0.0},(49,243):{'3_1':0.0},(49,242):{'4_1':0.0,'3_1':0.0},(49,241):{'3_1':0.0,'4_1':0.0},(49,240):{'3_1':0.0},(49,239):{'3_1':0.0},(49,238):{'3_1':0.0},(49,237):{'3_1':0.0},(49,236):{'3_1':0.0},(49,235):{'3_1':0.0},(49,234):{'3_1':0.0},(49,233):{'3_1':0.0},(49,232):{'3_1':0.0},(49,230):{'3_1':0.0,'5_1':0.0},(49,229):{'3_1':0.03},(49,228):{'3_1':0.0},(49,227):{'3_1':0.0},(49,226):{'3_1':0.0},(49,224):{'3_1':0.0},(49,221):{'3_1':0.0},(49,220):{'3_1':0.0},(49,219):{'3_1':0.0},(49,218):{'4_1':0.0},(49,216):{'3_1':0.0},(49,211):{'3_1':0.0},(49,210):{'3_1':0.0},(49,209):{'3_1':0.0},(49,208):{'3_1':0.0},(49,207):{'3_1':0.0},(49,204):{'3_1':0.0},(49,203):{'4_1':0.0},(49,202):{'3_1':0.0},(49,201):{'3_1':0.0},(49,199):{'3_1':0.0},(49,198):{'3_1':0.0},(49,197):{'5_1':0.0},(49,196):{'3_1':0.0,'6_3':0.0},(49,195):{'3_1':0.03,'5_1':0.0},(49,194):{'3_1':0.03},(49,193):{'3_1':0.0,'3_1#5_1':0.0},(49,191):{'3_1':0.0},(49,190):{'3_1':0.0},(49,189):{'3_1':0.0},(49,188):{'3_1':0.0},(49,187):{'3_1':0.0},(49,186):{'3_1':0.0,'5_2':0.0},(49,184):{'3_1':0.0},(49,183):{'3_1':0.03,'5_2':0.0},(49,182):{'3_1':0.0},(49,181):{'3_1':0.0},(49,180):{'3_1':0.0},(49,179):{'3_1':0.0},(49,177):{'3_1':0.0,'4_1':0.0},(49,176):{'3_1':0.0},(49,175):{'3_1':0.0},(49,174):{'3_1':0.0},(49,171):{'3_1':0.0},(49,170):{'3_1':0.03},(49,168):{'3_1':0.0},(49,167):{'3_1':0.0},(49,166):{'6_1':0.0,'6_2':0.0},(49,165):{'3_1':0.0},(49,163):{'3_1':0.03},(49,161):{'3_1':0.0,'4_1':0.0},(49,159):{'3_1':0.0},(49,158):{'3_1':0.0},(49,156):{'3_1':0.0},(49,155):{'4_1':0.0},(49,154):{'3_1':0.03},(49,152):{'3_1':0.0},(49,151):{'3_1':0.0},(49,150):{'3_1':0.0},(49,149):{'3_1':0.0},(49,148):{'3_1':0.0},(49,147):{'3_1':0.03},(49,146):{'3_1':0.03},(49,145):{'3_1':0.0},(49,144):{'3_1':0.0},(49,143):{'3_1':0.0},(49,142):{'3_1':0.0},(49,140):{'3_1':0.0},(49,139):{'3_1':0.0},(49,136):{'5_1':0.0},(49,135):{'7_1':0.0},(49,131):{'4_1':0.0,'7_1':0.0},(49,130):{'3_1':0.0},(49,127):{'3_1':0.0},(50,727):{'5_2':0.81,'-3':0.06,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(50,726):{'5_2':0.78,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(50,725):{'5_2':0.72,'-3':0.03,'7_4':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(50,724):{'5_2':0.78,'-3':0.03,'7_4':0.03,'5_1':0.0,'3_1':0.0,'7_5':0.0,'6_1':0.0},(50,723):{'5_2':0.66,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(50,722):{'5_2':0.75,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(50,721):{'5_2':0.78,'3_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(50,720):{'5_2':0.72,'3_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'3_1#5_2':0.0},(50,719):{'5_2':0.75,'-3':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(50,718):{'5_2':0.66,'7_4':0.06,'-3':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(50,717):{'5_2':0.72,'-3':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(50,716):{'5_2':0.72,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1':0.0,'4_1':0.0,'8_13':0.0},(50,715):{'5_2':0.72,'7_4':0.03,'3_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(50,714):{'5_2':0.72,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(50,713):{'5_2':0.63,'7_4':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(50,712):{'5_2':0.6,'3_1':0.09,'7_4':0.03,'7_5':0.03,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,711):{'5_2':0.54,'-3':0.15,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(50,710):{'5_2':0.6,'-3':0.12,'7_2':0.03,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(50,709):{'5_2':0.6,'-3':0.15,'3_1':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0},(50,708):{'5_2':0.63,'-3':0.09,'5_1':0.03,'3_1':0.03,'7_5':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(50,707):{'5_2':0.69,'7_4':0.09,'3_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(50,706):{'5_2':0.51,'3_1':0.12,'7_4':0.12,'-3':0.06,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,705):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'9_1':0.0},(50,704):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(50,703):{'5_2':0.6,'3_1':0.09,'7_4':0.09,'-3':0.06,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(50,702):{'5_2':0.54,'3_1':0.12,'-3':0.12,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(50,701):{'5_2':0.48,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(50,700):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0},(50,699):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(50,698):{'5_2':0.57,'3_1':0.03,'7_4':0.03,'7_6':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'1':-0.03},(50,697):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,696):{'5_2':0.6,'3_1':0.15,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(50,695):{'5_2':0.54,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(50,694):{'5_2':0.54,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0},(50,693):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0},(50,692):{'5_2':0.51,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(50,691):{'5_2':0.51,'3_1':0.15,'-3':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0},(50,690):{'5_2':0.48,'3_1':0.24,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(50,689):{'5_2':0.39,'3_1':0.27,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(50,688):{'5_2':0.36,'3_1':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(50,687):{'5_2':0.39,'3_1':0.33,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0},(50,686):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'3_1#5_2':0.0},(50,685):{'3_1':0.39,'5_2':0.33,'7_4':0.09,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,684):{'3_1':0.36,'5_2':0.33,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0},(50,683):{'3_1':0.36,'5_2':0.21,'7_4':0.12,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(50,682):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(50,681):{'3_1':0.54,'5_2':0.24,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(50,680):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(50,679):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'-3':0.0},(50,678):{'3_1':0.48,'7_4':0.15,'5_2':0.12,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(50,677):{'3_1':0.45,'5_2':0.24,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,676):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(50,675):{'3_1':0.66,'5_2':0.12,'7_4':0.03,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,674):{'3_1':0.66,'5_2':0.06,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,673):{'3_1':0.66,'5_2':0.12,'7_4':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(50,672):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_8':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(50,671):{'3_1':0.69,'7_4':0.06,'5_2':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(50,670):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(50,669):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(50,668):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(50,667):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(50,666):{'3_1':0.6,'5_2':0.09,'7_4':0.09,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(50,665):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0},(50,664):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(50,663):{'3_1':0.51,'5_2':0.24,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0},(50,662):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(50,661):{'3_1':0.57,'5_2':0.21,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(50,660):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(50,659):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0},(50,658):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'-3':0.0},(50,657):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(50,656):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(50,655):{'3_1':0.57,'5_2':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0},(50,654):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(50,653):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'7_4':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(50,652):{'3_1':0.48,'5_2':0.18,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(50,651):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(50,650):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,649):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(50,648):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(50,647):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(50,646):{'3_1':0.36,'5_2':0.12,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_6':0.0},(50,645):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0},(50,644):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(50,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_3':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(50,642):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(50,641):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'3_1#5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(50,640):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(50,639):{'3_1':0.15,'5_2':0.12,'7_3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,638):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(50,637):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(50,636):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_1':0.0,'6_1':0.0,'8_14':0.0},(50,635):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0},(50,634):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(50,633):{'5_2':0.18,'3_1':0.15,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(50,632):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(50,631):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(50,630):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(50,629):{'5_2':0.12,'3_1':0.06,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(50,628):{'3_1':0.09,'5_2':0.09,'7_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(50,627):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(50,626):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(50,625):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_1':0.0},(50,624):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(50,623):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(50,622):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(50,621):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(50,620):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(50,619):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(50,618):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(50,617):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0},(50,616):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0},(50,615):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_1':0.0},(50,614):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(50,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(50,612):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(50,611):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(50,610):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(50,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(50,608):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(50,607):{'3_1':0.21,'7_3':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(50,606):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(50,605):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(50,604):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(50,603):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_1':0.0},(50,602):{'3_1':0.09,'4_1':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0},(50,601):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(50,600):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(50,599):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(50,598):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(50,597):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'7_6':0.0,'7_3':0.0,'5_2':0.0},(50,596):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(50,595):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(50,594):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'8_8':0.0},(50,593):{'4_1':0.15,'3_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'-3':0.0},(50,592):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(50,591):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(50,590):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(50,589):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(50,588):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(50,587):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_19':0.0},(50,586):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(50,585):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(50,584):{'4_1':0.06,'3_1':0.06,'7_6':0.0,'8_21|3_1#4_1':0.0},(50,583):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_1':0.0},(50,582):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(50,581):{'4_1':0.12,'3_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0},(50,580):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(50,579):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(50,578):{'4_1':0.15,'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(50,577):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(50,576):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'5_1':0.0,'8_1':0.0,'8_13':0.0},(50,575):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(50,574):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(50,573):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0},(50,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(50,571):{'4_1':0.06,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(50,570):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(50,569):{'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(50,568):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_2':0.0},(50,567):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0},(50,566):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0},(50,565):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(50,564):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(50,563):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0},(50,562):{'4_1':0.09,'3_1':0.03,'6_1':0.0},(50,561):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(50,560):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'-3':0.0},(50,559):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(50,558):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(50,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(50,556):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(50,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_1':0.0,'6_1':0.0},(50,554):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(50,553):{'3_1':0.09,'4_1':0.0},(50,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(50,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(50,550):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(50,549):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(50,548):{'3_1':0.12,'4_1':0.0,'8_1':0.0},(50,547):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(50,546):{'3_1':0.15,'6_1':0.0,'8_1':0.0},(50,545):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,544):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(50,543):{'3_1':0.12,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(50,542):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(50,541):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(50,540):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(50,539):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(50,538):{'3_1':0.12,'8_1':0.0,'5_1':0.0,'5_2':0.0},(50,537):{'3_1':0.24,'5_2':0.0,'7_2':0.0},(50,536):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(50,535):{'3_1':0.12},(50,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(50,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(50,532):{'3_1':0.09},(50,531):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(50,530):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(50,529):{'3_1':0.15},(50,528):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(50,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(50,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(50,524):{'3_1':0.06,'4_1':0.0},(50,523):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(50,522):{'3_1':0.06,'5_1':0.0},(50,521):{'3_1':0.03,'8_20|3_1#3_1':0.0},(50,520):{'3_1':0.06,'8_1':0.0},(50,519):{'3_1':0.03},(50,518):{'3_1':0.03},(50,517):{'3_1':0.0,'4_1':0.0},(50,516):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(50,515):{'3_1':0.03,'4_1':0.0},(50,514):{'3_1':0.03},(50,513):{'3_1':0.03,'-3':0.0},(50,512):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,511):{'3_1':0.0,'4_1':0.0},(50,510):{'3_1':0.06},(50,509):{'3_1':0.03,'6_1':0.0},(50,508):{'3_1':0.0,'4_1':0.0},(50,507):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(50,506):{'3_1':0.0},(50,505):{'3_1':0.0,'4_1':0.0},(50,504):{'3_1':0.03,'4_1':0.0},(50,503):{'3_1':0.03,'4_1':0.0},(50,502):{'3_1':0.03},(50,501):{'3_1':0.0},(50,500):{'3_1':0.03,'4_1':0.0},(50,499):{'3_1':0.03},(50,498):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(50,497):{'3_1':0.0},(50,496):{'3_1':0.0},(50,495):{'3_1':0.0,'4_1':0.0},(50,494):{'3_1':0.0,'5_1':0.0},(50,493):{'3_1':0.03,'7_2':0.0},(50,492):{'3_1':0.03,'4_1':0.0},(50,491):{'3_1':0.06,'4_1':0.0},(50,490):{'3_1':0.03,'4_1':0.0},(50,489):{'3_1':0.03,'5_1':0.0},(50,488):{'3_1':0.06,'5_1':0.0},(50,487):{'3_1':0.03},(50,486):{'3_1':0.03},(50,485):{'3_1':0.03},(50,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(50,483):{'3_1':0.0},(50,482):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(50,481):{'3_1':0.09},(50,480):{'3_1':0.03},(50,479):{'3_1':0.06,'4_1':0.0},(50,478):{'3_1':0.09},(50,477):{'3_1':0.03,'6_3':0.0},(50,476):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(50,475):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(50,474):{'3_1':0.06,'7_1':0.0},(50,473):{'3_1':0.03},(50,472):{'3_1':0.06,'5_2':0.0},(50,471):{'3_1':0.03,'7_2':0.0},(50,470):{'3_1':0.03},(50,469):{'3_1':0.03,'5_1':0.0},(50,468):{'3_1':0.03,'5_2':0.0},(50,467):{'3_1':0.0,'5_1':0.0},(50,466):{'3_1':0.03},(50,465):{'3_1':0.03},(50,464):{'3_1':0.03},(50,463):{'3_1':0.03,'5_2':0.0},(50,462):{'3_1':0.0,'5_1':0.0},(50,461):{'3_1':0.03},(50,460):{'3_1':0.0},(50,459):{'3_1':0.0,'5_2':0.0},(50,458):{'3_1':0.0,'4_1':0.0},(50,457):{'3_1':0.0},(50,456):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(50,455):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(50,454):{'3_1':0.0},(50,453):{'3_1':0.0,'4_1':0.0},(50,452):{'3_1':0.0},(50,451):{'3_1':0.03,'4_1':0.0},(50,450):{'3_1':0.0},(50,449):{'3_1':0.0,'4_1':0.0},(50,448):{'3_1':0.0},(50,447):{'3_1':0.0},(50,446):{'3_1':0.06},(50,445):{'3_1':0.03},(50,444):{'3_1':0.0,'4_1':0.0},(50,443):{'3_1':0.0,'4_1':0.0},(50,442):{'3_1':0.03,'7_2':0.0},(50,441):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(50,440):{'3_1':0.0,'7_4':0.0},(50,439):{'3_1':0.0},(50,438):{'3_1':0.0},(50,437):{'3_1':0.03},(50,436):{'3_1':0.03,'5_2':0.0},(50,435):{'3_1':0.03,'5_2':0.0},(50,434):{'3_1':0.09,'5_1':0.0},(50,433):{'3_1':0.0},(50,432):{'3_1':0.03},(50,431):{'3_1':0.03},(50,430):{'3_1':0.06},(50,429):{'3_1':0.03},(50,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,427):{'3_1':0.03,'6_2':0.0},(50,426):{'3_1':0.0,'6_3':0.0},(50,425):{'3_1':0.03,'4_1':0.0},(50,424):{'3_1':0.03},(50,423):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(50,422):{'3_1':0.03},(50,421):{'3_1':0.03},(50,420):{'3_1':0.0,'5_1':0.0},(50,419):{'3_1':0.06,'5_2':0.0},(50,418):{'3_1':0.03,'5_2':0.0},(50,417):{'3_1':0.03},(50,416):{'3_1':0.03},(50,415):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(50,414):{'3_1':0.0,'5_2':0.0},(50,413):{'3_1':0.03},(50,412):{'3_1':0.03},(50,411):{'3_1':0.0},(50,410):{'3_1':0.03},(50,409):{'3_1':0.0},(50,408):{'3_1':0.0,'5_1':0.0},(50,407):{'3_1':0.0,'6_1':0.0},(50,406):{'3_1':0.06},(50,405):{'7_2':0.0},(50,404):{'3_1':0.0},(50,403):{'3_1':0.0,'4_1':0.0},(50,402):{'3_1':0.03,'4_1':0.0},(50,401):{'3_1':0.0},(50,400):{'3_1':0.0},(50,399):{'3_1':0.0,'4_1':0.0},(50,398):{'3_1':0.03,'5_2':0.0},(50,397):{'3_1':0.0},(50,396):{'3_1':0.0},(50,395):{'3_1':0.0},(50,394):{'3_1':0.0},(50,393):{'3_1':0.0},(50,392):{'3_1':0.03},(50,391):{'3_1':0.0},(50,390):{'3_1':0.0},(50,389):{'3_1':0.03,'4_1':0.0},(50,388):{'3_1':0.0},(50,387):{'3_1':0.03,'4_1':0.0},(50,386):{'3_1':0.03},(50,385):{'3_1':0.0},(50,384):{'3_1':0.0},(50,383):{'3_1':0.0},(50,382):{'3_1':0.0},(50,381):{'3_1':0.03,'4_1':0.0},(50,380):{'3_1':0.0},(50,379):{'3_1':0.0},(50,378):{'3_1':0.03},(50,377):{'3_1':0.03,'5_2':0.0},(50,376):{'3_1':0.0},(50,375):{'3_1':0.03},(50,374):{'3_1':0.03,'8_20|3_1#3_1':0.0},(50,373):{'3_1':0.0,'5_1':0.0},(50,372):{'5_1':0.0},(50,371):{'3_1':0.0,'4_1':0.0},(50,370):{'4_1':0.0},(50,369):{'3_1':0.0,'7_1':0.0},(50,368):{'3_1':0.03,'5_1':0.0},(50,367):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(50,366):{'3_1':0.0,'4_1':0.0},(50,365):{'3_1':0.03,'5_2':0.0},(50,364):{'3_1':0.0},(50,363):{'3_1':0.0},(50,362):{'3_1':0.03,'4_1':0.0},(50,361):{'3_1':0.0,'4_1':0.0},(50,360):{'3_1':0.0,'5_1':0.0},(50,359):{'4_1':0.0,'7_1':0.0},(50,358):{'3_1':0.0},(50,357):{'3_1':0.03,'4_1':0.0},(50,356):{'3_1':0.0,'4_1':0.0},(50,355):{'3_1':0.0},(50,354):{'3_1':0.0},(50,353):{'3_1':0.0},(50,352):{'3_1':0.0},(50,351):{'3_1':0.0},(50,350):{'3_1':0.0,'4_1':0.0},(50,349):{'3_1':0.0},(50,348):{'3_1':0.0,'4_1':0.0},(50,347):{'3_1':0.0},(50,346):{'4_1':0.0},(50,345):{'3_1':0.0},(50,344):{'3_1':0.0,'6_1':0.0},(50,343):{'3_1':0.03,'5_1':0.0},(50,342):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(50,339):{'3_1':0.0,'5_2':0.0},(50,338):{'3_1':0.0},(50,337):{'3_1':0.0},(50,336):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(50,335):{'3_1':0.0},(50,332):{'3_1':0.0,'5_2':0.0},(50,331):{'3_1':0.0},(50,330):{'3_1':0.0},(50,329):{'3_1':0.0},(50,328):{'4_1':0.0,'3_1':0.0,'-3':0.0},(50,327):{'-3':0.0,'3_1':0.0,'5_2':0.0},(50,326):{'3_1':0.0},(50,325):{'3_1':0.0},(50,324):{'3_1':0.0},(50,323):{'3_1':0.03},(50,321):{'3_1':0.03,'5_1':0.0},(50,320):{'3_1':0.03},(50,319):{'3_1':0.0,'5_1':0.0},(50,318):{'3_1':0.03},(50,317):{'3_1':0.03},(50,316):{'3_1':0.03},(50,315):{'3_1':0.0,'8_1':0.0},(50,314):{'3_1':0.0},(50,313):{'3_1':0.0},(50,312):{'3_1':0.0},(50,311):{'3_1':0.0,'4_1':0.0},(50,310):{'3_1':0.0},(50,308):{'3_1':0.0},(50,307):{'3_1':0.0,'4_1':0.0},(50,306):{'3_1':0.0,'4_1':0.0},(50,305):{'3_1':0.0},(50,304):{'3_1':0.03,'4_1':0.0},(50,303):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(50,302):{'3_1':0.03},(50,301):{'3_1':0.0,'4_1':0.0},(50,300):{'3_1':0.0},(50,299):{'4_1':0.0},(50,298):{'3_1':0.0},(50,297):{'3_1':0.0},(50,296):{'3_1':0.0},(50,295):{'3_1':0.03,'4_1':0.0},(50,294):{'3_1':0.0},(50,293):{'3_1':0.0},(50,292):{'3_1':0.0,'4_1':0.0},(50,291):{'3_1':0.06},(50,290):{'3_1':0.09},(50,289):{'3_1':0.03},(50,288):{'3_1':0.03},(50,285):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(50,284):{'3_1':0.0},(50,283):{'3_1':0.03},(50,282):{'3_1':0.0},(50,281):{'3_1':0.0},(50,280):{'3_1':0.0},(50,279):{'3_1':0.03},(50,278):{'3_1':0.0,'5_1':0.0},(50,277):{'3_1':0.0},(50,276):{'3_1':0.0},(50,275):{'3_1':0.03},(50,274):{'3_1':0.03},(50,273):{'3_1':0.0,'4_1':0.0},(50,272):{'3_1':0.0},(50,271):{'3_1':0.0},(50,270):{'3_1':0.0},(50,269):{'3_1':0.0},(50,268):{'3_1':0.03,'5_2':0.0},(50,267):{'3_1':0.03},(50,266):{'3_1':0.0},(50,265):{'3_1':0.0,'5_2':0.0},(50,264):{'3_1':0.03,'5_1':0.0},(50,263):{'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(50,262):{'3_1':0.0},(50,261):{'3_1':0.03,'4_1':0.0},(50,260):{'3_1':0.0,'4_1':0.0},(50,259):{'3_1':0.0},(50,258):{'3_1':0.0},(50,256):{'3_1':0.0,'4_1':0.0},(50,255):{'3_1':0.0,'5_2':0.0},(50,254):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(50,253):{'3_1':0.0},(50,251):{'3_1':0.0,'4_1':0.0},(50,250):{'3_1':0.0},(50,249):{'3_1':0.0},(50,247):{'3_1':0.0},(50,246):{'3_1':0.03},(50,245):{'3_1':0.0},(50,244):{'3_1':0.0},(50,243):{'3_1':0.0},(50,242):{'3_1':0.0},(50,241):{'3_1':0.0},(50,240):{'3_1':0.0},(50,239):{'3_1':0.03},(50,238):{'3_1':0.0},(50,237):{'3_1':0.0,'4_1':0.0},(50,236):{'3_1':0.0,'4_1':0.0},(50,235):{'3_1':0.0},(50,234):{'3_1':0.03},(50,233):{'3_1':0.0},(50,232):{'3_1':0.03},(50,231):{'3_1':0.0},(50,230):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(50,229):{'3_1':0.0,'5_1':0.0},(50,228):{'3_1':0.0},(50,227):{'3_1':0.0},(50,226):{'3_1':0.03},(50,225):{'3_1':0.0},(50,223):{'3_1':0.0},(50,222):{'3_1':0.0},(50,221):{'3_1':0.03},(50,220):{'3_1':0.0},(50,219):{'3_1':0.0},(50,218):{'3_1':0.0},(50,216):{'3_1':0.03},(50,214):{'3_1':0.0,'4_1':0.0},(50,213):{'3_1':0.0},(50,210):{'3_1':0.0},(50,208):{'3_1':0.0},(50,207):{'3_1':0.0},(50,206):{'3_1':0.0},(50,205):{'3_1':0.0},(50,204):{'3_1':0.0},(50,203):{'3_1':0.0,'4_1':0.0},(50,202):{'3_1':0.0},(50,201):{'3_1':0.0},(50,200):{'3_1':0.0},(50,199):{'3_1':0.0,'4_1':0.0},(50,197):{'3_1':0.03},(50,196):{'3_1':0.0},(50,195):{'3_1':0.03,'5_1':0.0},(50,194):{'3_1':0.0,'5_1':0.0},(50,193):{'3_1':0.0},(50,192):{'3_1':0.0},(50,191):{'3_1':0.0},(50,190):{'3_1':0.0},(50,189):{'3_1':0.0},(50,188):{'3_1':0.0},(50,187):{'3_1':0.0},(50,186):{'3_1':0.03},(50,184):{'3_1':0.0,'5_2':0.0},(50,183):{'3_1':0.0},(50,182):{'3_1':0.0},(50,181):{'3_1':0.0},(50,180):{'3_1':0.0},(50,179):{'3_1':0.03},(50,177):{'3_1':0.0},(50,176):{'3_1':0.0},(50,175):{'3_1':0.0,'4_1':0.0},(50,174):{'3_1':0.0},(50,173):{'3_1':0.0},(50,172):{'3_1':0.0,'4_1':0.0},(50,171):{'3_1':0.0},(50,170):{'3_1':0.0},(50,169):{'3_1':0.0},(50,168):{'5_1':0.0,'5_2':0.0},(50,167):{'3_1':0.0},(50,166):{'3_1':0.0},(50,165):{'3_1':0.0},(50,163):{'3_1':0.0},(50,162):{'3_1':0.0},(50,161):{'3_1':0.0},(50,160):{'3_1':0.03},(50,158):{'3_1':0.0},(50,157):{'3_1':0.0},(50,156):{'3_1':0.03},(50,155):{'3_1':0.0},(50,153):{'3_1':0.0},(50,152):{'3_1':0.0},(50,150):{'3_1':0.03,'5_1':0.0},(50,149):{'3_1':0.0},(50,147):{'3_1':0.0},(50,146):{'3_1':0.03},(50,145):{'3_1':0.0},(50,144):{'3_1':0.0},(50,142):{'3_1':0.0},(50,141):{'3_1':0.0},(50,140):{'3_1':0.0},(50,138):{'3_1':0.0},(50,135):{'3_1':0.0},(50,133):{'3_1':0.0},(50,131):{'7_1':0.0},(50,130):{'3_1':0.0},(50,129):{'3_1':0.03},(50,128):{'3_1':0.0},(50,124):{'3_1':0.0},(50,122):{'3_1':0.0},(50,119):{'3_1':0.0},(51,727):{'5_2':0.72,'-3':0.09,'3_1#5_2':0.03,'7_4':0.0,'3_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(51,726):{'5_2':0.72,'-3':0.06,'7_4':0.0,'7_2':0.0,'7_5':0.0,'3_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,725):{'5_2':0.75,'-3':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(51,724):{'5_2':0.72,'7_4':0.06,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,723):{'5_2':0.78,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,722):{'5_2':0.69,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(51,721):{'5_2':0.63,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(51,720):{'5_2':0.72,'7_4':0.03,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0,'8_8':0.0},(51,719):{'5_2':0.69,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0},(51,718):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0},(51,717):{'5_2':0.63,'7_4':0.06,'3_1':0.06,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(51,716):{'5_2':0.66,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(51,715):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(51,714):{'5_2':0.66,'7_4':0.06,'-3':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(51,713):{'5_2':0.75,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(51,712):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(51,711):{'5_2':0.6,'7_4':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'9_1':0.0,'3_1#5_2':0.0},(51,710):{'5_2':0.51,'7_5':0.09,'3_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_2':0.0},(51,709):{'5_2':0.57,'-3':0.12,'5_1':0.06,'3_1':0.03,'7_5':0.03,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(51,708):{'5_2':0.63,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'3_1#5_2':0.0},(51,707):{'5_2':0.66,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(51,706):{'5_2':0.54,'3_1':0.18,'7_4':0.09,'-3':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,705):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(51,704):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(51,703):{'5_2':0.69,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(51,702):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(51,701):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0,'7_3':0.0,'8_8':0.0},(51,700):{'5_2':0.39,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(51,699):{'5_2':0.57,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,698):{'5_2':0.57,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(51,697):{'5_2':0.36,'-3':0.18,'3_1':0.15,'7_4':0.06,'7_3':0.06,'7_6':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(51,696):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(51,695):{'5_2':0.54,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(51,694):{'5_2':0.48,'3_1':0.18,'-3':0.15,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_1':0.0},(51,693):{'5_2':0.51,'3_1':0.21,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(51,692):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0},(51,691):{'5_2':0.42,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(51,690):{'5_2':0.33,'3_1':0.24,'7_4':0.09,'-3':0.09,'5_1':0.06,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0},(51,689):{'5_2':0.39,'3_1':0.24,'7_4':0.09,'7_3':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(51,688):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(51,687):{'5_2':0.42,'3_1':0.24,'7_3':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(51,686):{'5_2':0.36,'3_1':0.27,'7_4':0.09,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(51,685):{'3_1':0.45,'5_2':0.27,'7_3':0.03,'7_4':0.03,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(51,684):{'3_1':0.45,'5_2':0.3,'7_4':0.09,'7_3':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(51,683):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_13':0.0,'3_1#5_1':0.0},(51,682):{'3_1':0.45,'5_2':0.24,'7_4':0.12,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(51,681):{'3_1':0.51,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(51,680):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'6_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,679):{'3_1':0.36,'5_2':0.21,'7_4':0.15,'5_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(51,678):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(51,677):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(51,676):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(51,675):{'3_1':0.51,'5_2':0.15,'7_4':0.12,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(51,674):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(51,673):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(51,672):{'3_1':0.69,'5_2':0.09,'7_4':0.06,'-3':0.0,'7_3':0.0,'5_1':0.0,'8_4':0.0},(51,671):{'3_1':0.72,'5_2':0.06,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,670):{'3_1':0.66,'7_4':0.09,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,669):{'3_1':0.63,'5_2':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,668):{'3_1':0.75,'5_2':0.06,'7_4':0.06,'-3':0.0,'4_1':0.0},(51,667):{'3_1':0.69,'5_2':0.09,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_13':0.0},(51,666):{'3_1':0.72,'5_2':0.09,'7_4':0.03,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,665):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(51,664):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(51,663):{'3_1':0.6,'5_2':0.18,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(51,662):{'3_1':0.63,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,661):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(51,660):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,659):{'3_1':0.63,'5_2':0.18,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,658):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(51,657):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(51,656):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,655):{'3_1':0.57,'5_2':0.18,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(51,654):{'3_1':0.63,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(51,653):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0},(51,652):{'3_1':0.6,'5_2':0.09,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(51,651):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_6':0.0},(51,650):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(51,649):{'3_1':0.45,'5_2':0.12,'7_4':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0},(51,648):{'3_1':0.39,'5_2':0.12,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(51,647):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,646):{'3_1':0.36,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(51,645):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(51,644):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(51,643):{'5_2':0.24,'3_1':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,642):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(51,641):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'7_3':0.03,'7_4':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0},(51,640):{'3_1':0.24,'5_2':0.18,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(51,639):{'3_1':0.21,'5_2':0.09,'6_1':0.03,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(51,638):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(51,637):{'3_1':0.12,'5_2':0.09,'6_1':0.0,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(51,636):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(51,635):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(51,634):{'5_2':0.18,'3_1':0.15,'4_1':0.0,'7_3':0.0},(51,633):{'3_1':0.12,'5_2':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0},(51,632):{'3_1':0.15,'5_2':0.09,'6_1':0.03,'4_1':0.0,'7_3':0.0},(51,631):{'5_2':0.15,'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0},(51,630):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(51,629):{'3_1':0.09,'5_2':0.06,'7_1':0.0},(51,628):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(51,627):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'6_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(51,626):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(51,625):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(51,624):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0},(51,623):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(51,622):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(51,621):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(51,620):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0},(51,619):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0},(51,618):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(51,617):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(51,616):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(51,615):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_7':0.0,'6_1':0.0},(51,614):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(51,613):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(51,612):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_1':0.0},(51,611):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(51,610):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(51,609):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_16':0.0},(51,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(51,607):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(51,606):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,605):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,604):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(51,603):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(51,602):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0},(51,601):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(51,600):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_1':0.0,'8_4':0.0},(51,599):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'7_5':0.0},(51,598):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'-3':0.0},(51,597):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(51,596):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(51,595):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_3':0.0},(51,594):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(51,593):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(51,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(51,591):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_6':0.0,'5_1':0.0},(51,590):{'4_1':0.18,'3_1':0.12,'7_1':0.0,'5_2':0.0,'7_6':0.0},(51,589):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_19':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(51,588):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0},(51,587):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(51,586):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'8_11':0.0,'8_19':0.0},(51,585):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(51,584):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,583):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(51,582):{'4_1':0.09,'5_1':0.0,'5_2':0.0,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(51,581):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(51,580):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(51,579):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(51,578):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(51,577):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0},(51,576):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(51,575):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(51,574):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'3_1#5_2':0.0},(51,573):{'4_1':0.15,'3_1':0.0,'5_2':0.0},(51,572):{'4_1':0.06,'5_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(51,571):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(51,570):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(51,569):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_1':0.0},(51,568):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(51,567):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(51,566):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(51,565):{'4_1':0.09,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(51,564):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(51,563):{'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(51,562):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_1':0.0},(51,561):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(51,560):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_2':0.0},(51,559):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(51,558):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(51,557):{'3_1':0.09},(51,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(51,555):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(51,554):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(51,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(51,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(51,551):{'3_1':0.03,'4_1':0.0},(51,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(51,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(51,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(51,547):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(51,546):{'3_1':0.09,'7_1':0.0,'4_1':0.0,'6_1':0.0},(51,545):{'3_1':0.15,'4_1':0.0},(51,544):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(51,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(51,542):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(51,541):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(51,540):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(51,539):{'3_1':0.15,'8_20|3_1#3_1':0.0},(51,538):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(51,537):{'3_1':0.21,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(51,536):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(51,535):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(51,534):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(51,533):{'3_1':0.09,'4_1':0.0},(51,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(51,531):{'3_1':0.06,'4_1':0.0},(51,530):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(51,529):{'3_1':0.06,'4_1':0.0},(51,528):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(51,527):{'3_1':0.06,'4_1':0.0},(51,526):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(51,525):{'3_1':0.06,'5_2':0.0},(51,524):{'3_1':0.06,'4_1':0.0},(51,523):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(51,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(51,521):{'3_1':0.06},(51,520):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(51,519):{'3_1':0.03,'8_20|3_1#3_1':0.0},(51,518):{'3_1':0.03},(51,517):{'3_1':0.03,'4_1':0.0},(51,516):{'3_1':0.06,'4_1':0.0},(51,515):{'3_1':0.06},(51,514):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(51,513):{'3_1':0.0},(51,512):{'4_1':0.0},(51,511):{'3_1':0.0},(51,510):{'3_1':0.0,'4_1':0.0},(51,509):{'3_1':0.03,'6_1':0.0},(51,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,507):{'3_1':0.03},(51,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(51,505):{'3_1':0.03,'4_1':0.0},(51,504):{'3_1':0.03,'5_1':0.0},(51,503):{'3_1':0.03,'4_1':0.0},(51,502):{'3_1':0.0},(51,501):{'3_1':0.0,'8_20|3_1#3_1':0.0},(51,500):{'3_1':0.0},(51,499):{'3_1':0.03},(51,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(51,497):{'3_1':0.0,'6_1':0.0},(51,496):{'3_1':0.0,'4_1':0.0},(51,495):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(51,494):{'3_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(51,493):{'3_1':0.03},(51,492):{'3_1':0.03},(51,491):{'3_1':0.03},(51,490):{'3_1':0.03,'4_1':0.0},(51,489):{'3_1':0.0,'4_1':0.0},(51,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(51,487):{'3_1':0.03,'5_2':0.0},(51,486):{'3_1':0.06,'5_2':0.0},(51,485):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(51,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(51,483):{'3_1':0.03,'8_20|3_1#3_1':0.0},(51,482):{'3_1':0.06},(51,481):{'3_1':0.06,'4_1':0.0},(51,480):{'3_1':0.09,'7_1':0.0},(51,479):{'3_1':0.06},(51,478):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(51,477):{'3_1':0.03},(51,476):{'3_1':0.09},(51,475):{'3_1':0.12,'8_20|3_1#3_1':0.0},(51,474):{'3_1':0.03},(51,473):{'3_1':0.06,'5_2':0.0},(51,472):{'4_1':0.0},(51,471):{'3_1':0.03,'6_1':0.0},(51,470):{'3_1':0.06,'5_2':0.0},(51,469):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(51,468):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(51,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(51,466):{'3_1':0.03,'5_2':0.0},(51,465):{'3_1':0.0},(51,464):{'3_1':0.0},(51,463):{'3_1':0.0,'5_1':0.0},(51,462):{'3_1':0.0,'5_2':0.0},(51,461):{'3_1':0.03},(51,460):{'3_1':0.03,'4_1':0.0},(51,459):{'3_1':0.06},(51,458):{'3_1':0.0},(51,457):{'3_1':0.03},(51,456):{'3_1':0.09,'4_1':0.0},(51,455):{'3_1':0.0,'4_1':0.0},(51,454):{'3_1':0.03,'4_1':0.0},(51,453):{'3_1':0.03,'4_1':0.0},(51,452):{'3_1':0.06,'4_1':0.0},(51,451):{'3_1':0.06},(51,450):{'3_1':0.06},(51,449):{'3_1':0.09},(51,448):{'3_1':0.03},(51,447):{'3_1':0.0,'4_1':0.0},(51,446):{'3_1':0.0},(51,445):{'4_1':0.0,'6_1':0.0},(51,444):{'3_1':0.03,'5_2':0.0},(51,443):{'3_1':0.06},(51,442):{'3_1':0.03},(51,441):{'3_1':0.0},(51,440):{'3_1':0.0},(51,439):{'3_1':0.0,'5_1':0.0},(51,438):{'3_1':0.03,'4_1':0.0},(51,437):{'3_1':0.06,'4_1':0.0},(51,436):{'3_1':0.03},(51,435):{'3_1':0.03,'5_1':0.0},(51,434):{'3_1':0.03},(51,433):{'3_1':0.03},(51,432):{'3_1':0.03,'4_1':0.0},(51,431):{'3_1':0.0},(51,430):{'3_1':0.06,'5_2':0.0},(51,429):{'3_1':0.03},(51,428):{'3_1':0.06},(51,427):{'3_1':0.03},(51,426):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(51,425):{'3_1':0.03,'5_2':0.0},(51,424):{'3_1':0.03,'4_1':0.0},(51,423):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(51,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(51,421):{'3_1':0.03,'5_2':0.0},(51,420):{'3_1':0.06},(51,419):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(51,418):{'3_1':0.06,'5_2':0.0},(51,417):{'3_1':0.0,'5_2':0.0},(51,416):{'3_1':0.0},(51,415):{'3_1':0.03},(51,414):{'3_1':0.03,'7_2':0.0},(51,413):{'3_1':0.03,'6_1':0.0},(51,412):{'3_1':0.03},(51,411):{'3_1':0.0,'7_1':0.0},(51,410):{'3_1':0.03},(51,409):{'3_1':0.06},(51,408):{'3_1':0.03,'5_1':0.0},(51,407):{'3_1':0.0},(51,405):{'3_1':0.0},(51,404):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(51,403):{'3_1':0.0,'4_1':0.0},(51,402):{'3_1':0.03,'5_2':0.0},(51,401):{'3_1':0.0,'6_1':0.0},(51,400):{'3_1':0.03},(51,399):{'3_1':0.03,'5_2':0.0},(51,398):{'3_1':0.0},(51,397):{'3_1':0.0},(51,396):{'3_1':0.03,'-3':0.0},(51,395):{'3_1':0.03},(51,394):{'3_1':0.03},(51,393):{'3_1':0.0,'5_2':0.0},(51,392):{'3_1':0.0,'5_1':0.0},(51,391):{'3_1':0.0,'5_2':0.0,'7_4':0.0},(51,390):{'3_1':0.0,'4_1':0.0},(51,389):{'3_1':0.0},(51,388):{'3_1':0.0},(51,387):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(51,386):{'3_1':0.0},(51,385):{'3_1':0.03,'4_1':0.0},(51,384):{'3_1':0.0},(51,383):{'3_1':0.0},(51,382):{'3_1':0.0},(51,381):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(51,380):{'3_1':0.0},(51,379):{'3_1':0.0},(51,378):{'3_1':0.0},(51,377):{'3_1':0.09},(51,376):{'3_1':0.0,'5_1':0.0},(51,375):{'3_1':0.06},(51,374):{'3_1':0.03,'7_4':0.0},(51,373):{'3_1':0.03,'4_1':0.0},(51,372):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(51,371):{'3_1':0.0,'4_1':0.0},(51,370):{'3_1':0.0},(51,369):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(51,368):{'3_1':0.0,'4_1':0.0},(51,367):{'3_1':0.0,'5_1':0.0},(51,366):{'3_1':0.0},(51,365):{'3_1':0.0,'6_1':0.0},(51,364):{'3_1':0.0},(51,363):{'3_1':0.0,'4_1':0.0},(51,362):{'3_1':0.03},(51,361):{'3_1':0.0},(51,360):{'3_1':0.0,'5_1':0.0},(51,359):{'3_1':0.0},(51,358):{'3_1':0.03,'6_2':0.0},(51,357):{'3_1':0.0},(51,356):{'3_1':0.0},(51,355):{'3_1':0.0},(51,354):{'3_1':0.0,'4_1':0.0},(51,353):{'3_1':0.0},(51,352):{'3_1':0.03},(51,351):{'3_1':0.0},(51,350):{'3_1':0.0},(51,349):{'3_1':0.03},(51,347):{'3_1':0.0},(51,346):{'3_1':0.0},(51,345):{'3_1':0.0},(51,344):{'3_1':0.03},(51,343):{'3_1':0.0},(51,342):{'7_1':0.0,'8_2':0.0},(51,341):{'3_1':0.0},(51,340):{'3_1':0.0},(51,339):{'3_1':0.0,'5_1':0.0},(51,338):{'3_1':0.0,'4_1':0.0},(51,337):{'3_1':0.0,'7_1':0.0},(51,336):{'3_1':0.0},(51,335):{'3_1':0.0},(51,334):{'3_1':0.0,'5_1':0.0},(51,333):{'3_1':0.0},(51,332):{'3_1':0.0},(51,330):{'3_1':0.0},(51,329):{'3_1':0.0},(51,327):{'3_1':0.0},(51,326):{'3_1':0.0},(51,325):{'3_1':0.0},(51,324):{'3_1':0.0,'4_1':0.0},(51,323):{'3_1':0.0,'4_1':0.0},(51,322):{'3_1':0.03},(51,321):{'3_1':0.03},(51,320):{'3_1':0.0},(51,319):{'3_1':0.0},(51,318):{'3_1':0.06,'6_1':0.0},(51,317):{'3_1':0.0},(51,316):{'3_1':0.0,'4_1':0.0},(51,315):{'3_1':0.03},(51,314):{'3_1':0.0,'4_1':0.0},(51,313):{'3_1':0.0},(51,312):{'3_1':0.0},(51,311):{'3_1':0.0},(51,309):{'3_1':0.0,'4_1':0.0},(51,308):{'3_1':0.0},(51,307):{'3_1':0.0},(51,306):{'3_1':0.0,'4_1':0.0},(51,304):{'3_1':0.0,'5_1':0.0},(51,303):{'3_1':0.0,'8_3':0.0},(51,302):{'3_1':0.0,'4_1':0.0},(51,301):{'3_1':0.0},(51,300):{'3_1':0.03},(51,298):{'3_1':0.0},(51,297):{'3_1':0.0},(51,296):{'3_1':0.03},(51,295):{'3_1':0.0},(51,293):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(51,292):{'3_1':0.0},(51,291):{'3_1':0.0},(51,290):{'3_1':0.0},(51,289):{'3_1':0.0},(51,288):{'3_1':0.0},(51,286):{'3_1':0.0},(51,285):{'3_1':0.0},(51,284):{'3_1':0.0},(51,283):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(51,282):{'3_1':0.0},(51,281):{'3_1':0.03,'4_1':0.0},(51,280):{'3_1':0.0},(51,279):{'3_1':0.0},(51,278):{'3_1':0.0,'4_1':0.0},(51,277):{'3_1':0.0},(51,276):{'3_1':0.0},(51,275):{'3_1':0.03,'5_2':0.0},(51,274):{'3_1':0.0},(51,273):{'3_1':0.0},(51,272):{'3_1':0.0},(51,271):{'3_1':0.03},(51,270):{'3_1':0.03},(51,269):{'3_1':0.03},(51,268):{'3_1':0.06,'4_1':0.0},(51,267):{'3_1':0.06,'5_1':0.0},(51,266):{'3_1':0.03},(51,265):{'3_1':0.03,'6_1':0.0},(51,264):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(51,263):{'3_1':0.03},(51,262):{'3_1':0.0},(51,261):{'3_1':0.0},(51,260):{'3_1':0.03},(51,259):{'3_1':0.0},(51,258):{'3_1':0.0},(51,257):{'3_1':0.0},(51,256):{'3_1':0.0},(51,255):{'3_1':0.0},(51,254):{'3_1':0.0,'5_1':0.0},(51,253):{'3_1':0.03},(51,252):{'3_1':0.03},(51,251):{'3_1':0.0,'4_1':0.0},(51,250):{'3_1':0.03},(51,249):{'3_1':0.03},(51,247):{'3_1':0.03,'4_1':0.0},(51,246):{'3_1':0.0},(51,245):{'3_1':0.03,'4_1':0.0},(51,244):{'3_1':0.03},(51,243):{'3_1':0.0,'5_1':0.0},(51,242):{'3_1':0.03,'5_1':0.0},(51,241):{'3_1':0.0},(51,240):{'3_1':0.0},(51,238):{'3_1':0.03},(51,225):{'3_1':0.03},(51,223):{'3_1':0.0},(51,222):{'3_1':0.0},(51,221):{'3_1':0.0},(51,220):{'3_1':0.0},(51,219):{'3_1':0.03},(51,218):{'3_1':0.0},(51,217):{'3_1':0.0},(51,216):{'3_1':0.0},(51,215):{'3_1':0.0},(51,214):{'3_1':0.0},(51,213):{'3_1':0.03},(51,212):{'3_1':0.0},(51,211):{'3_1':0.03},(51,210):{'3_1':0.0},(51,208):{'3_1':0.0},(51,207):{'3_1':0.0},(51,206):{'3_1':0.0},(51,205):{'3_1':0.0},(51,204):{'3_1':0.0},(51,203):{'3_1':0.0,'5_1':0.0},(51,202):{'3_1':0.0},(51,201):{'3_1':0.0},(51,200):{'3_1':0.0},(51,198):{'3_1':0.0},(51,197):{'3_1':0.0},(51,196):{'3_1':0.0},(51,195):{'3_1':0.0},(51,194):{'3_1':0.0,'4_1':0.0},(51,193):{'3_1':0.03},(51,192):{'3_1':0.06},(51,191):{'3_1':0.0},(51,190):{'3_1':0.0},(51,189):{'3_1':0.0},(51,188):{'3_1':0.0},(51,187):{'3_1':0.0},(51,186):{'3_1':0.0},(51,185):{'3_1':0.0},(51,184):{'3_1':0.0},(51,183):{'3_1':0.0},(51,182):{'3_1':0.0},(51,181):{'3_1':0.0},(51,180):{'3_1':0.0},(51,178):{'3_1':0.0},(51,176):{'3_1':0.0},(51,175):{'3_1':0.0},(51,174):{'3_1':0.0},(51,173):{'3_1':0.0},(51,172):{'3_1':0.0,'4_1':0.0},(51,168):{'3_1':0.0},(51,167):{'3_1':0.0},(51,166):{'3_1':0.0,'4_1':0.0},(51,165):{'3_1':0.0},(51,164):{'3_1':0.0},(51,163):{'3_1':0.0},(51,162):{'3_1':0.0,'4_1':0.0},(51,160):{'5_1':0.0},(51,159):{'3_1':0.0},(51,157):{'3_1':0.0},(51,156):{'3_1':0.0},(51,154):{'3_1':0.0},(51,153):{'3_1':0.0},(51,152):{'3_1':0.03},(51,151):{'3_1':0.0},(51,150):{'3_1':0.0},(51,149):{'3_1':0.0},(51,147):{'3_1':0.0},(51,145):{'3_1':0.0},(51,144):{'3_1':0.0},(51,143):{'3_1':0.0},(51,142):{'3_1':0.0},(51,141):{'3_1':0.0},(51,140):{'3_1':0.0},(51,139):{'3_1':0.0},(51,138):{'3_1':0.0,'5_1':0.0},(51,137):{'3_1':0.0},(51,136):{'5_1':0.0},(51,135):{'3_1':0.0},(51,134):{'3_1':0.0},(51,130):{'3_1':0.0,'5_1':0.0},(51,127):{'5_1':0.0},(51,126):{'3_1':0.0},(51,125):{'3_1':0.0},(51,123):{'3_1':0.0},(51,122):{'4_1':0.0},(51,120):{'3_1':0.0},(52,727):{'5_2':0.72,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_1':0.0},(52,726):{'5_2':0.75,'-3':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(52,725):{'5_2':0.63,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(52,724):{'5_2':0.69,'3_1':0.06,'-3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(52,723):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(52,722):{'5_2':0.57,'7_4':0.09,'-3':0.06,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(52,721):{'5_2':0.72,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(52,720):{'5_2':0.72,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(52,719):{'5_2':0.69,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(52,718):{'5_2':0.69,'-3':0.03,'3_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(52,717):{'5_2':0.66,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_8':0.0},(52,716):{'5_2':0.69,'-3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'8_3':0.0,'8_8':0.0,'4_1':0.0,'7_3':0.0},(52,715):{'5_2':0.66,'7_4':0.09,'-3':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(52,714):{'5_2':0.66,'5_1':0.03,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0},(52,713):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.06,'7_2':0.0,'6_1':0.0},(52,712):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_5':0.0,'7_3':0.0},(52,711):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0,'3_1#5_2':0.0},(52,710):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_5':0.06,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_1':0.0},(52,709):{'5_2':0.63,'-3':0.09,'7_5':0.06,'3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'1':-0.03},(52,708):{'5_2':0.63,'7_4':0.09,'3_1':0.06,'-3':0.03,'5_1':0.0,'7_5':0.0},(52,707):{'5_2':0.69,'3_1':0.09,'7_4':0.03,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(52,706):{'5_2':0.57,'3_1':0.15,'-3':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(52,705):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(52,704):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(52,703):{'5_2':0.72,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(52,702):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0},(52,701):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0},(52,700):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(52,699):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_5':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(52,698):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,697):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0},(52,696):{'5_2':0.51,'3_1':0.15,'7_4':0.09,'-3':0.03,'7_6':0.03,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(52,695):{'5_2':0.57,'3_1':0.15,'7_4':0.09,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(52,694):{'5_2':0.51,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_6':0.0,'8_8':0.0},(52,693):{'5_2':0.48,'3_1':0.15,'7_3':0.06,'-3':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,692):{'5_2':0.45,'3_1':0.18,'7_4':0.09,'-3':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(52,691):{'5_2':0.48,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0},(52,690):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(52,689):{'3_1':0.36,'5_2':0.36,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0},(52,688):{'5_2':0.42,'3_1':0.27,'7_4':0.06,'-3':0.06,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'1':-0.03},(52,687):{'5_2':0.33,'3_1':0.21,'7_4':0.12,'-3':0.06,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(52,686):{'3_1':0.3,'5_2':0.27,'7_3':0.09,'7_4':0.06,'-3':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(52,685):{'3_1':0.36,'5_2':0.3,'7_4':0.09,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,684):{'3_1':0.36,'5_2':0.3,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(52,683):{'5_2':0.33,'3_1':0.3,'5_1':0.06,'7_4':0.06,'7_3':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(52,682):{'3_1':0.45,'5_2':0.21,'7_4':0.12,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(52,681):{'3_1':0.39,'5_2':0.21,'7_4':0.12,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(52,680):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_2':0.0},(52,679):{'3_1':0.51,'5_2':0.21,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(52,678):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'7_7':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(52,677):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(52,676):{'3_1':0.63,'5_2':0.12,'7_4':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(52,675):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(52,674):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(52,673):{'3_1':0.66,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0},(52,672):{'3_1':0.75,'7_4':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0},(52,671):{'3_1':0.66,'5_2':0.09,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(52,670):{'3_1':0.72,'5_2':0.06,'7_4':0.06,'-3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0},(52,669):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(52,668):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(52,667):{'3_1':0.66,'5_2':0.09,'7_4':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0},(52,666):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_5':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(52,665):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(52,664):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(52,663):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(52,662):{'3_1':0.6,'5_2':0.18,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(52,661):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(52,660):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0},(52,659):{'3_1':0.45,'5_2':0.24,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(52,658):{'3_1':0.51,'5_2':0.21,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(52,657):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(52,656):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(52,655):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(52,654):{'3_1':0.6,'5_2':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(52,653):{'3_1':0.57,'5_2':0.12,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(52,652):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(52,651):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(52,650):{'3_1':0.51,'5_2':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,649):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(52,648):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(52,647):{'3_1':0.36,'5_2':0.15,'5_1':0.0,'-3':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(52,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(52,645):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(52,644):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(52,643):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(52,642):{'3_1':0.24,'5_2':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(52,641):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0},(52,640):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(52,639):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(52,638):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(52,637):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,636):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(52,635):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_2':0.0},(52,634):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(52,633):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(52,632):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(52,631):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(52,630):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(52,629):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(52,628):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(52,627):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(52,626):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(52,625):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(52,624):{'5_2':0.09,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(52,623):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,622):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'6_3':0.0,'-3':0.0},(52,621):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'1':-0.03},(52,620):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_10':0.0,'8_19':0.0,'3_1#5_1':0.0},(52,619):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(52,618):{'5_2':0.15,'3_1':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'3_1#5_1':0.0},(52,617):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(52,616):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(52,615):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_1':0.0},(52,614):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(52,613):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(52,612):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(52,611):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_3':0.0},(52,610):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(52,609):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(52,608):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(52,607):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(52,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(52,605):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(52,604):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(52,603):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(52,602):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_3':0.0,'-3':0.0},(52,601):{'3_1':0.12,'4_1':0.06,'8_21|3_1#4_1':0.0},(52,600):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(52,599):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_3':0.0},(52,598):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0,'-3':0.0},(52,597):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(52,596):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_12':0.0},(52,595):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(52,594):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'7_6':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(52,593):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(52,592):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(52,591):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(52,590):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(52,589):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(52,588):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(52,587):{'4_1':0.15,'3_1':0.06,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(52,586):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(52,585):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(52,584):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'8_11':0.0},(52,583):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(52,582):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0},(52,581):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(52,580):{'4_1':0.15,'3_1':0.06,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0},(52,579):{'4_1':0.12,'3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(52,578):{'4_1':0.12,'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(52,577):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'8_11':0.0},(52,576):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(52,575):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(52,574):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(52,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(52,572):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(52,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(52,570):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(52,569):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(52,568):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(52,567):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(52,566):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(52,565):{'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0},(52,564):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(52,563):{'4_1':0.06,'3_1':0.0,'6_1':0.0,'5_2':0.0,'8_1':0.0},(52,562):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(52,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(52,560):{'4_1':0.06,'3_1':0.03},(52,559):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(52,558):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(52,557):{'3_1':0.09,'4_1':0.0,'8_1':0.0,'5_2':0.0},(52,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(52,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(52,554):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(52,553):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(52,552):{'3_1':0.06,'4_1':0.0},(52,551):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(52,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(52,549):{'3_1':0.12,'5_2':0.0},(52,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(52,547):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,546):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(52,545):{'3_1':0.12,'5_1':0.0},(52,544):{'3_1':0.12,'4_1':0.0},(52,543):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(52,542):{'3_1':0.24,'4_1':0.0,'6_1':0.0},(52,541):{'3_1':0.09,'4_1':0.03},(52,540):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(52,539):{'3_1':0.09,'4_1':0.0,'8_1':0.0,'5_1':0.0},(52,538):{'3_1':0.15,'4_1':0.0},(52,537):{'3_1':0.18},(52,536):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(52,535):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(52,534):{'3_1':0.18,'5_2':0.0},(52,533):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(52,532):{'3_1':0.18,'7_2':0.0,'8_20|3_1#3_1':0.0},(52,531):{'3_1':0.15},(52,530):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(52,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_1':0.0},(52,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(52,527):{'3_1':0.06,'4_1':0.0},(52,526):{'3_1':0.03,'4_1':0.0},(52,525):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(52,524):{'3_1':0.09,'4_1':0.0},(52,523):{'3_1':0.03},(52,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(52,521):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(52,520):{'3_1':0.03,'4_1':0.0},(52,519):{'3_1':0.06,'4_1':0.0},(52,518):{'3_1':0.03,'4_1':0.0},(52,517):{'3_1':0.03,'4_1':0.0},(52,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(52,515):{'3_1':0.03,'4_1':0.0},(52,514):{'3_1':0.0,'4_1':0.0},(52,513):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,512):{'3_1':0.03,'5_1':0.0},(52,511):{'4_1':0.0,'3_1':0.0},(52,510):{'3_1':0.03},(52,509):{'3_1':0.0,'4_1':0.0},(52,508):{'3_1':0.03,'4_1':0.0},(52,507):{'3_1':0.0},(52,506):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(52,505):{'3_1':0.03,'4_1':0.0},(52,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,503):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(52,502):{'3_1':0.03,'4_1':0.0},(52,501):{'3_1':0.0,'5_1':0.0},(52,500):{'3_1':0.03,'4_1':0.0},(52,499):{'3_1':0.03},(52,498):{'3_1':0.0,'4_1':0.0},(52,497):{'3_1':0.0,'4_1':0.0},(52,496):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(52,495):{'3_1':0.03},(52,494):{'3_1':0.0},(52,493):{'3_1':0.03,'4_1':0.0},(52,492):{'3_1':0.03,'5_1':0.0},(52,491):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(52,490):{'3_1':0.06,'4_1':0.0},(52,489):{'3_1':0.0},(52,488):{'3_1':0.0},(52,487):{'3_1':0.06,'4_1':0.0},(52,486):{'3_1':0.09},(52,485):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,484):{'3_1':0.06,'4_1':0.0},(52,483):{'3_1':0.09},(52,482):{'3_1':0.06},(52,481):{'3_1':0.03,'4_1':0.0},(52,480):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(52,479):{'3_1':0.09,'7_1':0.0},(52,478):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'9_1':0.0},(52,476):{'3_1':0.06,'4_1':0.0},(52,475):{'3_1':0.06,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(52,474):{'3_1':0.06,'5_1':0.0,'8_1':0.0},(52,473):{'3_1':0.06,'4_1':0.0},(52,472):{'3_1':0.09,'5_2':0.0},(52,471):{'3_1':0.06,'4_1':0.0},(52,470):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(52,469):{'3_1':0.06},(52,468):{'3_1':0.0},(52,467):{'3_1':0.03,'5_1':0.0},(52,466):{'3_1':0.03,'4_1':0.0},(52,465):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(52,464):{'3_1':0.03,'7_2':0.0},(52,463):{'3_1':0.03,'4_1':0.0},(52,462):{'3_1':0.03},(52,461):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,460):{'3_1':0.03},(52,459):{'3_1':0.0},(52,458):{'3_1':0.0,'4_1':0.0},(52,457):{'3_1':0.03,'5_2':0.0},(52,456):{'3_1':0.03,'6_2':0.0},(52,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,454):{'3_1':0.0},(52,453):{'3_1':0.03,'8_1':0.0},(52,452):{'3_1':0.03,'4_1':0.0},(52,451):{'3_1':0.03,'5_2':0.0},(52,450):{'3_1':0.06},(52,449):{'3_1':0.03},(52,448):{'3_1':0.03,'6_2':0.0},(52,447):{'3_1':0.0},(52,446):{'3_1':0.0},(52,445):{'3_1':0.0,'4_1':0.0},(52,444):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(52,443):{'3_1':0.0,'5_2':0.0},(52,442):{'3_1':0.03,'4_1':0.0},(52,441):{'3_1':0.03,'5_2':0.0},(52,439):{'3_1':0.03},(52,438):{'3_1':0.0,'4_1':0.0},(52,437):{'3_1':0.03},(52,436):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(52,435):{'3_1':0.03},(52,434):{'3_1':0.06,'5_1':0.0},(52,433):{'3_1':0.03},(52,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(52,431):{'3_1':0.03},(52,430):{'3_1':0.0,'7_4':0.0},(52,429):{'3_1':0.03,'7_2':0.0},(52,428):{'3_1':0.06},(52,427):{'3_1':0.03,'6_3':0.0},(52,426):{'3_1':0.06},(52,425):{'3_1':0.03},(52,424):{'3_1':0.06},(52,423):{'3_1':0.06},(52,422):{'3_1':0.06},(52,421):{'3_1':0.03},(52,420):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(52,419):{'3_1':0.0,'5_2':0.0},(52,418):{'3_1':0.0},(52,417):{'3_1':0.03,'5_2':0.0},(52,416):{'3_1':0.06,'-3':0.0},(52,415):{'3_1':0.03},(52,414):{'3_1':0.06},(52,413):{'3_1':0.0},(52,412):{'3_1':0.0},(52,411):{'3_1':0.0},(52,410):{'3_1':0.06,'5_1':0.0},(52,409):{'3_1':0.0},(52,408):{'3_1':0.0},(52,406):{'3_1':0.0},(52,405):{'3_1':0.06,'4_1':0.0},(52,404):{'3_1':0.0},(52,403):{'3_1':0.0},(52,402):{'3_1':0.0},(52,401):{'3_1':0.0,'4_1':0.0},(52,400):{'3_1':0.03,'4_1':0.0},(52,399):{'3_1':0.0},(52,398):{'3_1':0.03,'8_1':0.0},(52,397):{'5_1':0.0,'5_2':0.0},(52,396):{'3_1':0.0},(52,395):{'3_1':0.0},(52,394):{'3_1':0.0},(52,393):{'3_1':0.0,'6_1':0.0},(52,392):{'3_1':0.0},(52,391):{'3_1':0.0},(52,390):{'3_1':0.06},(52,389):{'3_1':0.03},(52,388):{'3_1':0.0},(52,387):{'3_1':0.0},(52,386):{'3_1':0.0},(52,385):{'3_1':0.0},(52,384):{'3_1':0.0,'5_2':0.0},(52,383):{'3_1':0.0,'4_1':0.0},(52,382):{'3_1':0.0},(52,381):{'3_1':0.0},(52,380):{'3_1':0.03},(52,379):{'3_1':0.0},(52,378):{'3_1':0.0,'8_20|3_1#3_1':0.0},(52,377):{'3_1':0.0},(52,376):{'3_1':0.0},(52,375):{'3_1':0.0},(52,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(52,373):{'3_1':0.0},(52,372):{'3_1':0.03,'7_1':0.0,'5_2':0.0},(52,371):{'3_1':0.03,'4_1':0.0},(52,370):{'3_1':0.0},(52,369):{'3_1':0.03,'4_1':0.0},(52,368):{'3_1':0.03},(52,367):{'3_1':0.0},(52,366):{'3_1':0.0,'4_1':0.0},(52,365):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(52,363):{'3_1':0.03,'7_2':0.0},(52,362):{'3_1':0.0},(52,361):{'3_1':0.0},(52,360):{'3_1':0.0,'5_1':0.0},(52,359):{'5_1':0.0,'5_2':0.0},(52,358):{'3_1':0.0,'8_1':0.0,'5_1':0.0},(52,357):{'8_1':0.0,'3_1':0.0},(52,356):{'3_1':0.03},(52,355):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(52,354):{'3_1':0.0},(52,353):{'3_1':0.0},(52,352):{'3_1':0.0,'5_2':0.0},(52,351):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(52,350):{'3_1':0.03,'4_1':0.0},(52,349):{'3_1':0.0},(52,348):{'3_1':0.0,'5_2':0.0},(52,347):{'3_1':0.0,'4_1':0.0},(52,346):{'3_1':0.0,'4_1':0.0},(52,345):{'3_1':0.0},(52,344):{'3_1':0.0},(52,343):{'3_1':0.03},(52,342):{'3_1':0.0,'4_1':0.0},(52,341):{'3_1':0.03},(52,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(52,339):{'3_1':0.03,'5_2':0.0},(52,338):{'3_1':0.0,'7_1':0.0,'8_10':0.0},(52,337):{'3_1':0.0},(52,336):{'3_1':0.0},(52,335):{'3_1':0.03,'4_1':0.0},(52,334):{'3_1':0.03,'4_1':0.0},(52,333):{'3_1':0.0},(52,332):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(52,330):{'3_1':0.0},(52,329):{'3_1':0.0},(52,328):{'3_1':0.0,'-3':0.0},(52,327):{'3_1':0.0},(52,326):{'3_1':0.0,'4_1':0.0},(52,325):{'3_1':0.03,'5_2':0.0},(52,324):{'3_1':0.0,'5_2':0.0},(52,323):{'3_1':0.0,'5_2':0.0},(52,322):{'3_1':0.0,'5_1':0.0},(52,321):{'3_1':0.0},(52,320):{'3_1':0.03,'4_1':0.0},(52,319):{'3_1':0.0},(52,318):{'3_1':0.06,'4_1':0.0},(52,317):{'3_1':0.03},(52,316):{'3_1':0.03,'4_1':0.0},(52,315):{'3_1':0.03,'6_3':0.0},(52,314):{'3_1':0.03,'4_1':0.0},(52,313):{'3_1':0.0},(52,312):{'3_1':0.03,'4_1':0.0},(52,311):{'3_1':0.06},(52,310):{'3_1':0.0,'5_2':0.0},(52,309):{'3_1':0.0},(52,308):{'3_1':0.0,'7_2':0.0},(52,307):{'3_1':0.0},(52,306):{'3_1':0.03},(52,305):{'3_1':0.0},(52,304):{'3_1':0.0,'5_1':0.0},(52,303):{'3_1':0.0},(52,302):{'3_1':0.03},(52,301):{'3_1':0.0,'5_2':0.0},(52,300):{'3_1':0.0},(52,299):{'3_1':0.0},(52,298):{'3_1':0.0},(52,297):{'3_1':0.0,'5_2':0.0},(52,296):{'3_1':0.0,'4_1':0.0},(52,295):{'3_1':0.0,'5_2':0.0},(52,294):{'3_1':0.0},(52,293):{'3_1':0.0},(52,292):{'3_1':0.0},(52,291):{'3_1':0.0},(52,290):{'3_1':0.0,'4_1':0.0},(52,289):{'3_1':0.0},(52,288):{'3_1':0.0},(52,287):{'3_1':0.0},(52,286):{'3_1':0.03,'4_1':0.0},(52,285):{'3_1':0.0},(52,284):{'3_1':0.0},(52,283):{'3_1':0.03,'4_1':0.0},(52,282):{'3_1':0.03},(52,281):{'3_1':0.0},(52,280):{'3_1':0.03},(52,279):{'3_1':0.0},(52,278):{'3_1':0.0},(52,277):{'3_1':0.0},(52,276):{'3_1':0.03},(52,275):{'5_1':0.0},(52,274):{'3_1':0.06},(52,273):{'3_1':0.0},(52,272):{'3_1':0.0},(52,271):{'3_1':0.03},(52,270):{'3_1':0.0,'-3':0.0},(52,269):{'3_1':0.06},(52,268):{'3_1':0.03},(52,267):{'3_1':0.0},(52,266):{'3_1':0.0},(52,265):{'3_1':0.0},(52,264):{'3_1':0.0,'5_2':0.0},(52,263):{'3_1':0.0},(52,262):{'3_1':0.0},(52,261):{'3_1':0.0},(52,260):{'3_1':0.03},(52,259):{'3_1':0.0},(52,258):{'3_1':0.03},(52,257):{'3_1':0.0,'4_1':0.0},(52,256):{'3_1':0.0,'7_1':0.0},(52,254):{'3_1':0.0,'5_1':0.0},(52,253):{'3_1':0.0},(52,252):{'3_1':0.0},(52,251):{'3_1':0.0},(52,250):{'3_1':0.0},(52,249):{'3_1':0.0},(52,248):{'3_1':0.03},(52,247):{'3_1':0.0},(52,246):{'3_1':0.0,'5_1':0.0},(52,245):{'3_1':0.0},(52,244):{'3_1':0.0},(52,243):{'3_1':0.0},(52,242):{'3_1':0.0},(52,241):{'3_1':0.03},(52,240):{'3_1':0.0},(52,239):{'3_1':0.0},(52,238):{'3_1':0.0},(52,237):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(52,236):{'3_1':0.0},(52,235):{'3_1':0.0},(52,233):{'3_1':0.0},(52,231):{'3_1':0.0},(52,230):{'3_1':0.0},(52,229):{'3_1':0.03},(52,228):{'3_1':0.03},(52,227):{'3_1':0.0},(52,226):{'3_1':0.0,'4_1':0.0},(52,225):{'3_1':0.0},(52,224):{'3_1':0.0},(52,223):{'3_1':0.0},(52,222):{'3_1':0.0},(52,221):{'3_1':0.03},(52,220):{'3_1':0.0},(52,219):{'3_1':0.0},(52,218):{'3_1':0.0,'4_1':0.0},(52,217):{'3_1':0.0},(52,216):{'3_1':0.0},(52,215):{'3_1':0.0},(52,213):{'3_1':0.0},(52,212):{'3_1':0.0},(52,211):{'3_1':0.0},(52,210):{'3_1':0.0},(52,209):{'3_1':0.0},(52,208):{'3_1':0.0},(52,207):{'3_1':0.0},(52,206):{'4_1':0.0},(52,205):{'3_1':0.0},(52,204):{'3_1':0.0},(52,203):{'5_1':0.0},(52,201):{'4_1':0.0},(52,200):{'3_1':0.0},(52,199):{'3_1':0.0},(52,198):{'5_1':0.0},(52,197):{'3_1':0.0},(52,196):{'3_1':0.0},(52,195):{'3_1':0.0},(52,194):{'3_1':0.03},(52,193):{'3_1':0.03,'5_1':0.0},(52,191):{'3_1':0.0},(52,189):{'3_1':0.0},(52,188):{'3_1':0.0},(52,187):{'3_1':0.0},(52,182):{'3_1':0.0},(52,181):{'3_1':0.0},(52,180):{'3_1':0.03},(52,179):{'4_1':0.0},(52,177):{'3_1':0.0,'4_1':0.0},(52,175):{'3_1':0.0},(52,174):{'3_1':0.0},(52,173):{'3_1':0.03},(52,172):{'3_1':0.0},(52,171):{'3_1':0.0},(52,170):{'3_1':0.0},(52,169):{'3_1':0.0,'5_2':0.0},(52,168):{'3_1':0.0},(52,167):{'3_1':0.03},(52,166):{'3_1':0.0},(52,165):{'3_1':0.0},(52,164):{'3_1':0.0},(52,163):{'3_1':0.0},(52,162):{'3_1':0.0},(52,161):{'3_1':0.03},(52,160):{'4_1':0.0},(52,159):{'3_1':0.0,'6_2':0.0},(52,158):{'3_1':0.03},(52,157):{'3_1':0.0,'4_1':0.0},(52,156):{'3_1':0.0},(52,155):{'3_1':0.0},(52,154):{'3_1':0.0},(52,153):{'3_1':0.0},(52,152):{'3_1':0.0},(52,150):{'3_1':0.03},(52,149):{'3_1':0.0},(52,147):{'3_1':0.0},(52,146):{'3_1':0.0},(52,145):{'3_1':0.0},(52,144):{'3_1':0.0},(52,143):{'3_1':0.0},(52,142):{'3_1':0.0},(52,141):{'3_1':0.0},(52,139):{'3_1':0.0},(52,137):{'3_1':0.0},(52,134):{'5_1':0.0},(52,133):{'5_1':0.0},(52,132):{'3_1':0.0},(52,131):{'3_1':0.0},(52,130):{'3_1':0.0},(52,129):{'3_1':0.0},(52,127):{'3_1':0.0},(52,125):{'3_1':0.0},(52,122):{'3_1':0.0},(52,117):{'3_1':0.0},(52,116):{'3_1':0.0},(52,115):{'3_1':0.0},(53,727):{'5_2':0.72,'-3':0.03,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0},(53,726):{'5_2':0.69,'-3':0.09,'3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(53,725):{'5_2':0.63,'-3':0.12,'7_4':0.06,'3_1#5_2':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(53,724):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(53,723):{'5_2':0.72,'-3':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(53,722):{'5_2':0.66,'-3':0.12,'7_4':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(53,721):{'5_2':0.66,'3_1':0.06,'7_4':0.03,'-3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'8_8':0.0,'7_2':0.0},(53,720):{'5_2':0.66,'-3':0.09,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_13':0.0},(53,719):{'5_2':0.69,'-3':0.03,'7_5':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(53,718):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(53,717):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0},(53,716):{'5_2':0.6,'7_4':0.09,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_16':0.0},(53,715):{'5_2':0.72,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(53,714):{'5_2':0.6,'7_4':0.12,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_14':0.0},(53,713):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(53,712):{'5_2':0.57,'7_4':0.06,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(53,711):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,710):{'5_2':0.6,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'3_1':0.0,'6_3':0.0,'8_8':0.0,'8_15':0.0},(53,709):{'5_2':0.6,'-3':0.18,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0},(53,708):{'5_2':0.66,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(53,707):{'5_2':0.66,'3_1':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(53,706):{'5_2':0.6,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.0,'7_3':0.0,'7_5':0.0},(53,705):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0},(53,704):{'5_2':0.63,'7_4':0.06,'-3':0.03,'3_1':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(53,703):{'5_2':0.6,'7_4':0.09,'-3':0.06,'3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0},(53,702):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(53,701):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0},(53,700):{'5_2':0.39,'3_1':0.18,'7_4':0.15,'-3':0.09,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(53,699):{'5_2':0.63,'3_1':0.09,'-3':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(53,698):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_6':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(53,697):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(53,696):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(53,695):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(53,694):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0,'1':-0.03},(53,693):{'5_2':0.36,'3_1':0.15,'-3':0.15,'7_3':0.09,'7_4':0.06,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(53,692):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(53,691):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(53,690):{'5_2':0.48,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,689):{'5_2':0.33,'3_1':0.27,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_15':0.0},(53,688):{'5_2':0.36,'3_1':0.3,'-3':0.06,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(53,687):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_1':0.0},(53,686):{'5_2':0.36,'3_1':0.21,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(53,685):{'3_1':0.33,'5_2':0.3,'7_4':0.15,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(53,684):{'3_1':0.39,'5_2':0.3,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(53,683):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,682):{'3_1':0.45,'5_2':0.21,'7_4':0.09,'7_3':0.06,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(53,681):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_8':0.0},(53,680):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(53,679):{'3_1':0.57,'5_2':0.15,'7_4':0.09,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(53,678):{'3_1':0.42,'5_2':0.12,'7_4':0.12,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(53,677):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'1':-0.03},(53,676):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(53,675):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,674):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(53,673):{'3_1':0.69,'5_2':0.15,'7_4':0.03,'6_1':0.0,'-3':0.0},(53,672):{'3_1':0.66,'-3':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(53,671):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0},(53,670):{'3_1':0.69,'5_2':0.09,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(53,669):{'3_1':0.66,'5_2':0.09,'7_4':0.06,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(53,668):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(53,667):{'3_1':0.6,'5_2':0.12,'7_4':0.12,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(53,666):{'3_1':0.63,'5_2':0.12,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(53,665):{'3_1':0.63,'5_2':0.09,'7_4':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0},(53,664):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(53,663):{'3_1':0.66,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0},(53,662):{'3_1':0.63,'5_2':0.15,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(53,661):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(53,660):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(53,659):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(53,658):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,657):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(53,656):{'3_1':0.6,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(53,655):{'3_1':0.54,'5_2':0.18,'8_20|3_1#3_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(53,654):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(53,653):{'3_1':0.48,'5_2':0.15,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(53,652):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(53,651):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(53,650):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(53,649):{'3_1':0.45,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(53,648):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(53,647):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(53,646):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(53,645):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(53,644):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'3_1#5_2':0.0},(53,643):{'3_1':0.3,'5_2':0.18,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(53,642):{'3_1':0.33,'5_2':0.18,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(53,640):{'5_2':0.18,'3_1':0.15,'5_1':0.06,'7_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(53,639):{'3_1':0.3,'5_2':0.15,'5_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(53,638):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(53,637):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0},(53,636):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(53,635):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(53,634):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(53,633):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_2':0.0,'8_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(53,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(53,631):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(53,630):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(53,629):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_1':0.0,'3_1#5_2':0.0},(53,628):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(53,627):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(53,626):{'3_1':0.18,'5_2':0.15,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0,'8_19':0.0},(53,625):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'8_16':0.0},(53,624):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(53,623):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(53,622):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(53,621):{'3_1':0.12,'5_2':0.09,'7_1':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(53,620):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(53,619):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_5':0.0,'8_7':0.0},(53,618):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(53,617):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(53,616):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(53,615):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(53,614):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(53,613):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(53,612):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,611):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0},(53,610):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,609):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,608):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(53,607):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0},(53,606):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(53,605):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0},(53,604):{'3_1':0.24,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(53,603):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(53,602):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(53,601):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(53,600):{'3_1':0.18,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(53,599):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(53,598):{'3_1':0.15,'4_1':0.09,'7_6':0.0,'8_1':0.0},(53,597):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,596):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'-3':0.0,'5_2':0.0,'8_1':0.0,'8_11':0.0},(53,595):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(53,594):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'7_6':0.0,'5_2':0.0,'8_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(53,593):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(53,592):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0},(53,591):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(53,590):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(53,589):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(53,588):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(53,587):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'-3':0.0},(53,586):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(53,585):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0},(53,584):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(53,583):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(53,582):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,581):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(53,580):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(53,579):{'4_1':0.15,'3_1':0.03,'5_2':0.0},(53,578):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0},(53,577):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0},(53,576):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(53,575):{'4_1':0.09,'3_1':0.09},(53,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(53,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0},(53,572):{'4_1':0.12,'3_1':0.06,'7_3':0.0},(53,571):{'4_1':0.09,'3_1':0.03,'5_2':0.03},(53,570):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(53,569):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(53,568):{'4_1':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(53,567):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'8_19':0.0},(53,566):{'4_1':0.09,'3_1':0.06,'8_4':0.0},(53,565):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0},(53,564):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0},(53,563):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(53,562):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(53,560):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(53,559):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(53,558):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(53,557):{'3_1':0.06,'4_1':0.0},(53,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,555):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(53,554):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(53,553):{'3_1':0.06,'4_1':0.0},(53,552):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(53,551):{'3_1':0.06,'4_1':0.0},(53,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,549):{'3_1':0.12,'6_1':0.0,'7_2':0.0},(53,548):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(53,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(53,546):{'3_1':0.12,'4_1':0.0},(53,545):{'3_1':0.09,'4_1':0.0},(53,544):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(53,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,542):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_3':0.0},(53,541):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0},(53,540):{'3_1':0.18,'5_1':0.0,'6_1':0.0,'4_1':0.0},(53,539):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(53,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(53,537):{'3_1':0.18,'4_1':0.0},(53,536):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(53,535):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0},(53,534):{'3_1':0.18},(53,533):{'3_1':0.18,'4_1':0.03,'6_2':0.0},(53,532):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(53,531):{'3_1':0.12},(53,530):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(53,529):{'3_1':0.15,'4_1':0.0},(53,528):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(53,527):{'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_3':0.0},(53,526):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(53,525):{'3_1':0.06,'5_1':0.0},(53,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,523):{'3_1':0.09,'4_1':0.0,'-3':0.0},(53,522):{'3_1':0.09,'5_1':0.0},(53,521):{'3_1':0.06,'4_1':0.0},(53,520):{'3_1':0.06,'4_1':0.0},(53,519):{'3_1':0.06,'6_3':0.0,'8_20|3_1#3_1':0.0},(53,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_1':0.0},(53,517):{'3_1':0.06,'5_2':0.0},(53,516):{'3_1':0.03,'4_1':0.0},(53,515):{'3_1':0.03},(53,514):{'3_1':0.06},(53,513):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(53,512):{'3_1':0.06,'4_1':0.0},(53,511):{'3_1':0.0},(53,510):{'3_1':0.03,'8_20|3_1#3_1':0.0},(53,509):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,508):{'3_1':0.09},(53,507):{'3_1':0.06},(53,506):{'3_1':0.06,'5_1':0.0},(53,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,504):{'3_1':0.0,'4_1':0.0},(53,503):{'3_1':0.06,'4_1':0.0},(53,502):{'3_1':0.0,'4_1':0.0},(53,501):{'3_1':0.0},(53,500):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,499):{'3_1':0.0},(53,498):{'3_1':0.0,'4_1':0.0},(53,497):{'3_1':0.0,'4_1':0.0},(53,496):{'3_1':0.06,'4_1':0.0},(53,495):{'3_1':0.03,'4_1':0.0},(53,494):{'3_1':0.03},(53,493):{'3_1':0.03},(53,492):{'3_1':0.03},(53,491):{'3_1':0.06},(53,490):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(53,489):{'3_1':0.03,'4_1':0.0},(53,488):{'3_1':0.06,'6_2':0.0},(53,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,486):{'3_1':0.09,'4_1':0.0},(53,485):{'3_1':0.06,'5_1':0.0},(53,484):{'3_1':0.09,'5_1':0.0},(53,483):{'3_1':0.06,'5_1':0.0,'7_2':0.0},(53,482):{'3_1':0.06,'4_1':0.0,'9_1':0.0},(53,481):{'3_1':0.0,'6_2':0.0},(53,480):{'3_1':0.0,'4_1':0.0},(53,479):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(53,478):{'3_1':0.09},(53,477):{'3_1':0.09,'4_1':0.0},(53,476):{'3_1':0.06},(53,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(53,474):{'3_1':0.03},(53,473):{'3_1':0.03,'4_1':0.0},(53,472):{'3_1':0.06,'4_1':0.0},(53,471):{'3_1':0.12,'4_1':0.0},(53,470):{'3_1':0.06,'5_1':0.0},(53,469):{'3_1':0.06,'4_1':0.0},(53,468):{'3_1':0.03,'8_1':0.0},(53,467):{'3_1':0.06,'6_2':0.0},(53,466):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,465):{'4_1':0.0},(53,464):{'3_1':0.03,'4_1':0.0},(53,463):{'3_1':0.03},(53,462):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(53,461):{'3_1':0.03,'4_1':0.0},(53,460):{'3_1':0.06,'4_1':0.0},(53,459):{'3_1':0.03,'5_1':0.0},(53,458):{'3_1':0.0},(53,457):{'3_1':0.03,'6_2':0.0},(53,456):{'3_1':0.03},(53,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(53,454):{'3_1':0.0,'5_1':0.0},(53,453):{'4_1':0.0},(53,452):{'3_1':0.03},(53,451):{'3_1':0.06},(53,450):{'3_1':0.0,'5_1':0.0},(53,449):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(53,448):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(53,447):{'3_1':0.0,'8_20|3_1#3_1':0.0},(53,446):{'3_1':0.03,'4_1':0.0},(53,445):{'3_1':0.0,'5_2':0.0},(53,444):{'3_1':0.03,'5_1':0.0},(53,443):{'3_1':0.0,'7_5':0.0},(53,442):{'3_1':0.03},(53,441):{'3_1':0.03},(53,440):{'3_1':0.03,'8_20|3_1#3_1':0.0},(53,439):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(53,438):{'3_1':0.03},(53,437):{'3_1':0.03},(53,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,435):{'3_1':0.03},(53,434):{'3_1':0.03,'5_1':0.0},(53,433):{'3_1':0.03},(53,432):{'3_1':0.03},(53,431):{'3_1':0.03},(53,430):{'3_1':0.03,'4_1':0.0},(53,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,428):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(53,427):{'3_1':0.03},(53,426):{'3_1':0.09,'5_1':0.0},(53,425):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(53,424):{'3_1':0.03,'5_2':0.0},(53,423):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(53,422):{'3_1':0.06},(53,421):{'3_1':0.03,'4_1':0.0},(53,420):{'3_1':0.06,'5_2':0.0},(53,419):{'3_1':0.03},(53,418):{'3_1':0.06,'7_2':0.0},(53,417):{'3_1':0.0},(53,416):{'3_1':0.06,'5_2':0.0},(53,415):{'3_1':0.0,'5_2':0.0},(53,414):{'3_1':0.06,'5_2':0.0},(53,413):{'3_1':0.03,'5_2':0.0},(53,412):{'3_1':0.0,'5_2':0.0},(53,411):{'3_1':0.03},(53,410):{'3_1':0.03,'5_1':0.0},(53,409):{'3_1':0.0},(53,408):{'3_1':0.03,'5_2':0.0},(53,407):{'3_1':0.0},(53,406):{'3_1':0.0},(53,405):{'3_1':0.0},(53,404):{'3_1':0.0},(53,403):{'3_1':0.0},(53,402):{'3_1':0.0,'6_3':0.0},(53,401):{'3_1':0.0,'5_2':0.0},(53,400):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(53,399):{'3_1':0.03},(53,398):{'6_2':0.0},(53,397):{'3_1':0.0},(53,396):{'3_1':0.0},(53,395):{'3_1':0.0},(53,394):{'3_1':0.0},(53,393):{'3_1':0.0},(53,392):{'3_1':0.0},(53,391):{'3_1':0.0},(53,390):{'3_1':0.03,'4_1':0.0},(53,389):{'3_1':0.0,'4_1':0.0},(53,388):{'3_1':0.0,'4_1':0.0},(53,387):{'4_1':0.0,'5_2':0.0},(53,386):{'3_1':0.0},(53,385):{'5_2':0.0},(53,384):{'3_1':0.0,'5_2':0.0},(53,383):{'3_1':0.03},(53,382):{'3_1':0.0},(53,381):{'3_1':0.0,'4_1':0.0},(53,380):{'3_1':0.03,'7_3':0.0},(53,379):{'3_1':0.03,'5_2':0.0},(53,378):{'3_1':0.0,'5_2':0.0},(53,377):{'3_1':0.0},(53,376):{'5_1':0.0},(53,375):{'3_1':0.06},(53,374):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,373):{'3_1':0.0},(53,372):{'3_1':0.0},(53,371):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(53,370):{'3_1':0.0,'4_1':0.0},(53,369):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(53,368):{'3_1':0.0,'5_2':0.0},(53,367):{'3_1':0.03},(53,366):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(53,365):{'3_1':0.0},(53,364):{'3_1':0.0,'4_1':0.0},(53,363):{'3_1':0.0},(53,362):{'3_1':0.03,'4_1':0.0},(53,361):{'3_1':0.0},(53,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(53,359):{'5_2':0.0},(53,358):{'3_1':0.0},(53,357):{'5_1':0.0},(53,356):{'4_1':0.0,'3_1':0.0},(53,354):{'3_1':0.0,'5_1':0.0},(53,353):{'3_1':0.0,'5_2':0.0},(53,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,351):{'3_1':0.0},(53,350):{'5_1':0.0,'5_2':0.0},(53,349):{'3_1':0.0,'4_1':0.0},(53,348):{'3_1':0.0},(53,347):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(53,346):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(53,345):{'3_1':0.0,'4_1':0.0},(53,344):{'3_1':0.0},(53,343):{'3_1':0.0},(53,342):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(53,341):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(53,340):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(53,339):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(53,338):{'5_1':0.0,'3_1':0.0},(53,337):{'3_1':0.06},(53,336):{'3_1':0.03,'5_2':0.0},(53,335):{'3_1':0.06,'5_2':0.0},(53,334):{'3_1':0.0},(53,333):{'3_1':0.0,'8_1':0.0},(53,332):{'3_1':0.03,'6_2':0.0},(53,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(53,330):{'3_1':0.0},(53,329):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,328):{'3_1':0.03},(53,327):{'3_1':0.03},(53,326):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(53,325):{'3_1':0.03},(53,324):{'4_1':0.0,'5_2':0.0},(53,323):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(53,322):{'3_1':0.03},(53,321):{'3_1':0.0},(53,320):{'3_1':0.03},(53,319):{'3_1':0.03},(53,318):{'3_1':0.0},(53,317):{'3_1':0.03},(53,316):{'3_1':0.0,'5_1':0.0},(53,315):{'3_1':0.03,'4_1':0.0},(53,314):{'3_1':0.03},(53,313):{'3_1':0.03},(53,312):{'3_1':0.03},(53,311):{'3_1':0.0},(53,310):{'3_1':0.06},(53,308):{'3_1':0.0},(53,307):{'3_1':0.03},(53,306):{'3_1':0.03},(53,305):{'3_1':0.0,'4_1':0.0},(53,304):{'3_1':0.03},(53,303):{'3_1':0.06,'5_1':0.0},(53,302):{'3_1':0.0,'4_1':0.0},(53,301):{'3_1':0.0},(53,300):{'3_1':0.03,'5_2':0.0},(53,299):{'3_1':0.03},(53,298):{'3_1':0.03,'4_1':0.0},(53,297):{'3_1':0.0},(53,296):{'3_1':0.03},(53,294):{'3_1':0.03},(53,293):{'3_1':0.03},(53,292):{'3_1':0.0},(53,291):{'3_1':0.03,'4_1':0.0},(53,290):{'3_1':0.0},(53,289):{'3_1':0.0,'8_20|3_1#3_1':0.0},(53,288):{'3_1':0.0},(53,287):{'3_1':0.0,'4_1':0.0},(53,286):{'3_1':0.0},(53,285):{'3_1':0.0},(53,284):{'3_1':0.0},(53,283):{'3_1':0.0},(53,282):{'3_1':0.0},(53,281):{'3_1':0.03},(53,280):{'3_1':0.03,'6_3':0.0},(53,279):{'3_1':0.06,'5_1':0.0},(53,278):{'3_1':0.03},(53,277):{'3_1':0.0,'4_1':0.0},(53,276):{'3_1':0.03},(53,275):{'5_1':0.0},(53,274):{'3_1':0.03,'5_1':0.0},(53,273):{'3_1':0.03},(53,272):{'3_1':0.0},(53,271):{'3_1':0.06,'4_1':0.0},(53,270):{'3_1':0.03,'5_1':0.0},(53,268):{'3_1':0.09,'5_2':0.0},(53,267):{'3_1':0.0},(53,266):{'4_1':0.0,'3_1':0.0},(53,265):{'3_1':0.03},(53,264):{'3_1':0.03,'5_1':0.0},(53,263):{'3_1':0.0,'4_1':0.0},(53,262):{'3_1':0.03},(53,261):{'3_1':0.03},(53,260):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(53,259):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,258):{'3_1':0.0},(53,257):{'3_1':0.0,'5_2':0.0},(53,256):{'3_1':0.03},(53,255):{'3_1':0.0,'5_2':0.0},(53,254):{'3_1':0.0},(53,253):{'3_1':0.0},(53,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(53,251):{'4_1':0.0},(53,248):{'3_1':0.0},(53,247):{'3_1':0.03},(53,246):{'3_1':0.0,'5_1':0.0},(53,245):{'3_1':0.0},(53,244):{'3_1':0.03},(53,243):{'3_1':0.0},(53,242):{'3_1':0.03,'5_1':0.0},(53,241):{'3_1':0.0},(53,240):{'3_1':0.0,'5_2':0.0},(53,239):{'3_1':0.03},(53,238):{'3_1':0.0},(53,237):{'3_1':0.0,'5_1':0.0},(53,236):{'3_1':0.03},(53,235):{'3_1':0.03},(53,234):{'3_1':0.0},(53,233):{'3_1':0.0},(53,232):{'3_1':0.03,'8_20|3_1#3_1':0.0},(53,231):{'3_1':0.03},(53,230):{'3_1':0.0},(53,229):{'3_1':0.0},(53,228):{'3_1':0.03},(53,227):{'3_1':0.03},(53,226):{'3_1':0.0},(53,225):{'3_1':0.0},(53,224):{'3_1':0.03},(53,223):{'3_1':0.0,'4_1':0.0},(53,221):{'3_1':0.0},(53,219):{'3_1':0.0},(53,218):{'3_1':0.0},(53,217):{'3_1':0.03},(53,216):{'3_1':0.03,'4_1':0.0},(53,215):{'3_1':0.0},(53,214):{'3_1':0.03},(53,213):{'3_1':0.0},(53,212):{'3_1':0.0},(53,210):{'3_1':0.03},(53,209):{'3_1':0.0,'4_1':0.0},(53,208):{'3_1':0.03,'4_1':0.0},(53,207):{'3_1':0.03},(53,206):{'3_1':0.03},(53,205):{'3_1':0.0},(53,204):{'3_1':0.0},(53,203):{'3_1':0.0},(53,202):{'3_1':0.0},(53,201):{'3_1':0.0},(53,197):{'3_1':0.0},(53,196):{'3_1':0.0},(53,195):{'3_1':0.0},(53,194):{'3_1':0.0},(53,192):{'3_1':0.0},(53,191):{'3_1':0.0},(53,190):{'3_1':0.03},(53,189):{'3_1':0.0},(53,188):{'3_1':0.0},(53,186):{'3_1':0.03},(53,185):{'3_1':0.0},(53,184):{'3_1':0.0},(53,181):{'3_1':0.0},(53,180):{'3_1':0.03},(53,179):{'3_1':0.0},(53,178):{'3_1':0.0},(53,177):{'3_1':0.0},(53,176):{'3_1':0.0},(53,175):{'3_1':0.0,'4_1':0.0},(53,174):{'3_1':0.0},(53,172):{'3_1':0.03,'4_1':0.0},(53,170):{'3_1':0.0},(53,169):{'3_1':0.0},(53,168):{'3_1':0.0},(53,167):{'3_1':0.0},(53,166):{'3_1':0.0},(53,165):{'3_1':0.0},(53,162):{'3_1':0.0},(53,161):{'3_1':0.0},(53,160):{'3_1':0.0},(53,159):{'3_1':0.0},(53,158):{'3_1':0.0},(53,156):{'3_1':0.0},(53,155):{'3_1':0.0},(53,154):{'3_1':0.03},(53,153):{'3_1':0.0},(53,152):{'3_1':0.0},(53,151):{'3_1':0.0},(53,150):{'3_1':0.0},(53,148):{'3_1':0.0},(53,147):{'3_1':0.0},(53,146):{'5_2':0.0,'5_1':0.0},(53,145):{'3_1':0.0},(53,144):{'3_1':0.0},(53,143):{'3_1':0.0},(53,142):{'3_1':0.0},(53,140):{'3_1':0.0},(53,139):{'3_1':0.0},(53,138):{'3_1':0.0},(53,135):{'7_1':0.0},(53,130):{'3_1':0.0,'5_2':0.0},(53,128):{'3_1':0.0},(53,127):{'3_1':0.0,'5_1':0.0},(53,124):{'3_1':0.0},(53,122):{'3_1':0.0},(53,121):{'3_1':0.0},(53,118):{'3_1':0.0},(53,115):{'3_1':0.0},(53,70):{'3_1':0.0},(53,64):{'3_1':0.0},(54,727):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(54,726):{'5_2':0.6,'7_4':0.09,'-3':0.09,'3_1':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0},(54,725):{'5_2':0.69,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(54,724):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(54,723):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_4':0.06,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'1':-0.03},(54,722):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(54,721):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'3_1#5_1':0.0},(54,720):{'5_2':0.6,'3_1':0.09,'-3':0.03,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'8_8':0.0},(54,719):{'5_2':0.69,'7_4':0.09,'-3':0.03,'3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(54,718):{'5_2':0.57,'7_4':0.09,'3_1':0.06,'-3':0.03,'7_5':0.03,'7_3':0.0,'7_2':0.0,'6_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(54,717):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(54,716):{'5_2':0.63,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(54,715):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'8_11':0.0,'3_1#5_2':0.0},(54,714):{'5_2':0.57,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(54,713):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(54,712):{'5_2':0.6,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(54,711):{'5_2':0.6,'3_1':0.12,'-3':0.09,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(54,710):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'3_1#5_2':0.0},(54,709):{'5_2':0.63,'-3':0.12,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(54,708):{'5_2':0.57,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(54,707):{'5_2':0.6,'7_4':0.09,'-3':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(54,706):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(54,705):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_5':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0},(54,704):{'5_2':0.6,'3_1':0.12,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(54,703):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0},(54,702):{'5_2':0.51,'3_1':0.15,'-3':0.09,'7_6':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(54,701):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(54,700):{'5_2':0.51,'3_1':0.15,'-3':0.15,'7_4':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0},(54,699):{'5_2':0.48,'3_1':0.18,'-3':0.06,'7_6':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(54,698):{'5_2':0.51,'3_1':0.09,'7_3':0.06,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_6':0.0,'8_11':0.0,'7_2':0.0,'3_1#5_2':0.0},(54,697):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_8':0.0},(54,696):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1#5_2':0.0,'5_1':0.0},(54,695):{'5_2':0.54,'3_1':0.15,'7_3':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(54,694):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'3_1#5_2':0.0},(54,693):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'1':-0.03},(54,692):{'5_2':0.39,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(54,691):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(54,690):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(54,689):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(54,688):{'3_1':0.39,'5_2':0.33,'7_4':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(54,687):{'5_2':0.33,'3_1':0.18,'7_4':0.12,'7_3':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(54,686):{'5_2':0.33,'3_1':0.18,'7_4':0.09,'5_1':0.03,'7_3':0.03,'-3':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(54,685):{'5_2':0.36,'3_1':0.3,'7_4':0.12,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(54,684):{'5_2':0.36,'3_1':0.3,'7_4':0.06,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(54,683):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0},(54,682):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(54,681):{'3_1':0.48,'5_2':0.18,'7_4':0.12,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(54,680):{'3_1':0.45,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(54,679):{'3_1':0.45,'5_2':0.21,'7_4':0.12,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0,'8_14':0.0},(54,678):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(54,677):{'3_1':0.45,'5_2':0.15,'7_4':0.12,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(54,676):{'3_1':0.63,'5_2':0.12,'7_4':0.06,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(54,675):{'3_1':0.54,'7_4':0.15,'5_2':0.06,'5_1':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(54,674):{'3_1':0.57,'5_2':0.15,'-3':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(54,673):{'3_1':0.63,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(54,672):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(54,671):{'3_1':0.66,'7_4':0.09,'5_2':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(54,670):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(54,669):{'3_1':0.66,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(54,668):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'8_8':0.0},(54,667):{'3_1':0.66,'5_2':0.09,'7_4':0.0,'8_19':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(54,666):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,665):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_7':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(54,664):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(54,663):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0,'-3':0.0},(54,662):{'3_1':0.6,'5_2':0.12,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0},(54,661):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(54,660):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(54,659):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(54,658):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(54,657):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(54,656):{'3_1':0.57,'5_2':0.09,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(54,655):{'3_1':0.54,'5_2':0.12,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(54,654):{'3_1':0.54,'5_2':0.12,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(54,653):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(54,652):{'3_1':0.42,'5_2':0.09,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(54,651):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(54,650):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(54,649):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'-3':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(54,648):{'3_1':0.39,'5_2':0.15,'6_1':0.03,'7_6':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(54,647):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'-3':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(54,646):{'3_1':0.36,'5_2':0.12,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(54,645):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(54,644):{'3_1':0.36,'5_2':0.24,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(54,643):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'9_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(54,642):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(54,641):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(54,640):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(54,639):{'3_1':0.24,'5_2':0.09,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(54,638):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_11':0.0},(54,637):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(54,636):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_12':0.0},(54,635):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(54,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(54,633):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(54,632):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0},(54,631):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(54,630):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(54,629):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(54,628):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(54,627):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(54,626):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(54,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(54,624):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,623):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'3_1#5_2':0.0},(54,622):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(54,621):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(54,620):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.03,'-3':0.0,'3_1#5_1':0.0},(54,619):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(54,618):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,617):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(54,616):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_16':0.0},(54,615):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(54,614):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(54,613):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(54,612):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(54,611):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(54,610):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(54,609):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(54,608):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_4':0.0,'8_6':0.0},(54,607):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,606):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(54,605):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(54,604):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(54,603):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(54,602):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(54,601):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(54,600):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,599):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0},(54,598):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(54,597):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(54,596):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_12':0.0},(54,595):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'8_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(54,594):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(54,593):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(54,592):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0},(54,591):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(54,590):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(54,589):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(54,588):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(54,587):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0},(54,586):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(54,585):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(54,584):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,583):{'4_1':0.18,'6_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0},(54,582):{'4_1':0.18,'3_1':0.0,'6_2':0.0,'8_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_12':0.0},(54,581):{'4_1':0.12,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0},(54,580):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'8_1':0.0},(54,579):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_1':0.0},(54,578):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(54,577):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0},(54,576):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0},(54,575):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(54,574):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(54,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(54,572):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(54,571):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(54,570):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(54,569):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(54,568):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0},(54,567):{'4_1':0.09,'5_2':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0},(54,566):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'7_5':0.0},(54,565):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(54,564):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(54,563):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(54,562):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'-3':0.0},(54,561):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(54,560):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(54,559):{'3_1':0.12,'4_1':0.06,'6_2':0.0},(54,558):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(54,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(54,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,555):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'8_1':0.0,'5_1':0.0,'6_1':0.0},(54,554):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(54,553):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(54,552):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(54,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,550):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(54,549):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_1':0.0},(54,548):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,547):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(54,546):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(54,545):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(54,544):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(54,543):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(54,542):{'3_1':0.24,'5_1':0.0},(54,541):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(54,540):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(54,539):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(54,538):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,537):{'3_1':0.15,'6_1':0.0,'6_2':0.0,'6_3':0.0},(54,536):{'3_1':0.21,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(54,535):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(54,534):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(54,533):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(54,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(54,530):{'3_1':0.06,'4_1':0.0},(54,529):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(54,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,526):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(54,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(54,524):{'3_1':0.06,'7_5':0.0},(54,523):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(54,522):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(54,521):{'3_1':0.03,'6_1':0.0,'7_5':0.0},(54,520):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(54,519):{'3_1':0.03,'4_1':0.0},(54,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,517):{'3_1':0.06,'4_1':0.0},(54,516):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(54,515):{'3_1':0.0,'4_1':0.0},(54,514):{'4_1':0.03,'3_1':0.0},(54,513):{'3_1':0.06},(54,512):{'3_1':0.03,'4_1':0.0},(54,511):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(54,510):{'3_1':0.03,'4_1':0.0},(54,509):{'4_1':0.0,'6_1':0.0},(54,508):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(54,507):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(54,506):{'3_1':0.03,'4_1':0.0},(54,505):{'3_1':0.0},(54,504):{'3_1':0.03},(54,503):{'3_1':0.0,'4_1':0.0},(54,502):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,501):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(54,500):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(54,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,497):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(54,496):{'3_1':0.03},(54,495):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'7_4':0.0},(54,494):{'3_1':0.06,'4_1':0.0},(54,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,492):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(54,490):{'3_1':0.03,'4_1':0.0},(54,489):{'3_1':0.03,'4_1':0.0},(54,488):{'3_1':0.0},(54,487):{'3_1':0.03},(54,486):{'3_1':0.03,'6_2':0.0},(54,485):{'3_1':0.03},(54,484):{'3_1':0.06,'4_1':0.0},(54,483):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(54,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(54,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(54,480):{'3_1':0.06,'5_2':0.0},(54,479):{'3_1':0.06,'4_1':0.0},(54,478):{'3_1':0.12,'4_1':0.0},(54,477):{'3_1':0.09,'5_2':0.0,'9_1':0.0},(54,476):{'3_1':0.06,'4_1':0.0,'8_5':0.0},(54,475):{'3_1':0.06,'4_1':0.0},(54,474):{'3_1':0.03,'4_1':0.0},(54,473):{'3_1':0.06,'4_1':0.0},(54,472):{'3_1':0.03,'4_1':0.0},(54,471):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,470):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(54,469):{'3_1':0.06},(54,468):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(54,467):{'3_1':0.03,'5_2':0.0},(54,466):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,465):{'3_1':0.03,'4_1':0.0},(54,464):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(54,463):{'3_1':0.0},(54,462):{'3_1':0.03,'4_1':0.0},(54,461):{'3_1':0.0},(54,460):{'4_1':0.0,'3_1':0.0},(54,459):{'3_1':0.03},(54,458):{'3_1':0.03,'4_1':0.0},(54,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(54,456):{'3_1':0.03,'4_1':0.0},(54,455):{'3_1':0.03,'5_1':0.0},(54,454):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,453):{'3_1':0.0},(54,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,451):{'4_1':0.0,'6_1':0.0},(54,450):{'3_1':0.0,'4_1':0.0},(54,449):{'3_1':0.0,'4_1':0.0},(54,448):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(54,447):{'3_1':0.06,'4_1':0.0},(54,446):{'3_1':0.0},(54,445):{'3_1':0.03},(54,444):{'3_1':0.03,'4_1':0.0},(54,443):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(54,442):{'3_1':0.03},(54,441):{'3_1':0.0,'5_2':0.0},(54,440):{'3_1':0.0,'4_1':0.0},(54,439):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(54,438):{'3_1':0.03,'5_2':0.0},(54,437):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,436):{'3_1':0.12,'5_2':0.0},(54,435):{'3_1':0.06,'4_1':0.0},(54,434):{'3_1':0.03,'4_1':0.0},(54,433):{'3_1':0.06},(54,432):{'3_1':0.06,'4_1':0.0},(54,431):{'3_1':0.06,'4_1':0.0},(54,430):{'3_1':0.06},(54,429):{'3_1':0.03,'5_2':0.0},(54,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,427):{'3_1':0.09,'5_2':0.0},(54,426):{'3_1':0.06,'5_2':0.0},(54,425):{'3_1':0.03},(54,424):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(54,423):{'3_1':0.06,'5_2':0.0},(54,422):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(54,421):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0},(54,420):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(54,419):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(54,418):{'3_1':0.03},(54,417):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,416):{'3_1':0.09},(54,415):{'3_1':0.06,'5_2':0.0},(54,414):{'3_1':0.06,'5_2':0.0},(54,413):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(54,412):{'3_1':0.09,'5_2':0.0},(54,411):{'3_1':0.03},(54,410):{'3_1':0.0,'7_3':0.0,'8_4':0.0},(54,409):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,408):{'3_1':0.0},(54,407):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(54,406):{'3_1':0.0},(54,405):{'3_1':0.03,'5_2':0.0},(54,404):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,403):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,402):{'3_1':0.0,'5_2':0.0},(54,401):{'3_1':0.03},(54,400):{'3_1':0.0},(54,399):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,398):{'3_1':0.0},(54,397):{'3_1':0.06,'5_2':0.0},(54,396):{'3_1':0.0,'5_2':0.0},(54,395):{'3_1':0.0},(54,394):{'3_1':0.03},(54,393):{'3_1':0.0},(54,392):{'3_1':0.0},(54,391):{'3_1':0.0,'4_1':0.0},(54,390):{'3_1':0.0},(54,389):{'3_1':0.0,'4_1':0.0},(54,388):{'3_1':0.03},(54,387):{'3_1':0.0},(54,386):{'3_1':0.03},(54,385):{'3_1':0.03},(54,384):{'3_1':0.0},(54,383):{'3_1':0.03},(54,382):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,381):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,380):{'3_1':0.03},(54,379):{'3_1':0.06},(54,378):{'3_1':0.0},(54,377):{'5_1':0.0},(54,376):{'3_1':0.0,'6_2':0.0},(54,375):{'3_1':0.0},(54,374):{'3_1':0.0,'5_2':0.0},(54,373):{'3_1':0.0,'8_1':0.0},(54,372):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(54,371):{'3_1':0.03,'4_1':0.0},(54,370):{'3_1':0.0,'7_1':0.0},(54,369):{'3_1':0.0},(54,368):{'3_1':0.0},(54,367):{'3_1':0.0},(54,366):{'3_1':0.03},(54,365):{'3_1':0.0,'9_1':0.0},(54,364):{'3_1':0.0},(54,363):{'3_1':0.0,'4_1':0.0},(54,362):{'3_1':0.0,'4_1':0.0},(54,361):{'3_1':0.0,'4_1':0.0},(54,360):{'3_1':0.0,'4_1':0.0},(54,359):{'4_1':0.0},(54,358):{'3_1':0.0,'4_1':0.0},(54,357):{'3_1':0.0},(54,356):{'3_1':0.03},(54,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,354):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(54,353):{'3_1':0.0,'7_1':0.0},(54,352):{'3_1':0.0,'4_1':0.0},(54,351):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,350):{'3_1':0.0,'4_1':0.0},(54,349):{'3_1':0.0},(54,348):{'3_1':0.0},(54,346):{'3_1':0.0},(54,345):{'3_1':0.03},(54,343):{'3_1':0.0},(54,342):{'5_1':0.0},(54,341):{'3_1':0.0},(54,340):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,339):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,338):{'3_1':0.03},(54,337):{'3_1':0.0},(54,336):{'3_1':0.0},(54,335):{'3_1':0.0,'4_1':0.0},(54,334):{'3_1':0.06,'5_2':0.0},(54,333):{'3_1':0.0,'4_1':0.0},(54,332):{'3_1':0.0},(54,331):{'3_1':0.0,'6_1':0.0},(54,330):{'6_1':0.0,'3_1':0.0},(54,329):{'3_1':0.0},(54,328):{'3_1':0.0,'6_1':0.0},(54,327):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(54,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(54,325):{'3_1':0.0,'4_1':0.0},(54,324):{'4_1':0.0},(54,323):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(54,322):{'3_1':0.0},(54,321):{'3_1':0.0,'4_1':0.0},(54,320):{'3_1':0.03,'4_1':0.0},(54,319):{'3_1':0.0},(54,318):{'3_1':0.0},(54,317):{'3_1':0.0},(54,316):{'3_1':0.03,'6_2':0.0},(54,315):{'3_1':0.03,'4_1':0.0},(54,314):{'3_1':0.0,'6_1':0.0},(54,313):{'3_1':0.03},(54,312):{'3_1':0.0},(54,311):{'3_1':0.0,'4_1':0.0},(54,310):{'3_1':0.0},(54,309):{'3_1':0.0,'5_2':0.0},(54,308):{'3_1':0.0},(54,307):{'3_1':0.03,'5_1':0.0},(54,306):{'3_1':0.0},(54,305):{'3_1':0.03,'5_2':0.0},(54,304):{'3_1':0.03},(54,303):{'3_1':0.03,'5_1':0.0},(54,302):{'3_1':0.03,'4_1':0.0},(54,301):{'3_1':0.03,'4_1':0.0},(54,300):{'3_1':0.03,'4_1':0.0},(54,299):{'3_1':0.03},(54,298):{'3_1':0.03,'4_1':0.0},(54,297):{'3_1':0.03,'4_1':0.0},(54,296):{'3_1':0.03,'5_1':0.0},(54,295):{'3_1':0.0},(54,294):{'3_1':0.0,'4_1':0.0},(54,293):{'3_1':0.0},(54,292):{'3_1':0.0},(54,291):{'3_1':0.03,'4_1':0.0},(54,290):{'3_1':0.0},(54,289):{'3_1':0.0,'4_1':0.0},(54,288):{'3_1':0.0},(54,287):{'3_1':0.0,'7_2':0.0},(54,286):{'3_1':0.0,'4_1':0.0},(54,285):{'3_1':0.0},(54,284):{'3_1':0.03},(54,283):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(54,282):{'3_1':0.0},(54,280):{'3_1':0.03},(54,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(54,278):{'3_1':0.0,'4_1':0.0},(54,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(54,276):{'3_1':0.03,'6_2':0.0,'8_1':0.0},(54,275):{'3_1':0.0},(54,274):{'3_1':0.03},(54,273):{'3_1':0.03},(54,272):{'3_1':0.03},(54,271):{'3_1':0.0},(54,270):{'3_1':0.03,'7_4':0.0},(54,269):{'3_1':0.03},(54,268):{'3_1':0.03},(54,267):{'3_1':0.03,'4_1':0.0},(54,266):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(54,265):{'3_1':0.03,'5_1':0.0},(54,264):{'3_1':0.03,'5_1':0.0},(54,263):{'3_1':0.0,'6_1':0.0},(54,262):{'3_1':0.0},(54,261):{'3_1':0.03},(54,260):{'3_1':0.03},(54,259):{'3_1':0.03},(54,258):{'3_1':0.0,'4_1':0.0},(54,257):{'3_1':0.0},(54,256):{'3_1':0.0,'4_1':0.0},(54,254):{'3_1':0.03},(54,253):{'3_1':0.0},(54,252):{'3_1':0.0,'5_2':0.0},(54,251):{'3_1':0.0},(54,250):{'3_1':0.0},(54,249):{'3_1':0.03},(54,248):{'3_1':0.0},(54,247):{'3_1':0.0},(54,246):{'3_1':0.0},(54,245):{'3_1':0.0},(54,244):{'3_1':0.03},(54,243):{'3_1':0.0},(54,242):{'3_1':0.0},(54,241):{'3_1':0.03,'4_1':0.0},(54,240):{'3_1':0.03},(54,239):{'3_1':0.0},(54,238):{'3_1':0.0},(54,237):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(54,236):{'3_1':0.0},(54,235):{'3_1':0.0,'4_1':0.0},(54,234):{'3_1':0.0,'4_1':0.0},(54,233):{'3_1':0.0},(54,232):{'3_1':0.06},(54,231):{'3_1':0.0,'4_1':0.0},(54,230):{'3_1':0.03},(54,229):{'3_1':0.0,'5_1':0.0},(54,228):{'3_1':0.0},(54,227):{'3_1':0.0},(54,226):{'3_1':0.0},(54,224):{'3_1':0.0},(54,223):{'3_1':0.0},(54,221):{'3_1':0.0},(54,220):{'3_1':0.0},(54,219):{'3_1':0.0},(54,218):{'3_1':0.0},(54,217):{'3_1':0.0},(54,216):{'3_1':0.0},(54,215):{'3_1':0.0,'4_1':0.0},(54,214):{'3_1':0.0},(54,212):{'3_1':0.0},(54,210):{'3_1':0.0},(54,209):{'3_1':0.0,'5_2':0.0},(54,208):{'3_1':0.0},(54,207):{'4_1':0.0},(54,206):{'3_1':0.0},(54,204):{'3_1':0.0},(54,203):{'3_1':0.0},(54,201):{'3_1':0.0},(54,200):{'3_1':0.0},(54,199):{'3_1':0.0},(54,198):{'3_1':0.0},(54,197):{'3_1':0.0},(54,196):{'3_1':0.0},(54,195):{'3_1':0.0},(54,194):{'3_1':0.03,'4_1':0.0},(54,193):{'3_1':0.0,'5_1':0.0},(54,192):{'3_1':0.0,'4_1':0.0},(54,191):{'3_1':0.0,'4_1':0.0},(54,190):{'3_1':0.0},(54,189):{'3_1':0.0},(54,188):{'3_1':0.0,'4_1':0.0},(54,187):{'3_1':0.03},(54,186):{'3_1':0.0},(54,185):{'3_1':0.0},(54,184):{'3_1':0.0},(54,183):{'3_1':0.0},(54,182):{'4_1':0.0,'6_1':0.0},(54,181):{'3_1':0.0},(54,180):{'3_1':0.03},(54,179):{'3_1':0.0},(54,178):{'3_1':0.0},(54,177):{'4_1':0.0},(54,176):{'3_1':0.03},(54,175):{'3_1':0.03,'5_1':0.0},(54,173):{'3_1':0.0},(54,172):{'3_1':0.0},(54,171):{'3_1':0.0},(54,170):{'3_1':0.0},(54,169):{'3_1':0.0},(54,168):{'3_1':0.0},(54,166):{'3_1':0.0,'4_1':0.0},(54,163):{'3_1':0.0,'4_1':0.0},(54,162):{'3_1':0.0},(54,161):{'3_1':0.0},(54,160):{'3_1':0.0},(54,159):{'3_1':0.03},(54,158):{'3_1':0.0},(54,157):{'3_1':0.0},(54,156):{'3_1':0.0},(54,155):{'3_1':0.0},(54,154):{'3_1':0.0},(54,153):{'3_1':0.03},(54,151):{'3_1':0.0},(54,150):{'3_1':0.0},(54,149):{'3_1':0.0},(54,148):{'3_1':0.0},(54,147):{'3_1':0.0},(54,146):{'3_1':0.0},(54,145):{'3_1':0.0},(54,144):{'3_1':0.0},(54,143):{'3_1':0.0},(54,142):{'3_1':0.0},(54,140):{'3_1':0.0},(54,139):{'3_1':0.0},(54,138):{'5_1':0.0},(54,136):{'3_1':0.0},(54,135):{'3_1':0.0},(54,134):{'3_1':0.0},(54,131):{'3_1':0.0},(54,130):{'3_1':0.0,'5_1':0.0},(54,129):{'3_1':0.0},(54,128):{'3_1':0.0},(54,127):{'3_1':0.0,'5_1':0.0},(54,125):{'3_1':0.0},(54,122):{'3_1':0.0},(54,121):{'3_1':0.0},(54,70):{'3_1':0.0},(55,727):{'5_2':0.75,'3_1':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(55,726):{'5_2':0.6,'-3':0.15,'7_4':0.03,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(55,725):{'5_2':0.57,'-3':0.09,'3_1':0.09,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(55,724):{'5_2':0.54,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0},(55,723):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(55,722):{'5_2':0.6,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0},(55,721):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(55,720):{'5_2':0.63,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(55,719):{'5_2':0.63,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(55,718):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.06,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(55,717):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(55,716):{'5_2':0.54,'7_4':0.09,'3_1':0.06,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(55,715):{'5_2':0.6,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.03,'4_1':0.0},(55,714):{'5_2':0.66,'3_1':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'1':-0.03},(55,713):{'5_2':0.54,'7_4':0.15,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_15':0.0,'3_1#5_2':0.0},(55,712):{'5_2':0.48,'3_1':0.06,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_5':0.0,'7_7':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(55,711):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(55,710):{'5_2':0.51,'-3':0.15,'3_1':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(55,709):{'5_2':0.6,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'2':-0.03},(55,708):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(55,707):{'5_2':0.51,'3_1':0.15,'7_4':0.09,'-3':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_3':0.0},(55,706):{'5_2':0.57,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_1':0.0,'8_13':0.0},(55,705):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(55,704):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0},(55,703):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(55,702):{'5_2':0.51,'-3':0.18,'3_1':0.06,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(55,701):{'5_2':0.54,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(55,700):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(55,699):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.06,'3_1#5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(55,698):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(55,697):{'5_2':0.39,'3_1':0.09,'7_3':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.06,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(55,696):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.09,'7_3':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(55,695):{'5_2':0.51,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0},(55,694):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(55,693):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_14':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(55,692):{'5_2':0.45,'3_1':0.24,'-3':0.12,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(55,691):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'8_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0},(55,690):{'5_2':0.42,'3_1':0.12,'-3':0.09,'5_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(55,689):{'5_2':0.36,'3_1':0.27,'-3':0.09,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(55,688):{'5_2':0.39,'3_1':0.3,'-3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(55,687):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_11':0.0,'8_12':0.0,'8_14':0.0,'1':-0.03},(55,686):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(55,685):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(55,684):{'5_2':0.39,'3_1':0.24,'7_3':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(55,683):{'3_1':0.3,'5_2':0.3,'7_4':0.09,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(55,682):{'3_1':0.45,'5_2':0.27,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(55,681):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'-3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(55,680):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(55,679):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(55,678):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(55,677):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(55,676):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(55,675):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(55,674):{'3_1':0.57,'7_4':0.09,'5_2':0.09,'-3':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(55,673):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(55,672):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(55,671):{'3_1':0.63,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'3_1#5_2':0.0},(55,670):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0},(55,669):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(55,668):{'3_1':0.6,'5_2':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(55,667):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(55,666):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.0,'6_3':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0},(55,665):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,664):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,663):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(55,662):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(55,661):{'3_1':0.54,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(55,660):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(55,659):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(55,658):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(55,657):{'3_1':0.54,'5_2':0.09,'7_7':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(55,656):{'3_1':0.54,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(55,655):{'3_1':0.51,'5_2':0.18,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(55,654):{'3_1':0.51,'5_2':0.12,'5_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(55,653):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(55,652):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,651):{'3_1':0.51,'5_2':0.15,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(55,650):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,649):{'3_1':0.33,'5_2':0.06,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(55,648):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'6_3':0.0,'7_4':0.0,'8_1':0.0,'8_13':0.0},(55,647):{'3_1':0.42,'5_2':0.21,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(55,646):{'3_1':0.3,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(55,645):{'3_1':0.36,'5_2':0.18,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(55,644):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(55,643):{'3_1':0.3,'5_2':0.15,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(55,642):{'5_2':0.18,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_1':0.0,'6_1':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,641):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(55,640):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(55,639):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(55,638):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(55,637):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(55,636):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(55,635):{'5_2':0.09,'3_1':0.06,'5_1':0.0,'4_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,634):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(55,633):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0,'-3':0.0},(55,632):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(55,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(55,630):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0},(55,629):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(55,628):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0},(55,627):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(55,626):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0,'8_7':0.0,'-3':0.0},(55,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0},(55,624):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_7':0.0,'5_1':0.0,'8_14':0.0},(55,623):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0},(55,622):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0},(55,621):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(55,620):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(55,619):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(55,618):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(55,617):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(55,616):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_7':0.0,'8_19':0.0},(55,615):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(55,614):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_1':0.0,'8_16':0.0,'8_19':0.0},(55,613):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(55,612):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(55,611):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0},(55,610):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(55,609):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(55,608):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,607):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(55,606):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(55,605):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'8_14':0.0},(55,604):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0},(55,603):{'3_1':0.21,'4_1':0.12,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0},(55,602):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(55,601):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(55,600):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_4':0.0},(55,599):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(55,598):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(55,597):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_5':0.0},(55,596):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(55,595):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0},(55,594):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,593):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(55,592):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(55,591):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(55,590):{'4_1':0.24,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,589):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,588):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(55,587):{'4_1':0.15,'3_1':0.06,'6_1':0.0},(55,586):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_19':0.0,'-3':0.0},(55,585):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(55,584):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(55,583):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(55,582):{'4_1':0.15,'3_1':0.09,'5_1':0.0},(55,581):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'8_11':0.0},(55,580):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,579):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0},(55,578):{'4_1':0.15,'6_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(55,577):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(55,576):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(55,575):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(55,574):{'4_1':0.12,'6_2':0.03,'3_1':0.0,'5_1':0.0},(55,573):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(55,572):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,571):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(55,570):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0},(55,569):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(55,568):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(55,567):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(55,566):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_3':0.0},(55,565):{'4_1':0.09,'5_1':0.03,'3_1':0.03,'8_1':0.0},(55,564):{'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0},(55,563):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0},(55,562):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(55,561):{'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_11':0.0},(55,560):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(55,559):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(55,558):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(55,557):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(55,556):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(55,555):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(55,554):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(55,553):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(55,552):{'3_1':0.09,'4_1':0.03,'7_2':0.0},(55,551):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,550):{'3_1':0.15,'4_1':0.0},(55,549):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(55,548):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(55,547):{'3_1':0.12,'4_1':0.03},(55,546):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(55,545):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(55,544):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(55,543):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0},(55,542):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(55,541):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(55,540):{'3_1':0.12,'4_1':0.03},(55,539):{'3_1':0.09,'4_1':0.0},(55,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(55,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(55,536):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,535):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(55,534):{'3_1':0.18,'4_1':0.0},(55,533):{'3_1':0.18,'4_1':0.03},(55,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(55,531):{'3_1':0.12,'6_2':0.0,'7_6':0.0},(55,530):{'3_1':0.03,'4_1':0.03},(55,529):{'3_1':0.09,'4_1':0.0},(55,528):{'3_1':0.09,'8_20|3_1#3_1':0.0},(55,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(55,526):{'3_1':0.03,'4_1':0.0},(55,525):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(55,524):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(55,522):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(55,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(55,520):{'3_1':0.06},(55,519):{'3_1':0.06,'4_1':0.0},(55,518):{'4_1':0.0,'6_2':0.0,'3_1':0.0},(55,517):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(55,516):{'3_1':0.0,'4_1':0.0},(55,515):{'3_1':0.03},(55,514):{'3_1':0.03,'4_1':0.0},(55,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(55,512):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(55,511):{'3_1':0.03,'4_1':0.0},(55,510):{'4_1':0.0,'3_1':0.0},(55,509):{'3_1':0.0,'4_1':0.0},(55,508):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(55,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(55,505):{'3_1':0.06},(55,504):{'4_1':0.0,'8_20|3_1#3_1':0.0},(55,503):{'3_1':0.0,'5_2':0.0,'8_11':0.0},(55,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(55,501):{'3_1':0.0,'4_1':0.0},(55,500):{'3_1':0.03},(55,499):{'3_1':0.03,'4_1':0.0},(55,498):{'3_1':0.0},(55,497):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(55,496):{'3_1':0.03,'4_1':0.0},(55,495):{'3_1':0.0,'4_1':0.0},(55,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(55,493):{'3_1':0.03},(55,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,491):{'3_1':0.0},(55,490):{'3_1':0.06,'4_1':0.0},(55,489):{'4_1':0.03,'3_1':0.0},(55,488):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(55,487):{'3_1':0.03,'4_1':0.0},(55,486):{'3_1':0.06,'8_20|3_1#3_1':0.0},(55,485):{'3_1':0.03},(55,484):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(55,483):{'3_1':0.09,'4_1':0.0},(55,482):{'3_1':0.03,'8_20|3_1#3_1':0.0},(55,481):{'3_1':0.09,'4_1':0.0},(55,480):{'3_1':0.03,'4_1':0.0},(55,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(55,478):{'3_1':0.06},(55,477):{'3_1':0.09,'4_1':0.0},(55,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,475):{'3_1':0.09,'5_2':0.0},(55,474):{'3_1':0.03,'4_1':0.0},(55,473):{'3_1':0.03,'7_1':0.0},(55,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(55,471):{'3_1':0.03},(55,470):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(55,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(55,468):{'3_1':0.0,'5_1':0.0},(55,467):{'3_1':0.03,'5_1':0.0},(55,466):{'3_1':0.03,'4_1':0.0},(55,465):{'3_1':0.0},(55,464):{'3_1':0.0,'8_20|3_1#3_1':0.0},(55,463):{'3_1':0.06,'4_1':0.0},(55,462):{'3_1':0.09,'6_3':0.0},(55,461):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(55,460):{'3_1':0.03,'4_1':0.0},(55,459):{'3_1':0.06,'4_1':0.0},(55,458):{'3_1':0.0},(55,457):{'3_1':0.03},(55,456):{'3_1':0.03},(55,455):{'3_1':0.03,'4_1':0.0},(55,454):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(55,453):{'3_1':0.09},(55,452):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(55,451):{'3_1':0.03,'4_1':0.0},(55,450):{'3_1':0.03,'4_1':0.0},(55,449):{'3_1':0.06,'4_1':0.03},(55,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(55,447):{'3_1':0.0},(55,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,445):{'3_1':0.0},(55,444):{'3_1':0.0,'8_21|3_1#4_1':0.0},(55,443):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,442):{'3_1':0.0},(55,441):{'3_1':0.09,'4_1':0.0},(55,440):{'3_1':0.03},(55,439):{'3_1':0.03,'5_2':0.0},(55,438):{'3_1':0.03,'4_1':0.0},(55,437):{'3_1':0.09,'5_2':0.0},(55,436):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,435):{'3_1':0.03},(55,434):{'3_1':0.0,'5_1':0.0},(55,433):{'3_1':0.09},(55,432):{'3_1':0.03,'5_2':0.0},(55,431):{'3_1':0.03},(55,430):{'3_1':0.03},(55,429):{'3_1':0.06,'4_1':0.0},(55,428):{'3_1':0.06},(55,427):{'3_1':0.09,'5_2':0.0},(55,426):{'3_1':0.03,'5_1':0.0},(55,425):{'3_1':0.03,'5_2':0.0},(55,424):{'3_1':0.03,'4_1':0.0},(55,423):{'3_1':0.06},(55,422):{'3_1':0.03,'5_2':0.0},(55,421):{'3_1':0.03},(55,420):{'3_1':0.03,'5_1':0.0},(55,419):{'3_1':0.03,'5_2':0.0},(55,418):{'3_1':0.03},(55,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(55,416):{'3_1':0.06},(55,415):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,414):{'3_1':0.06,'4_1':0.0},(55,413):{'3_1':0.03},(55,412):{'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(55,411):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(55,410):{'3_1':0.0},(55,409):{'3_1':0.03},(55,408):{'3_1':0.0},(55,407):{'3_1':0.03,'5_2':0.0},(55,406):{'3_1':0.06},(55,405):{'3_1':0.03},(55,404):{'3_1':0.0},(55,403):{'3_1':0.0,'4_1':0.0},(55,402):{'3_1':0.0,'4_1':0.0},(55,401):{'3_1':0.0,'5_2':0.0},(55,400):{'3_1':0.03},(55,399):{'3_1':0.0},(55,398):{'3_1':0.03,'5_2':0.0},(55,397):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(55,396):{'3_1':0.0},(55,395):{'3_1':0.03,'5_2':0.0},(55,394):{'3_1':0.03,'4_1':0.0},(55,393):{'3_1':0.0},(55,392):{'3_1':0.0},(55,391):{'3_1':0.03,'6_3':0.0},(55,390):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(55,389):{'3_1':0.0,'4_1':0.0},(55,388):{'3_1':0.03,'5_1':0.0},(55,387):{'5_2':0.0},(55,386):{'3_1':0.0},(55,385):{'5_2':0.0},(55,384):{'3_1':0.03},(55,383):{'3_1':0.0,'5_2':0.0},(55,382):{'3_1':0.0,'4_1':0.0},(55,381):{'3_1':0.0,'4_1':0.0},(55,380):{'3_1':0.03,'8_21|3_1#4_1':0.0},(55,379):{'3_1':0.0,'8_20|3_1#3_1':0.0},(55,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(55,376):{'3_1':0.09},(55,375):{'3_1':0.0},(55,374):{'3_1':0.0},(55,373):{'3_1':0.03,'4_1':0.0},(55,372):{'3_1':0.06,'5_1':0.0},(55,371):{'3_1':0.03,'5_1':0.0},(55,370):{'3_1':0.06,'4_1':0.0},(55,369):{'3_1':0.03,'4_1':0.0},(55,368):{'3_1':0.0},(55,367):{'3_1':0.03,'4_1':0.0},(55,366):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(55,365):{'3_1':0.0,'6_1':0.0,'7_2':0.0},(55,364):{'3_1':0.0,'4_1':0.0},(55,363):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(55,362):{'3_1':0.0,'7_1':0.0},(55,361):{'3_1':0.0,'5_1':0.0},(55,360):{'3_1':0.0},(55,359):{'4_1':0.0,'3_1':0.0},(55,358):{'3_1':0.0,'7_2':0.0},(55,356):{'3_1':0.0,'5_1':0.0},(55,355):{'3_1':0.0,'4_1':0.0},(55,354):{'3_1':0.0,'4_1':0.0},(55,353):{'3_1':0.0},(55,351):{'3_1':0.0,'5_1':0.0},(55,350):{'3_1':0.0},(55,349):{'3_1':0.0},(55,347):{'3_1':0.0},(55,346):{'3_1':0.0,'4_1':0.0},(55,345):{'3_1':0.0},(55,344):{'3_1':0.0,'4_1':0.0},(55,343):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(55,342):{'4_1':0.0,'8_4':0.0},(55,341):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(55,340):{'3_1':0.03},(55,339):{'3_1':0.0,'7_1':0.0},(55,338):{'3_1':0.03},(55,337):{'3_1':0.0,'7_1':0.0},(55,336):{'3_1':0.03},(55,335):{'3_1':0.0},(55,334):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(55,333):{'3_1':0.0},(55,332):{'3_1':0.0,'4_1':0.0},(55,331):{'3_1':0.0,'4_1':0.0},(55,330):{'3_1':0.0,'6_1':0.0,'7_2':0.0},(55,329):{'3_1':0.0},(55,328):{'3_1':0.03},(55,327):{'7_1':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0},(55,326):{'3_1':0.03,'4_1':0.0},(55,325):{'3_1':0.03,'4_1':0.0},(55,324):{'3_1':0.03},(55,323):{'4_1':0.0,'3_1':0.0,'7_4':0.0},(55,322):{'3_1':0.03,'4_1':0.0},(55,321):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,320):{'3_1':0.03,'5_1':0.0},(55,319):{'3_1':0.0},(55,317):{'3_1':0.0,'5_2':0.0},(55,316):{'3_1':0.06},(55,315):{'3_1':0.03},(55,314):{'3_1':0.0},(55,313):{'3_1':0.0},(55,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(55,311):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(55,310):{'3_1':0.0,'5_1':0.0},(55,309):{'3_1':0.03,'5_2':0.0},(55,308):{'3_1':0.0},(55,307):{'3_1':0.0,'6_2':0.0},(55,306):{'3_1':0.06,'5_1':0.0},(55,305):{'3_1':0.0},(55,304):{'3_1':0.03,'4_1':0.0},(55,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(55,302):{'3_1':0.06,'8_3':0.0},(55,301):{'3_1':0.0,'4_1':0.0},(55,300):{'3_1':0.03},(55,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_1':0.0},(55,298):{'3_1':0.0},(55,297):{'3_1':0.03},(55,296):{'3_1':0.0},(55,295):{'3_1':0.03,'4_1':0.0},(55,293):{'3_1':0.03,'4_1':0.0},(55,292):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(55,291):{'3_1':0.03},(55,290):{'3_1':0.0},(55,289):{'3_1':0.03},(55,288):{'3_1':0.12},(55,287):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(55,286):{'3_1':0.03},(55,285):{'3_1':0.03},(55,284):{'3_1':0.0,'5_2':0.0},(55,283):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(55,282):{'3_1':0.0,'5_1':0.0},(55,281):{'3_1':0.03},(55,280):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(55,279):{'3_1':0.0,'5_2':0.0},(55,278):{'3_1':0.03},(55,277):{'3_1':0.03},(55,276):{'3_1':0.06},(55,275):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(55,274):{'3_1':0.06,'5_1':0.0},(55,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(55,272):{'3_1':0.03},(55,271):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(55,270):{'3_1':0.06},(55,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(55,268):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(55,267):{'3_1':0.0,'5_2':0.0},(55,266):{'3_1':0.0},(55,265):{'3_1':0.03},(55,264):{'3_1':0.0},(55,263):{'3_1':0.0},(55,262):{'3_1':0.0},(55,261):{'3_1':0.0},(55,260):{'3_1':0.03},(55,259):{'3_1':0.0},(55,258):{'3_1':0.03,'4_1':0.0},(55,257):{'3_1':0.0},(55,256):{'3_1':0.03,'4_1':0.0},(55,255):{'3_1':0.03},(55,254):{'3_1':0.0},(55,253):{'3_1':0.0,'6_2':0.0},(55,252):{'3_1':0.0,'5_2':0.0},(55,251):{'3_1':0.03,'4_1':0.0},(55,248):{'3_1':0.0},(55,247):{'3_1':0.0},(55,246):{'3_1':0.03},(55,245):{'3_1':0.0},(55,244):{'3_1':0.03},(55,243):{'3_1':0.0,'4_1':0.0},(55,242):{'3_1':0.0},(55,241):{'3_1':0.0,'4_1':0.0},(55,240):{'3_1':0.0},(55,239):{'3_1':0.0},(55,238):{'3_1':0.03},(55,237):{'3_1':0.03,'7_1':0.0},(55,236):{'3_1':0.0},(55,235):{'3_1':0.0},(55,234):{'3_1':0.03},(55,233):{'3_1':0.0},(55,232):{'3_1':0.03},(55,231):{'3_1':0.0},(55,230):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(55,229):{'3_1':0.0,'5_1':0.0},(55,228):{'3_1':0.0},(55,227):{'3_1':0.0},(55,226):{'3_1':0.03},(55,225):{'3_1':0.03},(55,224):{'3_1':0.0},(55,223):{'3_1':0.0},(55,222):{'3_1':0.0},(55,221):{'3_1':0.03},(55,220):{'3_1':0.0},(55,219):{'3_1':0.0},(55,218):{'3_1':0.0},(55,217):{'3_1':0.03},(55,215):{'3_1':0.03},(55,214):{'3_1':0.03},(55,213):{'3_1':0.03,'4_1':0.0},(55,212):{'3_1':0.0},(55,211):{'3_1':0.03},(55,210):{'3_1':0.0},(55,208):{'3_1':0.03},(55,207):{'3_1':0.0,'5_2':0.0},(55,206):{'3_1':0.0},(55,205):{'3_1':0.03},(55,204):{'3_1':0.0},(55,203):{'3_1':0.03},(55,202):{'3_1':0.0},(55,200):{'3_1':0.03},(55,199):{'3_1':0.0},(55,198):{'3_1':0.03,'4_1':0.0},(55,197):{'3_1':0.03,'5_1':0.0},(55,196):{'3_1':0.0},(55,195):{'3_1':0.03},(55,194):{'3_1':0.03},(55,192):{'3_1':0.0},(55,191):{'3_1':0.03},(55,190):{'3_1':0.0},(55,189):{'3_1':0.0},(55,188):{'3_1':0.0},(55,187):{'3_1':0.0},(55,185):{'4_1':0.0},(55,184):{'3_1':0.0,'5_2':0.0},(55,183):{'3_1':0.0,'4_1':0.0},(55,182):{'3_1':0.0},(55,181):{'3_1':0.0},(55,180):{'3_1':0.0},(55,179):{'4_1':0.0,'3_1':0.0},(55,178):{'3_1':0.03},(55,177):{'3_1':0.0},(55,176):{'3_1':0.0},(55,175):{'3_1':0.0,'4_1':0.0},(55,174):{'3_1':0.0},(55,173):{'3_1':0.0},(55,172):{'3_1':0.03,'4_1':0.0},(55,171):{'3_1':0.0},(55,170):{'3_1':0.0,'4_1':0.0},(55,169):{'3_1':0.03},(55,168):{'3_1':0.0},(55,167):{'3_1':0.0,'4_1':0.0},(55,166):{'4_1':0.0},(55,165):{'3_1':0.03},(55,162):{'3_1':0.0},(55,161):{'3_1':0.0},(55,160):{'3_1':0.0},(55,159):{'3_1':0.03},(55,158):{'3_1':0.0,'4_1':0.0},(55,157):{'3_1':0.0},(55,156):{'3_1':0.0},(55,155):{'3_1':0.0},(55,154):{'3_1':0.06,'4_1':0.0},(55,153):{'3_1':0.0},(55,152):{'3_1':0.0},(55,151):{'3_1':0.03,'4_1':0.0},(55,150):{'3_1':0.0},(55,149):{'3_1':0.03,'5_1':0.0},(55,148):{'3_1':0.0},(55,147):{'3_1':0.03},(55,146):{'3_1':0.0},(55,145):{'3_1':0.0},(55,144):{'3_1':0.03},(55,143):{'3_1':0.0},(55,142):{'3_1':0.03},(55,141):{'3_1':0.0},(55,140):{'3_1':0.0},(55,139):{'3_1':0.0},(55,138):{'5_1':0.0},(55,136):{'3_1':0.0},(55,134):{'7_1':0.0},(55,133):{'3_1':0.0,'5_1':0.0},(55,132):{'3_1':0.0},(55,126):{'3_1':0.0},(55,125):{'3_1':0.0},(55,124):{'3_1':0.0},(55,123):{'3_1':0.0},(55,120):{'3_1':0.0},(55,115):{'3_1':0.0},(55,113):{'3_1':0.0},(56,727):{'5_2':0.72,'-3':0.06,'7_4':0.06,'3_1#5_2':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0},(56,726):{'5_2':0.66,'-3':0.09,'7_4':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(56,725):{'5_2':0.6,'-3':0.09,'7_4':0.06,'3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'8_13':0.0},(56,724):{'5_2':0.72,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(56,723):{'5_2':0.66,'-3':0.09,'3_1':0.06,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(56,722):{'5_2':0.57,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0},(56,721):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(56,720):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(56,719):{'5_2':0.72,'7_4':0.06,'3_1':0.06,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(56,718):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0,'1':-0.03},(56,717):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(56,716):{'5_2':0.6,'7_4':0.03,'-3':0.03,'3_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(56,715):{'5_2':0.57,'7_4':0.09,'3_1':0.06,'-3':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(56,714):{'5_2':0.57,'3_1':0.18,'-3':0.03,'7_4':0.03,'7_2':0.0,'7_7':0.0},(56,713):{'5_2':0.51,'-3':0.12,'7_4':0.06,'3_1':0.06,'7_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0},(56,712):{'5_2':0.57,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(56,711):{'5_2':0.45,'-3':0.12,'7_4':0.06,'3_1':0.06,'5_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_13':0.0},(56,710):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_5':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_3':0.0,'1':-0.03},(56,709):{'5_2':0.57,'-3':0.12,'3_1':0.09,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(56,708):{'5_2':0.48,'3_1':0.15,'-3':0.12,'5_1':0.06,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(56,707):{'5_2':0.51,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(56,706):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(56,705):{'5_2':0.51,'3_1':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(56,704):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(56,703):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(56,702):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0},(56,701):{'5_2':0.42,'3_1':0.12,'7_4':0.12,'-3':0.09,'5_1':0.03,'7_6':0.0,'7_3':0.0,'4_1':0.0,'1':-0.03},(56,700):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.09,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(56,699):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(56,698):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_1':0.0},(56,697):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(56,696):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(56,695):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(56,694):{'5_2':0.45,'3_1':0.18,'-3':0.09,'7_3':0.03,'3_1#5_2':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0},(56,693):{'5_2':0.36,'3_1':0.24,'7_4':0.09,'-3':0.09,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(56,692):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(56,691):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(56,690):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(56,689):{'3_1':0.33,'5_2':0.33,'7_4':0.09,'-3':0.06,'8_14':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(56,688):{'5_2':0.3,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,687):{'3_1':0.33,'5_2':0.33,'7_4':0.06,'-3':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(56,686):{'3_1':0.33,'5_2':0.3,'7_4':0.09,'5_1':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0},(56,685):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(56,684):{'5_2':0.3,'3_1':0.27,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0},(56,683):{'3_1':0.3,'5_2':0.3,'5_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(56,682):{'3_1':0.45,'5_2':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(56,681):{'3_1':0.42,'5_2':0.15,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0},(56,680):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(56,679):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0},(56,678):{'3_1':0.48,'5_2':0.12,'7_4':0.12,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(56,677):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'5_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(56,676):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(56,675):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(56,674):{'3_1':0.6,'7_4':0.09,'5_2':0.09,'7_7':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0},(56,673):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(56,672):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(56,671):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(56,670):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(56,669):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,668):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(56,667):{'3_1':0.48,'7_4':0.12,'5_2':0.12,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(56,666):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_19':0.0},(56,665):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(56,664):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(56,663):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(56,662):{'3_1':0.54,'5_2':0.21,'7_4':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(56,661):{'3_1':0.54,'5_2':0.21,'7_4':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0},(56,660):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(56,659):{'3_1':0.45,'5_2':0.18,'7_7':0.03,'3_1#5_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(56,658):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(56,657):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0},(56,656):{'3_1':0.54,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(56,655):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(56,654):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,653):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(56,652):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(56,651):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_1':0.0},(56,650):{'3_1':0.45,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(56,649):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,648):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(56,647):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(56,646):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(56,645):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_4':0.0},(56,644):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_5':0.0,'8_14':0.0},(56,643):{'3_1':0.33,'5_2':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(56,642):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_3':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0},(56,641):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(56,640):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(56,639):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,638):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_13':0.0,'8_14':0.0},(56,637):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(56,636):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(56,635):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(56,634):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(56,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(56,632):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,631):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0},(56,630):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(56,629):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0},(56,628):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(56,627):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(56,626):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0},(56,625):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(56,624):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(56,623):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(56,622):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(56,621):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0},(56,620):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(56,619):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(56,618):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(56,617):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.06,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,616):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(56,615):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(56,614):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(56,613):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_15':0.0},(56,612):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'9_1':0.0},(56,611):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(56,610):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(56,609):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(56,608):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0},(56,607):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(56,606):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'8_8':0.0},(56,605):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(56,604):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,603):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(56,602):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(56,601):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(56,600):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(56,599):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'6_2':0.0,'8_4':0.0},(56,598):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(56,597):{'4_1':0.24,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(56,596):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(56,595):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_5':0.0},(56,594):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(56,593):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(56,592):{'4_1':0.21,'3_1':0.09,'5_2':0.03},(56,591):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(56,590):{'4_1':0.21,'3_1':0.15,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(56,589):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(56,588):{'4_1':0.27,'3_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(56,587):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'8_1':0.0,'5_2':0.0,'6_2':0.0},(56,586):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(56,585):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(56,584):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(56,583):{'4_1':0.24,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(56,582):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(56,581):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(56,580):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(56,579):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0},(56,578):{'4_1':0.21,'3_1':0.0,'5_2':0.0,'6_2':0.0},(56,577):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(56,576):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0},(56,575):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_7':0.0},(56,574):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(56,573):{'4_1':0.15,'6_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(56,572):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(56,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_6':0.0},(56,570):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(56,569):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(56,568):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(56,567):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0},(56,566):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_11':0.0},(56,565):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'-3':0.0},(56,564):{'4_1':0.12,'3_1':0.0,'5_1':0.0,'5_2':0.0},(56,563):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(56,562):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'9_1':0.0},(56,561):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0},(56,560):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_14':0.0},(56,559):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(56,558):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(56,557):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(56,556):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(56,555):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(56,554):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(56,553):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(56,552):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(56,551):{'3_1':0.12,'4_1':0.0},(56,550):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(56,549):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(56,548):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'9_1':0.0},(56,547):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(56,546):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(56,545):{'3_1':0.12,'4_1':0.03,'9_1':0.0},(56,544):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0,'9_1':0.0},(56,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(56,542):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(56,541):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(56,540):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(56,539):{'3_1':0.06,'4_1':0.0,'7_5':0.0,'8_2':0.0},(56,538):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(56,537):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(56,536):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(56,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,534):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(56,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,532):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(56,531):{'3_1':0.09,'5_2':0.0},(56,530):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(56,529):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(56,528):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(56,527):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(56,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(56,525):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(56,524):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(56,523):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(56,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(56,521):{'3_1':0.0,'4_1':0.0},(56,520):{'3_1':0.12,'4_1':0.0},(56,519):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(56,518):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(56,517):{'3_1':0.03,'4_1':0.0},(56,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_4':0.0},(56,515):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(56,514):{'3_1':0.03,'4_1':0.03},(56,513):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,511):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(56,510):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(56,509):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(56,508):{'3_1':0.0,'4_1':0.0},(56,507):{'3_1':0.0,'4_1':0.0},(56,506):{'3_1':0.0,'4_1':0.0},(56,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,504):{'3_1':0.0},(56,503):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(56,502):{'3_1':0.03},(56,501):{'3_1':0.03},(56,500):{'3_1':0.03},(56,499):{'3_1':0.06,'4_1':0.0},(56,498):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(56,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,496):{'3_1':0.06},(56,495):{'3_1':0.06,'4_1':0.03},(56,494):{'3_1':0.0,'4_1':0.0},(56,493):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(56,492):{'3_1':0.03,'4_1':0.0},(56,491):{'3_1':0.06,'4_1':0.03},(56,490):{'3_1':0.03,'4_1':0.0},(56,489):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(56,488):{'3_1':0.03,'4_1':0.0},(56,487):{'3_1':0.03,'4_1':0.0},(56,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,485):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,484):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(56,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(56,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(56,481):{'3_1':0.03},(56,480):{'3_1':0.06},(56,479):{'3_1':0.03,'4_1':0.03},(56,478):{'3_1':0.09,'4_1':0.03},(56,477):{'3_1':0.06,'4_1':0.0},(56,476):{'3_1':0.03,'6_2':0.0},(56,475):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(56,474):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0},(56,473):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(56,472):{'3_1':0.09,'4_1':0.0},(56,471):{'3_1':0.06,'4_1':0.0},(56,470):{'3_1':0.03,'4_1':0.0},(56,469):{'3_1':0.0},(56,468):{'3_1':0.03,'4_1':0.03,'7_1':0.0},(56,467):{'3_1':0.03,'4_1':0.0},(56,466):{'3_1':0.03,'6_1':0.0,'5_2':0.0},(56,465):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(56,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,463):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,462):{'3_1':0.03,'4_1':0.0},(56,461):{'3_1':0.03,'4_1':0.0},(56,460):{'3_1':0.03,'4_1':0.0},(56,459):{'3_1':0.0,'5_2':0.0},(56,458):{'3_1':0.03,'4_1':0.0},(56,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,456):{'3_1':0.03},(56,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,453):{'3_1':0.03,'4_1':0.0},(56,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,451):{'3_1':0.03,'4_1':0.0},(56,450):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(56,449):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(56,448):{'3_1':0.0,'5_2':0.0},(56,447):{'3_1':0.06,'5_2':0.0},(56,446):{'3_1':0.03,'4_1':0.0},(56,445):{'3_1':0.0,'8_1':0.0},(56,444):{'3_1':0.06},(56,443):{'3_1':0.03},(56,442):{'3_1':0.0,'6_2':0.0},(56,441):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(56,440):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(56,439):{'3_1':0.03,'5_2':0.0},(56,438):{'3_1':0.03},(56,437):{'3_1':0.0},(56,436):{'3_1':0.0,'5_2':0.0},(56,435):{'3_1':0.03,'5_1':0.0},(56,434):{'5_1':0.0},(56,433):{'3_1':0.03,'4_1':0.0},(56,432):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,431):{'3_1':0.03,'4_1':0.0},(56,430):{'3_1':0.03,'5_1':0.0},(56,429):{'3_1':0.03,'5_2':0.0},(56,428):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,427):{'3_1':0.09},(56,426):{'3_1':0.06,'5_2':0.0},(56,425):{'3_1':0.03,'5_1':0.0},(56,424):{'3_1':0.06,'5_2':0.0},(56,423):{'3_1':0.09},(56,422):{'3_1':0.06},(56,421):{'3_1':0.0},(56,420):{'3_1':0.06,'4_1':0.0},(56,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,418):{'3_1':0.06,'5_2':0.0},(56,417):{'3_1':0.03},(56,416):{'3_1':0.0,'5_2':0.0},(56,415):{'3_1':0.09,'5_2':0.0},(56,414):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(56,413):{'3_1':0.03,'9_1':0.0},(56,412):{'3_1':0.03},(56,411):{'3_1':0.0,'4_1':0.0},(56,410):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(56,409):{'3_1':0.03,'5_2':0.0},(56,408):{'3_1':0.03},(56,407):{'3_1':0.03},(56,406):{'3_1':0.0},(56,405):{'3_1':0.0},(56,404):{'3_1':0.06},(56,403):{'3_1':0.0},(56,402):{'3_1':0.06,'5_2':0.0},(56,401):{'3_1':0.0},(56,400):{'3_1':0.03},(56,399):{'3_1':0.06},(56,398):{'3_1':0.0,'5_2':0.0},(56,397):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(56,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,395):{'3_1':0.06},(56,394):{'3_1':0.0},(56,393):{'3_1':0.06,'5_2':0.0},(56,392):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,390):{'3_1':0.03,'4_1':0.0},(56,389):{'3_1':0.03,'4_1':0.0},(56,388):{'3_1':0.03},(56,387):{'3_1':0.03},(56,386):{'3_1':0.06},(56,385):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(56,384):{'3_1':0.0,'4_1':0.0},(56,383):{'3_1':0.03},(56,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(56,381):{'3_1':0.03},(56,380):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(56,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(56,378):{'3_1':0.03,'5_2':0.0},(56,377):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(56,376):{'3_1':0.0,'4_1':0.0},(56,375):{'3_1':0.06,'4_1':0.0},(56,374):{'3_1':0.0,'8_20|3_1#3_1':0.0},(56,373):{'3_1':0.0,'4_1':0.0},(56,372):{'3_1':0.0,'5_2':0.0},(56,371):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,370):{'3_1':0.03,'4_1':0.0},(56,369):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(56,368):{'3_1':0.0,'4_1':0.0},(56,367):{'3_1':0.06,'4_1':0.0},(56,366):{'3_1':0.0},(56,365):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,364):{'3_1':0.0},(56,363):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,362):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,360):{'3_1':0.03,'5_2':0.0},(56,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,357):{'3_1':0.0,'4_1':0.0},(56,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,355):{'3_1':0.03,'4_1':0.0},(56,354):{'3_1':0.0,'4_1':0.0},(56,353):{'3_1':0.03},(56,352):{'3_1':0.0,'4_1':0.0},(56,351):{'3_1':0.0,'7_2':0.0},(56,350):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(56,349):{'3_1':0.03},(56,348):{'3_1':0.0},(56,347):{'3_1':0.0},(56,346):{'3_1':0.0},(56,345):{'3_1':0.03,'5_1':0.0},(56,344):{'3_1':0.0},(56,343):{'3_1':0.0,'5_2':0.0},(56,342):{'3_1':0.06},(56,341):{'3_1':0.0,'4_1':0.0},(56,340):{'3_1':0.0,'4_1':0.0},(56,339):{'3_1':0.03,'4_1':0.0},(56,338):{'3_1':0.03,'4_1':0.0},(56,337):{'3_1':0.0},(56,336):{'3_1':0.0,'4_1':0.0},(56,335):{'3_1':0.03,'6_1':0.0},(56,334):{'3_1':0.03,'4_1':0.0},(56,333):{'3_1':0.0},(56,332):{'3_1':0.06},(56,331):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,330):{'3_1':0.0,'6_1':0.0},(56,329):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(56,328):{'3_1':0.0,'4_1':0.0},(56,327):{'3_1':0.0},(56,326):{'3_1':0.03,'6_1':0.0},(56,325):{'3_1':0.03,'4_1':0.0},(56,324):{'3_1':0.0},(56,323):{'3_1':0.03},(56,322):{'3_1':0.0},(56,321):{'3_1':0.0,'4_1':0.0},(56,320):{'3_1':0.03,'4_1':0.0},(56,319):{'3_1':0.03,'4_1':0.0},(56,318):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(56,317):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(56,316):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(56,315):{'3_1':0.03},(56,314):{'4_1':0.0,'6_2':0.0},(56,313):{'4_1':0.0},(56,312):{'3_1':0.03},(56,311):{'3_1':0.0},(56,310):{'4_1':0.0,'5_2':0.0},(56,309):{'3_1':0.0},(56,308):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(56,307):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(56,306):{'3_1':0.0,'4_1':0.0},(56,305):{'3_1':0.03},(56,304):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(56,303):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(56,302):{'3_1':0.0,'8_20|3_1#3_1':0.0},(56,301):{'3_1':0.0,'4_1':0.0},(56,300):{'3_1':0.0,'4_1':0.0},(56,299):{'3_1':0.0},(56,298):{'3_1':0.03},(56,297):{'3_1':0.03},(56,296):{'4_1':0.0,'3_1':0.0},(56,295):{'3_1':0.0},(56,294):{'3_1':0.0},(56,293):{'3_1':0.03,'5_1':0.0},(56,292):{'3_1':0.03,'4_1':0.0},(56,291):{'4_1':0.0},(56,290):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,289):{'3_1':0.0},(56,288):{'3_1':0.0},(56,287):{'3_1':0.03},(56,286):{'3_1':0.03,'8_20|3_1#3_1':0.0},(56,285):{'3_1':0.0,'4_1':0.0},(56,284):{'3_1':0.0},(56,283):{'3_1':0.03},(56,282):{'3_1':0.03,'4_1':0.0},(56,281):{'3_1':0.03,'5_2':0.0},(56,280):{'3_1':0.03},(56,279):{'3_1':0.03},(56,278):{'3_1':0.0},(56,277):{'3_1':0.06},(56,276):{'3_1':0.06,'5_1':0.0},(56,275):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(56,274):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,273):{'3_1':0.03},(56,272):{'3_1':0.03,'4_1':0.0},(56,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,270):{'3_1':0.0,'6_1':0.0},(56,269):{'3_1':0.03},(56,268):{'3_1':0.03,'4_1':0.0},(56,267):{'3_1':0.03},(56,266):{'3_1':0.0,'4_1':0.0},(56,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(56,264):{'3_1':0.03},(56,263):{'3_1':0.03},(56,262):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(56,261):{'3_1':0.03,'6_2':0.0},(56,260):{'3_1':0.0,'4_1':0.0},(56,259):{'3_1':0.0},(56,258):{'3_1':0.03},(56,257):{'3_1':0.03,'8_20|3_1#3_1':0.0},(56,256):{'3_1':0.03,'4_1':0.0},(56,255):{'3_1':0.0,'7_1':0.0},(56,254):{'3_1':0.0},(56,253):{'3_1':0.0,'4_1':0.0},(56,252):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(56,251):{'3_1':0.06,'4_1':0.0},(56,250):{'3_1':0.0,'5_1':0.0},(56,249):{'3_1':0.0},(56,248):{'3_1':0.0,'5_2':0.0},(56,247):{'3_1':0.03},(56,246):{'3_1':0.0,'5_1':0.0},(56,245):{'3_1':0.0,'4_1':0.0},(56,244):{'3_1':0.03},(56,243):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(56,242):{'3_1':0.0,'5_1':0.0},(56,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,240):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(56,239):{'3_1':0.0},(56,238):{'3_1':0.03},(56,237):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(56,236):{'3_1':0.0},(56,235):{'3_1':0.0},(56,234):{'3_1':0.06,'5_2':0.0},(56,233):{'3_1':0.03},(56,232):{'3_1':0.0},(56,231):{'3_1':0.0,'5_2':0.0},(56,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(56,229):{'3_1':0.0,'4_1':0.0},(56,228):{'3_1':0.03},(56,227):{'3_1':0.0},(56,226):{'3_1':0.0},(56,225):{'3_1':0.0},(56,224):{'3_1':0.0},(56,223):{'3_1':0.0,'5_2':0.0},(56,222):{'3_1':0.0},(56,221):{'3_1':0.0},(56,220):{'3_1':0.03},(56,219):{'3_1':0.03,'4_1':0.0},(56,218):{'3_1':0.0,'4_1':0.0},(56,217):{'3_1':0.0},(56,216):{'3_1':0.0},(56,215):{'3_1':0.0},(56,214):{'3_1':0.0},(56,213):{'3_1':0.0,'5_2':0.0},(56,212):{'3_1':0.03},(56,211):{'3_1':0.0},(56,210):{'3_1':0.0},(56,209):{'3_1':0.0},(56,208):{'3_1':0.03},(56,207):{'3_1':0.03},(56,206):{'3_1':0.0},(56,205):{'3_1':0.0},(56,204):{'3_1':0.0},(56,203):{'3_1':0.0},(56,202):{'3_1':0.0},(56,201):{'3_1':0.03},(56,200):{'3_1':0.0},(56,199):{'3_1':0.03},(56,198):{'3_1':0.0,'4_1':0.0},(56,197):{'3_1':0.03},(56,196):{'3_1':0.0},(56,195):{'3_1':0.0},(56,194):{'3_1':0.0},(56,193):{'3_1':0.03,'5_1':0.0},(56,192):{'3_1':0.03},(56,191):{'3_1':0.03},(56,190):{'3_1':0.0},(56,188):{'3_1':0.0},(56,187):{'3_1':0.03},(56,186):{'3_1':0.0},(56,185):{'3_1':0.03,'4_1':0.0},(56,183):{'3_1':0.0},(56,182):{'3_1':0.0},(56,181):{'3_1':0.0,'4_1':0.0},(56,180):{'3_1':0.0},(56,179):{'3_1':0.0,'6_1':0.0},(56,178):{'3_1':0.0},(56,177):{'3_1':0.0},(56,176):{'3_1':0.0},(56,175):{'3_1':0.03,'5_2':0.0},(56,174):{'3_1':0.03},(56,173):{'3_1':0.03},(56,172):{'3_1':0.0},(56,171):{'3_1':0.0},(56,169):{'3_1':0.0},(56,168):{'3_1':0.0},(56,167):{'3_1':0.0,'4_1':0.0},(56,166):{'6_1':0.0},(56,165):{'3_1':0.0},(56,164):{'3_1':0.0},(56,163):{'3_1':0.0},(56,162):{'3_1':0.0,'5_1':0.0},(56,161):{'3_1':0.0,'4_1':0.0},(56,160):{'3_1':0.0,'4_1':0.0},(56,159):{'3_1':0.0},(56,158):{'4_1':0.0,'3_1':0.0},(56,157):{'3_1':0.03},(56,156):{'3_1':0.0,'4_1':0.0},(56,155):{'3_1':0.0},(56,154):{'3_1':0.0},(56,153):{'3_1':0.0},(56,152):{'3_1':0.03},(56,151):{'3_1':0.0},(56,150):{'3_1':0.0},(56,149):{'3_1':0.03},(56,148):{'3_1':0.0},(56,147):{'3_1':0.0},(56,146):{'3_1':0.0},(56,145):{'3_1':0.0},(56,144):{'3_1':0.0},(56,143):{'3_1':0.0},(56,142):{'3_1':0.0},(56,141):{'3_1':0.0},(56,140):{'3_1':0.0},(56,139):{'3_1':0.0},(56,138):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(56,137):{'3_1':0.0},(56,136):{'3_1':0.0},(56,134):{'3_1':0.0},(56,133):{'4_1':0.0,'5_1':0.0},(56,132):{'3_1':0.0},(56,131):{'3_1':0.0},(56,130):{'3_1':0.0},(56,128):{'3_1':0.0},(56,127):{'3_1':0.0},(56,125):{'3_1':0.0},(56,117):{'3_1':0.0},(56,116):{'3_1':0.0},(56,115):{'3_1':0.0},(56,113):{'3_1':0.0},(56,102):{'3_1':0.0},(56,68):{'3_1':0.0},(56,67):{'3_1':0.0},(57,727):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(57,726):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0},(57,725):{'5_2':0.63,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,724):{'5_2':0.63,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_6':0.0,'8_19':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(57,723):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_11':0.0},(57,722):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_8':0.0},(57,721):{'5_2':0.57,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(57,720):{'5_2':0.6,'3_1':0.06,'-3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(57,719):{'5_2':0.6,'3_1':0.03,'-3':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(57,718):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(57,717):{'5_2':0.48,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0},(57,716):{'5_2':0.57,'3_1':0.09,'-3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(57,715):{'5_2':0.54,'7_4':0.09,'-3':0.09,'3_1':0.06,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(57,714):{'5_2':0.54,'3_1':0.06,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,713):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,712):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_2':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(57,711):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(57,710):{'5_2':0.39,'-3':0.15,'3_1':0.06,'5_1':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,709):{'5_2':0.45,'-3':0.27,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(57,708):{'5_2':0.42,'-3':0.15,'3_1':0.09,'5_1':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_1':0.0,'1':-0.03},(57,707):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(57,706):{'5_2':0.45,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0},(57,705):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(57,704):{'5_2':0.45,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(57,703):{'5_2':0.48,'7_4':0.09,'-3':0.09,'3_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_5':0.0},(57,702):{'5_2':0.45,'3_1':0.15,'-3':0.12,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(57,701):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0},(57,700):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_3':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(57,699):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_4':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0},(57,698):{'5_2':0.48,'-3':0.15,'3_1':0.15,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(57,697):{'5_2':0.3,'-3':0.18,'3_1':0.09,'7_3':0.09,'7_4':0.06,'7_6':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(57,696):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_9':0.0,'8_19':0.0},(57,695):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(57,694):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0},(57,693):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(57,692):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.03,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,691):{'5_2':0.36,'3_1':0.21,'-3':0.15,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0},(57,690):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(57,689):{'5_2':0.36,'3_1':0.27,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_7':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(57,688):{'5_2':0.39,'3_1':0.21,'-3':0.06,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(57,687):{'5_2':0.36,'3_1':0.3,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(57,686):{'3_1':0.3,'5_2':0.3,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,685):{'5_2':0.3,'3_1':0.3,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(57,684):{'3_1':0.3,'5_2':0.3,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(57,683):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'-3':0.06,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(57,682):{'3_1':0.3,'5_2':0.21,'7_4':0.12,'7_3':0.03,'5_1':0.03,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(57,681):{'3_1':0.54,'5_2':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(57,680):{'3_1':0.3,'5_2':0.24,'7_4':0.09,'7_7':0.03,'-3':0.03,'5_1':0.03,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(57,679):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(57,678):{'3_1':0.42,'5_2':0.12,'7_4':0.12,'-3':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(57,677):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(57,676):{'3_1':0.45,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(57,675):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(57,674):{'3_1':0.54,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(57,673):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(57,672):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,671):{'3_1':0.57,'7_4':0.06,'5_2':0.06,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0},(57,670):{'3_1':0.54,'5_2':0.06,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0},(57,669):{'3_1':0.57,'5_2':0.12,'7_4':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(57,668):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.0,'6_3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,667):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0},(57,666):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(57,665):{'3_1':0.54,'5_2':0.12,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(57,664):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(57,663):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(57,662):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_1':0.0},(57,661):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'-3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(57,660):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(57,659):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(57,658):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,657):{'3_1':0.54,'5_2':0.15,'7_7':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(57,656):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(57,655):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(57,654):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(57,653):{'3_1':0.48,'5_2':0.15,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,652):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(57,651):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(57,650):{'3_1':0.36,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_6':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(57,649):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,648):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,647):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(57,646):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'-3':0.06,'4_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(57,645):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(57,644):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(57,643):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.06,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(57,642):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(57,641):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_16':0.0},(57,640):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_10':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(57,639):{'3_1':0.18,'5_2':0.18,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(57,638):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,637):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(57,636):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0},(57,635):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(57,634):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(57,633):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,632):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0},(57,631):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(57,630):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_16':0.0},(57,629):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(57,628):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(57,627):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(57,626):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,625):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'3_1#5_1':0.0},(57,624):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,623):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(57,622):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_3':0.0,'-3':0.0},(57,621):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(57,620):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0},(57,619):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_16':0.0},(57,618):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(57,617):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.03,'8_10':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(57,616):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_7':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(57,615):{'3_1':0.18,'4_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0},(57,614):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,613):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(57,612):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(57,611):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(57,610):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(57,609):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_7':0.0},(57,608):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(57,607):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(57,606):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(57,605):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(57,604):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'8_19':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(57,603):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(57,602):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0},(57,601):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(57,600):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'9_1':0.0},(57,599):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(57,598):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(57,597):{'4_1':0.27,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(57,596):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(57,595):{'4_1':0.18,'3_1':0.15,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,594):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'6_1':0.0},(57,593):{'4_1':0.18,'3_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(57,592):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,591):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(57,590):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(57,589):{'4_1':0.24,'3_1':0.06,'6_1':0.06,'6_2':0.03,'5_2':0.0},(57,588):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_1':0.0},(57,587):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(57,586):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_11':0.0},(57,585):{'4_1':0.15,'5_2':0.03,'6_1':0.03,'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0},(57,584):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(57,583):{'4_1':0.09,'3_1':0.03,'8_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(57,582):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(57,581):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(57,580):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(57,579):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(57,578):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0},(57,577):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(57,576):{'4_1':0.15,'6_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(57,575):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(57,574):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_1':0.0},(57,573):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(57,572):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(57,571):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0},(57,570):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,569):{'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0},(57,568):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(57,567):{'4_1':0.09,'6_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(57,566):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(57,565):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(57,564):{'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0},(57,563):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0},(57,562):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(57,561):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_7':0.0,'-3':0.0},(57,560):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0},(57,559):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_11':0.0},(57,558):{'4_1':0.06,'3_1':0.06,'5_1':0.03,'7_6':0.0},(57,557):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(57,556):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(57,555):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0},(57,554):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0},(57,553):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'-3':0.0},(57,552):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(57,551):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(57,550):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(57,549):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(57,548):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(57,547):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(57,546):{'3_1':0.15,'4_1':0.03},(57,545):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(57,544):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,543):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0},(57,542):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,541):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(57,540):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(57,539):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(57,538):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(57,537):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,536):{'3_1':0.18,'4_1':0.0},(57,535):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(57,534):{'3_1':0.15,'4_1':0.0},(57,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(57,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(57,531):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(57,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(57,529):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(57,528):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(57,527):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(57,526):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(57,525):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(57,524):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,523):{'3_1':0.06},(57,522):{'3_1':0.06},(57,521):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(57,520):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(57,519):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(57,518):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,517):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(57,516):{'3_1':0.12,'6_2':0.0},(57,515):{'3_1':0.06,'4_1':0.0,'-3':0.0},(57,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(57,513):{'3_1':0.03,'4_1':0.03},(57,512):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(57,511):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(57,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,507):{'3_1':0.0},(57,506):{'3_1':0.03,'4_1':0.0},(57,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,504):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,503):{'3_1':0.0,'6_2':0.0},(57,502):{'3_1':0.03,'4_1':0.0},(57,501):{'3_1':0.06,'4_1':0.0},(57,500):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(57,499):{'3_1':0.03,'4_1':0.0},(57,498):{'3_1':0.03,'4_1':0.0},(57,497):{'4_1':0.03,'3_1':0.0},(57,496):{'3_1':0.03,'4_1':0.0},(57,495):{'3_1':0.06,'4_1':0.0},(57,494):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(57,493):{'3_1':0.0,'4_1':0.0},(57,492):{'3_1':0.09,'4_1':0.0},(57,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(57,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,488):{'3_1':0.06,'4_1':0.0},(57,487):{'3_1':0.09,'5_2':0.0},(57,486):{'3_1':0.03,'4_1':0.03},(57,485):{'3_1':0.06,'4_1':0.0},(57,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(57,483):{'3_1':0.09,'4_1':0.0},(57,482):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(57,481):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(57,480):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(57,479):{'3_1':0.09,'4_1':0.0},(57,478):{'3_1':0.09},(57,477):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(57,476):{'3_1':0.03,'4_1':0.0},(57,475):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(57,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,473):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(57,472):{'3_1':0.06,'6_1':0.0},(57,471):{'4_1':0.03,'3_1':0.03},(57,470):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(57,469):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(57,468):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(57,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,466):{'3_1':0.0},(57,465):{'3_1':0.0,'4_1':0.0},(57,464):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(57,463):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,462):{'3_1':0.03,'4_1':0.0},(57,461):{'3_1':0.03,'5_2':0.0},(57,460):{'3_1':0.06},(57,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,458):{'3_1':0.03,'4_1':0.0},(57,457):{'3_1':0.03,'4_1':0.0},(57,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,453):{'3_1':0.0},(57,452):{'3_1':0.03},(57,451):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(57,450):{'3_1':0.03},(57,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,448):{'3_1':0.0,'4_1':0.0},(57,447):{'3_1':0.03,'4_1':0.0},(57,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,445):{'3_1':0.0,'6_1':0.0},(57,444):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(57,443):{'3_1':0.03,'4_1':0.0},(57,442):{'3_1':0.06},(57,441):{'3_1':0.03},(57,440):{'3_1':0.06,'4_1':0.0},(57,439):{'3_1':0.03,'6_1':0.0},(57,438):{'3_1':0.06,'5_1':0.0},(57,437):{'3_1':0.03},(57,436):{'3_1':0.03,'5_2':0.0},(57,435):{'3_1':0.06},(57,434):{'3_1':0.06,'5_1':0.0},(57,433):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(57,432):{'3_1':0.03,'5_2':0.0},(57,431):{'3_1':0.06,'5_2':0.0},(57,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,429):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(57,428):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(57,427):{'3_1':0.06,'5_1':0.0},(57,426):{'3_1':0.06,'5_2':0.0},(57,425):{'3_1':0.06,'5_2':0.0},(57,424):{'3_1':0.09},(57,423):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(57,422):{'3_1':0.06,'5_2':0.0},(57,421):{'3_1':0.06},(57,420):{'3_1':0.03,'5_2':0.0},(57,419):{'3_1':0.03,'5_2':0.0},(57,418):{'3_1':0.06,'5_2':0.0},(57,417):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(57,416):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(57,415):{'3_1':0.06},(57,414):{'3_1':0.09,'5_2':0.0},(57,413):{'3_1':0.03},(57,412):{'3_1':0.06,'5_2':0.0},(57,411):{'4_1':0.0,'9_1':0.0},(57,410):{'3_1':0.0},(57,409):{'3_1':0.0},(57,408):{'3_1':0.03},(57,407):{'3_1':0.03},(57,406):{'3_1':0.0,'4_1':0.0},(57,405):{'3_1':0.0,'4_1':0.0},(57,404):{'3_1':0.03},(57,403):{'3_1':0.0},(57,402):{'3_1':0.06},(57,401):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,400):{'3_1':0.0,'4_1':0.0},(57,399):{'3_1':0.03},(57,398):{'3_1':0.06},(57,397):{'3_1':0.06},(57,396):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(57,395):{'3_1':0.03},(57,394):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(57,393):{'3_1':0.0,'4_1':0.0},(57,392):{'3_1':0.0},(57,391):{'3_1':0.03},(57,390):{'3_1':0.06},(57,389):{'3_1':0.0},(57,388):{'3_1':0.06},(57,387):{'3_1':0.0,'8_20|3_1#3_1':0.0},(57,386):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(57,385):{'3_1':0.03},(57,384):{'3_1':0.03,'4_1':0.0},(57,383):{'3_1':0.06},(57,382):{'3_1':0.03},(57,381):{'3_1':0.03,'4_1':0.0},(57,380):{'3_1':0.03},(57,379):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,378):{'3_1':0.09,'4_1':0.0},(57,377):{'3_1':0.0,'4_1':0.0},(57,376):{'3_1':0.03},(57,375):{'3_1':0.0,'5_2':0.0},(57,374):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(57,373):{'3_1':0.0},(57,372):{'3_1':0.0},(57,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,370):{'3_1':0.0,'4_1':0.0},(57,369):{'3_1':0.0,'4_1':0.0},(57,368):{'3_1':0.0,'5_2':0.0},(57,367):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(57,366):{'3_1':0.03},(57,365):{'3_1':0.06,'7_1':0.0},(57,364):{'3_1':0.03},(57,363):{'3_1':0.0,'6_1':0.0},(57,362):{'3_1':0.0,'4_1':0.0},(57,361):{'3_1':0.0,'7_1':0.0},(57,360):{'3_1':0.03,'4_1':0.0},(57,359):{'3_1':0.03},(57,358):{'3_1':0.03,'4_1':0.0},(57,357):{'3_1':0.0},(57,356):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,354):{'3_1':0.0,'4_1':0.0},(57,353):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(57,352):{'3_1':0.03,'4_1':0.0},(57,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(57,350):{'3_1':0.03},(57,349):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(57,348):{'3_1':0.0},(57,347):{'3_1':0.0,'6_1':0.0},(57,346):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(57,345):{'3_1':0.03},(57,344):{'3_1':0.0},(57,343):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(57,342):{'3_1':0.06,'4_1':0.0},(57,341):{'3_1':0.03,'7_3':0.0},(57,340):{'3_1':0.03},(57,339):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,338):{'3_1':0.03},(57,337):{'4_1':0.0,'3_1':0.0},(57,336):{'3_1':0.06},(57,335):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,334):{'3_1':0.03},(57,333):{'3_1':0.0,'4_1':0.0},(57,332):{'3_1':0.06,'4_1':0.0},(57,331):{'3_1':0.0,'7_2':0.0},(57,330):{'3_1':0.03},(57,329):{'3_1':0.0,'4_1':0.0},(57,328):{'3_1':0.0},(57,327):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,326):{'3_1':0.0,'4_1':0.0},(57,325):{'3_1':0.0},(57,324):{'3_1':0.03,'5_2':0.0},(57,323):{'3_1':0.03},(57,322):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,321):{'3_1':0.06},(57,320):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,319):{'3_1':0.03,'5_1':0.0},(57,318):{'3_1':0.03,'4_1':0.0},(57,317):{'3_1':0.0,'8_1':0.0},(57,316):{'3_1':0.03,'4_1':0.0},(57,315):{'3_1':0.0,'4_1':0.0},(57,314):{'3_1':0.0,'4_1':0.0},(57,313):{'3_1':0.03},(57,312):{'3_1':0.03},(57,311):{'3_1':0.03},(57,310):{'3_1':0.0},(57,309):{'3_1':0.0},(57,308):{'3_1':0.03,'4_1':0.0},(57,307):{'3_1':0.06},(57,306):{'3_1':0.03,'5_2':0.0},(57,305):{'3_1':0.03,'6_1':0.0},(57,304):{'3_1':0.0},(57,303):{'3_1':0.03},(57,302):{'3_1':0.0,'4_1':0.0},(57,301):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(57,300):{'3_1':0.0},(57,299):{'3_1':0.0},(57,298):{'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(57,297):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(57,296):{'3_1':0.06,'6_1':0.0},(57,295):{'4_1':0.0},(57,294):{'3_1':0.09,'4_1':0.0},(57,293):{'3_1':0.06,'4_1':0.0},(57,291):{'3_1':0.03},(57,290):{'3_1':0.03,'6_1':0.0},(57,289):{'3_1':0.03},(57,288):{'3_1':0.03,'4_1':0.0},(57,287):{'3_1':0.0},(57,286):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,285):{'3_1':0.03,'4_1':0.0},(57,284):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(57,283):{'3_1':0.0},(57,282):{'3_1':0.06,'5_1':0.0},(57,281):{'3_1':0.0,'5_2':0.0},(57,280):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,279):{'3_1':0.03},(57,278):{'3_1':0.03},(57,277):{'3_1':0.0,'4_1':0.0},(57,276):{'3_1':0.06},(57,275):{'3_1':0.03},(57,274):{'3_1':0.0},(57,273):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(57,272):{'3_1':0.06},(57,271):{'3_1':0.03},(57,270):{'3_1':0.0,'8_4':0.0},(57,269):{'3_1':0.06,'6_2':0.0},(57,268):{'3_1':0.06,'5_1':0.0,'8_4':0.0},(57,267):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(57,266):{'3_1':0.0,'6_1':0.0},(57,265):{'3_1':0.0,'4_1':0.0},(57,264):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(57,263):{'3_1':0.03},(57,262):{'3_1':0.0},(57,261):{'3_1':0.03,'4_1':0.0},(57,260):{'3_1':0.09},(57,259):{'3_1':0.03,'4_1':0.0},(57,258):{'3_1':0.06},(57,257):{'3_1':0.03},(57,256):{'3_1':0.06},(57,255):{'3_1':0.06,'5_1':0.0},(57,254):{'3_1':0.0},(57,253):{'3_1':0.03},(57,252):{'3_1':0.03,'6_2':0.0},(57,251):{'3_1':0.0},(57,250):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,249):{'3_1':0.06},(57,248):{'3_1':0.06},(57,247):{'3_1':0.03},(57,246):{'3_1':0.03,'5_1':0.0},(57,245):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(57,244):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,243):{'3_1':0.03,'4_1':0.0},(57,242):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(57,241):{'3_1':0.03},(57,240):{'3_1':0.03},(57,239):{'3_1':0.06},(57,238):{'3_1':0.06,'5_2':0.0},(57,237):{'3_1':0.06},(57,236):{'3_1':0.0},(57,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,233):{'3_1':0.03},(57,232):{'3_1':0.03},(57,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(57,230):{'3_1':0.06,'5_1':0.0},(57,229):{'3_1':0.03,'4_1':0.0},(57,228):{'3_1':0.03},(57,227):{'3_1':0.03},(57,226):{'3_1':0.0},(57,225):{'3_1':0.03},(57,224):{'3_1':0.0},(57,223):{'3_1':0.0,'5_2':0.0},(57,222):{'3_1':0.03,'5_1':0.0},(57,221):{'3_1':0.03},(57,220):{'3_1':0.0},(57,219):{'3_1':0.0},(57,218):{'3_1':0.0},(57,217):{'3_1':0.06},(57,216):{'3_1':0.0},(57,215):{'3_1':0.0},(57,214):{'3_1':0.03},(57,213):{'3_1':0.03,'4_1':0.0},(57,212):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(57,211):{'3_1':0.0},(57,210):{'3_1':0.03},(57,209):{'3_1':0.03},(57,208):{'3_1':0.03},(57,207):{'3_1':0.0},(57,206):{'3_1':0.0},(57,205):{'3_1':0.0},(57,204):{'3_1':0.03},(57,203):{'3_1':0.03},(57,202):{'3_1':0.0},(57,201):{'3_1':0.0},(57,200):{'3_1':0.0},(57,199):{'3_1':0.03},(57,197):{'3_1':0.03},(57,196):{'3_1':0.0,'4_1':0.0},(57,195):{'3_1':0.03},(57,194):{'3_1':0.0},(57,193):{'3_1':0.03},(57,192):{'3_1':0.03},(57,191):{'3_1':0.03,'4_1':0.0},(57,190):{'3_1':0.0},(57,189):{'3_1':0.0},(57,188):{'3_1':0.0,'5_2':0.0},(57,187):{'3_1':0.0},(57,185):{'4_1':0.0},(57,184):{'3_1':0.0},(57,183):{'3_1':0.0},(57,182):{'3_1':0.0},(57,181):{'3_1':0.0},(57,180):{'4_1':0.0},(57,179):{'4_1':0.0,'3_1':0.0},(57,178):{'3_1':0.0},(57,177):{'3_1':0.03},(57,176):{'3_1':0.03},(57,175):{'3_1':0.0,'4_1':0.0},(57,174):{'3_1':0.0},(57,173):{'3_1':0.03},(57,172):{'3_1':0.03},(57,171):{'3_1':0.0,'4_1':0.0},(57,170):{'3_1':0.0},(57,168):{'3_1':0.0},(57,166):{'3_1':0.03,'4_1':0.0},(57,165):{'3_1':0.0},(57,164):{'3_1':0.03},(57,163):{'3_1':0.0},(57,162):{'3_1':0.03},(57,161):{'3_1':0.0},(57,160):{'3_1':0.03},(57,159):{'3_1':0.0},(57,158):{'3_1':0.0},(57,157):{'3_1':0.0},(57,156):{'3_1':0.0},(57,155):{'3_1':0.0},(57,154):{'3_1':0.0},(57,153):{'3_1':0.0},(57,152):{'3_1':0.0},(57,151):{'3_1':0.0},(57,150):{'3_1':0.0},(57,149):{'3_1':0.0},(57,148):{'3_1':0.0,'4_1':0.0},(57,147):{'3_1':0.03},(57,146):{'3_1':0.0},(57,145):{'3_1':0.0},(57,144):{'3_1':0.03},(57,143):{'3_1':0.0},(57,142):{'3_1':0.0},(57,141):{'3_1':0.03},(57,140):{'3_1':0.0},(57,139):{'3_1':0.0},(57,138):{'3_1':0.0},(57,137):{'3_1':0.0},(57,136):{'3_1':0.0},(57,133):{'3_1':0.0},(57,131):{'3_1':0.0},(57,130):{'3_1':0.0,'5_1':0.0},(57,128):{'3_1':0.0},(57,127):{'3_1':0.0},(57,124):{'3_1':0.0},(57,123):{'3_1':0.0},(57,122):{'3_1':0.0},(57,113):{'3_1':0.0},(57,100):{'3_1':0.0},(58,727):{'5_2':0.63,'3_1':0.12,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(58,726):{'5_2':0.57,'3_1':0.12,'-3':0.09,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(58,725):{'5_2':0.57,'-3':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_8':0.0},(58,724):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(58,723):{'5_2':0.6,'3_1':0.06,'7_4':0.06,'-3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(58,722):{'5_2':0.51,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0},(58,721):{'5_2':0.45,'3_1':0.09,'5_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_16':0.0,'1':-0.03},(58,720):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_5':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(58,719):{'5_2':0.54,'3_1':0.09,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(58,718):{'5_2':0.48,'-3':0.15,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(58,717):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0,'1':-0.03},(58,716):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_2':0.03,'8_8':0.0,'5_1':0.0,'8_1':0.0,'7_3':0.0},(58,715):{'5_2':0.57,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(58,714):{'5_2':0.54,'3_1':0.09,'7_3':0.06,'7_4':0.03,'-3':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(58,713):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(58,712):{'5_2':0.45,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0},(58,711):{'5_2':0.45,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_5':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0,'1':-0.03},(58,710):{'5_2':0.33,'-3':0.21,'3_1':0.06,'5_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'3_1#5_2':0.03,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(58,709):{'5_2':0.48,'-3':0.18,'3_1':0.09,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'8_16':0.0,'8_19':0.0},(58,708):{'5_2':0.45,'-3':0.12,'3_1':0.06,'5_1':0.06,'7_4':0.06,'7_3':0.03,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0},(58,707):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,706):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,705):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(58,704):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.09,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(58,703):{'5_2':0.42,'3_1':0.18,'-3':0.12,'7_4':0.03,'5_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(58,702):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(58,701):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_4':0.09,'7_6':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(58,700):{'5_2':0.33,'-3':0.15,'3_1':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(58,699):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(58,698):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_6':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(58,697):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_3':0.06,'7_4':0.06,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(58,696):{'5_2':0.33,'-3':0.21,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_1':0.0,'8_15':0.0},(58,695):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,694):{'5_2':0.39,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(58,693):{'5_2':0.3,'3_1':0.18,'-3':0.15,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(58,692):{'5_2':0.48,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'4_1':0.0,'8_15':0.0,'1':-0.03},(58,691):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_6':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,690):{'5_2':0.3,'3_1':0.24,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0},(58,689):{'5_2':0.3,'3_1':0.24,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0},(58,688):{'3_1':0.21,'5_2':0.18,'-3':0.18,'7_4':0.09,'7_3':0.06,'5_1':0.0,'6_1':0.0,'4_1':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(58,687):{'3_1':0.27,'5_2':0.27,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(58,686):{'3_1':0.33,'5_2':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(58,685):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(58,684):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.03,'5_1':0.03,'6_3':0.0,'8_14':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(58,683):{'3_1':0.39,'5_2':0.3,'-3':0.03,'7_4':0.03,'5_1':0.0,'8_8':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(58,682):{'3_1':0.39,'7_4':0.12,'5_2':0.09,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(58,681):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(58,680):{'3_1':0.33,'5_2':0.21,'7_4':0.12,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(58,679):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(58,678):{'3_1':0.45,'7_4':0.09,'5_2':0.09,'-3':0.06,'7_7':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(58,677):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(58,676):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(58,675):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'-3':0.06,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(58,674):{'3_1':0.51,'7_4':0.06,'5_2':0.06,'7_3':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(58,673):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_7':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(58,672):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(58,671):{'3_1':0.54,'7_4':0.06,'5_2':0.03,'4_1':0.03,'7_5':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(58,670):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(58,669):{'3_1':0.57,'5_2':0.09,'7_7':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'8_13':0.0},(58,668):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'6_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(58,667):{'3_1':0.45,'5_2':0.12,'7_4':0.12,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,666):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(58,665):{'3_1':0.45,'7_4':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,664):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'7_3':0.0},(58,663):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,662):{'3_1':0.54,'5_2':0.12,'-3':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(58,661):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,660):{'3_1':0.57,'5_2':0.09,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(58,659):{'3_1':0.42,'5_2':0.12,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(58,658):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(58,657):{'3_1':0.42,'5_2':0.09,'-3':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(58,656):{'3_1':0.39,'5_2':0.15,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_1':0.0},(58,655):{'3_1':0.42,'5_2':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,654):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(58,653):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_14':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(58,652):{'3_1':0.42,'5_2':0.06,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0},(58,651):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(58,650):{'3_1':0.42,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,649):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'6_2':0.0,'8_14':0.0},(58,648):{'3_1':0.33,'5_2':0.12,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(58,647):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(58,646):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(58,645):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(58,644):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(58,643):{'3_1':0.21,'5_2':0.15,'-3':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(58,642):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0,'8_1':0.0,'8_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,641):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(58,640):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_1':0.0},(58,639):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_1':0.0,'-3':0.0},(58,638):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(58,637):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,636):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,635):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(58,634):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(58,633):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_15':0.0},(58,632):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(58,631):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(58,630):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(58,629):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'-3':0.0},(58,628):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'1':-0.03},(58,627):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(58,626):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(58,625):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(58,624):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_1':0.0},(58,623):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.03,'6_3':0.0,'7_6':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(58,622):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_6':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(58,621):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,620):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'5_2':0.0,'7_7':0.0,'8_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(58,619):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(58,618):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(58,617):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(58,616):{'3_1':0.12,'5_1':0.06,'4_1':0.06,'5_2':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(58,615):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(58,614):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_16':0.0,'-3':0.0},(58,613):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'8_1':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(58,612):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(58,611):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(58,610):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0},(58,609):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(58,608):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(58,607):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(58,606):{'3_1':0.18,'4_1':0.15,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(58,605):{'3_1':0.15,'4_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(58,604):{'4_1':0.12,'3_1':0.12,'7_3':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(58,603):{'4_1':0.09,'3_1':0.09,'6_1':0.03,'8_20|3_1#3_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0},(58,602):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(58,601):{'4_1':0.15,'3_1':0.12,'6_2':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0,'8_4':0.0,'5_1':0.0,'7_7':0.0},(58,600):{'3_1':0.15,'4_1':0.15,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'9_1':0.0},(58,599):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(58,598):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(58,597):{'4_1':0.24,'3_1':0.06,'6_1':0.06,'5_2':0.0,'7_7':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(58,596):{'4_1':0.21,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(58,595):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_3':0.0},(58,594):{'4_1':0.12,'3_1':0.06,'6_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(58,593):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,592):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(58,591):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(58,590):{'4_1':0.24,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(58,589):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(58,588):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(58,587):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(58,586):{'4_1':0.15,'6_2':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,585):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0},(58,584):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(58,583):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'9_1':0.0},(58,582):{'4_1':0.24,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(58,581):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(58,580):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_9':0.0,'8_14':0.0},(58,579):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(58,578):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0},(58,577):{'4_1':0.18,'6_2':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(58,576):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(58,575):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0},(58,574):{'4_1':0.18,'6_1':0.06,'3_1':0.0,'5_2':0.0,'6_2':0.0},(58,573):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(58,572):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_4':0.0,'9_1':0.0},(58,571):{'4_1':0.24,'6_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0},(58,570):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_9':0.0},(58,569):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0},(58,568):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(58,567):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(58,566):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(58,565):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,564):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'8_1':0.0},(58,563):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0},(58,562):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_6':0.0,'-3':0.0},(58,561):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,560):{'4_1':0.03,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(58,559):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(58,558):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(58,557):{'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0},(58,556):{'4_1':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0},(58,555):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(58,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(58,553):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(58,552):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(58,551):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,550):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(58,549):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(58,548):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_3':0.0},(58,547):{'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0},(58,546):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(58,545):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(58,544):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(58,543):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(58,542):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(58,541):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(58,540):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(58,539):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'9_1':0.0},(58,538):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(58,537):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(58,536):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(58,535):{'3_1':0.12,'4_1':0.06,'9_1':0.0},(58,534):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(58,533):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(58,532):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(58,531):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0},(58,530):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(58,529):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(58,528):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(58,527):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(58,526):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(58,525):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(58,524):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(58,523):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(58,522):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(58,521):{'3_1':0.09,'4_1':0.03},(58,520):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(58,519):{'3_1':0.06,'4_1':0.03},(58,518):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(58,517):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(58,516):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(58,515):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(58,514):{'3_1':0.06,'4_1':0.0},(58,513):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(58,512):{'4_1':0.0,'3_1':0.0},(58,511):{'3_1':0.03,'4_1':0.0},(58,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(58,509):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(58,508):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'-3':0.0},(58,507):{'3_1':0.03,'4_1':0.0},(58,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,505):{'3_1':0.03,'4_1':0.0},(58,504):{'3_1':0.03,'5_1':0.0},(58,503):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(58,502):{'3_1':0.03,'4_1':0.0},(58,501):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(58,500):{'3_1':0.03,'6_1':0.0},(58,499):{'3_1':0.03,'4_1':0.0},(58,498):{'3_1':0.06,'8_1':0.0},(58,497):{'3_1':0.0},(58,496):{'3_1':0.06},(58,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,494):{'3_1':0.03,'4_1':0.03},(58,493):{'3_1':0.03},(58,492):{'3_1':0.03,'4_1':0.0},(58,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(58,490):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(58,489):{'3_1':0.03,'4_1':0.0},(58,488):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,485):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(58,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,483):{'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(58,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(58,481):{'3_1':0.06,'6_3':0.0},(58,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(58,479):{'3_1':0.09},(58,478):{'3_1':0.06,'4_1':0.0},(58,477):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(58,476):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(58,475):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0},(58,474):{'3_1':0.06,'4_1':0.0},(58,473):{'3_1':0.06,'4_1':0.0},(58,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,471):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(58,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(58,469):{'3_1':0.09,'8_3':0.0},(58,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,467):{'3_1':0.03,'4_1':0.0},(58,466):{'3_1':0.06,'4_1':0.0},(58,465):{'3_1':0.03,'4_1':0.0},(58,464):{'3_1':0.03},(58,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,462):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(58,461):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_1':0.0},(58,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,459):{'3_1':0.0,'4_1':0.0,'8_3':0.0},(58,458):{'3_1':0.03,'4_1':0.0},(58,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(58,456):{'3_1':0.03,'4_1':0.0},(58,455):{'3_1':0.0,'4_1':0.0},(58,454):{'3_1':0.09,'8_1':0.0},(58,453):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(58,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,451):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(58,450):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(58,449):{'3_1':0.03},(58,448):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(58,447):{'3_1':0.0},(58,446):{'3_1':0.06,'4_1':0.0},(58,445):{'3_1':0.0,'4_1':0.0},(58,444):{'3_1':0.06,'5_2':0.0},(58,443):{'3_1':0.03},(58,442):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(58,441):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(58,440):{'3_1':0.03,'5_2':0.0},(58,439):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,438):{'3_1':0.06,'4_1':0.0},(58,437):{'3_1':0.0,'4_1':0.0},(58,436):{'3_1':0.12,'5_2':0.0},(58,435):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(58,434):{'3_1':0.06,'5_2':0.0},(58,433):{'3_1':0.03},(58,432):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,431):{'3_1':0.09},(58,430):{'3_1':0.06,'5_2':0.0},(58,429):{'3_1':0.03},(58,428):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(58,427):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,426):{'3_1':0.06,'5_2':0.0,'7_4':0.0},(58,425):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(58,424):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0},(58,423):{'3_1':0.09,'5_2':0.0},(58,422):{'3_1':0.09,'5_2':0.0},(58,421):{'3_1':0.03,'5_2':0.0},(58,420):{'3_1':0.06,'5_2':0.0},(58,419):{'3_1':0.06},(58,418):{'3_1':0.09},(58,417):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(58,416):{'3_1':0.03,'4_1':0.0},(58,415):{'3_1':0.06},(58,414):{'3_1':0.09,'5_2':0.0},(58,413):{'3_1':0.03,'-3':0.0},(58,412):{'3_1':0.0,'5_2':0.0},(58,411):{'3_1':0.0},(58,410):{'3_1':0.03},(58,409):{'3_1':0.0},(58,408):{'3_1':0.03},(58,407):{'3_1':0.03},(58,406):{'3_1':0.03},(58,405):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,404):{'3_1':0.0},(58,403):{'3_1':0.03,'4_1':0.0},(58,402):{'3_1':0.0},(58,401):{'3_1':0.03},(58,400):{'3_1':0.0,'5_2':0.0},(58,399):{'3_1':0.03},(58,398):{'3_1':0.03},(58,397):{'3_1':0.03,'4_1':0.0,'8_2':0.0},(58,396):{'3_1':0.03},(58,395):{'3_1':0.03},(58,394):{'3_1':0.03},(58,393):{'3_1':0.06},(58,392):{'3_1':0.0},(58,391):{'3_1':0.0},(58,390):{'3_1':0.06},(58,389):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,388):{'3_1':0.03},(58,387):{'3_1':0.03},(58,386):{'3_1':0.0,'3_1#5_1':0.0},(58,385):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,384):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(58,383):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(58,382):{'3_1':0.06,'8_3':0.0},(58,381):{'3_1':0.03,'4_1':0.0},(58,380):{'3_1':0.06,'4_1':0.0},(58,379):{'3_1':0.0,'4_1':0.0},(58,378):{'3_1':0.03,'4_1':0.0},(58,377):{'3_1':0.03},(58,376):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,375):{'3_1':0.09,'4_1':0.0},(58,374):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(58,373):{'3_1':0.03,'4_1':0.0},(58,372):{'3_1':0.0,'4_1':0.0},(58,371):{'4_1':0.03,'3_1':0.03},(58,370):{'3_1':0.03,'5_1':0.0},(58,369):{'3_1':0.03},(58,368):{'3_1':0.0,'4_1':0.0},(58,367):{'3_1':0.03,'4_1':0.0},(58,366):{'3_1':0.0,'4_1':0.0},(58,365):{'3_1':0.03,'7_1':0.0,'5_2':0.0},(58,364):{'3_1':0.03},(58,363):{'3_1':0.0,'4_1':0.0},(58,362):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(58,361):{'3_1':0.0},(58,360):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(58,359):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(58,358):{'3_1':0.03,'4_1':0.0},(58,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,356):{'3_1':0.03,'4_1':0.0},(58,355):{'3_1':0.03,'4_1':0.0},(58,354):{'3_1':0.03,'4_1':0.0},(58,353):{'3_1':0.03,'4_1':0.0},(58,352):{'3_1':0.03},(58,351):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(58,350):{'3_1':0.03,'5_1':0.0},(58,349):{'3_1':0.03},(58,348):{'3_1':0.0,'7_1':0.0},(58,347):{'3_1':0.0},(58,346):{'3_1':0.03},(58,345):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(58,344):{'3_1':0.06,'4_1':0.0},(58,343):{'3_1':0.0},(58,342):{'3_1':0.03,'4_1':0.0},(58,341):{'3_1':0.03,'7_2':0.0},(58,340):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,339):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(58,338):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,337):{'3_1':0.0,'4_1':0.0},(58,336):{'3_1':0.03},(58,335):{'3_1':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0},(58,334):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(58,333):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(58,332):{'3_1':0.03},(58,331):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,330):{'3_1':0.06},(58,329):{'3_1':0.0},(58,328):{'3_1':0.03},(58,327):{'3_1':0.0},(58,326):{'3_1':0.0},(58,325):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(58,324):{'3_1':0.06},(58,323):{'3_1':0.0,'4_1':0.0},(58,322):{'3_1':0.0},(58,321):{'3_1':0.0},(58,320):{'3_1':0.0},(58,319):{'3_1':0.0},(58,318):{'3_1':0.0,'4_1':0.0},(58,317):{'3_1':0.0,'4_1':0.0},(58,316):{'3_1':0.03,'4_1':0.0},(58,315):{'3_1':0.0},(58,314):{'3_1':0.09,'4_1':0.0},(58,313):{'3_1':0.03,'4_1':0.0},(58,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(58,311):{'3_1':0.0,'4_1':0.0},(58,310):{'3_1':0.03},(58,309):{'3_1':0.03,'4_1':0.0},(58,308):{'3_1':0.03,'4_1':0.0},(58,307):{'3_1':0.0},(58,306):{'3_1':0.03,'5_2':0.0},(58,305):{'3_1':0.06},(58,304):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(58,303):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(58,302):{'3_1':0.0,'5_2':0.0},(58,301):{'3_1':0.06,'4_1':0.0},(58,300):{'3_1':0.0},(58,299):{'3_1':0.06,'4_1':0.0},(58,298):{'3_1':0.06},(58,297):{'3_1':0.0},(58,296):{'3_1':0.0},(58,295):{'3_1':0.0},(58,294):{'3_1':0.0},(58,293):{'3_1':0.03,'5_1':0.0},(58,292):{'3_1':0.03,'4_1':0.0},(58,291):{'3_1':0.0},(58,290):{'3_1':0.03},(58,289):{'3_1':0.06},(58,288):{'3_1':0.06},(58,287):{'3_1':0.03},(58,286):{'3_1':0.06,'4_1':0.0},(58,285):{'3_1':0.06},(58,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,283):{'3_1':0.03},(58,282):{'3_1':0.0,'4_1':0.0},(58,281):{'3_1':0.03},(58,280):{'3_1':0.06,'4_1':0.0},(58,279):{'3_1':0.03,'4_1':0.0},(58,278):{'3_1':0.06,'4_1':0.0},(58,277):{'3_1':0.03,'4_1':0.0},(58,276):{'3_1':0.03,'7_2':0.0,'8_21|3_1#4_1':0.0},(58,275):{'3_1':0.03,'5_2':0.0},(58,274):{'3_1':0.06,'5_2':0.0},(58,273):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(58,272):{'3_1':0.03},(58,271):{'3_1':0.03,'5_1':0.0},(58,270):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(58,269):{'3_1':0.03},(58,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(58,267):{'3_1':0.03},(58,266):{'3_1':0.03},(58,265):{'3_1':0.06},(58,264):{'3_1':0.06},(58,263):{'3_1':0.06,'4_1':0.0},(58,262):{'3_1':0.03},(58,261):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(58,260):{'3_1':0.03,'5_2':0.0},(58,259):{'3_1':0.06},(58,258):{'3_1':0.03,'5_1':0.0},(58,257):{'3_1':0.0},(58,256):{'3_1':0.0},(58,255):{'3_1':0.0,'4_1':0.0},(58,254):{'3_1':0.0,'4_1':0.0},(58,253):{'3_1':0.03,'4_1':0.0},(58,252):{'3_1':0.06},(58,251):{'3_1':0.0},(58,250):{'3_1':0.03,'4_1':0.0},(58,249):{'3_1':0.06},(58,248):{'3_1':0.06},(58,247):{'3_1':0.06,'4_1':0.0},(58,246):{'3_1':0.0,'5_1':0.0},(58,245):{'3_1':0.03,'5_1':0.0},(58,244):{'3_1':0.03},(58,243):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(58,242):{'3_1':0.0},(58,241):{'3_1':0.03},(58,240):{'3_1':0.0,'4_1':0.0},(58,238):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(58,237):{'3_1':0.03,'4_1':0.0},(58,236):{'3_1':0.03,'5_1':0.0},(58,235):{'3_1':0.03},(58,234):{'4_1':0.0,'3_1':0.0},(58,233):{'3_1':0.03},(58,232):{'3_1':0.03},(58,231):{'3_1':0.03},(58,230):{'3_1':0.0},(58,229):{'3_1':0.0},(58,228):{'3_1':0.03},(58,227):{'3_1':0.0,'4_1':0.0},(58,226):{'3_1':0.0},(58,225):{'3_1':0.0},(58,224):{'3_1':0.0},(58,223):{'3_1':0.03},(58,222):{'3_1':0.03,'5_2':0.0},(58,221):{'3_1':0.03},(58,220):{'3_1':0.0,'5_2':0.0},(58,219):{'3_1':0.0,'5_1':0.0},(58,218):{'3_1':0.03},(58,217):{'3_1':0.03},(58,216):{'3_1':0.0},(58,215):{'3_1':0.0,'4_1':0.0},(58,214):{'3_1':0.0},(58,213):{'3_1':0.03,'5_1':0.0},(58,212):{'3_1':0.03},(58,211):{'3_1':0.0},(58,210):{'3_1':0.03},(58,209):{'3_1':0.0},(58,207):{'3_1':0.03},(58,206):{'3_1':0.03,'4_1':0.0},(58,205):{'3_1':0.0},(58,204):{'3_1':0.0,'4_1':0.0},(58,203):{'3_1':0.03},(58,202):{'3_1':0.0},(58,201):{'3_1':0.06},(58,200):{'3_1':0.0},(58,199):{'3_1':0.0},(58,198):{'3_1':0.0},(58,197):{'3_1':0.03},(58,196):{'3_1':0.0},(58,195):{'3_1':0.0},(58,194):{'3_1':0.03},(58,193):{'3_1':0.06},(58,192):{'3_1':0.03},(58,191):{'3_1':0.06},(58,190):{'3_1':0.0},(58,189):{'3_1':0.0},(58,188):{'3_1':0.0},(58,187):{'3_1':0.03},(58,186):{'3_1':0.0},(58,185):{'3_1':0.03},(58,184):{'3_1':0.0},(58,183):{'3_1':0.0},(58,182):{'3_1':0.03},(58,181):{'7_2':0.0},(58,180):{'3_1':0.03},(58,179):{'3_1':0.0,'4_1':0.0},(58,178):{'3_1':0.0},(58,177):{'3_1':0.03,'4_1':0.0},(58,176):{'3_1':0.0,'4_1':0.0},(58,175):{'3_1':0.0},(58,174):{'3_1':0.03},(58,173):{'3_1':0.03},(58,172):{'3_1':0.0},(58,171):{'3_1':0.0},(58,170):{'3_1':0.0},(58,169):{'3_1':0.0},(58,168):{'3_1':0.0},(58,167):{'3_1':0.03,'4_1':0.0},(58,166):{'3_1':0.03,'4_1':0.0},(58,165):{'3_1':0.0},(58,164):{'3_1':0.06},(58,163):{'3_1':0.0},(58,162):{'3_1':0.0},(58,161):{'3_1':0.03},(58,160):{'3_1':0.0},(58,157):{'3_1':0.0},(58,156):{'3_1':0.0},(58,155):{'3_1':0.03},(58,154):{'3_1':0.0,'4_1':0.0},(58,153):{'3_1':0.0},(58,152):{'3_1':0.0},(58,150):{'3_1':0.0},(58,149):{'3_1':0.0},(58,148):{'3_1':0.0},(58,147):{'3_1':0.0},(58,146):{'3_1':0.0},(58,145):{'3_1':0.0},(58,144):{'3_1':0.0},(58,143):{'3_1':0.0},(58,142):{'3_1':0.0},(58,141):{'3_1':0.0},(58,140):{'3_1':0.0},(58,139):{'3_1':0.0},(58,138):{'3_1':0.0,'5_1':0.0},(58,137):{'3_1':0.0},(58,134):{'5_1':0.0},(58,132):{'5_1':0.0},(58,128):{'3_1':0.0},(58,127):{'5_1':0.0},(58,126):{'3_1':0.0},(58,124):{'3_1':0.03},(58,122):{'3_1':0.0},(58,121):{'5_2':0.0},(58,119):{'3_1':0.0},(58,118):{'3_1':0.0},(58,117):{'3_1':0.0},(58,114):{'3_1':0.0},(58,71):{'3_1':0.0},(58,67):{'3_1':0.0},(59,727):{'5_2':0.57,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'8_13':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(59,726):{'5_2':0.63,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_2':0.0},(59,725):{'5_2':0.57,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(59,724):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(59,723):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(59,722):{'5_2':0.57,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(59,721):{'5_2':0.57,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(59,720):{'5_2':0.57,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(59,719):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0},(59,718):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'1':-0.03},(59,717):{'5_2':0.48,'-3':0.15,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0},(59,716):{'5_2':0.54,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(59,715):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0},(59,714):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0,'1':-0.03},(59,713):{'5_2':0.48,'-3':0.09,'7_4':0.09,'3_1':0.09,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0},(59,712):{'5_2':0.51,'-3':0.09,'7_4':0.09,'3_1':0.03,'7_2':0.03,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(59,711):{'5_2':0.3,'-3':0.15,'3_1':0.12,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'8_8':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(59,710):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_5':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(59,709):{'5_2':0.51,'-3':0.15,'3_1':0.09,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(59,708):{'5_2':0.45,'-3':0.21,'5_1':0.03,'7_4':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0},(59,707):{'5_2':0.45,'3_1':0.15,'-3':0.15,'5_1':0.03,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(59,706):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_4':0.09,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(59,705):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_7':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0},(59,704):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.03,'8_21|3_1#4_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'1':-0.03},(59,703):{'5_2':0.48,'3_1':0.12,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0},(59,702):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(59,701):{'5_2':0.39,'-3':0.18,'3_1':0.12,'7_3':0.03,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.03,'7_7':0.0,'7_2':0.0},(59,700):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(59,699):{'5_2':0.36,'3_1':0.15,'-3':0.09,'5_1':0.06,'7_4':0.06,'7_6':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(59,698):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'7_6':0.0},(59,697):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_6':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_7':0.0,'7_1':0.0,'8_15':0.0},(59,696):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0},(59,695):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(59,694):{'5_2':0.3,'-3':0.18,'3_1':0.15,'7_4':0.09,'7_3':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'8_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,693):{'5_2':0.33,'3_1':0.18,'-3':0.18,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0},(59,692):{'5_2':0.36,'3_1':0.15,'-3':0.15,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_1':0.0},(59,691):{'5_2':0.39,'3_1':0.15,'-3':0.15,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(59,690):{'5_2':0.33,'3_1':0.18,'7_3':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,689):{'5_2':0.33,'3_1':0.21,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(59,688):{'3_1':0.3,'5_2':0.24,'-3':0.12,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_9':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(59,687):{'5_2':0.3,'3_1':0.27,'-3':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(59,686):{'5_2':0.3,'3_1':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,685):{'3_1':0.27,'5_2':0.18,'7_4':0.09,'7_3':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_1':0.0},(59,684):{'5_2':0.27,'3_1':0.27,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_19':0.0},(59,683):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'-3':0.09,'5_1':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(59,682):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,681):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'-3':0.06,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(59,680):{'3_1':0.36,'5_2':0.21,'-3':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(59,679):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'7_7':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(59,678):{'3_1':0.36,'5_2':0.15,'-3':0.09,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,677):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(59,676):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(59,675):{'3_1':0.36,'5_2':0.06,'-3':0.06,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(59,674):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0},(59,673):{'3_1':0.48,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_7':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(59,672):{'3_1':0.48,'5_2':0.06,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_1':0.0},(59,671):{'3_1':0.48,'7_4':0.12,'5_2':0.06,'-3':0.03,'7_3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(59,670):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(59,669):{'3_1':0.42,'5_2':0.12,'7_4':0.12,'7_7':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(59,668):{'3_1':0.57,'5_2':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(59,667):{'3_1':0.45,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(59,666):{'3_1':0.54,'5_2':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.03,'7_7':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(59,665):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_7':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,664):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(59,663):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'7_7':0.03,'-3':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(59,662):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0},(59,661):{'3_1':0.54,'5_2':0.03,'7_4':0.03,'7_7':0.03,'-3':0.03,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(59,660):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0},(59,659):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(59,658):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(59,657):{'3_1':0.45,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(59,656):{'3_1':0.39,'5_2':0.09,'7_7':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,655):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0},(59,654):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_7':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(59,653):{'3_1':0.33,'5_2':0.12,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(59,652):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(59,651):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(59,650):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(59,649):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(59,648):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,647):{'3_1':0.24,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_1':0.0},(59,646):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,645):{'3_1':0.39,'4_1':0.06,'5_2':0.06,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_14':0.0,'3_1#5_1':0.0,'-3':0.0},(59,644):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_6':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(59,643):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(59,642):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(59,641):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(59,640):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_10':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,639):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(59,638):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(59,637):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_6':0.0,'8_14':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(59,636):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,635):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(59,634):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(59,633):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,632):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(59,631):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.03,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,630):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,629):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_6':0.03,'6_1':0.0,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,628):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(59,627):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(59,626):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'8_8':0.0},(59,625):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,624):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(59,623):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0,'8_10':0.0},(59,622):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(59,621):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'-3':0.03,'5_1':0.03,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(59,620):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'8_5':0.0},(59,619):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(59,618):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(59,617):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(59,616):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(59,615):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0},(59,614):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0},(59,613):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(59,612):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(59,611):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(59,610):{'4_1':0.15,'3_1':0.15,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(59,609):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(59,608):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'2':-0.03},(59,607):{'3_1':0.15,'4_1':0.15,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(59,606):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,605):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_16':0.0},(59,604):{'3_1':0.27,'4_1':0.12,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(59,603):{'4_1':0.15,'3_1':0.15,'6_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(59,602):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0},(59,601):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(59,600):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_2':0.0,'7_4':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(59,599):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(59,598):{'4_1':0.24,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,597):{'4_1':0.27,'3_1':0.12,'6_1':0.06,'8_21|3_1#4_1':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(59,596):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(59,595):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(59,594):{'4_1':0.27,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(59,593):{'4_1':0.18,'3_1':0.12,'6_2':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0},(59,592):{'4_1':0.18,'3_1':0.12,'7_6':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(59,591):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0},(59,590):{'4_1':0.21,'3_1':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,589):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(59,588):{'4_1':0.18,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(59,587):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(59,586):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'8_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(59,585):{'4_1':0.27,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(59,584):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(59,583):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(59,582):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(59,581):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(59,580):{'4_1':0.24,'5_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(59,579):{'4_1':0.24,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(59,578):{'4_1':0.24,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(59,577):{'4_1':0.18,'3_1':0.03,'8_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(59,576):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(59,575):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_1':0.0,'8_11':0.0,'-3':0.0},(59,574):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_11':0.0},(59,573):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(59,572):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(59,571):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(59,570):{'4_1':0.18,'6_1':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0},(59,569):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'8_21|3_1#4_1':0.0},(59,568):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(59,567):{'4_1':0.18,'3_1':0.0,'6_1':0.0},(59,566):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(59,565):{'4_1':0.18,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(59,564):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(59,563):{'4_1':0.12,'3_1':0.03,'6_2':0.03,'8_12':0.0},(59,562):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0},(59,561):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,560):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_7':0.0},(59,559):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(59,558):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(59,557):{'4_1':0.09,'3_1':0.06,'6_1':0.0},(59,556):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(59,555):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'-3':0.0},(59,554):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_1':0.0,'7_5':0.0},(59,553):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(59,552):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(59,551):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(59,550):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(59,549):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(59,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(59,547):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(59,546):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,545):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(59,544):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(59,543):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(59,542):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(59,541):{'4_1':0.09,'3_1':0.09,'6_2':0.0},(59,540):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(59,539):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(59,538):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(59,537):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0,'6_3':0.0},(59,536):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(59,535):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(59,534):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(59,533):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(59,532):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'6_1':0.0},(59,531):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(59,530):{'3_1':0.09,'4_1':0.03},(59,529):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(59,528):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(59,527):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(59,526):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_2':0.0},(59,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(59,524):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,523):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(59,522):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(59,521):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(59,520):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(59,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(59,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(59,516):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(59,515):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0},(59,514):{'3_1':0.06,'4_1':0.03},(59,513):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(59,512):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(59,511):{'3_1':0.03,'4_1':0.0,'8_7':0.0},(59,510):{'3_1':0.03,'4_1':0.0},(59,509):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,508):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,507):{'3_1':0.03,'4_1':0.0},(59,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,505):{'3_1':0.0,'4_1':0.0},(59,504):{'3_1':0.09,'4_1':0.0},(59,503):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(59,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,501):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(59,500):{'3_1':0.03,'4_1':0.0},(59,499):{'3_1':0.12,'4_1':0.0},(59,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,497):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(59,496):{'3_1':0.06,'4_1':0.0},(59,495):{'3_1':0.03},(59,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,491):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(59,490):{'3_1':0.06,'4_1':0.0},(59,489):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(59,488):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,487):{'3_1':0.03,'4_1':0.0},(59,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,485):{'3_1':0.09,'4_1':0.0},(59,484):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(59,483):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(59,482):{'3_1':0.12,'4_1':0.0},(59,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,480):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(59,479):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(59,478):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(59,477):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(59,476):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(59,475):{'3_1':0.09,'4_1':0.0},(59,474):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(59,473):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(59,472):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,471):{'3_1':0.03,'4_1':0.03},(59,470):{'3_1':0.06,'4_1':0.03},(59,469):{'3_1':0.03,'4_1':0.0},(59,468):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0},(59,467):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'8_1':0.0},(59,466):{'4_1':0.0,'3_1':0.0},(59,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,464):{'3_1':0.03},(59,463):{'4_1':0.06,'3_1':0.0},(59,462):{'3_1':0.06,'4_1':0.0},(59,461):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(59,460):{'3_1':0.06,'4_1':0.0},(59,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,458):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,457):{'3_1':0.0,'4_1':0.0},(59,456):{'4_1':0.03,'3_1':0.0},(59,455):{'3_1':0.06,'4_1':0.0},(59,454):{'3_1':0.03,'5_2':0.0},(59,453):{'3_1':0.09,'4_1':0.0},(59,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(59,451):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,450):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,449):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(59,448):{'3_1':0.06,'4_1':0.0},(59,447):{'3_1':0.03,'6_1':0.0},(59,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,445):{'3_1':0.03},(59,444):{'3_1':0.03,'7_3':0.0},(59,443):{'3_1':0.03,'4_1':0.0},(59,442):{'3_1':0.03,'4_1':0.0},(59,441):{'3_1':0.0},(59,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(59,439):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(59,438):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,437):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,436):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(59,435):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(59,434):{'3_1':0.06},(59,433):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(59,432):{'3_1':0.03,'5_2':0.0},(59,431):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(59,430):{'3_1':0.0,'5_2':0.0},(59,429):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(59,428):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,427):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_16':0.0},(59,426):{'3_1':0.06},(59,425):{'3_1':0.09,'5_1':0.0},(59,424):{'3_1':0.03,'5_2':0.0},(59,423):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(59,422):{'3_1':0.06,'5_2':0.0},(59,421):{'3_1':0.12,'5_2':0.0},(59,420):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(59,419):{'3_1':0.09,'5_1':0.0},(59,418):{'3_1':0.0,'5_1':0.0},(59,417):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(59,416):{'3_1':0.06,'5_2':0.0},(59,415):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,414):{'3_1':0.03,'5_2':0.03},(59,413):{'3_1':0.06,'5_2':0.0},(59,412):{'3_1':0.03,'5_2':0.0},(59,411):{'3_1':0.03},(59,410):{'3_1':0.03},(59,409):{'3_1':0.03},(59,408):{'3_1':0.03,'4_1':0.0},(59,407):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,406):{'3_1':0.03,'5_2':0.0},(59,405):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,404):{'3_1':0.03},(59,403):{'3_1':0.0,'5_2':0.0},(59,402):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,401):{'3_1':0.03,'5_2':0.0},(59,400):{'3_1':0.0,'5_2':0.0},(59,399):{'3_1':0.03,'5_2':0.0},(59,398):{'3_1':0.0,'5_2':0.0},(59,397):{'3_1':0.09,'5_1':0.0},(59,396):{'3_1':0.0},(59,395):{'3_1':0.0,'5_2':0.0},(59,394):{'3_1':0.0,'5_2':0.0},(59,393):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(59,392):{'3_1':0.0},(59,391):{'3_1':0.06},(59,390):{'3_1':0.03},(59,389):{'3_1':0.0,'4_1':0.0},(59,388):{'3_1':0.03,'6_1':0.0},(59,387):{'3_1':0.03,'4_1':0.0},(59,386):{'3_1':0.03,'5_1':0.0},(59,385):{'3_1':0.03,'5_2':0.0},(59,384):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(59,383):{'3_1':0.03,'4_1':0.0},(59,382):{'3_1':0.0},(59,381):{'3_1':0.03,'4_1':0.0},(59,380):{'3_1':0.03},(59,379):{'3_1':0.03,'4_1':0.0},(59,378):{'3_1':0.0,'5_2':0.0},(59,377):{'3_1':0.0},(59,376):{'3_1':0.03},(59,375):{'3_1':0.0},(59,374):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(59,373):{'3_1':0.0,'4_1':0.0,'7_4':0.0},(59,372):{'3_1':0.0,'7_4':0.0},(59,371):{'3_1':0.03,'4_1':0.0},(59,370):{'3_1':0.06,'4_1':0.0},(59,369):{'3_1':0.0,'4_1':0.0},(59,368):{'4_1':0.0},(59,367):{'3_1':0.0,'4_1':0.0,'8_3':0.0},(59,366):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(59,365):{'3_1':0.03},(59,364):{'3_1':0.03,'4_1':0.0},(59,363):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(59,362):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(59,361):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(59,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,359):{'3_1':0.03,'4_1':0.0},(59,358):{'3_1':0.03,'4_1':0.0},(59,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,356):{'3_1':0.0,'4_1':0.0},(59,355):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,354):{'3_1':0.03,'4_1':0.0},(59,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,352):{'3_1':0.0},(59,351):{'3_1':0.03,'4_1':0.0},(59,350):{'3_1':0.06,'5_2':0.0},(59,349):{'3_1':0.0},(59,348):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(59,347):{'3_1':0.03,'4_1':0.0},(59,346):{'3_1':0.03,'4_1':0.0},(59,345):{'3_1':0.03},(59,344):{'3_1':0.03,'4_1':0.0},(59,343):{'3_1':0.03,'4_1':0.0},(59,342):{'3_1':0.03,'5_1':0.0},(59,341):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,340):{'3_1':0.03,'5_1':0.0},(59,339):{'3_1':0.03},(59,338):{'3_1':0.0,'5_2':0.0},(59,337):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(59,336):{'3_1':0.03,'4_1':0.0},(59,335):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(59,334):{'3_1':0.06,'4_1':0.0},(59,333):{'3_1':0.0,'4_1':0.0},(59,332):{'3_1':0.03},(59,331):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(59,330):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(59,329):{'3_1':0.0},(59,328):{'3_1':0.03},(59,327):{'3_1':0.03},(59,326):{'3_1':0.03},(59,325):{'3_1':0.03},(59,324):{'3_1':0.03},(59,323):{'3_1':0.03,'4_1':0.0},(59,322):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,321):{'3_1':0.03,'4_1':0.0},(59,320):{'3_1':0.06},(59,319):{'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(59,318):{'3_1':0.03},(59,317):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(59,316):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(59,315):{'3_1':0.03,'4_1':0.0},(59,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(59,313):{'3_1':0.0,'4_1':0.0},(59,312):{'3_1':0.03},(59,311):{'3_1':0.06,'4_1':0.0},(59,310):{'3_1':0.03},(59,309):{'3_1':0.03,'5_2':0.0},(59,308):{'3_1':0.03},(59,307):{'3_1':0.03,'5_1':0.0},(59,306):{'3_1':0.06},(59,305):{'3_1':0.0,'4_1':0.0},(59,304):{'3_1':0.03,'6_3':0.0},(59,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,302):{'3_1':0.03,'4_1':0.0},(59,301):{'3_1':0.0,'4_1':0.0},(59,300):{'3_1':0.06},(59,299):{'3_1':0.03},(59,298):{'3_1':0.0},(59,297):{'3_1':0.03,'4_1':0.0},(59,296):{'3_1':0.03,'5_1':0.0},(59,295):{'3_1':0.0,'4_1':0.0},(59,294):{'3_1':0.0},(59,293):{'3_1':0.03,'4_1':0.0},(59,292):{'3_1':0.03,'4_1':0.0},(59,291):{'3_1':0.0,'4_1':0.0},(59,290):{'3_1':0.03},(59,289):{'4_1':0.0},(59,288):{'3_1':0.0,'4_1':0.0},(59,287):{'3_1':0.03,'5_2':0.0},(59,286):{'3_1':0.0,'4_1':0.0},(59,285):{'3_1':0.03},(59,284):{'3_1':0.03,'5_2':0.0},(59,283):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(59,282):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,281):{'3_1':0.03,'4_1':0.0},(59,280):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(59,279):{'3_1':0.03,'5_2':0.0},(59,278):{'3_1':0.0},(59,277):{'3_1':0.0,'5_1':0.0},(59,276):{'3_1':0.03,'6_2':0.0},(59,275):{'3_1':0.03,'4_1':0.0},(59,274):{'3_1':0.0,'4_1':0.0},(59,273):{'3_1':0.03,'5_2':0.0},(59,272):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(59,271):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,270):{'4_1':0.0,'5_1':0.0,'3_1':0.0,'5_2':0.0},(59,269):{'3_1':0.03,'4_1':0.0},(59,268):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,267):{'3_1':0.03},(59,266):{'3_1':0.0},(59,265):{'3_1':0.0,'4_1':0.0},(59,264):{'3_1':0.06,'8_4':0.0},(59,263):{'3_1':0.0},(59,262):{'3_1':0.0,'4_1':0.0},(59,261):{'3_1':0.03,'4_1':0.0},(59,260):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,259):{'3_1':0.0,'5_1':0.0},(59,258):{'3_1':0.0},(59,257):{'3_1':0.03,'6_3':0.0},(59,256):{'3_1':0.0},(59,255):{'3_1':0.0},(59,254):{'3_1':0.0,'4_1':0.0},(59,253):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(59,252):{'3_1':0.06,'4_1':0.0},(59,251):{'3_1':0.03},(59,250):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,249):{'3_1':0.03},(59,248):{'3_1':0.03},(59,247):{'3_1':0.0},(59,246):{'3_1':0.0},(59,245):{'3_1':0.0,'4_1':0.0},(59,244):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(59,243):{'3_1':0.0,'5_1':0.0},(59,242):{'3_1':0.06,'7_1':0.0},(59,241):{'3_1':0.0,'4_1':0.0},(59,240):{'3_1':0.0,'5_2':0.0},(59,239):{'3_1':0.0},(59,238):{'3_1':0.0,'5_1':0.0},(59,237):{'3_1':0.03,'4_1':0.0},(59,236):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(59,235):{'3_1':0.03},(59,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(59,233):{'3_1':0.06},(59,232):{'3_1':0.0},(59,231):{'3_1':0.0},(59,230):{'3_1':0.0},(59,229):{'3_1':0.03},(59,228):{'3_1':0.03},(59,227):{'3_1':0.0},(59,226):{'3_1':0.06},(59,225):{'3_1':0.03},(59,224):{'5_2':0.0},(59,223):{'3_1':0.0,'4_1':0.0},(59,222):{'3_1':0.0,'5_1':0.0},(59,221):{'3_1':0.0},(59,220):{'3_1':0.0},(59,219):{'3_1':0.03},(59,218):{'3_1':0.03},(59,217):{'3_1':0.0},(59,216):{'3_1':0.0},(59,215):{'3_1':0.0},(59,214):{'3_1':0.0},(59,213):{'3_1':0.0,'5_1':0.0},(59,212):{'3_1':0.03},(59,210):{'3_1':0.03},(59,209):{'3_1':0.03},(59,208):{'3_1':0.0,'4_1':0.0},(59,207):{'3_1':0.0},(59,206):{'3_1':0.0},(59,205):{'3_1':0.03},(59,204):{'3_1':0.0},(59,203):{'3_1':0.03},(59,202):{'4_1':0.0},(59,201):{'3_1':0.0},(59,200):{'3_1':0.0},(59,199):{'3_1':0.03},(59,198):{'3_1':0.03},(59,197):{'3_1':0.0,'5_1':0.0},(59,196):{'3_1':0.0},(59,195):{'3_1':0.0},(59,194):{'3_1':0.03},(59,193):{'3_1':0.0},(59,192):{'3_1':0.0},(59,191):{'3_1':0.0},(59,190):{'3_1':0.03},(59,189):{'3_1':0.03},(59,188):{'3_1':0.03},(59,187):{'3_1':0.0},(59,186):{'3_1':0.0},(59,185):{'3_1':0.0,'4_1':0.0},(59,184):{'3_1':0.03},(59,183):{'4_1':0.0},(59,182):{'3_1':0.0},(59,181):{'3_1':0.0},(59,180):{'3_1':0.03},(59,179):{'4_1':0.0},(59,178):{'3_1':0.0},(59,177):{'3_1':0.0},(59,176):{'3_1':0.0},(59,175):{'3_1':0.0},(59,174):{'3_1':0.0},(59,173):{'3_1':0.0,'4_1':0.0},(59,172):{'3_1':0.0},(59,171):{'3_1':0.03},(59,170):{'3_1':0.0},(59,169):{'3_1':0.0,'4_1':0.0},(59,168):{'3_1':0.0},(59,167):{'3_1':0.03},(59,166):{'3_1':0.0,'4_1':0.0},(59,165):{'3_1':0.0,'4_1':0.0},(59,164):{'3_1':0.0},(59,163):{'3_1':0.0},(59,162):{'3_1':0.0},(59,160):{'3_1':0.03},(59,159):{'3_1':0.0},(59,158):{'3_1':0.0},(59,157):{'3_1':0.0,'4_1':0.0},(59,156):{'3_1':0.03},(59,155):{'3_1':0.03},(59,154):{'3_1':0.0},(59,153):{'3_1':0.0,'4_1':0.0},(59,151):{'3_1':0.0},(59,150):{'3_1':0.03},(59,149):{'3_1':0.0},(59,148):{'3_1':0.0},(59,147):{'3_1':0.0},(59,146):{'3_1':0.0},(59,145):{'3_1':0.0},(59,144):{'3_1':0.0},(59,143):{'3_1':0.0},(59,141):{'3_1':0.0},(59,140):{'3_1':0.0},(59,139):{'3_1':0.03},(59,138):{'3_1':0.0,'5_1':0.0},(59,136):{'3_1':0.0},(59,133):{'3_1':0.0},(59,131):{'3_1':0.0,'5_1':0.0},(59,128):{'3_1':0.0},(59,127):{'3_1':0.0,'5_1':0.0},(59,124):{'3_1':0.0},(59,123):{'3_1':0.0},(59,122):{'3_1':0.0},(59,121):{'3_1':0.0},(59,118):{'3_1':0.0},(59,117):{'3_1':0.0},(59,115):{'3_1':0.0},(59,114):{'3_1':0.0},(59,113):{'3_1':0.0},(59,71):{'4_1':0.0},(60,727):{'5_2':0.51,'3_1':0.12,'-3':0.09,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0},(60,726):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0},(60,725):{'5_2':0.6,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,724):{'5_2':0.57,'3_1':0.12,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(60,723):{'5_2':0.54,'-3':0.12,'3_1':0.06,'3_1#5_2':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0},(60,722):{'5_2':0.42,'-3':0.12,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(60,721):{'5_2':0.51,'3_1':0.15,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,720):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(60,719):{'5_2':0.54,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'1':-0.03},(60,718):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_7':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(60,717):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(60,716):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'1':-0.03},(60,715):{'5_2':0.51,'7_4':0.12,'-3':0.09,'3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0,'1':-0.03},(60,714):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(60,713):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(60,712):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,711):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,710):{'5_2':0.39,'-3':0.21,'3_1':0.09,'7_5':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(60,709):{'5_2':0.48,'-3':0.24,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(60,708):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0,'3_1#5_2':0.0,'2':-0.03},(60,707):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(60,706):{'5_2':0.45,'3_1':0.18,'7_4':0.09,'-3':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(60,705):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(60,704):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(60,703):{'5_2':0.48,'3_1':0.15,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(60,702):{'5_2':0.48,'-3':0.15,'3_1':0.09,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(60,701):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(60,700):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(60,699):{'5_2':0.36,'-3':0.18,'3_1':0.15,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(60,698):{'5_2':0.36,'-3':0.24,'3_1':0.09,'7_3':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,697):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.06,'5_1':0.06,'7_6':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(60,696):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(60,695):{'5_2':0.33,'-3':0.15,'3_1':0.09,'3_1#5_2':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(60,694):{'5_2':0.36,'-3':0.18,'3_1':0.15,'7_3':0.06,'3_1#5_2':0.0,'7_7':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_1':0.0,'1':-0.03},(60,693):{'5_2':0.27,'3_1':0.15,'-3':0.15,'7_4':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.03,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0},(60,692):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(60,691):{'5_2':0.24,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(60,690):{'5_2':0.36,'3_1':0.21,'7_4':0.06,'7_3':0.06,'-3':0.06,'5_1':0.03,'4_1':0.0,'3_1#5_2':0.0,'8_19':0.0},(60,689):{'3_1':0.3,'5_2':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,688):{'3_1':0.27,'5_2':0.27,'-3':0.09,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_11':0.0},(60,687):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(60,686):{'3_1':0.24,'5_2':0.24,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'8_14':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(60,685):{'3_1':0.24,'5_2':0.24,'-3':0.09,'7_4':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,684):{'3_1':0.33,'5_2':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(60,683):{'3_1':0.33,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(60,682):{'3_1':0.36,'5_2':0.24,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(60,681):{'3_1':0.33,'5_2':0.18,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(60,680):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(60,679):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,678):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(60,677):{'3_1':0.42,'5_2':0.21,'-3':0.06,'7_4':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(60,676):{'3_1':0.57,'7_4':0.06,'5_2':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(60,675):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(60,674):{'3_1':0.33,'5_2':0.12,'7_4':0.09,'-3':0.09,'8_20|3_1#3_1':0.03,'7_7':0.03,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(60,673):{'3_1':0.42,'5_2':0.15,'-3':0.09,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,672):{'3_1':0.48,'5_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(60,671):{'3_1':0.48,'7_4':0.09,'-3':0.06,'5_2':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0},(60,670):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_7':0.0,'8_14':0.0,'6_1':0.0,'8_8':0.0},(60,669):{'3_1':0.48,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(60,668):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(60,667):{'3_1':0.48,'5_2':0.12,'-3':0.06,'7_4':0.06,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(60,666):{'3_1':0.39,'5_2':0.15,'-3':0.09,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(60,665):{'3_1':0.54,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_1':0.0},(60,664):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(60,663):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,662):{'3_1':0.45,'5_2':0.15,'-3':0.06,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(60,661):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(60,660):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(60,659):{'3_1':0.42,'-3':0.06,'5_2':0.06,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_8':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(60,658):{'3_1':0.42,'5_2':0.15,'-3':0.03,'7_4':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(60,657):{'3_1':0.42,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(60,656):{'3_1':0.45,'5_2':0.09,'-3':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,655):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(60,654):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(60,653):{'3_1':0.33,'5_2':0.12,'8_20|3_1#3_1':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(60,652):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(60,651):{'3_1':0.36,'5_2':0.09,'-3':0.06,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(60,650):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'8_21|3_1#4_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(60,649):{'3_1':0.36,'5_2':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(60,648):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(60,647):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(60,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(60,645):{'3_1':0.27,'5_2':0.12,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(60,644):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'-3':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_5':0.0,'7_4':0.0,'7_7':0.0,'8_5':0.0,'3_1#5_2':0.0},(60,643):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(60,642):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(60,641):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'5_1':0.06,'7_1':0.03,'7_6':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_15':0.0},(60,640):{'5_2':0.21,'3_1':0.18,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_10':0.0,'8_16':0.0,'3_1#5_2':0.0},(60,639):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0},(60,638):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,637):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_15':0.0},(60,636):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0,'8_14':0.0},(60,635):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(60,634):{'5_2':0.15,'3_1':0.12,'4_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0},(60,633):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(60,632):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,631):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(60,630):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(60,629):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(60,628):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_6':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(60,627):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,626):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(60,625):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(60,624):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(60,623):{'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'-3':0.0},(60,622):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_6':0.03,'-3':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(60,621):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'8_16':0.0,'-3':0.0},(60,620):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(60,619):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(60,618):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(60,617):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'8_1':0.0,'8_16':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(60,616):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,615):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'8_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(60,614):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'6_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(60,613):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(60,612):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(60,611):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'8_10':0.0,'8_15':0.0},(60,610):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'9_1':0.0},(60,609):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(60,608):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(60,607):{'3_1':0.18,'4_1':0.12,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(60,606):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_16':0.0,'-3':0.0},(60,605):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_2':0.03,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,604):{'4_1':0.24,'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(60,603):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(60,602):{'4_1':0.15,'3_1':0.09,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(60,601):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0},(60,600):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(60,599):{'4_1':0.24,'3_1':0.09,'6_1':0.06,'5_1':0.0,'5_2':0.0},(60,598):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(60,597):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(60,596):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(60,595):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.03,'8_1':0.0,'5_1':0.0,'6_2':0.0},(60,594):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(60,593):{'4_1':0.18,'3_1':0.06,'6_1':0.06,'6_2':0.03,'5_1':0.0,'8_1':0.0,'7_2':0.0,'8_4':0.0},(60,592):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(60,591):{'4_1':0.24,'3_1':0.09,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(60,590):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(60,589):{'4_1':0.24,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(60,588):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(60,587):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(60,586):{'4_1':0.24,'3_1':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0},(60,585):{'4_1':0.18,'6_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(60,584):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_12':0.0,'5_1':0.0,'6_2':0.0},(60,583):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(60,582):{'4_1':0.27,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(60,581):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(60,580):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(60,579):{'4_1':0.21,'6_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(60,578):{'4_1':0.27,'3_1':0.06,'6_1':0.03,'5_2':0.0},(60,577):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'8_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(60,576):{'4_1':0.24,'6_1':0.03,'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(60,575):{'4_1':0.18,'3_1':0.06,'8_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(60,574):{'4_1':0.21,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(60,573):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(60,572):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_2':0.0,'7_7':0.0,'8_1':0.0,'6_2':0.0},(60,571):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(60,570):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(60,569):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(60,568):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(60,567):{'4_1':0.27,'3_1':0.06,'5_1':0.0,'6_1':0.0},(60,566):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(60,565):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(60,564):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0,'9_1':0.0},(60,563):{'4_1':0.18,'6_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(60,562):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_3':0.0},(60,561):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(60,560):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_1':0.0},(60,559):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(60,558):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(60,557):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,556):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0},(60,555):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,554):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(60,553):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(60,552):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(60,551):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_3':0.0},(60,550):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'9_1':0.0},(60,549):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(60,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(60,547):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'9_1':0.0},(60,546):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(60,545):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(60,544):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(60,543):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0},(60,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(60,541):{'4_1':0.15,'3_1':0.12,'8_20|3_1#3_1':0.0,'5_1':0.0},(60,540):{'3_1':0.15,'4_1':0.09,'7_1':0.0},(60,539):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(60,538):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(60,537):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(60,536):{'3_1':0.12,'4_1':0.06},(60,535):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,534):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(60,533):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(60,532):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(60,531):{'3_1':0.18,'4_1':0.03,'7_1':0.0},(60,530):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(60,529):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(60,528):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(60,527):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(60,526):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(60,525):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(60,524):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0},(60,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(60,522):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0},(60,521):{'3_1':0.06,'4_1':0.03},(60,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,519):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(60,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(60,517):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_1':0.0},(60,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_13':0.0},(60,513):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0},(60,512):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(60,511):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(60,510):{'3_1':0.09,'4_1':0.0},(60,509):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(60,508):{'4_1':0.03,'3_1':0.0},(60,507):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,506):{'3_1':0.0,'4_1':0.0},(60,505):{'3_1':0.0,'4_1':0.0},(60,504):{'3_1':0.03,'5_2':0.0},(60,503):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(60,502):{'3_1':0.03,'5_1':0.0},(60,501):{'3_1':0.03,'5_1':0.0},(60,500):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(60,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(60,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,496):{'3_1':0.06,'4_1':0.03},(60,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(60,494):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0},(60,493):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,491):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(60,490):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(60,489):{'3_1':0.09},(60,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(60,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,486):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(60,485):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(60,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(60,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(60,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,481):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_10':0.0},(60,480):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,479):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(60,478):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(60,477):{'3_1':0.03,'5_2':0.0},(60,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(60,475):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(60,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(60,473):{'3_1':0.09,'4_1':0.0},(60,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(60,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,470):{'3_1':0.09},(60,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(60,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,467):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(60,466):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(60,465):{'3_1':0.03,'4_1':0.0},(60,464):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(60,463):{'3_1':0.03,'4_1':0.0},(60,462):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(60,461):{'3_1':0.06,'4_1':0.0},(60,460):{'3_1':0.0,'5_2':0.0},(60,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,458):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(60,457):{'3_1':0.03,'4_1':0.0},(60,456):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,455):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(60,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,452):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,451):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(60,450):{'3_1':0.0,'4_1':0.0},(60,449):{'3_1':0.03,'4_1':0.0},(60,448):{'4_1':0.03,'5_2':0.0,'3_1':0.0},(60,447):{'3_1':0.06,'4_1':0.0},(60,446):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(60,445):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(60,444):{'3_1':0.0,'4_1':0.0},(60,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,442):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(60,441):{'3_1':0.0},(60,440):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(60,439):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,438):{'3_1':0.03,'7_2':0.0},(60,437):{'3_1':0.06,'5_2':0.0},(60,436):{'3_1':0.03,'7_4':0.0},(60,435):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,434):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(60,433):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(60,432):{'3_1':0.03,'5_2':0.0},(60,431):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(60,430):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(60,429):{'3_1':0.03,'5_2':0.0},(60,428):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(60,427):{'3_1':0.03},(60,426):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(60,425):{'3_1':0.06},(60,424):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(60,423):{'3_1':0.15,'5_2':0.0},(60,422):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(60,421):{'3_1':0.12},(60,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,419):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(60,418):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(60,417):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,416):{'3_1':0.03,'4_1':0.0},(60,415):{'3_1':0.06,'7_4':0.0},(60,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,413):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,412):{'3_1':0.0,'5_2':0.0},(60,411):{'3_1':0.03,'5_2':0.0,'9_1':0.0},(60,410):{'3_1':0.06,'5_2':0.0},(60,409):{'3_1':0.03},(60,408):{'3_1':0.03,'5_2':0.0},(60,407):{'3_1':0.03},(60,406):{'3_1':0.0},(60,405):{'3_1':0.0,'4_1':0.0},(60,404):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,403):{'3_1':0.0},(60,402):{'3_1':0.03},(60,401):{'3_1':0.03},(60,400):{'3_1':0.0},(60,399):{'3_1':0.03},(60,398):{'3_1':0.0},(60,397):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(60,396):{'3_1':0.03},(60,395):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(60,394):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,393):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(60,392):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,391):{'3_1':0.0},(60,390):{'3_1':0.0},(60,389):{'4_1':0.0,'3_1':0.0},(60,388):{'3_1':0.06,'5_1':0.0},(60,387):{'3_1':0.0},(60,386):{'3_1':0.0},(60,385):{'3_1':0.03},(60,384):{'4_1':0.0,'3_1':0.0},(60,383):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(60,382):{'3_1':0.03,'4_1':0.0},(60,381):{'3_1':0.03},(60,380):{'3_1':0.06},(60,379):{'3_1':0.0,'4_1':0.0},(60,378):{'3_1':0.03},(60,377):{'3_1':0.06,'6_2':0.0},(60,376):{'3_1':0.06},(60,375):{'3_1':0.03},(60,374):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(60,373):{'3_1':0.03,'4_1':0.03},(60,372):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(60,371):{'3_1':0.03,'4_1':0.0},(60,370):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(60,369):{'3_1':0.0,'4_1':0.0},(60,368):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,367):{'3_1':0.06,'4_1':0.0},(60,366):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(60,365):{'3_1':0.06,'5_1':0.0},(60,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,363):{'3_1':0.0,'4_1':0.0},(60,362):{'3_1':0.0,'4_1':0.0},(60,361):{'3_1':0.0},(60,360):{'3_1':0.03,'4_1':0.0},(60,359):{'3_1':0.03,'4_1':0.0},(60,358):{'4_1':0.0},(60,357):{'3_1':0.03},(60,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,354):{'3_1':0.03,'4_1':0.0},(60,353):{'3_1':0.03},(60,352):{'3_1':0.0,'4_1':0.0},(60,351):{'3_1':0.0},(60,350):{'3_1':0.06,'4_1':0.0},(60,349):{'3_1':0.03,'4_1':0.0},(60,348):{'3_1':0.0},(60,347):{'3_1':0.0,'4_1':0.0},(60,346):{'3_1':0.0,'4_1':0.0},(60,345):{'3_1':0.0,'5_1':0.0},(60,344):{'3_1':0.0},(60,343):{'3_1':0.03,'5_2':0.0},(60,342):{'3_1':0.0},(60,341):{'3_1':0.03,'4_1':0.0},(60,340):{'4_1':0.0,'3_1':0.0},(60,339):{'3_1':0.03,'4_1':0.0},(60,338):{'3_1':0.0,'4_1':0.0},(60,337):{'3_1':0.03,'4_1':0.0},(60,336):{'3_1':0.03,'5_2':0.0},(60,335):{'3_1':0.03,'4_1':0.0},(60,334):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(60,333):{'3_1':0.0,'4_1':0.0},(60,332):{'3_1':0.0},(60,331):{'3_1':0.03,'4_1':0.0},(60,330):{'3_1':0.0},(60,329):{'3_1':0.03,'4_1':0.0},(60,328):{'3_1':0.0},(60,327):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(60,326):{'3_1':0.03},(60,325):{'3_1':0.03,'4_1':0.0},(60,324):{'3_1':0.03},(60,323):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(60,322):{'3_1':0.0,'5_1':0.0},(60,321):{'3_1':0.06,'5_1':0.0},(60,320):{'4_1':0.0},(60,319):{'3_1':0.0},(60,318):{'3_1':0.03,'4_1':0.0},(60,317):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(60,316):{'3_1':0.0,'4_1':0.0},(60,315):{'3_1':0.0,'4_1':0.0},(60,314):{'3_1':0.03},(60,313):{'3_1':0.06},(60,312):{'3_1':0.0},(60,311):{'3_1':0.06},(60,310):{'3_1':0.06},(60,309):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(60,308):{'3_1':0.06,'4_1':0.0},(60,307):{'3_1':0.0,'4_1':0.0},(60,306):{'3_1':0.03},(60,305):{'3_1':0.03},(60,304):{'3_1':0.0},(60,303):{'3_1':0.0},(60,302):{'3_1':0.0,'4_1':0.0},(60,301):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(60,300):{'3_1':0.03},(60,299):{'3_1':0.03},(60,298):{'3_1':0.03,'4_1':0.0},(60,297):{'3_1':0.0,'6_1':0.0},(60,296):{'3_1':0.03},(60,295):{'3_1':0.0,'4_1':0.0},(60,294):{'3_1':0.03,'4_1':0.0},(60,293):{'3_1':0.0,'5_1':0.0},(60,292):{'3_1':0.03},(60,291):{'3_1':0.03,'4_1':0.0},(60,290):{'3_1':0.03},(60,289):{'3_1':0.03,'4_1':0.0},(60,288):{'3_1':0.0,'4_1':0.0},(60,287):{'3_1':0.0,'4_1':0.0},(60,286):{'3_1':0.0,'4_1':0.0},(60,285):{'3_1':0.0,'4_1':0.0},(60,284):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(60,283):{'3_1':0.0},(60,282):{'3_1':0.0,'4_1':0.0},(60,281):{'4_1':0.0,'3_1':0.0},(60,280):{'3_1':0.03,'5_1':0.0},(60,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,278):{'3_1':0.0,'5_1':0.0},(60,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(60,276):{'3_1':0.06},(60,275):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(60,274):{'3_1':0.03,'4_1':0.0},(60,273):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(60,272):{'3_1':0.0,'6_2':0.0},(60,271):{'3_1':0.03,'6_2':0.0},(60,270):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(60,269):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(60,268):{'3_1':0.06,'6_2':0.0},(60,267):{'3_1':0.03},(60,266):{'3_1':0.03},(60,265):{'3_1':0.0,'4_1':0.0},(60,264):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(60,263):{'3_1':0.06},(60,262):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(60,261):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(60,260):{'3_1':0.0,'4_1':0.0},(60,259):{'3_1':0.03},(60,258):{'3_1':0.06},(60,257):{'3_1':0.0,'4_1':0.0},(60,256):{'3_1':0.06},(60,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(60,254):{'3_1':0.0,'4_1':0.0},(60,253):{'3_1':0.06,'8_20|3_1#3_1':0.0},(60,252):{'3_1':0.03,'5_2':0.0},(60,251):{'3_1':0.0,'5_2':0.0},(60,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(60,249):{'3_1':0.03,'5_2':0.0},(60,248):{'3_1':0.0},(60,247):{'3_1':0.03,'4_1':0.0},(60,246):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,245):{'5_1':0.0},(60,244):{'3_1':0.03,'4_1':0.0},(60,243):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(60,242):{'3_1':0.06,'4_1':0.0},(60,241):{'3_1':0.03},(60,240):{'3_1':0.0,'4_1':0.0},(60,239):{'3_1':0.0},(60,238):{'3_1':0.03,'4_1':0.0},(60,237):{'3_1':0.03,'4_1':0.0},(60,236):{'3_1':0.0},(60,235):{'3_1':0.03,'4_1':0.0},(60,234):{'3_1':0.06},(60,233):{'3_1':0.0},(60,232):{'3_1':0.0,'5_2':0.0},(60,231):{'3_1':0.0,'4_1':0.0},(60,230):{'3_1':0.03,'4_1':0.0},(60,229):{'3_1':0.03},(60,228):{'3_1':0.03},(60,227):{'3_1':0.03},(60,226):{'3_1':0.03,'4_1':0.0},(60,225):{'3_1':0.03},(60,224):{'3_1':0.0},(60,223):{'3_1':0.0},(60,222):{'3_1':0.0},(60,221):{'3_1':0.0},(60,220):{'3_1':0.03},(60,219):{'3_1':0.0,'4_1':0.0},(60,218):{'3_1':0.0},(60,217):{'3_1':0.0,'4_1':0.0},(60,216):{'3_1':0.0},(60,215):{'3_1':0.0,'4_1':0.0},(60,214):{'3_1':0.03},(60,213):{'3_1':0.03},(60,212):{'3_1':0.0},(60,211):{'3_1':0.0,'4_1':0.0},(60,210):{'3_1':0.0},(60,209):{'3_1':0.03},(60,208):{'3_1':0.0},(60,207):{'3_1':0.03},(60,206):{'3_1':0.03},(60,205):{'3_1':0.0},(60,204):{'3_1':0.0,'5_2':0.0},(60,203):{'3_1':0.03},(60,202):{'3_1':0.0},(60,201):{'3_1':0.0},(60,200):{'3_1':0.03,'8_20|3_1#3_1':0.0},(60,199):{'3_1':0.03},(60,198):{'3_1':0.0},(60,197):{'3_1':0.03},(60,196):{'3_1':0.03},(60,195):{'3_1':0.03},(60,194):{'3_1':0.06},(60,193):{'3_1':0.0},(60,191):{'3_1':0.06,'8_20|3_1#3_1':0.0},(60,190):{'3_1':0.0},(60,188):{'3_1':0.03},(60,187):{'3_1':0.0,'4_1':0.0},(60,186):{'3_1':0.0},(60,185):{'3_1':0.0,'4_1':0.0},(60,184):{'3_1':0.03},(60,183):{'3_1':0.0},(60,182):{'3_1':0.0},(60,181):{'3_1':0.03},(60,180):{'3_1':0.03},(60,179):{'3_1':0.06},(60,178):{'3_1':0.03},(60,177):{'3_1':0.0,'4_1':0.0},(60,176):{'3_1':0.0,'4_1':0.0},(60,175):{'3_1':0.0,'4_1':0.0},(60,174):{'3_1':0.0},(60,173):{'3_1':0.0},(60,172):{'3_1':0.0,'4_1':0.0},(60,171):{'3_1':0.0},(60,170):{'3_1':0.0,'4_1':0.0},(60,169):{'3_1':0.0,'4_1':0.0},(60,168):{'3_1':0.0},(60,167):{'3_1':0.03,'4_1':0.0},(60,166):{'4_1':0.0,'3_1':0.0},(60,165):{'3_1':0.0},(60,164):{'3_1':0.03},(60,163):{'3_1':0.06},(60,162):{'3_1':0.03},(60,161):{'3_1':0.03},(60,160):{'3_1':0.0},(60,159):{'3_1':0.03},(60,158):{'3_1':0.03},(60,157):{'3_1':0.0,'4_1':0.0},(60,156):{'3_1':0.03},(60,155):{'3_1':0.0,'4_1':0.0},(60,154):{'3_1':0.03},(60,153):{'4_1':0.0,'3_1':0.0},(60,152):{'3_1':0.03},(60,151):{'3_1':0.0},(60,150):{'3_1':0.0},(60,149):{'3_1':0.03,'4_1':0.0},(60,148):{'3_1':0.0},(60,147):{'3_1':0.0},(60,146):{'3_1':0.0},(60,145):{'3_1':0.0},(60,144):{'3_1':0.0},(60,143):{'3_1':0.0},(60,142):{'3_1':0.0},(60,141):{'3_1':0.0},(60,140):{'3_1':0.0},(60,139):{'3_1':0.03},(60,138):{'3_1':0.0},(60,137):{'3_1':0.0},(60,136):{'3_1':0.0},(60,134):{'3_1':0.03,'5_1':0.0},(60,133):{'5_1':0.0},(60,132):{'3_1':0.0},(60,131):{'3_1':0.0},(60,129):{'3_1':0.0},(60,124):{'3_1':0.0},(60,120):{'3_1':0.0,'5_1':0.0},(60,117):{'3_1':0.0},(60,116):{'3_1':0.0},(60,67):{'3_1':0.0},(60,63):{'3_1':0.0},(61,727):{'5_2':0.45,'3_1':0.15,'7_4':0.09,'-3':0.06,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_19':0.0,'7_2':0.0},(61,726):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'1':-0.03},(61,725):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(61,724):{'5_2':0.54,'3_1':0.15,'-3':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(61,723):{'5_2':0.45,'3_1':0.15,'-3':0.09,'3_1#5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(61,722):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_10':0.0,'1':-0.03},(61,721):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(61,720):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'1':-0.03},(61,719):{'5_2':0.42,'3_1':0.12,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(61,718):{'5_2':0.48,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(61,717):{'5_2':0.48,'3_1':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(61,716):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(61,715):{'5_2':0.51,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(61,714):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(61,713):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.09,'7_2':0.03,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0},(61,712):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(61,711):{'5_2':0.39,'-3':0.15,'3_1':0.12,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(61,710):{'5_2':0.33,'-3':0.21,'7_5':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_16':0.0,'3_1#5_2':0.0,'1':-0.03},(61,709):{'5_2':0.36,'-3':0.21,'3_1':0.06,'5_1':0.06,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(61,708):{'5_2':0.39,'-3':0.21,'3_1':0.12,'5_1':0.06,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(61,707):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'1':-0.03},(61,706):{'5_2':0.42,'-3':0.15,'3_1':0.15,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'9_1':0.0},(61,705):{'5_2':0.36,'3_1':0.18,'-3':0.12,'7_4':0.09,'7_3':0.0,'4_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(61,704):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(61,703):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.06,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(61,702):{'5_2':0.3,'-3':0.18,'3_1':0.15,'7_3':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_6':0.0,'8_8':0.0,'5_1':0.0,'7_5':0.0},(61,701):{'5_2':0.33,'-3':0.18,'3_1':0.18,'7_4':0.06,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(61,700):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'1':-0.03},(61,699):{'5_2':0.36,'-3':0.18,'3_1':0.18,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(61,698):{'5_2':0.36,'3_1':0.21,'-3':0.06,'7_6':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,697):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(61,696):{'5_2':0.36,'-3':0.18,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(61,695):{'5_2':0.36,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(61,694):{'5_2':0.33,'3_1':0.21,'-3':0.15,'7_3':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(61,693):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(61,692):{'5_2':0.3,'-3':0.18,'3_1':0.15,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(61,691):{'5_2':0.3,'3_1':0.21,'-3':0.15,'7_4':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(61,690):{'5_2':0.39,'-3':0.18,'3_1':0.15,'7_4':0.06,'6_1':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(61,689):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_4':0.03,'7_3':0.03,'8_14':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(61,688):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'-3':0.06,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0,'8_14':0.0},(61,687):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(61,686):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.0,'5_1':0.0,'8_15':0.0,'3_1#5_1':0.0},(61,685):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,684):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(61,683):{'5_2':0.3,'3_1':0.21,'7_4':0.09,'-3':0.09,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,682):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'4_1':0.0,'6_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(61,681):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(61,680):{'3_1':0.36,'5_2':0.18,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_7':0.0},(61,679):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(61,678):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'-3':0.06,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(61,677):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(61,676):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,675):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(61,674):{'3_1':0.51,'5_2':0.09,'-3':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(61,673):{'3_1':0.42,'5_2':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(61,672):{'3_1':0.45,'7_4':0.06,'5_2':0.06,'6_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(61,671):{'3_1':0.48,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0},(61,670):{'3_1':0.51,'5_2':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(61,669):{'3_1':0.45,'5_2':0.15,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(61,668):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(61,667):{'3_1':0.36,'5_2':0.12,'-3':0.06,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(61,666):{'3_1':0.42,'5_2':0.18,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0},(61,665):{'3_1':0.54,'7_4':0.09,'5_2':0.06,'7_7':0.03,'-3':0.03,'6_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(61,664):{'3_1':0.45,'5_2':0.09,'-3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0,'8_14':0.0},(61,663):{'3_1':0.42,'5_2':0.12,'7_4':0.09,'-3':0.03,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(61,662):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_7':0.03,'7_3':0.0,'6_3':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(61,661):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(61,660):{'3_1':0.45,'5_2':0.12,'-3':0.06,'7_7':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0},(61,659):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'7_4':0.03,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(61,658):{'3_1':0.48,'5_2':0.09,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(61,657):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'8_14':0.0},(61,656):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(61,655):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(61,654):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(61,653):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0},(61,652):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,651):{'3_1':0.36,'5_2':0.12,'7_6':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,650):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'-3':0.03,'7_6':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(61,649):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'-3':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(61,648):{'3_1':0.24,'5_2':0.09,'-3':0.06,'4_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,647):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,646):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,645):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,644):{'3_1':0.27,'5_2':0.18,'5_1':0.12,'4_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(61,643):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'5_1':0.03,'7_6':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,642):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(61,641):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(61,640):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(61,639):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(61,638):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(61,637):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_6':0.03,'7_1':0.0,'6_3':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(61,636):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(61,635):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(61,634):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(61,633):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(61,632):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(61,631):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(61,630):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,629):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,628):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(61,627):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_6':0.0,'8_14':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(61,626):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(61,625):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(61,624):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'7_3':0.0,'8_11':0.0},(61,623):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'8_15':0.0,'3_1#5_2':0.0,'-3':0.0},(61,622):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'-3':0.03,'6_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,621):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(61,620):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_3':0.0,'8_10':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,619):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_16':0.0},(61,618):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,617):{'3_1':0.15,'4_1':0.12,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(61,616):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(61,615):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(61,614):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_17':0.0},(61,613):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.03,'7_6':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(61,612):{'3_1':0.15,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(61,611):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(61,610):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(61,609):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(61,608):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(61,607):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'8_1':0.0,'8_15':0.0,'9_1':0.0},(61,606):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_7':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(61,605):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(61,604):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(61,603):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(61,602):{'4_1':0.18,'3_1':0.15,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(61,601):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(61,600):{'4_1':0.12,'3_1':0.12,'6_1':0.06,'6_2':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(61,599):{'4_1':0.18,'3_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(61,598):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(61,597):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_2':0.0,'7_3':0.0},(61,596):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(61,595):{'4_1':0.15,'3_1':0.09,'6_2':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(61,594):{'4_1':0.15,'3_1':0.12,'6_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0,'-3':0.0},(61,593):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(61,592):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(61,591):{'4_1':0.24,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(61,590):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0},(61,589):{'4_1':0.24,'3_1':0.09,'6_2':0.03,'6_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(61,588):{'4_1':0.27,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(61,587):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0},(61,586):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_4':0.0},(61,585):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_13':0.0},(61,584):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(61,583):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(61,582):{'4_1':0.24,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(61,581):{'4_1':0.24,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0},(61,580):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_2':0.0,'7_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(61,579):{'4_1':0.18,'6_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'-3':0.0},(61,578):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(61,577):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'-3':0.0},(61,576):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(61,575):{'4_1':0.21,'6_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_11':0.0},(61,574):{'4_1':0.21,'6_1':0.03,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(61,573):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(61,572):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(61,571):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_1':0.0,'6_2':0.0},(61,570):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_1':0.0},(61,569):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_3':0.0,'8_6':0.0},(61,568):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0,'8_1':0.0},(61,567):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(61,566):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_1':0.0},(61,565):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,564):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0,'8_11':0.0},(61,563):{'4_1':0.21,'3_1':0.12,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(61,562):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_1':0.0},(61,561):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(61,560):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(61,559):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(61,558):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_1':0.0,'7_7':0.0},(61,557):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(61,556):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(61,555):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(61,554):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(61,553):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(61,552):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(61,551):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(61,550):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(61,549):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(61,548):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(61,547):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(61,546):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0},(61,545):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(61,544):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,543):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(61,542):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(61,541):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(61,540):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(61,539):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(61,538):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(61,537):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(61,536):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(61,535):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(61,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(61,533):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_1':0.0},(61,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(61,531):{'3_1':0.15,'4_1':0.03},(61,530):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_2':0.0},(61,529):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(61,528):{'3_1':0.15,'4_1':0.06,'6_3':0.0},(61,527):{'3_1':0.21,'4_1':0.03,'6_1':0.0},(61,526):{'3_1':0.09,'4_1':0.0},(61,525):{'3_1':0.12,'4_1':0.0},(61,524):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0},(61,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(61,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(61,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,519):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(61,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,517):{'3_1':0.03,'4_1':0.03},(61,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(61,515):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(61,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(61,512):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,511):{'3_1':0.09,'4_1':0.03},(61,510):{'4_1':0.03,'3_1':0.03},(61,509):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,508):{'3_1':0.03},(61,507):{'3_1':0.06,'4_1':0.0},(61,506):{'3_1':0.06,'4_1':0.0},(61,505):{'3_1':0.06,'4_1':0.0},(61,504):{'3_1':0.03,'4_1':0.0},(61,503):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,502):{'3_1':0.0,'4_1':0.0},(61,501):{'3_1':0.03,'4_1':0.0},(61,500):{'3_1':0.06,'4_1':0.0},(61,499):{'3_1':0.06,'4_1':0.0},(61,498):{'3_1':0.03,'4_1':0.03},(61,497):{'3_1':0.06,'4_1':0.03},(61,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(61,494):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,493):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(61,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(61,491):{'3_1':0.09},(61,490):{'3_1':0.06,'4_1':0.0},(61,489):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(61,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,487):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(61,486):{'3_1':0.06,'4_1':0.03},(61,485):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,484):{'4_1':0.03,'3_1':0.0},(61,483):{'3_1':0.09,'4_1':0.0},(61,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_1':0.0},(61,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(61,480):{'3_1':0.06,'8_1':0.0},(61,479):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_1':0.0},(61,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(61,477):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(61,476):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(61,475):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,474):{'3_1':0.03,'4_1':0.03},(61,473):{'3_1':0.09,'4_1':0.0},(61,472):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(61,471):{'3_1':0.06,'4_1':0.03,'8_1':0.0},(61,470):{'3_1':0.03,'4_1':0.0},(61,469):{'3_1':0.03,'5_1':0.0},(61,468):{'3_1':0.09,'4_1':0.0},(61,467):{'3_1':0.03,'4_1':0.0},(61,466):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,465):{'3_1':0.03,'4_1':0.0},(61,464):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(61,463):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(61,462):{'3_1':0.06},(61,461):{'3_1':0.06,'5_2':0.0},(61,460):{'3_1':0.03,'4_1':0.0},(61,459):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(61,458):{'3_1':0.06,'4_1':0.0},(61,457):{'3_1':0.09,'4_1':0.03},(61,456):{'3_1':0.06,'5_1':0.0},(61,455):{'4_1':0.06,'3_1':0.03},(61,454):{'3_1':0.06,'4_1':0.0},(61,453):{'3_1':0.0},(61,452):{'3_1':0.09},(61,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,450):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(61,449):{'3_1':0.06,'8_4':0.0},(61,448):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,447):{'3_1':0.03,'4_1':0.0},(61,446):{'3_1':0.03,'4_1':0.0},(61,445):{'3_1':0.03,'5_2':0.0},(61,444):{'3_1':0.06,'5_2':0.0},(61,443):{'3_1':0.09,'5_2':0.0},(61,442):{'3_1':0.0,'5_2':0.0},(61,441):{'3_1':0.0,'4_1':0.0},(61,440):{'3_1':0.03},(61,439):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,438):{'3_1':0.09,'4_1':0.0},(61,437):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,436):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(61,435):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(61,434):{'3_1':0.03,'5_2':0.0},(61,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(61,432):{'3_1':0.06,'4_1':0.0},(61,431):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(61,430):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(61,429):{'3_1':0.09,'4_1':0.0},(61,428):{'3_1':0.09,'4_1':0.0},(61,427):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(61,426):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(61,425):{'3_1':0.03},(61,424):{'3_1':0.0},(61,423):{'3_1':0.06,'5_2':0.0},(61,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,421):{'3_1':0.06,'5_2':0.0},(61,420):{'3_1':0.12,'4_1':0.0},(61,419):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(61,418):{'3_1':0.06,'5_2':0.0,'-3':0.0},(61,417):{'3_1':0.06},(61,416):{'3_1':0.06,'5_2':0.0,'3_1#5_1':0.0},(61,415):{'3_1':0.06,'5_2':0.0},(61,414):{'3_1':0.09,'4_1':0.0},(61,413):{'3_1':0.03},(61,412):{'3_1':0.06},(61,411):{'3_1':0.0},(61,410):{'3_1':0.03},(61,409):{'3_1':0.0},(61,408):{'3_1':0.03},(61,407):{'3_1':0.03,'4_1':0.0},(61,406):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(61,405):{'3_1':0.03,'4_1':0.0},(61,404):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(61,403):{'3_1':0.03,'5_2':0.0},(61,402):{'3_1':0.0},(61,401):{'3_1':0.03,'4_1':0.0},(61,399):{'3_1':0.03},(61,398):{'3_1':0.09},(61,397):{'3_1':0.03},(61,396):{'3_1':0.03,'5_2':0.0},(61,395):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,394):{'3_1':0.03,'4_1':0.0},(61,393):{'3_1':0.03,'5_2':0.0},(61,392):{'3_1':0.0},(61,391):{'3_1':0.0},(61,390):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(61,389):{'3_1':0.03},(61,388):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(61,387):{'3_1':0.03},(61,386):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,385):{'3_1':0.0,'4_1':0.0},(61,384):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(61,383):{'3_1':0.0},(61,382):{'3_1':0.06,'4_1':0.0},(61,381):{'3_1':0.03,'4_1':0.0},(61,380):{'3_1':0.0,'4_1':0.0},(61,379):{'3_1':0.03,'8_20|3_1#3_1':0.0},(61,378):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(61,377):{'3_1':0.03,'4_1':0.03},(61,376):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(61,375):{'4_1':0.03,'3_1':0.0},(61,374):{'4_1':0.0,'3_1':0.0},(61,373):{'3_1':0.06,'4_1':0.0},(61,372):{'3_1':0.03,'4_1':0.0},(61,371):{'3_1':0.0,'4_1':0.0},(61,370):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,369):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(61,368):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(61,367):{'3_1':0.03,'4_1':0.03},(61,366):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,365):{'3_1':0.0,'4_1':0.0},(61,364):{'3_1':0.03},(61,363):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0},(61,361):{'4_1':0.03,'3_1':0.0},(61,360):{'3_1':0.03},(61,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,358):{'3_1':0.0,'4_1':0.0},(61,357):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(61,356):{'4_1':0.0,'5_1':0.0},(61,355):{'3_1':0.03},(61,354):{'3_1':0.0,'4_1':0.0},(61,353):{'3_1':0.0,'4_1':0.0},(61,352):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,351):{'4_1':0.03,'3_1':0.0},(61,350):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(61,349):{'3_1':0.0},(61,348):{'3_1':0.0,'5_2':0.0},(61,347):{'3_1':0.0,'8_1':0.0},(61,346):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,345):{'3_1':0.0,'5_1':0.0},(61,344):{'3_1':0.03},(61,343):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,342):{'4_1':0.0,'3_1':0.0,'7_1':0.0},(61,341):{'4_1':0.03,'3_1':0.0},(61,340):{'3_1':0.0,'4_1':0.0},(61,339):{'4_1':0.0,'3_1':0.0},(61,338):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(61,336):{'3_1':0.0},(61,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(61,334):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(61,333):{'3_1':0.0},(61,332):{'3_1':0.0},(61,331):{'3_1':0.06,'5_2':0.0},(61,330):{'3_1':0.0,'4_1':0.0},(61,329):{'3_1':0.03,'7_1':0.0},(61,328):{'3_1':0.03},(61,327):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,326):{'3_1':0.0},(61,325):{'3_1':0.03,'4_1':0.0},(61,324):{'3_1':0.0,'6_1':0.0},(61,323):{'3_1':0.03,'4_1':0.0},(61,322):{'4_1':0.0,'3_1':0.0},(61,321):{'3_1':0.03,'4_1':0.0},(61,320):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(61,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,318):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(61,317):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0},(61,316):{'4_1':0.0,'3_1':0.0},(61,315):{'3_1':0.0,'4_1':0.0},(61,314):{'3_1':0.03,'5_2':0.0},(61,313):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,312):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(61,311):{'3_1':0.0,'4_1':0.0},(61,310):{'3_1':0.03,'7_4':0.0},(61,309):{'3_1':0.03},(61,308):{'3_1':0.0,'4_1':0.0},(61,307):{'3_1':0.0,'4_1':0.0},(61,306):{'3_1':0.0,'4_1':0.0},(61,305):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,304):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(61,303):{'3_1':0.03,'4_1':0.0},(61,302):{'3_1':0.0,'4_1':0.0},(61,301):{'3_1':0.03,'4_1':0.03},(61,300):{'3_1':0.09,'4_1':0.0},(61,299):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,298):{'3_1':0.06,'4_1':0.0},(61,297):{'4_1':0.0,'3_1':0.0},(61,296):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(61,295):{'3_1':0.03,'4_1':0.0},(61,294):{'3_1':0.03,'6_1':0.0},(61,293):{'3_1':0.0},(61,292):{'3_1':0.0},(61,291):{'3_1':0.0},(61,290):{'3_1':0.03},(61,289):{'3_1':0.03,'4_1':0.0},(61,288):{'3_1':0.0,'4_1':0.0},(61,287):{'3_1':0.0,'4_1':0.0},(61,286):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(61,285):{'3_1':0.0,'4_1':0.0},(61,284):{'3_1':0.03,'5_2':0.0},(61,283):{'3_1':0.03},(61,282):{'3_1':0.06},(61,281):{'3_1':0.06,'6_2':0.0},(61,280):{'3_1':0.0,'4_1':0.0},(61,279):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,278):{'3_1':0.0},(61,277):{'3_1':0.03,'5_1':0.0},(61,276):{'3_1':0.0},(61,275):{'3_1':0.06,'5_1':0.0},(61,274):{'3_1':0.03,'8_1':0.0},(61,273):{'3_1':0.06,'7_3':0.0},(61,272):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(61,271):{'4_1':0.0,'3_1':0.0},(61,270):{'3_1':0.0,'4_1':0.0},(61,269):{'3_1':0.06,'6_3':0.0},(61,268):{'3_1':0.03,'5_1':0.0},(61,267):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(61,266):{'3_1':0.0},(61,265):{'3_1':0.03,'4_1':0.0},(61,264):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(61,263):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(61,262):{'3_1':0.03},(61,261):{'3_1':0.03,'4_1':0.0},(61,260):{'3_1':0.03},(61,259):{'3_1':0.03,'8_4':0.0},(61,258):{'3_1':0.03,'4_1':0.0},(61,257):{'3_1':0.0,'4_1':0.0},(61,256):{'3_1':0.06,'5_2':0.0},(61,255):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(61,254):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,253):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(61,252):{'3_1':0.0,'4_1':0.0},(61,251):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,250):{'4_1':0.0,'5_2':0.0},(61,249):{'3_1':0.06},(61,248):{'3_1':0.03},(61,247):{'3_1':0.0,'5_2':0.0},(61,246):{'3_1':0.0},(61,245):{'3_1':0.0,'5_1':0.0},(61,244):{'3_1':0.06},(61,243):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,242):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(61,241):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(61,240):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(61,239):{'3_1':0.0,'7_1':0.0},(61,238):{'3_1':0.0,'7_1':0.0},(61,237):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(61,236):{'3_1':0.06,'5_2':0.0},(61,235):{'3_1':0.06},(61,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,233):{'3_1':0.03,'4_1':0.0},(61,232):{'3_1':0.03},(61,231):{'3_1':0.06,'4_1':0.0},(61,230):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(61,229):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(61,228):{'3_1':0.0},(61,227):{'3_1':0.03,'4_1':0.0},(61,226):{'3_1':0.03},(61,225):{'3_1':0.03},(61,224):{'3_1':0.03},(61,223):{'3_1':0.0},(61,222):{'3_1':0.0},(61,221):{'3_1':0.03},(61,220):{'3_1':0.0},(61,219):{'3_1':0.03,'5_1':0.0},(61,218):{'3_1':0.0},(61,217):{'3_1':0.0},(61,216):{'3_1':0.03},(61,215):{'3_1':0.0},(61,214):{'3_1':0.0},(61,213):{'3_1':0.03,'5_1':0.0},(61,212):{'3_1':0.03,'5_2':0.0},(61,211):{'3_1':0.0,'5_1':0.0},(61,210):{'3_1':0.03},(61,209):{'3_1':0.03},(61,208):{'3_1':0.03},(61,207):{'3_1':0.0},(61,206):{'3_1':0.0},(61,205):{'3_1':0.03},(61,204):{'3_1':0.0},(61,203):{'3_1':0.03},(61,202):{'3_1':0.03},(61,201):{'3_1':0.03,'5_2':0.0},(61,200):{'3_1':0.0},(61,199):{'3_1':0.0},(61,198):{'3_1':0.0},(61,197):{'3_1':0.03},(61,196):{'3_1':0.0},(61,195):{'3_1':0.0},(61,194):{'3_1':0.0},(61,193):{'3_1':0.03},(61,192):{'3_1':0.0},(61,191):{'3_1':0.0},(61,190):{'3_1':0.03},(61,188):{'3_1':0.03},(61,187):{'3_1':0.0},(61,186):{'3_1':0.03},(61,185):{'3_1':0.03,'4_1':0.0},(61,184):{'3_1':0.0},(61,183):{'3_1':0.03},(61,182):{'3_1':0.0},(61,181):{'3_1':0.03},(61,180):{'3_1':0.03},(61,179):{'3_1':0.03},(61,177):{'3_1':0.0},(61,176):{'3_1':0.03},(61,175):{'4_1':0.0},(61,174):{'3_1':0.06},(61,173):{'3_1':0.12},(61,172):{'3_1':0.03},(61,171):{'3_1':0.0},(61,170):{'3_1':0.0},(61,169):{'3_1':0.0},(61,168):{'3_1':0.0},(61,167):{'3_1':0.0},(61,166):{'3_1':0.0},(61,165):{'4_1':0.0},(61,164):{'3_1':0.03},(61,163):{'3_1':0.06},(61,162):{'3_1':0.03},(61,161):{'3_1':0.0},(61,160):{'3_1':0.03,'4_1':0.0},(61,159):{'3_1':0.0},(61,158):{'3_1':0.0},(61,157):{'3_1':0.0,'4_1':0.0},(61,156):{'3_1':0.03},(61,155):{'3_1':0.0,'4_1':0.0},(61,154):{'3_1':0.03,'4_1':0.0},(61,153):{'3_1':0.0},(61,152):{'3_1':0.0},(61,151):{'3_1':0.0},(61,150):{'3_1':0.0},(61,149):{'3_1':0.0},(61,148):{'3_1':0.03,'5_1':0.0},(61,147):{'3_1':0.03},(61,146):{'3_1':0.0},(61,145):{'3_1':0.0},(61,144):{'3_1':0.0},(61,143):{'3_1':0.03,'5_2':0.0},(61,142):{'3_1':0.03},(61,141):{'3_1':0.0},(61,140):{'3_1':0.0},(61,139):{'3_1':0.03},(61,138):{'3_1':0.0},(61,126):{'3_1':0.0},(61,124):{'3_1':0.0},(61,123):{'3_1':0.0},(61,122):{'3_1':0.0},(61,121):{'3_1':0.0},(61,120):{'3_1':0.0},(61,119):{'3_1':0.0},(62,727):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0},(62,726):{'5_2':0.54,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(62,725):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(62,724):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_4':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(62,723):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.06,'3_1#5_2':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(62,722):{'5_2':0.45,'3_1':0.15,'-3':0.15,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(62,721):{'5_2':0.45,'3_1':0.15,'-3':0.09,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(62,720):{'5_2':0.48,'3_1':0.15,'-3':0.12,'5_1':0.0,'7_4':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(62,719):{'5_2':0.63,'-3':0.12,'3_1':0.06,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(62,718):{'5_2':0.45,'3_1':0.12,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(62,717):{'5_2':0.48,'-3':0.15,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(62,716):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(62,715):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_4':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(62,714):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(62,713):{'5_2':0.45,'-3':0.21,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_1':0.0,'7_2':0.0},(62,712):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(62,711):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_5':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0},(62,710):{'5_2':0.33,'-3':0.24,'3_1':0.12,'5_1':0.03,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_7':0.0,'8_2':0.0},(62,709):{'5_2':0.45,'-3':0.21,'3_1':0.09,'5_1':0.06,'3_1#5_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'1':-0.03},(62,708):{'5_2':0.45,'3_1':0.12,'-3':0.09,'5_1':0.06,'7_4':0.06,'6_1':0.0,'7_2':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(62,707):{'5_2':0.42,'-3':0.15,'3_1':0.12,'3_1#5_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(62,706):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(62,705):{'5_2':0.48,'-3':0.18,'3_1':0.12,'7_4':0.03,'7_6':0.0,'7_7':0.0,'7_3':0.0,'3_1#5_2':0.0},(62,704):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_7':0.03,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(62,703):{'5_2':0.39,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_9':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(62,702):{'5_2':0.27,'-3':0.21,'3_1':0.18,'3_1#5_2':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(62,701):{'5_2':0.24,'-3':0.21,'3_1':0.21,'3_1#5_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_15':0.0},(62,700):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(62,699):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_3':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(62,698):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.06,'5_1':0.03,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(62,697):{'5_2':0.33,'-3':0.21,'3_1':0.12,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'4_1':0.0},(62,696):{'5_2':0.33,'3_1':0.21,'-3':0.12,'7_4':0.03,'8_8':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(62,695):{'5_2':0.27,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(62,694):{'5_2':0.3,'3_1':0.18,'-3':0.18,'7_4':0.06,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(62,693):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_3':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(62,692):{'5_2':0.24,'3_1':0.24,'-3':0.18,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,691):{'5_2':0.27,'-3':0.24,'3_1':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.03,'8_14':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(62,690):{'5_2':0.27,'3_1':0.18,'-3':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(62,689):{'3_1':0.3,'5_2':0.24,'-3':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(62,688):{'3_1':0.24,'5_2':0.21,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'1':-0.03},(62,687):{'3_1':0.27,'5_2':0.21,'-3':0.12,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_1':0.0},(62,686):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(62,685):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_7':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(62,684):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(62,683):{'3_1':0.3,'5_2':0.15,'-3':0.12,'7_4':0.03,'7_3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(62,682):{'3_1':0.36,'5_2':0.27,'-3':0.09,'7_4':0.03,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_12':0.0},(62,681):{'3_1':0.45,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,680):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'7_7':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(62,679):{'3_1':0.33,'5_2':0.12,'-3':0.09,'7_4':0.06,'5_1':0.06,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0},(62,678):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,677):{'3_1':0.27,'5_2':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(62,676):{'3_1':0.45,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(62,675):{'3_1':0.42,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(62,674):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_7':0.03,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(62,673):{'3_1':0.48,'5_2':0.15,'-3':0.06,'6_3':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(62,672):{'3_1':0.39,'5_2':0.12,'7_4':0.06,'-3':0.03,'8_20|3_1#3_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(62,671):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'7_7':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(62,670):{'3_1':0.39,'5_2':0.09,'-3':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_5':0.0,'8_14':0.0},(62,669):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(62,668):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(62,667):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0},(62,666):{'3_1':0.39,'5_2':0.15,'-3':0.06,'7_7':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(62,665):{'3_1':0.48,'5_2':0.09,'-3':0.03,'7_7':0.03,'6_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(62,664):{'3_1':0.51,'5_2':0.09,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_8':0.0,'8_19':0.0},(62,663):{'3_1':0.39,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(62,662):{'3_1':0.33,'5_2':0.15,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(62,661):{'3_1':0.42,'-3':0.06,'5_2':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,660):{'3_1':0.36,'5_2':0.09,'-3':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(62,659):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(62,658):{'3_1':0.42,'5_2':0.12,'-3':0.03,'7_4':0.03,'7_7':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0},(62,657):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(62,656):{'3_1':0.39,'5_2':0.12,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(62,655):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(62,654):{'3_1':0.39,'5_2':0.15,'7_7':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'8_19':0.0},(62,653):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'4_1':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'3_1#5_1':0.0},(62,652):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(62,651):{'3_1':0.42,'5_2':0.06,'-3':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0},(62,650):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'7_6':0.03,'7_7':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(62,649):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(62,648):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(62,647):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_6':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(62,646):{'3_1':0.3,'5_2':0.12,'4_1':0.09,'7_6':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(62,645):{'3_1':0.39,'4_1':0.09,'5_2':0.06,'7_6':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(62,644):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'8_21|3_1#4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(62,643):{'3_1':0.27,'5_2':0.09,'4_1':0.09,'5_1':0.06,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(62,642):{'5_2':0.18,'3_1':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(62,641):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(62,640):{'3_1':0.24,'5_2':0.15,'-3':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(62,639):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(62,638):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(62,637):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(62,636):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(62,635):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(62,634):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,633):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_6':0.0},(62,632):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(62,631):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(62,630):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(62,629):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(62,628):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,627):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(62,626):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,625):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'-3':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(62,624):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(62,623):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(62,622):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(62,621):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(62,620):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(62,619):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(62,618):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,617):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(62,616):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(62,615):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'8_16':0.0,'3_1#5_1':0.0,'6_1':0.0,'7_7':0.0},(62,614):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(62,613):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(62,612):{'4_1':0.18,'3_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(62,611):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(62,610):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'8_4':0.0,'8_16':0.0},(62,609):{'4_1':0.21,'3_1':0.12,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0},(62,608):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_14':0.0},(62,607):{'3_1':0.21,'4_1':0.12,'6_2':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(62,606):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'6_1':0.0,'7_3':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,605):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_7':0.0,'5_1':0.0,'8_1':0.0,'8_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(62,604):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(62,603):{'4_1':0.24,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(62,602):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0,'8_11':0.0},(62,601):{'4_1':0.27,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(62,600):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(62,599):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(62,598):{'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_12':0.0},(62,597):{'4_1':0.27,'3_1':0.06,'6_1':0.06,'6_2':0.0,'8_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(62,596):{'4_1':0.3,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(62,595):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(62,594):{'4_1':0.21,'3_1':0.12,'6_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(62,593):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0},(62,592):{'4_1':0.24,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(62,591):{'4_1':0.18,'3_1':0.09,'6_1':0.06,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_7':0.0},(62,590):{'4_1':0.18,'3_1':0.12,'5_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(62,589):{'4_1':0.3,'3_1':0.09,'6_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_17':0.0},(62,588):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_4':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,587):{'4_1':0.27,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(62,586):{'4_1':0.24,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(62,585):{'4_1':0.27,'6_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(62,584):{'4_1':0.3,'3_1':0.03,'6_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_1':0.0},(62,583):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0},(62,582):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_6':0.0,'8_14':0.0},(62,581):{'4_1':0.15,'6_1':0.06,'3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(62,580):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0},(62,579):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(62,578):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(62,577):{'4_1':0.24,'6_1':0.03,'5_1':0.0,'5_2':0.0,'3_1':0.0,'6_2':0.0},(62,576):{'4_1':0.27,'6_1':0.03,'3_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_2':0.0},(62,575):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,574):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(62,573):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0},(62,572):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0},(62,571):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_6':0.0},(62,570):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,569):{'4_1':0.24,'3_1':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(62,568):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'6_2':0.0},(62,567):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(62,566):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0},(62,565):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(62,564):{'4_1':0.21,'3_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(62,563):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(62,562):{'4_1':0.12,'3_1':0.09,'6_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,561):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(62,560):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0},(62,559):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(62,558):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,557):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(62,556):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_2':0.0},(62,555):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'6_2':0.0},(62,554):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,553):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0},(62,552):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_3':0.0,'-3':0.0},(62,551):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(62,550):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(62,549):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(62,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(62,547):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(62,546):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(62,545):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(62,544):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'6_2':0.0},(62,543):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(62,542):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(62,541):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(62,540):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0,'7_1':0.0},(62,539):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0},(62,538):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(62,537):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(62,536):{'3_1':0.24,'4_1':0.03,'6_1':0.0},(62,535):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(62,534):{'3_1':0.12,'4_1':0.03},(62,533):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(62,532):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(62,531):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,530):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_2':0.0},(62,529):{'3_1':0.09,'4_1':0.06},(62,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(62,527):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(62,526):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(62,525):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(62,524):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(62,523):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(62,522):{'3_1':0.06,'4_1':0.03},(62,521):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(62,520):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0},(62,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,518):{'3_1':0.06,'4_1':0.03},(62,517):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(62,516):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(62,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(62,514):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(62,513):{'4_1':0.06,'3_1':0.03},(62,512):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,511):{'3_1':0.03,'4_1':0.0},(62,510):{'3_1':0.03,'4_1':0.0},(62,509):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(62,508):{'3_1':0.03,'4_1':0.0},(62,507):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(62,506):{'3_1':0.06,'4_1':0.03},(62,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,504):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'-3':0.0},(62,503):{'3_1':0.09,'5_1':0.0},(62,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,501):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,500):{'3_1':0.03,'4_1':0.03},(62,499):{'3_1':0.03,'4_1':0.0},(62,498):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_4':0.0},(62,497):{'3_1':0.06,'4_1':0.0},(62,496):{'3_1':0.06,'4_1':0.0},(62,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(62,494):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(62,493):{'3_1':0.09,'4_1':0.0},(62,492):{'4_1':0.06,'3_1':0.0,'-3':0.0},(62,491):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(62,490):{'3_1':0.06,'4_1':0.03},(62,489):{'3_1':0.06,'4_1':0.03},(62,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(62,487):{'3_1':0.06,'4_1':0.0},(62,486):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(62,485):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(62,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(62,483):{'3_1':0.09,'4_1':0.03},(62,482):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(62,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(62,480):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,479):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(62,478):{'3_1':0.09,'4_1':0.03},(62,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(62,476):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(62,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,474):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(62,473):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,472):{'3_1':0.06,'4_1':0.03},(62,471):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(62,470):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(62,469):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(62,468):{'3_1':0.03,'4_1':0.0},(62,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,466):{'3_1':0.06,'4_1':0.0},(62,465):{'3_1':0.03},(62,464):{'3_1':0.06,'4_1':0.0},(62,463):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'5_1':0.0},(62,462):{'3_1':0.06,'4_1':0.0},(62,461):{'3_1':0.09,'4_1':0.0},(62,460):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,459):{'3_1':0.03,'5_2':0.0},(62,458):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,457):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,456):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(62,455):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(62,454):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(62,453):{'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0},(62,452):{'3_1':0.03,'4_1':0.0,'8_4':0.0},(62,451):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(62,450):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,449):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(62,448):{'3_1':0.03,'4_1':0.03},(62,447):{'3_1':0.06,'4_1':0.0},(62,446):{'3_1':0.03,'4_1':0.0},(62,445):{'3_1':0.06},(62,444):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(62,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,442):{'3_1':0.0,'7_6':0.0},(62,441):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(62,440):{'3_1':0.06,'5_2':0.0},(62,439):{'3_1':0.03,'5_2':0.0},(62,438):{'3_1':0.0,'6_1':0.0},(62,437):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(62,436):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(62,435):{'3_1':0.09,'4_1':0.0},(62,434):{'3_1':0.03,'5_1':0.0},(62,433):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(62,432):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(62,431):{'3_1':0.03,'5_2':0.0},(62,430):{'3_1':0.0},(62,429):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(62,428):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(62,427):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(62,426):{'3_1':0.06,'5_2':0.0},(62,425):{'3_1':0.09},(62,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,422):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(62,421):{'3_1':0.09,'5_2':0.0},(62,420):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(62,419):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(62,418):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_4':0.0},(62,417):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(62,416):{'3_1':0.06,'4_1':0.0},(62,415):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(62,414):{'3_1':0.06},(62,413):{'3_1':0.09},(62,412):{'3_1':0.0,'5_2':0.0},(62,411):{'3_1':0.06,'5_1':0.0},(62,410):{'3_1':0.03,'5_2':0.0},(62,409):{'3_1':0.03,'7_4':0.0},(62,408):{'3_1':0.03},(62,407):{'3_1':0.0},(62,406):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(62,405):{'3_1':0.03,'8_4':0.0},(62,404):{'3_1':0.0},(62,403):{'3_1':0.03},(62,402):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(62,401):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(62,400):{'3_1':0.0,'4_1':0.0},(62,399):{'3_1':0.03},(62,398):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,397):{'3_1':0.03,'5_2':0.0},(62,396):{'3_1':0.06},(62,395):{'3_1':0.0,'5_2':0.0},(62,394):{'3_1':0.03,'5_2':0.0},(62,393):{'3_1':0.03},(62,392):{'3_1':0.03},(62,390):{'3_1':0.06},(62,389):{'3_1':0.03},(62,388):{'3_1':0.03,'5_1':0.0},(62,387):{'3_1':0.06,'5_2':0.0},(62,386):{'3_1':0.03,'4_1':0.0},(62,385):{'3_1':0.06,'5_2':0.0},(62,384):{'3_1':0.03,'4_1':0.0},(62,383):{'3_1':0.0,'6_1':0.0},(62,382):{'3_1':0.0},(62,381):{'3_1':0.03},(62,380):{'3_1':0.03,'4_1':0.03},(62,379):{'3_1':0.0,'5_2':0.0},(62,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(62,377):{'3_1':0.0,'4_1':0.0},(62,376):{'3_1':0.03,'4_1':0.0},(62,375):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(62,374):{'3_1':0.06,'4_1':0.03},(62,373):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(62,372):{'3_1':0.03},(62,371):{'3_1':0.03,'4_1':0.0},(62,370):{'4_1':0.03,'3_1':0.03},(62,369):{'3_1':0.03,'4_1':0.0},(62,368):{'3_1':0.03,'4_1':0.0},(62,367):{'3_1':0.0,'4_1':0.0},(62,366):{'4_1':0.03,'3_1':0.0},(62,365):{'3_1':0.03,'4_1':0.0},(62,364):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(62,363):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,362):{'3_1':0.0,'5_2':0.0},(62,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,360):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(62,359):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(62,358):{'3_1':0.0,'4_1':0.0},(62,357):{'3_1':0.03,'4_1':0.0},(62,356):{'3_1':0.0,'4_1':0.0},(62,355):{'3_1':0.0,'4_1':0.0},(62,354):{'3_1':0.0,'4_1':0.0},(62,353):{'3_1':0.06,'4_1':0.0},(62,352):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,351):{'3_1':0.0,'6_1':0.0},(62,350):{'3_1':0.0},(62,349):{'3_1':0.03,'4_1':0.0},(62,348):{'3_1':0.0,'4_1':0.0},(62,347):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,346):{'3_1':0.06,'5_2':0.0},(62,345):{'3_1':0.06,'4_1':0.0},(62,344):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(62,343):{'3_1':0.0,'4_1':0.0},(62,342):{'3_1':0.0,'5_2':0.0},(62,341):{'3_1':0.0},(62,340):{'3_1':0.03,'4_1':0.0},(62,339):{'3_1':0.03,'4_1':0.0},(62,338):{'3_1':0.03},(62,337):{'3_1':0.03,'4_1':0.0},(62,336):{'3_1':0.06,'4_1':0.0},(62,335):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(62,334):{'3_1':0.03,'4_1':0.0},(62,333):{'3_1':0.0,'4_1':0.0},(62,332):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(62,331):{'3_1':0.03},(62,330):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(62,329):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(62,328):{'4_1':0.03,'3_1':0.0,'9_1':0.0},(62,327):{'3_1':0.03,'4_1':0.0},(62,326):{'3_1':0.03,'5_2':0.0},(62,325):{'3_1':0.06,'4_1':0.0},(62,324):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(62,323):{'3_1':0.0},(62,322):{'3_1':0.03,'4_1':0.0},(62,321):{'4_1':0.03,'3_1':0.0},(62,320):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,319):{'3_1':0.03,'4_1':0.0},(62,318):{'3_1':0.03,'4_1':0.0},(62,317):{'3_1':0.06,'5_2':0.0},(62,316):{'3_1':0.06,'4_1':0.0,'7_7':0.0},(62,315):{'3_1':0.03,'4_1':0.0},(62,314):{'3_1':0.03,'4_1':0.0},(62,313):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,312):{'3_1':0.03,'4_1':0.0},(62,311):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,310):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,309):{'3_1':0.03,'4_1':0.0},(62,308):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(62,307):{'3_1':0.06,'5_2':0.0},(62,306):{'3_1':0.03},(62,305):{'3_1':0.09,'4_1':0.0},(62,304):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(62,303):{'3_1':0.09,'4_1':0.0},(62,302):{'3_1':0.03,'4_1':0.0},(62,301):{'3_1':0.03,'4_1':0.0},(62,300):{'4_1':0.03,'3_1':0.0},(62,299):{'4_1':0.03,'3_1':0.0},(62,298):{'3_1':0.03,'4_1':0.0},(62,297):{'3_1':0.0,'5_1':0.0},(62,296):{'3_1':0.0,'4_1':0.0},(62,295):{'3_1':0.03},(62,294):{'3_1':0.06,'4_1':0.0},(62,293):{'3_1':0.0,'4_1':0.0},(62,292):{'3_1':0.06,'4_1':0.0},(62,291):{'3_1':0.03},(62,290):{'3_1':0.0,'4_1':0.0},(62,289):{'3_1':0.03,'4_1':0.0},(62,288):{'4_1':0.03,'3_1':0.0},(62,287):{'3_1':0.06,'4_1':0.0},(62,286):{'3_1':0.0},(62,285):{'3_1':0.06,'4_1':0.0},(62,284):{'3_1':0.06,'4_1':0.0},(62,283):{'3_1':0.09,'4_1':0.0},(62,282):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,281):{'3_1':0.06},(62,280):{'3_1':0.03,'4_1':0.0},(62,279):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(62,278):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,277):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,276):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,275):{'3_1':0.03},(62,274):{'3_1':0.06},(62,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,272):{'3_1':0.03,'6_2':0.0},(62,271):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,270):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(62,269):{'3_1':0.06,'5_2':0.0},(62,268):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,267):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(62,266):{'3_1':0.0},(62,265):{'3_1':0.03,'4_1':0.0},(62,264):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,263):{'3_1':0.03},(62,262):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,261):{'3_1':0.06,'4_1':0.0},(62,260):{'3_1':0.0},(62,259):{'3_1':0.03,'4_1':0.0},(62,258):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(62,257):{'3_1':0.06,'4_1':0.0},(62,256):{'3_1':0.03,'4_1':0.0},(62,255):{'3_1':0.03,'4_1':0.0},(62,254):{'3_1':0.0},(62,253):{'3_1':0.0,'4_1':0.0},(62,252):{'3_1':0.06,'4_1':0.0},(62,251):{'3_1':0.03,'4_1':0.0},(62,250):{'3_1':0.03,'4_1':0.0},(62,249):{'3_1':0.03},(62,248):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(62,247):{'3_1':0.03},(62,246):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,245):{'3_1':0.0},(62,244):{'3_1':0.06},(62,243):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(62,242):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(62,241):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(62,240):{'3_1':0.03,'5_1':0.0},(62,239):{'3_1':0.03,'4_1':0.0},(62,238):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(62,237):{'3_1':0.06,'5_1':0.0},(62,236):{'4_1':0.0,'3_1':0.0},(62,235):{'3_1':0.03,'4_1':0.0},(62,234):{'3_1':0.03,'6_2':0.0},(62,233):{'3_1':0.06},(62,232):{'3_1':0.0},(62,231):{'3_1':0.0},(62,230):{'3_1':0.03},(62,229):{'3_1':0.06,'4_1':0.0},(62,228):{'3_1':0.03,'4_1':0.0},(62,227):{'3_1':0.03,'4_1':0.0},(62,226):{'3_1':0.09,'4_1':0.0},(62,225):{'3_1':0.0},(62,224):{'3_1':0.06},(62,223):{'3_1':0.0},(62,222):{'3_1':0.03},(62,221):{'3_1':0.06},(62,220):{'3_1':0.03},(62,219):{'3_1':0.03},(62,218):{'3_1':0.0},(62,217):{'3_1':0.0},(62,216):{'3_1':0.03},(62,215):{'3_1':0.06},(62,214):{'3_1':0.03},(62,213):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(62,212):{'3_1':0.03},(62,211):{'3_1':0.03},(62,210):{'3_1':0.03},(62,209):{'3_1':0.03},(62,208):{'3_1':0.03},(62,207):{'3_1':0.03,'7_4':0.0},(62,206):{'3_1':0.0},(62,205):{'3_1':0.0,'4_1':0.0},(62,204):{'3_1':0.06},(62,203):{'3_1':0.03},(62,202):{'3_1':0.03},(62,201):{'3_1':0.03},(62,200):{'3_1':0.03},(62,198):{'3_1':0.0},(62,197):{'3_1':0.03},(62,196):{'3_1':0.03},(62,195):{'3_1':0.03},(62,194):{'3_1':0.03},(62,193):{'3_1':0.03},(62,192):{'3_1':0.0},(62,191):{'3_1':0.06},(62,190):{'3_1':0.03,'5_2':0.0},(62,189):{'3_1':0.03,'5_2':0.0},(62,188):{'3_1':0.03},(62,187):{'3_1':0.0},(62,186):{'3_1':0.0,'7_3':0.0},(62,185):{'3_1':0.0,'4_1':0.0},(62,184):{'3_1':0.03},(62,183):{'3_1':0.0},(62,182):{'3_1':0.03},(62,181):{'3_1':0.0},(62,180):{'3_1':0.0},(62,179):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(62,178):{'3_1':0.06},(62,177):{'3_1':0.03,'4_1':0.0},(62,176):{'3_1':0.03},(62,175):{'3_1':0.0,'4_1':0.0},(62,174):{'3_1':0.03},(62,173):{'3_1':0.0},(62,172):{'3_1':0.0},(62,171):{'3_1':0.03,'4_1':0.0},(62,170):{'3_1':0.06},(62,169):{'3_1':0.0},(62,168):{'3_1':0.06},(62,167):{'3_1':0.03},(62,166):{'3_1':0.0},(62,165):{'3_1':0.03},(62,164):{'3_1':0.0},(62,163):{'3_1':0.0},(62,162):{'3_1':0.03},(62,161):{'3_1':0.03},(62,160):{'3_1':0.03},(62,159):{'3_1':0.03},(62,158):{'3_1':0.0},(62,157):{'3_1':0.0},(62,155):{'3_1':0.0,'4_1':0.0},(62,154):{'3_1':0.03},(62,153):{'4_1':0.0,'3_1':0.0},(62,152):{'3_1':0.03},(62,151):{'3_1':0.0},(62,150):{'3_1':0.03},(62,149):{'3_1':0.0},(62,148):{'3_1':0.0},(62,147):{'3_1':0.0},(62,146):{'3_1':0.0},(62,145):{'3_1':0.0},(62,144):{'3_1':0.0},(62,143):{'3_1':0.0},(62,142):{'3_1':0.0},(62,141):{'3_1':0.0},(62,140):{'3_1':0.0},(62,138):{'3_1':0.0},(62,137):{'3_1':0.0,'5_1':0.0},(62,136):{'3_1':0.0},(62,135):{'3_1':0.0},(62,134):{'3_1':0.0,'5_1':0.0},(62,133):{'3_1':0.0},(62,131):{'3_1':0.0},(62,130):{'3_1':0.0},(62,129):{'3_1':0.0},(62,128):{'3_1':0.0},(62,127):{'3_1':0.0},(62,126):{'3_1':0.0},(62,125):{'3_1':0.0},(62,124):{'3_1':0.0},(62,123):{'3_1':0.0},(62,121):{'3_1':0.0},(63,727):{'5_2':0.51,'3_1':0.09,'-3':0.09,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0},(63,726):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(63,725):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(63,724):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(63,723):{'5_2':0.54,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_2':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(63,722):{'5_2':0.33,'-3':0.18,'3_1':0.09,'3_1#5_2':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(63,721):{'5_2':0.39,'3_1':0.15,'-3':0.09,'5_1':0.06,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(63,720):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_4':0.03,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(63,719):{'5_2':0.48,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(63,718):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_2':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(63,717):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(63,716):{'5_2':0.42,'3_1':0.12,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(63,715):{'5_2':0.48,'3_1':0.12,'7_4':0.09,'-3':0.06,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0},(63,714):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0},(63,713):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(63,712):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(63,711):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0},(63,710):{'5_2':0.39,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'8_11':0.0,'4_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(63,709):{'5_2':0.42,'-3':0.09,'3_1':0.09,'5_1':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'2':-0.03},(63,708):{'5_2':0.33,'3_1':0.18,'-3':0.12,'5_1':0.06,'7_5':0.03,'8_14':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(63,707):{'5_2':0.39,'3_1':0.18,'7_4':0.09,'-3':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0},(63,706):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_4':0.06,'3_1#5_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_1':0.0,'1':-0.03},(63,705):{'5_2':0.27,'3_1':0.21,'-3':0.12,'7_4':0.06,'3_1#5_2':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,704):{'5_2':0.33,'-3':0.12,'3_1':0.12,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(63,703):{'5_2':0.27,'3_1':0.24,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(63,702):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.03,'7_5':0.03,'7_3':0.0,'7_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0,'8_14':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(63,701):{'5_2':0.3,'-3':0.21,'3_1':0.15,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(63,700):{'5_2':0.24,'3_1':0.18,'-3':0.18,'7_4':0.06,'3_1#5_2':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(63,699):{'5_2':0.3,'-3':0.18,'3_1':0.12,'5_1':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'8_14':0.0,'7_7':0.0,'3_1#5_2':0.0},(63,698):{'5_2':0.24,'3_1':0.18,'-3':0.12,'5_1':0.06,'7_7':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'3':-0.03},(63,697):{'5_2':0.24,'-3':0.18,'3_1':0.15,'7_3':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(63,696):{'5_2':0.3,'3_1':0.18,'-3':0.12,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(63,695):{'5_2':0.33,'3_1':0.18,'-3':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(63,694):{'5_2':0.3,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(63,693):{'3_1':0.27,'5_2':0.21,'-3':0.18,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(63,692):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(63,691):{'5_2':0.27,'3_1':0.18,'-3':0.18,'5_1':0.03,'7_4':0.03,'7_2':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(63,690):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_3':0.03,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(63,689):{'5_2':0.27,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_7':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(63,688):{'5_2':0.24,'3_1':0.24,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0},(63,687):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(63,686):{'3_1':0.3,'5_2':0.18,'-3':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(63,685):{'3_1':0.24,'5_2':0.18,'-3':0.12,'7_4':0.09,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_1':0.0},(63,684):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(63,683):{'5_2':0.27,'3_1':0.24,'-3':0.15,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(63,682):{'3_1':0.3,'-3':0.12,'5_2':0.09,'7_4':0.09,'6_1':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_2':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(63,681):{'3_1':0.3,'5_2':0.18,'7_4':0.09,'-3':0.09,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(63,680):{'3_1':0.3,'5_2':0.12,'-3':0.09,'5_1':0.03,'7_7':0.03,'7_4':0.03,'8_21|3_1#4_1':0.0,'7_3':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_19':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0},(63,679):{'3_1':0.36,'5_2':0.18,'-3':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0},(63,678):{'3_1':0.45,'5_2':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(63,677):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_4':0.06,'7_7':0.03,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(63,676):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'-3':0.06,'7_4':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0},(63,675):{'3_1':0.39,'5_2':0.15,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(63,674):{'3_1':0.42,'5_2':0.12,'7_4':0.09,'-3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(63,673):{'3_1':0.48,'5_2':0.09,'-3':0.09,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(63,672):{'3_1':0.36,'5_2':0.09,'-3':0.09,'7_4':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(63,671):{'3_1':0.48,'-3':0.06,'5_2':0.06,'7_4':0.03,'7_7':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(63,670):{'3_1':0.39,'5_2':0.09,'-3':0.06,'7_7':0.03,'7_4':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,669):{'3_1':0.36,'5_2':0.12,'7_7':0.06,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(63,668):{'3_1':0.42,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(63,667):{'3_1':0.39,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0},(63,666):{'3_1':0.3,'5_2':0.15,'-3':0.09,'7_4':0.06,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0,'2':-0.03},(63,665):{'3_1':0.36,'-3':0.06,'5_2':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_4':0.0,'8_8':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(63,664):{'3_1':0.45,'5_2':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(63,663):{'3_1':0.33,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(63,662):{'3_1':0.39,'5_2':0.12,'-3':0.03,'7_3':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(63,661):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_19':0.0},(63,660):{'3_1':0.36,'5_2':0.15,'7_7':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(63,659):{'3_1':0.39,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_2':0.0},(63,658):{'3_1':0.42,'5_2':0.09,'7_7':0.03,'8_20|3_1#3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(63,657):{'3_1':0.33,'5_2':0.12,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(63,656):{'3_1':0.39,'5_2':0.09,'7_7':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(63,655):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(63,654):{'3_1':0.3,'5_2':0.12,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(63,653):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(63,652):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'7_7':0.03,'-3':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(63,651):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(63,650):{'3_1':0.36,'4_1':0.09,'5_2':0.06,'7_6':0.03,'5_1':0.03,'7_7':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(63,649):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(63,648):{'3_1':0.27,'5_2':0.12,'8_20|3_1#3_1':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0},(63,647):{'3_1':0.18,'5_2':0.12,'7_6':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(63,646):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(63,645):{'3_1':0.27,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_6':0.03,'6_1':0.0,'7_7':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(63,644):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'7_6':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(63,643):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(63,642):{'3_1':0.24,'4_1':0.15,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_5':0.0},(63,641):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.06,'-3':0.06,'7_6':0.03,'7_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(63,640):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(63,639):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_6':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'6_3':0.0,'8_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(63,638):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(63,637):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(63,636):{'3_1':0.15,'4_1':0.12,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(63,635):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'7_6':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(63,634):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'8_18':0.0,'-3':0.0},(63,633):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_1':0.03,'7_6':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,632):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'-3':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,631):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(63,630):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_6':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(63,629):{'4_1':0.15,'3_1':0.15,'5_2':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(63,628):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_6':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(63,627):{'4_1':0.15,'3_1':0.15,'5_2':0.09,'7_7':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(63,626):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,625):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(63,624):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(63,623):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'6_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(63,622):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(63,621):{'3_1':0.27,'4_1':0.09,'5_1':0.09,'5_2':0.06,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(63,620):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(63,619):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_1':0.0},(63,618):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_10':0.0,'9_1':0.0,'3_1#5_1':0.0},(63,617):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0},(63,616):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(63,615):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(63,614):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(63,613):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,612):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(63,611):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0},(63,610):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(63,609):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(63,608):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(63,607):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(63,606):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(63,605):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(63,604):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,603):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0},(63,602):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(63,601):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(63,600):{'3_1':0.18,'4_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(63,599):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(63,598):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,597):{'4_1':0.27,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(63,596):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(63,595):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0},(63,594):{'4_1':0.24,'3_1':0.06,'6_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_12':0.0},(63,593):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(63,592):{'4_1':0.21,'3_1':0.12,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(63,591):{'4_1':0.21,'3_1':0.15,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(63,590):{'4_1':0.15,'3_1':0.12,'6_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,589):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,588):{'4_1':0.21,'3_1':0.15,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(63,587):{'4_1':0.15,'3_1':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,586):{'4_1':0.27,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(63,585):{'4_1':0.27,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(63,584):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'8_1':0.0,'-3':0.0},(63,583):{'4_1':0.21,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(63,582):{'4_1':0.3,'6_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(63,581):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(63,580):{'4_1':0.27,'3_1':0.09,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(63,579):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(63,578):{'4_1':0.27,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(63,577):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(63,576):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(63,575):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(63,574):{'4_1':0.27,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(63,573):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(63,572):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,571):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'8_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(63,570):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(63,569):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(63,568):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(63,567):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(63,566):{'4_1':0.21,'3_1':0.06,'6_1':0.03,'5_1':0.0},(63,565):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(63,564):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0},(63,563):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(63,562):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(63,561):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,560):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(63,559):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(63,558):{'3_1':0.09,'4_1':0.09,'6_1':0.0},(63,557):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(63,556):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_1':0.0,'6_1':0.0},(63,555):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(63,554):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(63,553):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(63,552):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(63,551):{'3_1':0.12,'4_1':0.09,'6_3':0.0},(63,550):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0},(63,549):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(63,548):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(63,547):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_2':0.0,'8_4':0.0},(63,546):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(63,545):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(63,544):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(63,543):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(63,542):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(63,541):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(63,540):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(63,539):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(63,538):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(63,537):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(63,536):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(63,535):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(63,534):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(63,533):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(63,532):{'3_1':0.12,'4_1':0.06,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(63,531):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(63,530):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(63,529):{'3_1':0.12,'4_1':0.06},(63,528):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(63,527):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(63,526):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(63,525):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(63,524):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0},(63,523):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(63,522):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(63,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(63,520):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(63,519):{'3_1':0.12,'4_1':0.0},(63,518):{'3_1':0.06,'4_1':0.0},(63,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,515):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(63,514):{'3_1':0.06,'4_1':0.03},(63,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,512):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(63,511):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(63,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(63,509):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(63,508):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(63,507):{'3_1':0.03,'4_1':0.0},(63,506):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,505):{'3_1':0.03,'4_1':0.0},(63,504):{'3_1':0.03,'4_1':0.03},(63,503):{'3_1':0.06,'4_1':0.0},(63,502):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(63,501):{'3_1':0.03,'4_1':0.03},(63,500):{'3_1':0.06,'4_1':0.0},(63,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,498):{'3_1':0.03,'4_1':0.03},(63,497):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(63,496):{'3_1':0.09,'4_1':0.0},(63,495):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(63,494):{'3_1':0.03,'4_1':0.0},(63,493):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(63,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(63,491):{'3_1':0.06},(63,490):{'3_1':0.12,'4_1':0.0},(63,489):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(63,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(63,487):{'3_1':0.15,'4_1':0.03,'7_2':0.0},(63,486):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(63,485):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(63,484):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(63,483):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(63,482):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(63,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(63,480):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(63,479):{'3_1':0.12,'4_1':0.0},(63,478):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(63,477):{'3_1':0.06,'4_1':0.0},(63,476):{'3_1':0.12,'4_1':0.03},(63,475):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_5':0.0},(63,474):{'3_1':0.06,'4_1':0.03},(63,473):{'3_1':0.06,'4_1':0.0},(63,472):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(63,471):{'3_1':0.06,'4_1':0.03},(63,470):{'3_1':0.03,'4_1':0.0},(63,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(63,468):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(63,467):{'3_1':0.03,'4_1':0.0},(63,466):{'3_1':0.06,'5_1':0.0},(63,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,464):{'3_1':0.03,'4_1':0.0},(63,463):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(63,462):{'3_1':0.03,'4_1':0.03},(63,461):{'3_1':0.06,'4_1':0.0},(63,460):{'3_1':0.03,'4_1':0.0},(63,459):{'3_1':0.03,'4_1':0.03},(63,458):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(63,457):{'3_1':0.03,'4_1':0.0},(63,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,455):{'3_1':0.03,'4_1':0.0},(63,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_1':0.0},(63,453):{'3_1':0.06,'4_1':0.0},(63,452):{'3_1':0.0,'4_1':0.0},(63,451):{'4_1':0.03,'3_1':0.0},(63,450):{'3_1':0.06,'4_1':0.0},(63,449):{'3_1':0.03},(63,448):{'3_1':0.06,'4_1':0.0,'8_1':0.0},(63,447):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(63,446):{'3_1':0.06,'4_1':0.0},(63,445):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(63,444):{'5_2':0.03,'3_1':0.0,'4_1':0.0},(63,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,442):{'3_1':0.06,'5_2':0.0},(63,441):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,440):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(63,439):{'3_1':0.03},(63,438):{'3_1':0.09,'4_1':0.0},(63,437):{'3_1':0.06,'5_2':0.0},(63,436):{'3_1':0.06,'5_2':0.03},(63,435):{'3_1':0.0},(63,434):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(63,433):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0},(63,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(63,431):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,430):{'3_1':0.12,'5_2':0.0},(63,429):{'3_1':0.09,'5_2':0.0},(63,428):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(63,427):{'3_1':0.06,'5_2':0.0},(63,426):{'3_1':0.06,'8_20|3_1#3_1':0.0},(63,425):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(63,424):{'3_1':0.06,'4_1':0.0},(63,423):{'3_1':0.09},(63,422):{'3_1':0.12,'5_2':0.0},(63,421):{'3_1':0.06,'4_1':0.0},(63,420):{'3_1':0.12,'4_1':0.03},(63,419):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_7':0.0},(63,418):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(63,417):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(63,416):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(63,415):{'3_1':0.09,'4_1':0.0},(63,414):{'3_1':0.12,'4_1':0.0},(63,413):{'3_1':0.06,'5_2':0.0,'-3':0.0},(63,412):{'3_1':0.06,'4_1':0.0},(63,411):{'3_1':0.03,'4_1':0.0},(63,410):{'3_1':0.0},(63,409):{'3_1':0.0},(63,408):{'3_1':0.03,'4_1':0.0},(63,407):{'3_1':0.0},(63,406):{'3_1':0.03,'5_2':0.0,'8_4':0.0},(63,405):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(63,404):{'3_1':0.03,'4_1':0.0},(63,403):{'3_1':0.03},(63,402):{'3_1':0.03},(63,401):{'3_1':0.03,'4_1':0.0},(63,400):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(63,399):{'3_1':0.0},(63,398):{'3_1':0.03,'5_2':0.0},(63,397):{'3_1':0.03},(63,396):{'3_1':0.03,'4_1':0.0},(63,395):{'3_1':0.06},(63,394):{'3_1':0.03},(63,393):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(63,392):{'3_1':0.0},(63,391):{'3_1':0.03,'5_2':0.0},(63,390):{'3_1':0.0},(63,389):{'3_1':0.0},(63,388):{'3_1':0.03,'4_1':0.0},(63,387):{'3_1':0.03,'5_2':0.0},(63,386):{'3_1':0.03,'4_1':0.0},(63,385):{'5_2':0.0},(63,384):{'3_1':0.03,'4_1':0.0},(63,383):{'3_1':0.03,'4_1':0.0},(63,382):{'3_1':0.03},(63,381):{'3_1':0.03,'4_1':0.0},(63,380):{'3_1':0.03,'4_1':0.0},(63,379):{'3_1':0.03,'4_1':0.0},(63,378):{'3_1':0.03},(63,377):{'3_1':0.0,'4_1':0.0},(63,376):{'3_1':0.03,'4_1':0.0},(63,375):{'3_1':0.12},(63,374):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,373):{'3_1':0.03,'4_1':0.0},(63,372):{'3_1':0.03,'4_1':0.0},(63,371):{'3_1':0.03,'4_1':0.0},(63,370):{'4_1':0.03,'3_1':0.03},(63,369):{'3_1':0.0,'4_1':0.0},(63,368):{'3_1':0.0,'4_1':0.0},(63,367):{'3_1':0.06,'4_1':0.0},(63,366):{'4_1':0.03,'3_1':0.0},(63,365):{'3_1':0.0,'4_1':0.0},(63,364):{'3_1':0.03,'4_1':0.0},(63,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,362):{'3_1':0.03,'4_1':0.0},(63,361):{'3_1':0.03,'4_1':0.0},(63,360):{'3_1':0.0,'5_1':0.0},(63,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,358):{'3_1':0.03,'4_1':0.0},(63,357):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(63,356):{'3_1':0.0,'4_1':0.0},(63,355):{'3_1':0.0,'4_1':0.0},(63,354):{'3_1':0.0},(63,353):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(63,352):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(63,351):{'3_1':0.0,'6_1':0.0},(63,350):{'3_1':0.03,'4_1':0.0},(63,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,348):{'3_1':0.0,'4_1':0.0},(63,347):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(63,346):{'3_1':0.06,'4_1':0.0},(63,345):{'3_1':0.06,'4_1':0.0},(63,344):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(63,343):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(63,342):{'3_1':0.03},(63,341):{'3_1':0.0},(63,340):{'3_1':0.0,'4_1':0.0},(63,339):{'3_1':0.06,'4_1':0.0},(63,338):{'3_1':0.03},(63,337):{'3_1':0.0,'9_1':0.0},(63,336):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(63,335):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,334):{'3_1':0.03},(63,333):{'3_1':0.03,'4_1':0.0},(63,332):{'3_1':0.0,'4_1':0.0},(63,331):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(63,330):{'3_1':0.03,'4_1':0.0},(63,329):{'3_1':0.03,'4_1':0.0},(63,328):{'3_1':0.03,'4_1':0.0},(63,327):{'3_1':0.0,'4_1':0.0},(63,326):{'4_1':0.03,'3_1':0.0},(63,325):{'4_1':0.0,'3_1':0.0},(63,324):{'3_1':0.0,'4_1':0.0},(63,323):{'3_1':0.03},(63,322):{'3_1':0.06,'4_1':0.0},(63,321):{'3_1':0.0},(63,320):{'3_1':0.0},(63,319):{'3_1':0.03,'4_1':0.0},(63,318):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(63,317):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(63,316):{'3_1':0.06,'4_1':0.0},(63,315):{'3_1':0.03},(63,314):{'3_1':0.03,'6_1':0.0},(63,313):{'3_1':0.03,'4_1':0.0},(63,312):{'3_1':0.03,'8_20|3_1#3_1':0.0},(63,311):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(63,310):{'3_1':0.06},(63,309):{'3_1':0.03,'4_1':0.0},(63,308):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(63,307):{'3_1':0.06,'4_1':0.0},(63,306):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,305):{'3_1':0.0,'4_1':0.0},(63,304):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,303):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(63,302):{'3_1':0.03},(63,301):{'3_1':0.03,'4_1':0.0},(63,300):{'3_1':0.03,'4_1':0.0},(63,299):{'3_1':0.03},(63,298):{'3_1':0.03,'4_1':0.0},(63,297):{'3_1':0.06,'4_1':0.0},(63,296):{'3_1':0.03,'4_1':0.0},(63,295):{'3_1':0.0},(63,294):{'3_1':0.0,'4_1':0.0},(63,293):{'3_1':0.0,'4_1':0.0},(63,292):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,291):{'3_1':0.03,'4_1':0.0},(63,290):{'3_1':0.03,'4_1':0.0},(63,289):{'3_1':0.0,'4_1':0.0},(63,288):{'3_1':0.03,'4_1':0.0},(63,287):{'3_1':0.03,'4_1':0.03},(63,286):{'3_1':0.0,'4_1':0.0},(63,285):{'3_1':0.0,'4_1':0.0},(63,284):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,283):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(63,282):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(63,281):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(63,280):{'3_1':0.06,'4_1':0.0},(63,279):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(63,278):{'3_1':0.03,'4_1':0.0},(63,277):{'3_1':0.03},(63,276):{'3_1':0.06,'4_1':0.0},(63,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,274):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0},(63,273):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,272):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,271):{'3_1':0.06,'4_1':0.0},(63,270):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,269):{'3_1':0.03,'6_2':0.0},(63,268):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,267):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(63,266):{'3_1':0.03,'4_1':0.0},(63,265):{'3_1':0.06,'4_1':0.0},(63,264):{'3_1':0.06,'6_2':0.0},(63,263):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(63,262):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,261):{'3_1':0.03,'5_1':0.0},(63,260):{'3_1':0.03,'4_1':0.0},(63,259):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(63,258):{'3_1':0.06},(63,257):{'3_1':0.03,'4_1':0.0},(63,256):{'3_1':0.0,'4_1':0.0},(63,255):{'3_1':0.06},(63,254):{'3_1':0.0,'6_2':0.0},(63,253):{'3_1':0.06,'4_1':0.0},(63,252):{'3_1':0.03,'5_1':0.0},(63,251):{'3_1':0.06,'5_1':0.0},(63,250):{'3_1':0.09,'4_1':0.0},(63,249):{'3_1':0.03,'4_1':0.0},(63,248):{'3_1':0.03},(63,247):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,246):{'3_1':0.03,'5_1':0.0},(63,245):{'3_1':0.09,'5_1':0.0},(63,244):{'3_1':0.03,'4_1':0.0},(63,243):{'3_1':0.06,'4_1':0.03},(63,242):{'3_1':0.03,'4_1':0.0},(63,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(63,240):{'3_1':0.03,'4_1':0.0},(63,239):{'3_1':0.09,'4_1':0.0},(63,238):{'3_1':0.03},(63,237):{'3_1':0.06,'5_2':0.0},(63,236):{'3_1':0.06},(63,235):{'3_1':0.06,'4_1':0.0},(63,234):{'3_1':0.06,'4_1':0.0},(63,233):{'3_1':0.09,'4_1':0.0},(63,232):{'3_1':0.0},(63,231):{'3_1':0.03},(63,230):{'3_1':0.03},(63,229):{'3_1':0.03,'4_1':0.0},(63,228):{'3_1':0.03,'4_1':0.0},(63,227):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(63,226):{'3_1':0.03},(63,225):{'3_1':0.0},(63,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,223):{'3_1':0.03},(63,222):{'3_1':0.03},(63,221):{'3_1':0.03},(63,220):{'3_1':0.06},(63,219):{'3_1':0.03},(63,218):{'3_1':0.03},(63,217):{'3_1':0.0},(63,216):{'3_1':0.0,'5_2':0.0},(63,215):{'3_1':0.0},(63,214):{'3_1':0.0},(63,213):{'3_1':0.0},(63,212):{'3_1':0.03,'4_1':0.0},(63,211):{'3_1':0.03,'4_1':0.0},(63,210):{'3_1':0.0},(63,209):{'3_1':0.0},(63,208):{'3_1':0.03},(63,207):{'3_1':0.06},(63,206):{'3_1':0.03},(63,205):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,204):{'3_1':0.03},(63,203):{'3_1':0.06},(63,202):{'3_1':0.0,'5_2':0.0},(63,201):{'3_1':0.03},(63,200):{'3_1':0.0},(63,199):{'3_1':0.0},(63,198):{'3_1':0.03,'4_1':0.0},(63,197):{'3_1':0.03},(63,196):{'3_1':0.03},(63,195):{'3_1':0.03},(63,194):{'3_1':0.0},(63,193):{'3_1':0.0},(63,192):{'3_1':0.03},(63,191):{'3_1':0.06},(63,190):{'3_1':0.03},(63,189):{'3_1':0.03},(63,188):{'3_1':0.03},(63,187):{'3_1':0.03},(63,185):{'3_1':0.03,'4_1':0.0},(63,184):{'4_1':0.0},(63,183):{'3_1':0.0},(63,182):{'3_1':0.0},(63,181):{'3_1':0.0},(63,180):{'3_1':0.06},(63,179):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(63,178):{'3_1':0.03},(63,177):{'3_1':0.03},(63,176):{'3_1':0.03},(63,175):{'3_1':0.03},(63,174):{'3_1':0.03},(63,173):{'4_1':0.0},(63,172):{'3_1':0.0},(63,171):{'3_1':0.03},(63,170):{'3_1':0.0},(63,169):{'3_1':0.0},(63,168):{'3_1':0.03},(63,167):{'3_1':0.0,'4_1':0.0},(63,166):{'3_1':0.0,'6_1':0.0},(63,165):{'3_1':0.06},(63,164):{'3_1':0.0},(63,163):{'3_1':0.03},(63,162):{'3_1':0.03,'4_1':0.0},(63,161):{'3_1':0.03},(63,160):{'3_1':0.0},(63,159):{'3_1':0.03},(63,158):{'3_1':0.03},(63,157):{'3_1':0.03,'4_1':0.0},(63,156):{'3_1':0.03},(63,155):{'3_1':0.0},(63,154):{'3_1':0.03},(63,153):{'3_1':0.03},(63,152):{'3_1':0.06},(63,151):{'3_1':0.0},(63,150):{'3_1':0.0,'4_1':0.0},(63,149):{'3_1':0.0},(63,148):{'3_1':0.06},(63,147):{'3_1':0.0},(63,146):{'3_1':0.0,'4_1':0.0},(63,143):{'3_1':0.0},(63,142):{'3_1':0.0},(63,141):{'3_1':0.0},(63,140):{'3_1':0.0},(63,139):{'3_1':0.0},(63,138):{'3_1':0.0},(63,137):{'3_1':0.0},(63,136):{'3_1':0.0},(63,135):{'3_1':0.0},(63,134):{'3_1':0.0,'5_1':0.0},(63,130):{'3_1':0.0},(63,129):{'3_1':0.0},(63,128):{'3_1':0.0},(63,127):{'3_1':0.0},(63,126):{'3_1':0.0},(63,125):{'3_1':0.0},(63,124):{'3_1':0.0},(63,123):{'3_1':0.0},(63,120):{'3_1':0.0},(64,727):{'5_2':0.51,'3_1':0.12,'-3':0.12,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0},(64,726):{'5_2':0.45,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(64,725):{'5_2':0.45,'3_1':0.15,'-3':0.09,'3_1#5_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(64,724):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'8_15':0.0},(64,723):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'8_8':0.0},(64,722):{'5_2':0.33,'3_1':0.15,'-3':0.15,'5_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(64,721):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(64,720):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(64,719):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0},(64,718):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0},(64,717):{'5_2':0.33,'3_1':0.21,'-3':0.15,'7_4':0.0,'7_7':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0},(64,716):{'5_2':0.39,'3_1':0.12,'-3':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,715):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,714):{'5_2':0.36,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(64,713):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.09,'3_1#5_2':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(64,712):{'5_2':0.36,'3_1':0.18,'-3':0.12,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,711):{'5_2':0.3,'-3':0.18,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(64,710):{'5_2':0.33,'-3':0.21,'3_1':0.18,'7_4':0.06,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0},(64,709):{'5_2':0.3,'-3':0.15,'3_1':0.09,'5_1':0.06,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(64,708):{'5_2':0.42,'-3':0.12,'3_1':0.12,'5_1':0.06,'3_1#5_2':0.03,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'1':-0.03},(64,707):{'5_2':0.36,'3_1':0.15,'-3':0.12,'3_1#5_2':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(64,706):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.06,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(64,705):{'5_2':0.27,'3_1':0.18,'-3':0.12,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'1':-0.03},(64,704):{'5_2':0.36,'-3':0.15,'3_1':0.15,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,703):{'5_2':0.36,'-3':0.21,'3_1':0.12,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(64,702):{'5_2':0.39,'-3':0.18,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(64,701):{'5_2':0.33,'-3':0.15,'3_1':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(64,700):{'5_2':0.27,'-3':0.18,'3_1':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(64,699):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_3':0.06,'7_6':0.03,'5_1':0.0,'7_4':0.0,'8_14':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(64,698):{'5_2':0.3,'-3':0.12,'3_1':0.12,'7_3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(64,697):{'5_2':0.33,'3_1':0.18,'-3':0.15,'7_3':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,696):{'5_2':0.33,'-3':0.18,'3_1':0.15,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'2':-0.03},(64,695):{'5_2':0.27,'-3':0.24,'3_1':0.15,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(64,694):{'5_2':0.36,'-3':0.21,'3_1':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_9':0.0,'8_13':0.0,'1':-0.03},(64,693):{'5_2':0.27,'3_1':0.18,'-3':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_14':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(64,692):{'5_2':0.24,'-3':0.15,'3_1':0.15,'7_4':0.06,'7_3':0.03,'7_7':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,691):{'5_2':0.3,'3_1':0.15,'-3':0.15,'3_1#5_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(64,690):{'5_2':0.3,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.03,'6_1':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(64,689):{'5_2':0.33,'3_1':0.21,'-3':0.15,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_14':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(64,688):{'5_2':0.27,'3_1':0.24,'-3':0.09,'7_4':0.06,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(64,687):{'3_1':0.21,'5_2':0.21,'-3':0.15,'7_3':0.06,'5_1':0.03,'7_4':0.03,'6_3':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,686):{'5_2':0.3,'3_1':0.24,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(64,685):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'7_7':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(64,684):{'3_1':0.24,'5_2':0.18,'-3':0.15,'7_4':0.06,'7_3':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,683):{'3_1':0.33,'5_2':0.18,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'6_3':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(64,682):{'3_1':0.24,'5_2':0.18,'-3':0.09,'7_4':0.06,'7_3':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,681):{'3_1':0.45,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(64,680):{'3_1':0.33,'5_2':0.18,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(64,679):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'7_4':0.06,'-3':0.06,'7_7':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,678):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0},(64,677):{'3_1':0.3,'7_4':0.12,'5_2':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0},(64,676):{'3_1':0.3,'5_2':0.12,'-3':0.12,'7_7':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,675):{'3_1':0.39,'5_2':0.06,'7_7':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(64,674):{'3_1':0.33,'5_2':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0},(64,673):{'3_1':0.39,'5_2':0.12,'-3':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(64,672):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(64,671):{'3_1':0.33,'-3':0.06,'7_7':0.06,'5_2':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(64,670):{'3_1':0.45,'5_2':0.06,'7_7':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,669):{'3_1':0.42,'5_2':0.09,'7_7':0.06,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(64,668):{'3_1':0.45,'5_2':0.09,'7_7':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(64,667):{'3_1':0.51,'5_2':0.09,'-3':0.06,'7_4':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(64,666):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(64,665):{'3_1':0.45,'5_2':0.09,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(64,664):{'3_1':0.3,'5_2':0.12,'-3':0.09,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,663):{'3_1':0.39,'-3':0.09,'5_2':0.06,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(64,662):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(64,661):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,660):{'3_1':0.39,'5_2':0.15,'5_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(64,659):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,658):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(64,657):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'7_6':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(64,656):{'3_1':0.36,'5_2':0.09,'8_20|3_1#3_1':0.03,'7_4':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(64,655):{'3_1':0.42,'5_2':0.09,'8_20|3_1#3_1':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(64,654):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'-3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(64,653):{'3_1':0.36,'5_2':0.09,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(64,652):{'3_1':0.33,'5_2':0.09,'-3':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,651):{'3_1':0.36,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.03,'7_6':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(64,650):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(64,649):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_7':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0},(64,648):{'3_1':0.39,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_6':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_14':0.0,'3_1#5_2':0.0},(64,647):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(64,646):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(64,645):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(64,644):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_6':0.06,'7_3':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,643):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(64,642):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_6':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_16':0.0,'3_1#5_1':0.0},(64,641):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(64,640):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(64,639):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_2':0.0},(64,638):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(64,637):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'-3':0.03,'7_6':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(64,636):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(64,635):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(64,634):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.03,'7_6':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(64,633):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(64,632):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(64,631):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(64,630):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.03,'7_6':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(64,629):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'7_6':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(64,628):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_10':0.0},(64,627):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'8_20|3_1#3_1':0.03,'8_14':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(64,626):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(64,625):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(64,624):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(64,623):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(64,622):{'4_1':0.21,'3_1':0.15,'5_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(64,621):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(64,620):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(64,619):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0},(64,618):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'8_16':0.0},(64,617):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.03,'6_2':0.0,'8_10':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,616):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(64,615):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(64,614):{'4_1':0.24,'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(64,613):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(64,612):{'4_1':0.18,'3_1':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(64,611):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(64,610):{'3_1':0.18,'4_1':0.09,'-3':0.03,'6_2':0.0,'7_3':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(64,609):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(64,608):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0,'1':-0.03},(64,607):{'4_1':0.18,'3_1':0.18,'6_2':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'5_2':0.0,'9_1':0.0},(64,606):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(64,605):{'3_1':0.15,'4_1':0.15,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(64,604):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(64,603):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(64,602):{'4_1':0.27,'3_1':0.12,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(64,601):{'4_1':0.18,'3_1':0.15,'6_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(64,600):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(64,599):{'4_1':0.21,'3_1':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(64,598):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'6_2':0.0,'9_1':0.0},(64,597):{'4_1':0.24,'3_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(64,596):{'4_1':0.21,'3_1':0.15,'6_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(64,595):{'4_1':0.27,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0,'-3':0.0},(64,594):{'4_1':0.27,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(64,593):{'4_1':0.24,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_9':0.0,'8_14':0.0,'-3':0.0},(64,592):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_12':0.0,'-3':0.0},(64,591):{'4_1':0.15,'3_1':0.09,'6_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(64,590):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(64,589):{'4_1':0.18,'3_1':0.12,'6_2':0.03,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(64,588):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'8_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(64,587):{'4_1':0.21,'3_1':0.12,'6_2':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(64,586):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(64,585):{'4_1':0.27,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0},(64,584):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(64,583):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(64,582):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(64,581):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_4':0.0},(64,580):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(64,579):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'5_1':0.0,'9_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(64,578):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(64,577):{'4_1':0.27,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(64,576):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(64,575):{'4_1':0.27,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(64,574):{'4_1':0.24,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(64,573):{'4_1':0.3,'3_1':0.03,'6_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(64,572):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_9':0.0,'9_1':0.0},(64,571):{'4_1':0.3,'3_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0},(64,570):{'4_1':0.27,'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0},(64,569):{'4_1':0.18,'3_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(64,568):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0},(64,567):{'4_1':0.24,'3_1':0.06,'6_2':0.03,'6_1':0.0,'8_1':0.0},(64,566):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(64,565):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0},(64,564):{'4_1':0.15,'3_1':0.06,'6_1':0.06,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(64,563):{'4_1':0.15,'6_1':0.03,'3_1':0.0,'7_7':0.0},(64,562):{'4_1':0.15,'3_1':0.09,'6_1':0.03},(64,561):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(64,560):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'8_20|3_1#3_1':0.0},(64,559):{'4_1':0.24,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(64,558):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0},(64,557):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(64,556):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(64,555):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'6_1':0.0},(64,554):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0},(64,553):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0},(64,552):{'3_1':0.12,'4_1':0.12,'5_1':0.0},(64,551):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(64,550):{'3_1':0.09,'4_1':0.06,'9_1':0.0,'6_1':0.0},(64,549):{'3_1':0.21,'4_1':0.06,'6_2':0.0},(64,548):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(64,547):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(64,546):{'3_1':0.18,'4_1':0.06,'6_1':0.0},(64,545):{'3_1':0.15,'4_1':0.03,'9_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(64,544):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(64,543):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,542):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0},(64,541):{'3_1':0.15,'4_1':0.06,'6_3':0.0},(64,540):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_2':0.0},(64,539):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(64,538):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0},(64,537):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(64,536):{'3_1':0.21,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_2':0.0},(64,535):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'7_1':0.0},(64,534):{'3_1':0.15,'4_1':0.06,'8_20|3_1#3_1':0.0},(64,533):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(64,532):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0},(64,531):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(64,530):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(64,529):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_1':0.0},(64,528):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(64,527):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(64,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(64,525):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(64,524):{'3_1':0.06,'4_1':0.03},(64,523):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(64,522):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(64,521):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(64,520):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(64,519):{'3_1':0.09,'4_1':0.03},(64,518):{'3_1':0.12,'4_1':0.03},(64,517):{'4_1':0.03,'3_1':0.0},(64,516):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(64,515):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(64,514):{'3_1':0.06,'4_1':0.03},(64,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(64,512):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(64,511):{'3_1':0.03,'4_1':0.0},(64,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(64,509):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(64,508):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'-3':0.0},(64,507):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(64,506):{'3_1':0.0,'4_1':0.0},(64,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(64,504):{'3_1':0.0,'4_1':0.0},(64,503):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(64,502):{'3_1':0.0,'5_1':0.0},(64,501):{'3_1':0.06,'4_1':0.03},(64,500):{'3_1':0.09,'4_1':0.0},(64,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,498):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,497):{'3_1':0.06,'4_1':0.0},(64,496):{'3_1':0.06,'4_1':0.0},(64,495):{'3_1':0.06,'4_1':0.0},(64,494):{'3_1':0.06,'4_1':0.0},(64,493):{'3_1':0.03,'4_1':0.0},(64,492):{'3_1':0.06,'4_1':0.06},(64,491):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(64,490):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(64,489):{'3_1':0.06,'4_1':0.0},(64,488):{'3_1':0.09,'4_1':0.0},(64,487):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(64,486):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(64,485):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(64,484):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(64,483):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,482):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(64,481):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(64,480):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(64,479):{'3_1':0.12,'4_1':0.0,'9_1':0.0},(64,478):{'3_1':0.12,'4_1':0.0,'-3':0.0},(64,477):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,476):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,475):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,474):{'3_1':0.09,'4_1':0.0},(64,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,472):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(64,471):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(64,470):{'3_1':0.03,'4_1':0.0},(64,469):{'3_1':0.06,'4_1':0.0},(64,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(64,467):{'3_1':0.06,'4_1':0.0},(64,466):{'3_1':0.03,'4_1':0.0},(64,465):{'3_1':0.0,'4_1':0.0},(64,464):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,462):{'3_1':0.06,'4_1':0.0},(64,461):{'3_1':0.03,'4_1':0.0},(64,460):{'3_1':0.06,'4_1':0.03},(64,459):{'3_1':0.03,'4_1':0.0},(64,458):{'3_1':0.12},(64,457):{'3_1':0.0,'4_1':0.0},(64,456):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(64,455):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(64,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,453):{'3_1':0.03},(64,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,451):{'3_1':0.06,'4_1':0.03},(64,450):{'3_1':0.0,'4_1':0.0},(64,449):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(64,448):{'3_1':0.03,'4_1':0.03},(64,447):{'4_1':0.03,'3_1':0.0},(64,446):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,445):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(64,444):{'3_1':0.06,'5_2':0.0},(64,443):{'3_1':0.06,'5_2':0.0},(64,442):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,441):{'3_1':0.0,'4_1':0.0},(64,440):{'3_1':0.09,'5_2':0.0},(64,439):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(64,438):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(64,437):{'3_1':0.06,'5_2':0.0},(64,436):{'3_1':0.06,'5_2':0.0},(64,435):{'3_1':0.03,'5_2':0.0},(64,434):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(64,433):{'3_1':0.09,'5_2':0.0},(64,432):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,431):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(64,430):{'3_1':0.09},(64,429):{'3_1':0.03},(64,428):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(64,427):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(64,426):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(64,425):{'3_1':0.12,'7_4':0.0},(64,424):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,423):{'3_1':0.12},(64,422):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,421):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(64,420):{'3_1':0.06,'5_2':0.0},(64,419):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(64,418):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(64,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,415):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(64,414):{'3_1':0.09,'5_1':0.0},(64,413):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(64,412):{'3_1':0.06},(64,411):{'3_1':0.09,'5_2':0.0},(64,410):{'3_1':0.03,'5_2':0.0},(64,409):{'3_1':0.03},(64,408):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(64,407):{'3_1':0.06,'4_1':0.0},(64,406):{'3_1':0.0,'5_2':0.0},(64,405):{'3_1':0.03},(64,404):{'3_1':0.03},(64,403):{'3_1':0.03,'4_1':0.0},(64,402):{'3_1':0.06},(64,401):{'3_1':0.0},(64,400):{'3_1':0.0},(64,399):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,398):{'3_1':0.06},(64,397):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(64,396):{'3_1':0.06},(64,395):{'3_1':0.06,'4_1':0.0},(64,394):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,393):{'3_1':0.03,'4_1':0.0},(64,392):{'3_1':0.0,'4_1':0.0},(64,391):{'3_1':0.0,'4_1':0.0},(64,390):{'3_1':0.0,'5_2':0.0},(64,389):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,388):{'3_1':0.0},(64,387):{'3_1':0.03},(64,386):{'3_1':0.0},(64,385):{'3_1':0.03,'5_2':0.0},(64,384):{'3_1':0.09,'8_20|3_1#3_1':0.0},(64,383):{'3_1':0.03},(64,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,381):{'3_1':0.03,'4_1':0.0},(64,380):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,379):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,378):{'3_1':0.03},(64,377):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(64,375):{'3_1':0.06,'5_1':0.0},(64,374):{'3_1':0.06},(64,373):{'4_1':0.03,'3_1':0.03,'8_20|3_1#3_1':0.0},(64,372):{'3_1':0.03},(64,371):{'3_1':0.03},(64,370):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(64,369):{'3_1':0.03},(64,368):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,367):{'3_1':0.03,'4_1':0.03},(64,366):{'3_1':0.0,'4_1':0.0},(64,365):{'3_1':0.03,'4_1':0.0},(64,364):{'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(64,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(64,362):{'4_1':0.03,'3_1':0.03,'-3':0.0},(64,361):{'3_1':0.03,'8_1':0.0},(64,360):{'4_1':0.03,'3_1':0.03},(64,359):{'3_1':0.0,'4_1':0.0},(64,358):{'3_1':0.06,'4_1':0.03},(64,357):{'3_1':0.03,'4_1':0.0},(64,356):{'3_1':0.0,'4_1':0.0},(64,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,354):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(64,353):{'3_1':0.06},(64,352):{'3_1':0.03,'4_1':0.0},(64,351):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(64,350):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(64,349):{'4_1':0.0,'3_1':0.0},(64,348):{'3_1':0.03},(64,347):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,346):{'4_1':0.0,'3_1':0.0},(64,345):{'3_1':0.03,'4_1':0.0},(64,344):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,343):{'4_1':0.0,'3_1':0.0},(64,342):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0},(64,341):{'3_1':0.03,'4_1':0.0},(64,340):{'4_1':0.0,'5_2':0.0},(64,339):{'3_1':0.03,'6_1':0.0},(64,338):{'3_1':0.03,'4_1':0.0},(64,337):{'4_1':0.0,'3_1':0.0},(64,336):{'3_1':0.03},(64,335):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(64,334):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,333):{'3_1':0.06,'4_1':0.0},(64,332):{'3_1':0.0,'4_1':0.0},(64,331):{'3_1':0.09,'4_1':0.0},(64,330):{'3_1':0.06,'4_1':0.0},(64,329):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(64,328):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(64,327):{'3_1':0.06,'4_1':0.0},(64,326):{'4_1':0.0,'3_1':0.0},(64,325):{'4_1':0.0},(64,324):{'3_1':0.09,'4_1':0.0},(64,323):{'3_1':0.0,'4_1':0.0},(64,322):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(64,321):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(64,320):{'3_1':0.06,'4_1':0.0},(64,319):{'3_1':0.03,'4_1':0.0},(64,318):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,317):{'3_1':0.03,'4_1':0.0},(64,316):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,315):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,314):{'3_1':0.03,'6_1':0.0},(64,313):{'3_1':0.06,'4_1':0.0},(64,312):{'3_1':0.06,'4_1':0.0,'-3':0.0},(64,311):{'3_1':0.09,'4_1':0.0},(64,310):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(64,309):{'3_1':0.0},(64,308):{'3_1':0.03,'4_1':0.0},(64,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,306):{'3_1':0.03},(64,305):{'3_1':0.03,'4_1':0.0},(64,304):{'4_1':0.0},(64,303):{'3_1':0.06,'4_1':0.0},(64,302):{'3_1':0.06,'4_1':0.0},(64,301):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(64,300):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0},(64,299):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,298):{'3_1':0.09},(64,297):{'3_1':0.0,'4_1':0.0},(64,296):{'3_1':0.03,'4_1':0.0},(64,295):{'4_1':0.03,'3_1':0.0},(64,294):{'3_1':0.0,'4_1':0.0},(64,293):{'3_1':0.06,'4_1':0.0},(64,292):{'3_1':0.03,'4_1':0.03},(64,291):{'3_1':0.0},(64,290):{'3_1':0.03},(64,289):{'3_1':0.06,'4_1':0.0},(64,288):{'3_1':0.06,'4_1':0.0},(64,287):{'3_1':0.0,'4_1':0.0},(64,286):{'3_1':0.03,'4_1':0.0},(64,285):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,284):{'3_1':0.03,'4_1':0.0},(64,283):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(64,282):{'3_1':0.03,'4_1':0.0},(64,281):{'3_1':0.03,'5_1':0.0},(64,280):{'3_1':0.03},(64,279):{'3_1':0.06},(64,278):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,277):{'3_1':0.06},(64,276):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,275):{'3_1':0.0,'4_1':0.0},(64,274):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,273):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(64,272):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(64,271):{'3_1':0.06,'4_1':0.0},(64,270):{'3_1':0.03,'4_1':0.0},(64,269):{'3_1':0.0},(64,268):{'3_1':0.03,'4_1':0.0},(64,267):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(64,266):{'3_1':0.09,'4_1':0.0},(64,265):{'3_1':0.06,'6_2':0.0},(64,264):{'3_1':0.0,'4_1':0.0},(64,263):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,262):{'3_1':0.03},(64,261):{'3_1':0.03,'4_1':0.0},(64,260):{'3_1':0.03,'4_1':0.0},(64,259):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,258):{'3_1':0.03,'4_1':0.0},(64,257):{'3_1':0.03,'4_1':0.0},(64,256):{'3_1':0.0,'4_1':0.0},(64,255):{'3_1':0.0},(64,254):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,253):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(64,252):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,251):{'3_1':0.0},(64,250):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,249):{'3_1':0.03,'5_2':0.0},(64,248):{'3_1':0.0},(64,247):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,246):{'3_1':0.03,'4_1':0.0},(64,245):{'3_1':0.0,'5_1':0.0},(64,244):{'3_1':0.03,'4_1':0.0},(64,243):{'3_1':0.06,'5_1':0.0},(64,242):{'3_1':0.03,'4_1':0.0},(64,241):{'3_1':0.03,'4_1':0.03},(64,240):{'3_1':0.03,'4_1':0.0},(64,239):{'3_1':0.06,'4_1':0.0},(64,238):{'3_1':0.06},(64,237):{'3_1':0.03,'4_1':0.0},(64,236):{'3_1':0.03},(64,235):{'3_1':0.03},(64,234):{'3_1':0.03,'5_1':0.0},(64,233):{'3_1':0.06},(64,232):{'3_1':0.06},(64,231):{'3_1':0.0,'4_1':0.0},(64,230):{'3_1':0.03},(64,229):{'3_1':0.06,'4_1':0.0},(64,228):{'3_1':0.03},(64,227):{'3_1':0.03,'4_1':0.0},(64,226):{'3_1':0.06,'5_2':0.0},(64,225):{'3_1':0.03,'4_1':0.0},(64,224):{'3_1':0.0},(64,223):{'3_1':0.03},(64,222):{'3_1':0.03},(64,221):{'3_1':0.0,'4_1':0.0},(64,220):{'3_1':0.06},(64,219):{'3_1':0.03,'4_1':0.0},(64,218):{'3_1':0.03},(64,217):{'3_1':0.03},(64,216):{'3_1':0.03,'4_1':0.0},(64,215):{'3_1':0.0,'4_1':0.0},(64,214):{'3_1':0.03},(64,213):{'3_1':0.03,'4_1':0.0},(64,212):{'3_1':0.03,'4_1':0.0},(64,211):{'3_1':0.03},(64,210):{'3_1':0.03},(64,209):{'3_1':0.03},(64,208):{'3_1':0.03},(64,207):{'3_1':0.03},(64,206):{'3_1':0.03,'5_1':0.0},(64,205):{'3_1':0.03,'5_1':0.0},(64,204):{'3_1':0.06},(64,203):{'3_1':0.03},(64,202):{'3_1':0.03},(64,201):{'3_1':0.0,'4_1':0.0},(64,200):{'3_1':0.03},(64,199):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(64,198):{'3_1':0.0},(64,197):{'3_1':0.0,'5_1':0.0},(64,196):{'3_1':0.0},(64,195):{'3_1':0.03},(64,194):{'3_1':0.03},(64,193):{'3_1':0.03},(64,192):{'3_1':0.0},(64,191):{'3_1':0.06,'4_1':0.0},(64,190):{'3_1':0.03},(64,189):{'3_1':0.0},(64,188):{'3_1':0.03},(64,187):{'3_1':0.0},(64,186):{'3_1':0.03},(64,185):{'3_1':0.03},(64,184):{'3_1':0.0},(64,183):{'3_1':0.0},(64,182):{'3_1':0.03},(64,181):{'3_1':0.0},(64,180):{'3_1':0.0},(64,179):{'3_1':0.06,'4_1':0.0},(64,178):{'3_1':0.0,'4_1':0.0},(64,177):{'3_1':0.03},(64,176):{'3_1':0.03,'4_1':0.0},(64,175):{'3_1':0.03},(64,174):{'3_1':0.06},(64,173):{'3_1':0.03},(64,172):{'3_1':0.03,'4_1':0.0},(64,171):{'3_1':0.03,'4_1':0.0},(64,170):{'3_1':0.03},(64,169):{'3_1':0.03},(64,168):{'3_1':0.0},(64,167):{'3_1':0.06},(64,166):{'3_1':0.03,'4_1':0.0},(64,165):{'3_1':0.03},(64,164):{'3_1':0.0},(64,163):{'3_1':0.03,'5_2':0.0},(64,162):{'3_1':0.09,'8_20|3_1#3_1':0.0},(64,161):{'3_1':0.06},(64,160):{'3_1':0.03},(64,159):{'3_1':0.0},(64,158):{'3_1':0.06},(64,157):{'3_1':0.03,'4_1':0.0},(64,156):{'3_1':0.0},(64,155):{'3_1':0.0},(64,154):{'3_1':0.06},(64,153):{'3_1':0.0},(64,152):{'3_1':0.03},(64,151):{'3_1':0.03,'4_1':0.0},(64,150):{'3_1':0.0,'5_1':0.0},(64,149):{'3_1':0.03},(64,148):{'3_1':0.0},(64,147):{'3_1':0.03},(64,146):{'3_1':0.03},(64,144):{'3_1':0.0},(64,143):{'3_1':0.03,'4_1':0.0},(64,142):{'3_1':0.03},(64,141):{'3_1':0.0},(64,139):{'3_1':0.0},(64,138):{'3_1':0.0},(64,137):{'3_1':0.0},(64,136):{'3_1':0.0},(64,135):{'3_1':0.0},(64,133):{'3_1':0.0},(64,131):{'3_1':0.0},(64,130):{'3_1':0.0},(64,128):{'3_1':0.0},(64,127):{'3_1':0.0},(64,126):{'3_1':0.0},(64,125):{'3_1':0.0},(64,124):{'3_1':0.0,'5_1':0.0},(64,123):{'3_1':0.0},(64,120):{'3_1':0.0},(65,727):{'5_2':0.45,'3_1':0.15,'-3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(65,726):{'5_2':0.66,'-3':0.06,'3_1':0.06,'7_4':0.03,'8_19':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(65,725):{'5_2':0.51,'-3':0.15,'3_1':0.06,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(65,724):{'5_2':0.54,'-3':0.09,'3_1':0.09,'5_1':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(65,723):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(65,722):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_5':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(65,721):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(65,720):{'5_2':0.45,'-3':0.09,'3_1':0.09,'5_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(65,719):{'5_2':0.42,'3_1':0.12,'-3':0.09,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(65,718):{'5_2':0.42,'-3':0.18,'3_1':0.09,'5_1':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_12':0.0},(65,717):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(65,716):{'5_2':0.33,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(65,715):{'5_2':0.42,'-3':0.12,'7_4':0.09,'3_1':0.06,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0},(65,714):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(65,713):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(65,712):{'5_2':0.39,'-3':0.12,'3_1':0.12,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'6_3':0.0,'3_1#5_2':0.0},(65,711):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0,'1':-0.03},(65,710):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'2':-0.03},(65,709):{'5_2':0.42,'-3':0.21,'3_1':0.06,'5_1':0.06,'7_5':0.06,'7_2':0.0,'7_7':0.0,'3_1#5_1':0.0},(65,708):{'5_2':0.48,'-3':0.09,'3_1':0.09,'5_1':0.06,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(65,707):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(65,706):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_19':0.0,'1':-0.03},(65,705):{'5_2':0.27,'-3':0.18,'3_1':0.09,'7_4':0.06,'7_2':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(65,704):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_3':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(65,703):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(65,702):{'5_2':0.27,'-3':0.18,'3_1':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.03,'3_1#5_2':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0},(65,701):{'5_2':0.45,'3_1':0.15,'-3':0.12,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_8':0.0},(65,700):{'5_2':0.33,'3_1':0.21,'-3':0.15,'7_2':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(65,699):{'5_2':0.36,'-3':0.18,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(65,698):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_6':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0},(65,697):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(65,696):{'5_2':0.39,'3_1':0.18,'-3':0.09,'6_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(65,695):{'5_2':0.33,'-3':0.21,'3_1':0.15,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(65,694):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(65,693):{'5_2':0.21,'3_1':0.21,'-3':0.12,'7_3':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_8':0.0},(65,692):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'8_15':0.0},(65,691):{'5_2':0.27,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'7_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(65,690):{'5_2':0.27,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.03,'8_15':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(65,689):{'5_2':0.27,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(65,688):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(65,687):{'3_1':0.3,'5_2':0.27,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(65,686):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'8_11':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(65,685):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'-3':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(65,684):{'3_1':0.27,'5_2':0.21,'-3':0.09,'7_4':0.06,'7_7':0.03,'5_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(65,683):{'3_1':0.27,'5_2':0.21,'-3':0.12,'5_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(65,682):{'3_1':0.27,'5_2':0.15,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'8_8':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(65,681):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'-3':0.03,'7_7':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(65,680):{'3_1':0.33,'5_2':0.12,'7_4':0.12,'-3':0.06,'7_7':0.03,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(65,679):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(65,678):{'3_1':0.42,'5_2':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(65,677):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'6_2':0.0,'4_1':0.0},(65,676):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_2':0.0,'7_3':0.0},(65,675):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0},(65,674):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(65,673):{'3_1':0.39,'5_2':0.15,'7_7':0.06,'-3':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(65,672):{'3_1':0.39,'5_2':0.06,'-3':0.06,'7_4':0.06,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(65,671):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0},(65,670):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0},(65,669):{'3_1':0.45,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(65,668):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(65,667):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(65,666):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'8_19':0.0,'7_7':0.0,'8_8':0.0},(65,665):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(65,664):{'3_1':0.54,'5_2':0.09,'7_7':0.06,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(65,663):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'7_3':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(65,662):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(65,661):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.03,'7_7':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(65,660):{'3_1':0.36,'5_2':0.12,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0},(65,659):{'3_1':0.39,'5_2':0.21,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_13':0.0,'8_15':0.0},(65,658):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_6':0.0},(65,657):{'3_1':0.42,'5_2':0.15,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(65,656):{'3_1':0.39,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(65,655):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(65,654):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,653):{'3_1':0.33,'5_2':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(65,652):{'3_1':0.45,'5_2':0.09,'7_7':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,651):{'3_1':0.39,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,650):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'1':-0.03},(65,649):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(65,648):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(65,647):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'-3':0.03,'7_6':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(65,646):{'3_1':0.3,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(65,645):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_7':0.03,'7_6':0.0,'-3':0.0,'8_14':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(65,644):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(65,643):{'3_1':0.21,'5_2':0.15,'4_1':0.09,'5_1':0.06,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'1':-0.03},(65,642):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.06,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(65,641):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(65,640):{'3_1':0.18,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(65,639):{'3_1':0.21,'5_2':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(65,638):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(65,637):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(65,636):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(65,635):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(65,634):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(65,633):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(65,632):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(65,631):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'1':-0.03},(65,630):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(65,629):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(65,628):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(65,627):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0,'8_8':0.0},(65,626):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(65,625):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(65,624):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_7':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_5':0.0,'8_15':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(65,623):{'3_1':0.18,'4_1':0.15,'5_1':0.06,'5_2':0.03,'-3':0.0,'7_6':0.0,'7_1':0.0},(65,622):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.06,'6_1':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(65,621):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'3_1#5_1':0.0,'1':-0.03},(65,620):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.03,'7_6':0.0,'-3':0.0,'7_7':0.0},(65,619):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0},(65,618):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(65,617):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'3_1#5_1':0.0,'-3':0.0},(65,616):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0},(65,615):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(65,614):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'8_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(65,613):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(65,612):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(65,611):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_7':0.0},(65,610):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(65,609):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,608):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(65,607):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0},(65,606):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(65,605):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(65,604):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'9_1':0.0,'-3':0.0},(65,603):{'4_1':0.24,'3_1':0.12,'6_2':0.03,'5_2':0.0,'-3':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(65,602):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,601):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(65,600):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_1':0.0,'9_1':0.0},(65,599):{'4_1':0.18,'3_1':0.09,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(65,598):{'4_1':0.21,'3_1':0.12,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(65,597):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'5_1':0.0,'5_2':0.0,'8_3':0.0,'6_2':0.0,'7_7':0.0,'8_12':0.0,'3_1#5_1':0.0},(65,596):{'4_1':0.24,'3_1':0.15,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,595):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(65,594):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(65,593):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_14':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(65,592):{'4_1':0.27,'3_1':0.12,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(65,591):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_1':0.0,'8_3':0.0},(65,590):{'4_1':0.21,'3_1':0.15,'6_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'8_11':0.0},(65,589):{'4_1':0.3,'3_1':0.12,'6_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,588):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(65,587):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_4':0.0,'8_19':0.0},(65,586):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(65,585):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(65,584):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(65,583):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(65,582):{'4_1':0.27,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(65,581):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,580):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(65,579):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,578):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'9_1':0.0},(65,577):{'4_1':0.27,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(65,576):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,575):{'4_1':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(65,574):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(65,573):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(65,572):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(65,571):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,570):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0},(65,569):{'4_1':0.24,'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(65,568):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(65,567):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(65,566):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0,'1':-0.03},(65,565):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'1':-0.03},(65,564):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(65,563):{'4_1':0.15,'3_1':0.09,'7_1':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(65,562):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0},(65,561):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0,'-3':0.0},(65,560):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(65,559):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(65,558):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(65,557):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(65,556):{'3_1':0.15,'4_1':0.09,'-3':0.0,'5_2':0.0},(65,555):{'4_1':0.09,'3_1':0.09,'8_20|3_1#3_1':0.0,'5_2':0.0},(65,554):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'6_1':0.0,'-3':0.0},(65,553):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(65,552):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'6_2':0.0},(65,551):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0},(65,550):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(65,549):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(65,548):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(65,547):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(65,546):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0},(65,545):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(65,544):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(65,543):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(65,542):{'3_1':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0},(65,541):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'1':-0.03},(65,540):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(65,539):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,538):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(65,537):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(65,536):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(65,535):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(65,534):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(65,533):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(65,532):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(65,531):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(65,530):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(65,529):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_1':0.0,'5_2':0.0},(65,528):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(65,527):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0},(65,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(65,525):{'3_1':0.15,'4_1':0.0},(65,524):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0},(65,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(65,521):{'3_1':0.06,'4_1':0.0},(65,520):{'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(65,519):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(65,518):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(65,517):{'3_1':0.09,'4_1':0.06},(65,516):{'3_1':0.12,'4_1':0.03},(65,515):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(65,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(65,513):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(65,512):{'3_1':0.06,'4_1':0.0},(65,511):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(65,510):{'3_1':0.06,'4_1':0.03},(65,509):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(65,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(65,507):{'3_1':0.06,'4_1':0.0},(65,506):{'3_1':0.09,'4_1':0.0},(65,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(65,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,502):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(65,501):{'3_1':0.03},(65,500):{'3_1':0.12,'4_1':0.0},(65,499):{'3_1':0.0,'7_4':0.0},(65,498):{'3_1':0.03,'6_1':0.0},(65,497):{'3_1':0.06,'4_1':0.0},(65,496):{'3_1':0.03,'4_1':0.0},(65,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,493):{'3_1':0.06,'4_1':0.0},(65,492):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(65,491):{'3_1':0.06,'4_1':0.0},(65,490):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(65,489):{'3_1':0.09,'4_1':0.0},(65,488):{'3_1':0.06},(65,487):{'3_1':0.09,'4_1':0.0},(65,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(65,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(65,484):{'3_1':0.06,'4_1':0.0},(65,483):{'3_1':0.09,'4_1':0.0},(65,482):{'3_1':0.09,'4_1':0.0},(65,481):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'9_1':0.0},(65,480):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(65,479):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(65,478):{'3_1':0.09,'4_1':0.0},(65,477):{'3_1':0.12,'4_1':0.0},(65,476):{'3_1':0.09,'4_1':0.0},(65,475):{'3_1':0.12},(65,474):{'3_1':0.06,'4_1':0.0},(65,473):{'3_1':0.06,'4_1':0.0},(65,472):{'3_1':0.03,'4_1':0.0},(65,471):{'3_1':0.09,'4_1':0.0},(65,470):{'3_1':0.03,'4_1':0.0},(65,469):{'3_1':0.09},(65,468):{'3_1':0.12,'4_1':0.0},(65,467):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,466):{'3_1':0.06,'4_1':0.0},(65,465):{'3_1':0.06,'4_1':0.03},(65,464):{'3_1':0.06,'4_1':0.0},(65,463):{'3_1':0.0,'5_2':0.0},(65,462):{'3_1':0.12,'4_1':0.0},(65,461):{'3_1':0.06},(65,460):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,459):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,458):{'3_1':0.03,'4_1':0.03},(65,457):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,456):{'3_1':0.06,'4_1':0.0},(65,455):{'3_1':0.06,'4_1':0.0},(65,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,453):{'3_1':0.03,'4_1':0.0},(65,452):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(65,451):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(65,450):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(65,449):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(65,448):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,447):{'3_1':0.06,'6_3':0.0,'7_2':0.0},(65,446):{'3_1':0.03,'4_1':0.0},(65,445):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(65,444):{'3_1':0.0},(65,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,442):{'3_1':0.03,'5_2':0.0},(65,441):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(65,440):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,439):{'3_1':0.06,'5_1':0.0},(65,438):{'3_1':0.09,'5_1':0.0},(65,437):{'3_1':0.03},(65,436):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,435):{'3_1':0.06,'5_2':0.0},(65,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,433):{'3_1':0.03,'5_1':0.0},(65,432):{'3_1':0.06,'4_1':0.0},(65,431):{'3_1':0.09},(65,430):{'3_1':0.09,'4_1':0.0},(65,429):{'3_1':0.06,'5_2':0.0},(65,428):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(65,427):{'3_1':0.06},(65,426):{'3_1':0.09},(65,425):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(65,424):{'3_1':0.06},(65,423):{'3_1':0.15,'5_2':0.0},(65,422):{'3_1':0.09,'5_2':0.0},(65,421):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,420):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,419):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(65,418):{'3_1':0.03,'4_1':0.0},(65,417):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(65,416):{'3_1':0.09,'5_2':0.0},(65,415):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(65,414):{'3_1':0.09,'5_1':0.0},(65,413):{'3_1':0.03},(65,412):{'3_1':0.06,'4_1':0.0},(65,411):{'3_1':0.09,'6_2':0.0,'9_1':0.0},(65,410):{'3_1':0.03},(65,409):{'3_1':0.0,'8_20|3_1#3_1':0.0},(65,408):{'3_1':0.03},(65,407):{'3_1':0.03},(65,406):{'3_1':0.03},(65,405):{'3_1':0.03,'5_2':0.0},(65,404):{'3_1':0.0,'4_1':0.0},(65,403):{'3_1':0.03,'5_2':0.0},(65,402):{'3_1':0.03},(65,401):{'3_1':0.03,'5_2':0.0},(65,400):{'3_1':0.03,'4_1':0.0},(65,399):{'3_1':0.03},(65,398):{'3_1':0.03},(65,397):{'3_1':0.03,'5_2':0.0},(65,396):{'3_1':0.03,'4_1':0.0},(65,395):{'3_1':0.0,'5_2':0.0},(65,394):{'3_1':0.03,'4_1':0.0},(65,393):{'3_1':0.06,'4_1':0.0},(65,392):{'3_1':0.03,'5_2':0.0},(65,391):{'3_1':0.0},(65,390):{'3_1':0.03,'4_1':0.0},(65,389):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(65,388):{'3_1':0.0},(65,387):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(65,386):{'3_1':0.06,'4_1':0.0},(65,385):{'3_1':0.03},(65,384):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(65,383):{'3_1':0.03},(65,382):{'3_1':0.06,'5_2':0.0},(65,381):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(65,380):{'3_1':0.0,'4_1':0.0},(65,379):{'3_1':0.06,'4_1':0.0},(65,378):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(65,377):{'3_1':0.03,'6_1':0.0},(65,376):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,375):{'3_1':0.06,'4_1':0.0},(65,374):{'3_1':0.06,'4_1':0.0},(65,373):{'3_1':0.06},(65,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,371):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(65,370):{'4_1':0.03,'3_1':0.0},(65,369):{'3_1':0.03},(65,368):{'3_1':0.03,'5_2':0.0},(65,367):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(65,366):{'3_1':0.0,'4_1':0.0},(65,365):{'3_1':0.03,'4_1':0.0},(65,364):{'3_1':0.09},(65,363):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,362):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(65,361):{'3_1':0.03,'4_1':0.0},(65,360):{'3_1':0.0,'4_1':0.0},(65,359):{'3_1':0.03,'4_1':0.0},(65,358):{'3_1':0.03,'4_1':0.0},(65,357):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(65,356):{'3_1':0.03,'5_1':0.0},(65,355):{'3_1':0.03,'4_1':0.0},(65,354):{'3_1':0.06},(65,353):{'3_1':0.03},(65,352):{'3_1':0.0,'4_1':0.0},(65,351):{'3_1':0.0,'4_1':0.0},(65,350):{'3_1':0.06,'5_1':0.0},(65,349):{'3_1':0.03},(65,348):{'3_1':0.03},(65,347):{'3_1':0.03,'4_1':0.0},(65,346):{'3_1':0.03},(65,345):{'3_1':0.03,'4_1':0.0},(65,344):{'3_1':0.03,'4_1':0.0},(65,343):{'3_1':0.03,'4_1':0.0},(65,342):{'3_1':0.03},(65,341):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,340):{'3_1':0.03,'4_1':0.0},(65,339):{'3_1':0.06},(65,338):{'3_1':0.03},(65,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,336):{'3_1':0.0,'4_1':0.0},(65,335):{'3_1':0.06},(65,334):{'3_1':0.03,'7_1':0.0},(65,333):{'3_1':0.03},(65,332):{'3_1':0.03,'4_1':0.0},(65,331):{'3_1':0.03,'4_1':0.0},(65,330):{'3_1':0.03,'4_1':0.0},(65,329):{'3_1':0.03},(65,328):{'3_1':0.03,'4_1':0.0},(65,327):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(65,326):{'3_1':0.0,'4_1':0.0},(65,325):{'3_1':0.06,'4_1':0.0},(65,324):{'3_1':0.03,'4_1':0.0},(65,323):{'4_1':0.0,'3_1':0.0},(65,322):{'3_1':0.0,'4_1':0.0},(65,321):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(65,320):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,319):{'3_1':0.06,'4_1':0.0},(65,318):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(65,317):{'3_1':0.03,'4_1':0.0},(65,316):{'3_1':0.03},(65,315):{'3_1':0.03,'4_1':0.0},(65,314):{'3_1':0.0,'4_1':0.0},(65,313):{'3_1':0.06,'4_1':0.0},(65,312):{'3_1':0.03,'4_1':0.0},(65,311):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(65,310):{'3_1':0.09,'6_3':0.0},(65,309):{'3_1':0.06,'5_2':0.0},(65,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(65,307):{'3_1':0.03,'6_3':0.0},(65,306):{'3_1':0.06,'4_1':0.03},(65,305):{'3_1':0.03},(65,304):{'3_1':0.03},(65,303):{'3_1':0.03,'5_2':0.0},(65,302):{'3_1':0.06,'4_1':0.0},(65,301):{'3_1':0.03,'4_1':0.0},(65,300):{'3_1':0.03},(65,299):{'3_1':0.03},(65,298):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(65,297):{'3_1':0.0},(65,296):{'3_1':0.0,'4_1':0.0},(65,295):{'3_1':0.03},(65,294):{'3_1':0.03,'4_1':0.0},(65,293):{'3_1':0.03,'4_1':0.0},(65,292):{'3_1':0.0,'5_2':0.0},(65,291):{'3_1':0.06},(65,290):{'3_1':0.03,'4_1':0.0},(65,289):{'3_1':0.03,'4_1':0.0},(65,288):{'3_1':0.03},(65,287):{'3_1':0.03},(65,286):{'3_1':0.03,'4_1':0.0},(65,285):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,284):{'3_1':0.03},(65,283):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(65,282):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,281):{'3_1':0.03,'4_1':0.0},(65,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,279):{'3_1':0.06,'4_1':0.03},(65,278):{'3_1':0.09,'4_1':0.0},(65,277):{'3_1':0.06},(65,276):{'3_1':0.03},(65,275):{'3_1':0.03,'4_1':0.0},(65,274):{'3_1':0.03},(65,273):{'3_1':0.09},(65,272):{'3_1':0.03},(65,271):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(65,270):{'3_1':0.09,'4_1':0.0},(65,269):{'3_1':0.03,'6_2':0.0},(65,268):{'3_1':0.03,'5_2':0.0},(65,267):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,266):{'3_1':0.03,'8_20|3_1#3_1':0.0},(65,265):{'3_1':0.06,'4_1':0.0},(65,264):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,263):{'3_1':0.0},(65,262):{'3_1':0.06,'4_1':0.0},(65,261):{'3_1':0.03,'5_1':0.0},(65,260):{'3_1':0.03,'4_1':0.0},(65,259):{'3_1':0.06,'4_1':0.0},(65,258):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,257):{'3_1':0.0,'4_1':0.0},(65,256):{'3_1':0.0,'4_1':0.0},(65,255):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(65,254):{'3_1':0.03,'4_1':0.0},(65,253):{'3_1':0.0,'4_1':0.0},(65,252):{'3_1':0.09,'4_1':0.0},(65,251):{'3_1':0.03,'5_1':0.0},(65,250):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,249):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(65,248):{'3_1':0.06},(65,247):{'3_1':0.03,'4_1':0.0},(65,246):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,245):{'3_1':0.09,'5_1':0.0},(65,244):{'3_1':0.03,'5_2':0.0},(65,243):{'3_1':0.03,'4_1':0.0},(65,242):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(65,241):{'3_1':0.06},(65,240):{'3_1':0.0,'5_1':0.0},(65,239):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(65,238):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(65,237):{'3_1':0.03,'4_1':0.0},(65,236):{'3_1':0.03,'5_1':0.0},(65,235):{'3_1':0.0},(65,234):{'3_1':0.03},(65,233):{'3_1':0.03,'6_2':0.0},(65,232):{'3_1':0.03},(65,231):{'3_1':0.03,'4_1':0.0},(65,230):{'3_1':0.06,'4_1':0.0},(65,229):{'3_1':0.06,'5_1':0.0},(65,228):{'3_1':0.06},(65,227):{'3_1':0.06,'4_1':0.0},(65,226):{'3_1':0.06},(65,225):{'3_1':0.03},(65,224):{'3_1':0.0,'4_1':0.0},(65,223):{'3_1':0.03,'4_1':0.0},(65,222):{'3_1':0.03},(65,221):{'3_1':0.06,'4_1':0.0},(65,220):{'3_1':0.0},(65,219):{'3_1':0.0,'4_1':0.0},(65,218):{'3_1':0.03,'4_1':0.0},(65,217):{'3_1':0.03},(65,215):{'3_1':0.0,'4_1':0.0},(65,214):{'3_1':0.06},(65,213):{'3_1':0.0},(65,212):{'3_1':0.03},(65,211):{'3_1':0.03},(65,210):{'3_1':0.0},(65,209):{'3_1':0.06},(65,208):{'3_1':0.03},(65,207):{'3_1':0.03},(65,206):{'3_1':0.03,'4_1':0.0},(65,205):{'3_1':0.03,'5_1':0.0},(65,204):{'3_1':0.0},(65,203):{'3_1':0.06},(65,202):{'3_1':0.09},(65,201):{'3_1':0.0},(65,200):{'3_1':0.03},(65,199):{'3_1':0.03},(65,198):{'3_1':0.06},(65,197):{'3_1':0.03},(65,196):{'3_1':0.03,'4_1':0.0},(65,195):{'3_1':0.03},(65,194):{'3_1':0.03},(65,193):{'3_1':0.03,'4_1':0.0},(65,192):{'3_1':0.03},(65,191):{'3_1':0.06,'4_1':0.0},(65,190):{'3_1':0.03},(65,189):{'3_1':0.0},(65,188):{'3_1':0.06},(65,187):{'3_1':0.03},(65,186):{'3_1':0.06,'4_1':0.0},(65,185):{'3_1':0.03},(65,184):{'3_1':0.03},(65,183):{'3_1':0.03},(65,182):{'3_1':0.03},(65,181):{'4_1':0.0},(65,180):{'3_1':0.03},(65,179):{'3_1':0.0,'4_1':0.0},(65,178):{'3_1':0.0},(65,177):{'3_1':0.0,'4_1':0.0},(65,176):{'3_1':0.0},(65,174):{'3_1':0.03},(65,173):{'3_1':0.0},(65,172):{'3_1':0.0},(65,171):{'3_1':0.03},(65,170):{'3_1':0.06,'5_2':0.0},(65,169):{'3_1':0.03},(65,168):{'3_1':0.0},(65,167):{'3_1':0.06,'7_3':0.0},(65,166):{'3_1':0.03,'4_1':0.0},(65,165):{'3_1':0.0,'5_2':0.0},(65,164):{'3_1':0.03},(65,163):{'3_1':0.03},(65,162):{'3_1':0.03,'4_1':0.0},(65,161):{'3_1':0.0},(65,160):{'3_1':0.06},(65,159):{'3_1':0.03},(65,158):{'3_1':0.03},(65,157):{'3_1':0.0},(65,156):{'3_1':0.03,'4_1':0.0},(65,155):{'3_1':0.03},(65,154):{'3_1':0.0},(65,153):{'3_1':0.0},(65,151):{'3_1':0.03},(65,150):{'3_1':0.0},(65,149):{'3_1':0.03},(65,148):{'3_1':0.0},(65,147):{'3_1':0.06},(65,146):{'3_1':0.03},(65,145):{'3_1':0.0},(65,144):{'3_1':0.0},(65,143):{'3_1':0.0},(65,141):{'3_1':0.0},(65,140):{'3_1':0.03},(65,139):{'3_1':0.0},(65,138):{'3_1':0.0},(65,136):{'3_1':0.0,'5_1':0.0},(65,135):{'3_1':0.0},(65,134):{'3_1':0.0},(65,131):{'3_1':0.0},(65,130):{'3_1':0.0},(65,129):{'3_1':0.0,'5_1':0.0},(65,128):{'3_1':0.0},(65,126):{'3_1':0.0},(65,124):{'3_1':0.0},(65,122):{'3_1':0.0},(65,118):{'3_1':0.0},(66,727):{'5_2':0.54,'3_1':0.15,'-3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(66,726):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'3_1#5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(66,725):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(66,724):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0},(66,723):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0,'1':-0.03},(66,722):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0},(66,721):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0},(66,720):{'5_2':0.48,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(66,719):{'5_2':0.39,'-3':0.18,'3_1':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_1':0.0},(66,718):{'5_2':0.48,'-3':0.18,'3_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0},(66,717):{'5_2':0.42,'-3':0.12,'3_1':0.12,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0},(66,716):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(66,715):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0},(66,714):{'5_2':0.42,'3_1':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(66,713):{'5_2':0.45,'-3':0.18,'3_1':0.06,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(66,712):{'5_2':0.3,'-3':0.15,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.03,'3_1#5_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(66,711):{'5_2':0.36,'3_1':0.15,'-3':0.15,'7_4':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(66,710):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(66,709):{'5_2':0.42,'-3':0.24,'3_1':0.06,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0},(66,708):{'5_2':0.33,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(66,707):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.09,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(66,706):{'5_2':0.39,'3_1':0.15,'7_4':0.09,'-3':0.09,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(66,705):{'5_2':0.39,'3_1':0.18,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(66,704):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'2':-0.03},(66,703):{'5_2':0.42,'3_1':0.12,'-3':0.06,'3_1#5_2':0.03,'7_2':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(66,702):{'5_2':0.36,'3_1':0.15,'-3':0.12,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,701):{'5_2':0.45,'3_1':0.18,'-3':0.12,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(66,700):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(66,699):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_14':0.0},(66,698):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.09,'5_1':0.03,'7_6':0.03,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(66,697):{'5_2':0.24,'-3':0.21,'3_1':0.12,'7_3':0.06,'7_4':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'8_15':0.0,'1':-0.03},(66,696):{'5_2':0.39,'-3':0.18,'3_1':0.15,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(66,695):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'2':-0.03},(66,694):{'5_2':0.39,'-3':0.12,'3_1':0.09,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0,'6_1':0.0},(66,693):{'5_2':0.3,'3_1':0.15,'-3':0.09,'3_1#5_2':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(66,692):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_1':0.0},(66,691):{'5_2':0.27,'3_1':0.24,'-3':0.15,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'1':-0.03},(66,690):{'5_2':0.3,'3_1':0.21,'-3':0.12,'7_3':0.06,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,689):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(66,688):{'3_1':0.27,'5_2':0.27,'-3':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(66,687):{'3_1':0.24,'5_2':0.21,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(66,686):{'3_1':0.27,'5_2':0.24,'-3':0.09,'7_4':0.09,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0},(66,685):{'3_1':0.3,'5_2':0.24,'5_1':0.06,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(66,684):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.06,'5_1':0.0,'8_8':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(66,683):{'3_1':0.3,'5_2':0.3,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,682):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(66,681):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(66,680):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'3_1#5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(66,679):{'3_1':0.42,'5_2':0.18,'-3':0.06,'7_4':0.03,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0},(66,678):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'8_20|3_1#3_1':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0},(66,677):{'3_1':0.36,'5_2':0.12,'-3':0.06,'7_4':0.06,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(66,676):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'6_1':0.03,'4_1':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(66,675):{'3_1':0.39,'5_2':0.09,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(66,674):{'3_1':0.36,'5_2':0.12,'7_4':0.12,'-3':0.09,'8_20|3_1#3_1':0.03,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(66,673):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'3_1#5_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0},(66,672):{'3_1':0.54,'-3':0.03,'5_2':0.03,'7_4':0.03,'6_1':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,671):{'3_1':0.57,'5_2':0.06,'-3':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(66,670):{'3_1':0.48,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(66,669):{'3_1':0.45,'5_2':0.15,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(66,668):{'3_1':0.45,'7_4':0.12,'5_2':0.12,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(66,667):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'-3':0.06,'4_1':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(66,666):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'-3':0.06,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(66,665):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(66,664):{'3_1':0.45,'5_2':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(66,663):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(66,662):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,661):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,660):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(66,659):{'3_1':0.33,'5_2':0.09,'7_3':0.03,'-3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'2':-0.03},(66,658):{'3_1':0.39,'5_2':0.15,'-3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(66,657):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(66,656):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'7_7':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(66,655):{'3_1':0.42,'5_2':0.12,'-3':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'8_14':0.0,'3_1#5_1':0.0},(66,654):{'3_1':0.51,'5_2':0.09,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(66,653):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.06,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(66,652):{'3_1':0.3,'5_2':0.18,'8_20|3_1#3_1':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'7_7':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(66,651):{'3_1':0.27,'5_2':0.12,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_7':0.0,'3_1#5_1':0.0},(66,650):{'3_1':0.3,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(66,649):{'3_1':0.36,'5_2':0.09,'-3':0.03,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(66,648):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(66,647):{'3_1':0.3,'5_2':0.15,'-3':0.06,'5_1':0.03,'7_6':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(66,646):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_6':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(66,645):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(66,644):{'3_1':0.3,'5_1':0.12,'5_2':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(66,643):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(66,642):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(66,641):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_6':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'8_14':0.0,'1':-0.03},(66,640):{'3_1':0.21,'5_2':0.12,'7_3':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_10':0.0,'8_19':0.0},(66,639):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(66,638):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(66,637):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(66,636):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(66,635):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(66,634):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'7_6':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,633):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_16':0.0,'-3':0.0},(66,632):{'3_1':0.15,'4_1':0.12,'5_2':0.12,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(66,631):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_3':0.0},(66,630):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_1':0.0},(66,629):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(66,628):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'7_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(66,627):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(66,626):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0},(66,625):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_10':0.0},(66,624):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_1':0.0},(66,623):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,622):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(66,621):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(66,620):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,619):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_7':0.0,'8_10':0.0,'8_19':0.0,'-3':0.0},(66,618):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,617):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(66,616):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(66,615):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'8_14':0.0},(66,614):{'4_1':0.12,'3_1':0.12,'5_1':0.06,'5_2':0.06,'7_3':0.03,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(66,613):{'3_1':0.24,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(66,612):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(66,611):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(66,610):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(66,609):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(66,608):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,607):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0},(66,606):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(66,605):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(66,604):{'3_1':0.21,'4_1':0.12,'6_1':0.0,'7_3':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,603):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(66,602):{'3_1':0.18,'4_1':0.15,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(66,601):{'4_1':0.09,'3_1':0.09,'6_1':0.03,'5_1':0.03,'5_2':0.0,'7_7':0.0},(66,600):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(66,599):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(66,598):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(66,597):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(66,596):{'4_1':0.12,'3_1':0.12,'6_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'8_1':0.0,'9_1':0.0},(66,595):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(66,594):{'4_1':0.18,'3_1':0.09,'6_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(66,593):{'4_1':0.18,'6_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(66,592):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'9_1':0.0,'8_4':0.0},(66,591):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(66,590):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'5_1':0.03,'5_2':0.0,'9_1':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0},(66,589):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'9_1':0.0,'5_1':0.0,'6_2':0.0},(66,588):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(66,587):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(66,586):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(66,585):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(66,584):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(66,583):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(66,582):{'4_1':0.27,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(66,581):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(66,580):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(66,579):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'8_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(66,578):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(66,577):{'4_1':0.24,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'9_1':0.0,'5_1':0.0},(66,576):{'4_1':0.18,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(66,575):{'4_1':0.27,'3_1':0.06,'6_1':0.03,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(66,574):{'4_1':0.15,'3_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(66,573):{'4_1':0.21,'3_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(66,572):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(66,571):{'4_1':0.21,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(66,570):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(66,569):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(66,568):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(66,567):{'4_1':0.15,'6_1':0.03,'3_1':0.03,'5_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(66,566):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(66,565):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(66,564):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(66,563):{'4_1':0.18,'3_1':0.06,'6_1':0.0},(66,562):{'4_1':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0},(66,561):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(66,560):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'7_7':0.0},(66,559):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'-3':0.0},(66,558):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(66,557):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,556):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_1':0.0,'-3':0.0},(66,555):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(66,554):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'6_2':0.0},(66,553):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(66,552):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(66,551):{'4_1':0.12,'3_1':0.09,'8_20|3_1#3_1':0.0,'-3':0.0},(66,550):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0},(66,549):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0},(66,548):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0},(66,547):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(66,546):{'3_1':0.21,'4_1':0.06,'8_1':0.0,'6_1':0.0},(66,545):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(66,544):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(66,543):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(66,542):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(66,541):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(66,540):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(66,539):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(66,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(66,537):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(66,536):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(66,535):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(66,534):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'9_1':0.0},(66,533):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(66,532):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(66,531):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(66,530):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0},(66,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(66,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(66,527):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0},(66,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(66,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(66,524):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,523):{'3_1':0.15,'4_1':0.03},(66,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,521):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(66,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,519):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(66,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(66,517):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(66,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(66,515):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(66,514):{'3_1':0.03,'6_1':0.0,'5_1':0.0},(66,513):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'5_2':0.0,'5_1':0.0},(66,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,511):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(66,510):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(66,509):{'3_1':0.06,'6_1':0.0},(66,508):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(66,507):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(66,506):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0},(66,505):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(66,504):{'3_1':0.06,'6_2':0.0},(66,503):{'3_1':0.03,'4_1':0.0},(66,502):{'3_1':0.09,'4_1':0.0},(66,501):{'3_1':0.09,'4_1':0.0},(66,500):{'3_1':0.03,'4_1':0.0},(66,499):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,498):{'3_1':0.06,'4_1':0.0},(66,497):{'3_1':0.03,'4_1':0.0},(66,496):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(66,495):{'3_1':0.03,'4_1':0.0},(66,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,493):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(66,492):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(66,491):{'3_1':0.06,'4_1':0.0},(66,490):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,489):{'3_1':0.03},(66,488):{'3_1':0.12,'5_2':0.0},(66,487):{'3_1':0.06,'4_1':0.0},(66,486):{'3_1':0.09,'4_1':0.0},(66,485):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(66,484):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(66,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(66,482):{'3_1':0.09,'4_1':0.0},(66,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(66,480):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,479):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_1':0.0},(66,478):{'3_1':0.06,'4_1':0.0},(66,477):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(66,476):{'3_1':0.09,'4_1':0.0},(66,475):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,474):{'3_1':0.09,'4_1':0.06},(66,473):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,472):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(66,471):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(66,470):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(66,469):{'3_1':0.09,'8_13':0.0},(66,468):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(66,467):{'3_1':0.03,'4_1':0.0},(66,466):{'3_1':0.03,'4_1':0.03},(66,465):{'3_1':0.03,'8_20|3_1#3_1':0.0},(66,464):{'3_1':0.09,'4_1':0.0},(66,463):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(66,462):{'3_1':0.03,'8_20|3_1#3_1':0.0},(66,461):{'3_1':0.06,'4_1':0.0},(66,460):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(66,459):{'3_1':0.03,'4_1':0.0},(66,458):{'3_1':0.03,'4_1':0.0},(66,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(66,456):{'3_1':0.03,'4_1':0.0},(66,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,454):{'3_1':0.0,'5_2':0.0},(66,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,452):{'3_1':0.03,'4_1':0.0},(66,451):{'3_1':0.09,'4_1':0.0},(66,450):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,449):{'3_1':0.0,'4_1':0.0},(66,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,447):{'3_1':0.03,'6_2':0.0},(66,446):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(66,445):{'3_1':0.06,'4_1':0.0},(66,444):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,442):{'3_1':0.06,'4_1':0.0},(66,441):{'3_1':0.03,'4_1':0.0},(66,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,439):{'3_1':0.03,'4_1':0.0},(66,438):{'3_1':0.06},(66,437):{'3_1':0.03},(66,436):{'3_1':0.09},(66,435):{'3_1':0.09,'4_1':0.0},(66,434):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,433):{'3_1':0.06},(66,432):{'3_1':0.03},(66,431):{'3_1':0.06,'6_2':0.0},(66,430):{'3_1':0.03,'4_1':0.0},(66,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(66,428):{'3_1':0.06},(66,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(66,426):{'3_1':0.12,'5_2':0.0},(66,425):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0},(66,424):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(66,423):{'3_1':0.06,'5_2':0.0},(66,422):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(66,421):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,420):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(66,419):{'3_1':0.06,'4_1':0.0},(66,418):{'3_1':0.06,'5_2':0.0},(66,417):{'3_1':0.06},(66,416):{'3_1':0.03,'5_2':0.0},(66,415):{'3_1':0.03},(66,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(66,413):{'3_1':0.03,'5_2':0.0,'9_1':0.0},(66,412):{'3_1':0.09},(66,411):{'3_1':0.03,'9_1':0.0},(66,410):{'3_1':0.06},(66,409):{'3_1':0.06,'5_2':0.0},(66,408):{'3_1':0.03},(66,407):{'3_1':0.0,'5_2':0.0},(66,406):{'3_1':0.06},(66,405):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(66,404):{'3_1':0.06},(66,403):{'3_1':0.0},(66,402):{'3_1':0.03},(66,401):{'3_1':0.03,'4_1':0.0},(66,400):{'3_1':0.03,'8_1':0.0},(66,399):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,398):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_1':0.0},(66,397):{'3_1':0.0,'4_1':0.0},(66,396):{'3_1':0.0},(66,395):{'3_1':0.03,'5_2':0.0},(66,394):{'3_1':0.0},(66,393):{'3_1':0.03},(66,392):{'3_1':0.06},(66,391):{'3_1':0.03},(66,390):{'3_1':0.03},(66,389):{'3_1':0.0,'4_1':0.0},(66,388):{'3_1':0.06},(66,387):{'3_1':0.03},(66,386):{'3_1':0.03,'5_2':0.0},(66,385):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,384):{'3_1':0.03},(66,383):{'3_1':0.03,'4_1':0.0},(66,382):{'3_1':0.03},(66,381):{'3_1':0.03},(66,380):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(66,379):{'3_1':0.0,'4_1':0.0},(66,378):{'3_1':0.06},(66,377):{'3_1':0.03},(66,376):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(66,375):{'3_1':0.03,'5_1':0.0},(66,374):{'3_1':0.0,'4_1':0.0},(66,373):{'3_1':0.06,'4_1':0.0},(66,372):{'3_1':0.03,'4_1':0.0},(66,371):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(66,370):{'3_1':0.03,'4_1':0.0},(66,369):{'3_1':0.06},(66,368):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,367):{'3_1':0.03,'4_1':0.03},(66,366):{'3_1':0.03,'4_1':0.0},(66,365):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(66,364):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(66,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,362):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(66,361):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(66,360):{'3_1':0.03,'4_1':0.0},(66,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,358):{'4_1':0.0,'6_1':0.0},(66,357):{'3_1':0.06},(66,356):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,355):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(66,354):{'3_1':0.0,'4_1':0.0},(66,353):{'3_1':0.0},(66,352):{'3_1':0.03,'4_1':0.03},(66,351):{'3_1':0.03,'4_1':0.0},(66,350):{'3_1':0.0,'4_1':0.0},(66,349):{'3_1':0.03},(66,348):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,347):{'3_1':0.0,'4_1':0.0},(66,346):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(66,345):{'3_1':0.03,'4_1':0.0},(66,344):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(66,343):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(66,342):{'3_1':0.03,'4_1':0.0},(66,341):{'3_1':0.0},(66,340):{'3_1':0.03},(66,339):{'3_1':0.03},(66,338):{'3_1':0.03},(66,337):{'3_1':0.06,'4_1':0.0},(66,336):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,335):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(66,334):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(66,333):{'3_1':0.0,'4_1':0.0},(66,332):{'3_1':0.03,'4_1':0.0},(66,331):{'4_1':0.0},(66,330):{'3_1':0.0,'4_1':0.0},(66,329):{'4_1':0.03,'3_1':0.0},(66,328):{'3_1':0.03,'6_1':0.0},(66,327):{'3_1':0.03,'4_1':0.0},(66,326):{'3_1':0.0,'4_1':0.0},(66,324):{'3_1':0.06},(66,323):{'3_1':0.0,'4_1':0.0},(66,322):{'3_1':0.03,'4_1':0.03},(66,321):{'3_1':0.03,'4_1':0.0},(66,320):{'3_1':0.0,'4_1':0.0},(66,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,318):{'3_1':0.06,'4_1':0.0},(66,317):{'3_1':0.03},(66,316):{'3_1':0.06},(66,315):{'3_1':0.0,'4_1':0.0},(66,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,313):{'3_1':0.03},(66,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(66,311):{'3_1':0.06,'4_1':0.0},(66,310):{'3_1':0.03,'4_1':0.0},(66,309):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(66,308):{'3_1':0.06,'4_1':0.0},(66,307):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,306):{'3_1':0.06,'4_1':0.0},(66,305):{'3_1':0.09,'4_1':0.03},(66,304):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,303):{'3_1':0.03},(66,302):{'3_1':0.03,'4_1':0.03},(66,301):{'3_1':0.09,'4_1':0.0},(66,300):{'3_1':0.03,'4_1':0.0},(66,299):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,298):{'3_1':0.0,'5_2':0.0},(66,297):{'3_1':0.03,'4_1':0.0},(66,296):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(66,295):{'3_1':0.03,'4_1':0.0},(66,294):{'3_1':0.06,'4_1':0.0},(66,293):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(66,292):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(66,291):{'3_1':0.03,'4_1':0.0},(66,290):{'3_1':0.06,'4_1':0.0},(66,289):{'3_1':0.03},(66,288):{'3_1':0.03},(66,287):{'3_1':0.03,'4_1':0.0},(66,286):{'3_1':0.0},(66,285):{'3_1':0.03,'4_1':0.0},(66,284):{'3_1':0.0,'4_1':0.0},(66,283):{'3_1':0.03},(66,282):{'3_1':0.06,'5_2':0.0},(66,281):{'3_1':0.0},(66,280):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(66,279):{'3_1':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(66,278):{'3_1':0.0,'4_1':0.0},(66,277):{'3_1':0.06},(66,276):{'3_1':0.06},(66,275):{'3_1':0.03,'6_2':0.0},(66,274):{'3_1':0.06,'4_1':0.0},(66,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,272):{'3_1':0.03,'5_1':0.0},(66,271):{'3_1':0.0},(66,270):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,269):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(66,268):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,267):{'3_1':0.0,'4_1':0.0},(66,266):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,265):{'3_1':0.06},(66,264):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(66,263):{'3_1':0.0,'5_2':0.0},(66,262):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,261):{'3_1':0.0},(66,260):{'3_1':0.03},(66,259):{'3_1':0.03,'4_1':0.03},(66,258):{'3_1':0.03,'4_1':0.0},(66,257):{'3_1':0.03,'6_2':0.0},(66,256):{'3_1':0.06,'4_1':0.0},(66,255):{'3_1':0.06,'4_1':0.0},(66,254):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(66,253):{'3_1':0.03,'5_2':0.0},(66,252):{'3_1':0.03},(66,251):{'3_1':0.06,'4_1':0.0},(66,250):{'3_1':0.0},(66,249):{'3_1':0.03,'5_1':0.0},(66,248):{'3_1':0.06,'4_1':0.0},(66,247):{'3_1':0.03},(66,246):{'3_1':0.03},(66,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(66,244):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(66,243):{'3_1':0.09},(66,242):{'3_1':0.03,'4_1':0.03,'7_1':0.0},(66,241):{'3_1':0.0,'4_1':0.0},(66,240):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,238):{'3_1':0.03},(66,237):{'3_1':0.0,'4_1':0.0},(66,236):{'3_1':0.03},(66,235):{'3_1':0.03,'5_2':0.0},(66,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,233):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(66,232):{'3_1':0.0,'5_1':0.0},(66,231):{'3_1':0.03},(66,230):{'3_1':0.03,'4_1':0.0},(66,229):{'3_1':0.09,'4_1':0.0},(66,228):{'3_1':0.06},(66,227):{'3_1':0.03},(66,226):{'3_1':0.06},(66,225):{'3_1':0.06,'4_1':0.0},(66,224):{'3_1':0.03,'5_1':0.0},(66,223):{'3_1':0.03},(66,222):{'3_1':0.06},(66,221):{'3_1':0.06},(66,220):{'3_1':0.03},(66,219):{'3_1':0.03},(66,218):{'3_1':0.03,'4_1':0.0},(66,217):{'3_1':0.0},(66,216):{'3_1':0.0},(66,215):{'3_1':0.0},(66,214):{'3_1':0.0},(66,213):{'3_1':0.03,'5_1':0.0},(66,212):{'3_1':0.03,'5_2':0.0},(66,211):{'3_1':0.03},(66,210):{'3_1':0.06,'4_1':0.0},(66,209):{'3_1':0.0},(66,208):{'3_1':0.03,'4_1':0.0},(66,207):{'3_1':0.09,'4_1':0.0},(66,206):{'3_1':0.03,'5_1':0.0},(66,205):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(66,204):{'3_1':0.0},(66,203):{'3_1':0.0,'7_2':0.0},(66,202):{'3_1':0.06},(66,201):{'3_1':0.03},(66,200):{'3_1':0.03},(66,199):{'3_1':0.06},(66,198):{'3_1':0.03},(66,197):{'3_1':0.03},(66,196):{'3_1':0.03},(66,195):{'3_1':0.09},(66,194):{'3_1':0.06},(66,193):{'3_1':0.0},(66,192):{'3_1':0.0},(66,191):{'3_1':0.03},(66,190):{'3_1':0.0},(66,189):{'3_1':0.0},(66,188):{'3_1':0.03},(66,187):{'3_1':0.03,'4_1':0.0},(66,186):{'3_1':0.0},(66,185):{'3_1':0.0,'4_1':0.0},(66,184):{'3_1':0.03},(66,183):{'3_1':0.0},(66,182):{'3_1':0.06},(66,181):{'3_1':0.0},(66,180):{'3_1':0.0},(66,179):{'3_1':0.03},(66,178):{'3_1':0.03},(66,177):{'3_1':0.0},(66,176):{'3_1':0.03},(66,175):{'3_1':0.03},(66,174):{'3_1':0.0,'4_1':0.0},(66,173):{'3_1':0.0},(66,172):{'3_1':0.03,'4_1':0.0},(66,171):{'3_1':0.0},(66,170):{'3_1':0.03,'4_1':0.0},(66,169):{'3_1':0.03,'4_1':0.0},(66,168):{'3_1':0.06},(66,167):{'3_1':0.06},(66,166):{'3_1':0.0},(66,165):{'3_1':0.03,'6_2':0.0},(66,164):{'3_1':0.03},(66,163):{'3_1':0.03},(66,162):{'3_1':0.06,'5_1':0.0},(66,161):{'3_1':0.0},(66,160):{'3_1':0.03,'4_1':0.0},(66,159):{'3_1':0.0,'4_1':0.0},(66,158):{'3_1':0.0,'4_1':0.0},(66,157):{'3_1':0.06},(66,156):{'3_1':0.0},(66,155):{'3_1':0.03},(66,154):{'3_1':0.06},(66,153):{'3_1':0.03},(66,152):{'3_1':0.06},(66,151):{'3_1':0.0},(66,150):{'3_1':0.03},(66,149):{'3_1':0.03},(66,148):{'3_1':0.0,'4_1':0.0},(66,147):{'3_1':0.0},(66,146):{'3_1':0.03},(66,145):{'3_1':0.0},(66,144):{'3_1':0.0},(66,143):{'3_1':0.03},(66,142):{'3_1':0.0},(66,141):{'3_1':0.0},(66,140):{'3_1':0.0},(66,139):{'3_1':0.0},(66,138):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(66,137):{'3_1':0.0},(66,136):{'3_1':0.0},(66,135):{'3_1':0.0},(66,134):{'5_1':0.0},(66,133):{'3_1':0.0},(66,132):{'5_1':0.0},(66,131):{'3_1':0.0},(66,129):{'3_1':0.0},(66,127):{'3_1':0.0},(66,126):{'3_1':0.03},(66,125):{'3_1':0.0},(66,124):{'3_1':0.03},(66,122):{'3_1':0.0},(66,121):{'3_1':0.0},(66,119):{'3_1':0.0},(67,727):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'3_1#5_2':0.0,'7_2':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(67,726):{'5_2':0.48,'7_4':0.09,'3_1':0.09,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(67,725):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(67,724):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(67,723):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(67,722):{'5_2':0.48,'3_1':0.18,'7_4':0.06,'-3':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(67,721):{'5_2':0.54,'3_1':0.09,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(67,720):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(67,719):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(67,718):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(67,717):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(67,716):{'5_2':0.48,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,715):{'5_2':0.51,'3_1':0.09,'-3':0.09,'5_1':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(67,714):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(67,713):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(67,712):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(67,711):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0,'1':-0.03},(67,710):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(67,709):{'5_2':0.33,'-3':0.27,'3_1':0.06,'5_1':0.06,'3_1#5_2':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0},(67,708):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_4':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(67,707):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(67,706):{'5_2':0.45,'-3':0.12,'7_4':0.09,'3_1':0.06,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'9_1':0.0},(67,705):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'8_14':0.0},(67,704):{'5_2':0.36,'3_1':0.12,'-3':0.12,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'1':-0.03},(67,703):{'5_2':0.36,'3_1':0.12,'-3':0.06,'7_4':0.06,'3_1#5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(67,702):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(67,701):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(67,700):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(67,699):{'5_2':0.33,'-3':0.15,'3_1':0.15,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(67,698):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(67,697):{'5_2':0.3,'-3':0.24,'3_1':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(67,696):{'5_2':0.39,'-3':0.21,'3_1':0.06,'7_4':0.06,'7_5':0.03,'3_1#5_2':0.03,'7_3':0.0,'8_14':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(67,695):{'5_2':0.36,'3_1':0.15,'-3':0.15,'3_1#5_2':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(67,694):{'5_2':0.27,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(67,693):{'5_2':0.3,'3_1':0.21,'-3':0.15,'7_3':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(67,692):{'3_1':0.27,'5_2':0.24,'-3':0.09,'3_1#5_2':0.03,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(67,691):{'5_2':0.33,'3_1':0.21,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(67,690):{'5_2':0.21,'3_1':0.18,'-3':0.15,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0},(67,689):{'5_2':0.27,'3_1':0.12,'-3':0.12,'5_1':0.06,'7_3':0.03,'7_4':0.03,'7_7':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(67,688):{'5_2':0.33,'3_1':0.24,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_14':0.0,'4_1':0.0,'7_6':0.0},(67,687):{'5_2':0.27,'3_1':0.27,'-3':0.06,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(67,686):{'5_2':0.24,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(67,685):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0},(67,684):{'3_1':0.27,'5_2':0.21,'-3':0.12,'7_4':0.09,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_14':0.0},(67,683):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(67,682):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0},(67,681):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(67,680):{'3_1':0.39,'5_2':0.18,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'8_11':0.0},(67,679):{'3_1':0.33,'5_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_5':0.0},(67,678):{'3_1':0.42,'5_2':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0},(67,677):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(67,676):{'3_1':0.36,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0},(67,675):{'3_1':0.39,'5_2':0.09,'7_4':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'8_8':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(67,674):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(67,673):{'3_1':0.36,'5_2':0.18,'-3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'8_11':0.0},(67,672):{'3_1':0.51,'5_2':0.06,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(67,671):{'3_1':0.45,'5_2':0.06,'7_4':0.03,'7_3':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0},(67,670):{'3_1':0.39,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(67,669):{'3_1':0.42,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_11':0.0},(67,668):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(67,667):{'3_1':0.45,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(67,666):{'3_1':0.42,'5_2':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_2':0.0},(67,665):{'3_1':0.42,'5_2':0.21,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(67,664):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(67,663):{'3_1':0.42,'5_2':0.15,'-3':0.03,'7_4':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(67,662):{'3_1':0.36,'5_2':0.09,'-3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(67,661):{'3_1':0.45,'5_2':0.12,'7_7':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(67,660):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'7_6':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(67,659):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_7':0.03,'-3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(67,658):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(67,657):{'3_1':0.45,'5_2':0.03,'7_4':0.03,'7_6':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(67,656):{'3_1':0.3,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0},(67,655):{'3_1':0.51,'5_2':0.03,'8_20|3_1#3_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(67,654):{'3_1':0.51,'5_2':0.12,'4_1':0.03,'7_7':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,653):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_7':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(67,652):{'3_1':0.36,'5_2':0.09,'-3':0.03,'7_3':0.03,'7_7':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(67,651):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(67,650):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'7_6':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(67,649):{'3_1':0.33,'5_2':0.12,'-3':0.03,'4_1':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(67,648):{'3_1':0.36,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_6':0.0},(67,647):{'3_1':0.27,'5_2':0.15,'-3':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0},(67,646):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_1':0.0,'-3':0.0},(67,645):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'4_1':0.06,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_14':0.0,'8_18':0.0,'8_19':0.0},(67,644):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_1':0.0},(67,643):{'3_1':0.27,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(67,642):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.06,'-3':0.03,'7_6':0.0,'7_7':0.0},(67,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(67,640):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'-3':0.06,'5_1':0.03,'7_6':0.03,'7_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(67,639):{'3_1':0.21,'4_1':0.15,'5_2':0.09,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(67,638):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(67,637):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_6':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(67,636):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0,'8_10':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(67,635):{'3_1':0.12,'5_2':0.12,'4_1':0.12,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_1':0.0},(67,634):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_6':0.03,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,633):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(67,632):{'3_1':0.15,'5_2':0.12,'4_1':0.12,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(67,631):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_6':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(67,630):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(67,629):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(67,628):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,627):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(67,626):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.03,'6_1':0.03,'-3':0.0,'6_3':0.0,'8_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(67,625):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(67,624):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_10':0.0},(67,623):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,622):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(67,621):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'7_6':0.06,'5_1':0.03,'7_1':0.03,'7_3':0.0,'6_2':0.0,'-3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(67,620):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(67,619):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(67,618):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(67,617):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0},(67,616):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'7_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(67,615):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_1':0.0,'-3':0.0},(67,614):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,613):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_16':0.0},(67,612):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(67,611):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,610):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(67,609):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'7_6':0.0,'7_7':0.0},(67,608):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_2':0.0,'8_4':0.0,'3_1#5_2':0.0},(67,607):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'7_7':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,606):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(67,605):{'3_1':0.15,'4_1':0.15,'6_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0},(67,604):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(67,603):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(67,602):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(67,601):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(67,600):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(67,599):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0},(67,598):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,597):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(67,596):{'4_1':0.27,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(67,595):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(67,594):{'4_1':0.18,'3_1':0.09,'5_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(67,593):{'4_1':0.18,'3_1':0.15,'6_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(67,592):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(67,591):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(67,590):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(67,589):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(67,588):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(67,587):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(67,586):{'4_1':0.27,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(67,585):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(67,584):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(67,583):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(67,582):{'4_1':0.21,'3_1':0.03,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(67,581):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(67,580):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(67,579):{'4_1':0.24,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0},(67,578):{'4_1':0.3,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(67,577):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(67,576):{'4_1':0.27,'3_1':0.03,'6_1':0.03,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(67,575):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(67,574):{'4_1':0.18,'6_1':0.03,'5_2':0.03,'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_1':0.0},(67,573):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(67,572):{'4_1':0.27,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(67,571):{'4_1':0.18,'3_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(67,570):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(67,569):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(67,568):{'4_1':0.18,'3_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(67,567):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0},(67,566):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_3':0.0},(67,565):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(67,564):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(67,563):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(67,562):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(67,561):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(67,560):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(67,559):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(67,558):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0},(67,557):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(67,556):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(67,555):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(67,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(67,553):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'7_1':0.0},(67,552):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(67,551):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(67,550):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(67,549):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_4':0.0},(67,548):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_5':0.0},(67,547):{'3_1':0.15,'4_1':0.09,'7_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(67,546):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(67,545):{'4_1':0.09,'3_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0},(67,544):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0,'9_1':0.0},(67,543):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_3':0.0},(67,542):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'9_1':0.0},(67,541):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(67,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(67,539):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(67,538):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(67,537):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(67,536):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(67,535):{'3_1':0.21,'4_1':0.06,'8_20|3_1#3_1':0.0},(67,534):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(67,533):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_5':0.0},(67,532):{'3_1':0.24,'4_1':0.06,'8_20|3_1#3_1':0.0},(67,531):{'3_1':0.24,'4_1':0.03,'6_1':0.0,'7_3':0.0},(67,530):{'3_1':0.15,'4_1':0.03},(67,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(67,528):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(67,527):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(67,526):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(67,525):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(67,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(67,523):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(67,522):{'3_1':0.06,'4_1':0.03},(67,521):{'3_1':0.06,'4_1':0.0},(67,520):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0},(67,519):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(67,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(67,515):{'3_1':0.09,'4_1':0.0},(67,514):{'3_1':0.0,'4_1':0.0},(67,513):{'3_1':0.06,'4_1':0.0},(67,512):{'3_1':0.06,'4_1':0.0,'8_6':0.0},(67,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(67,510):{'3_1':0.03,'4_1':0.03},(67,509):{'3_1':0.03,'4_1':0.0},(67,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(67,507):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(67,506):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(67,505):{'3_1':0.06,'4_1':0.0},(67,504):{'3_1':0.0,'6_1':0.0},(67,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(67,501):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(67,500):{'3_1':0.03,'4_1':0.0},(67,499):{'3_1':0.06,'4_1':0.0},(67,498):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,497):{'3_1':0.03,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(67,496):{'3_1':0.03,'4_1':0.0},(67,495):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,494):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(67,493):{'3_1':0.03,'4_1':0.0},(67,492):{'3_1':0.06,'6_1':0.0},(67,491):{'3_1':0.09,'5_2':0.0},(67,490):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(67,489):{'3_1':0.06,'4_1':0.0},(67,488):{'3_1':0.12,'4_1':0.0},(67,487):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(67,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(67,485):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(67,484):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(67,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,482):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0},(67,481):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'9_1':0.0},(67,480):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(67,479):{'3_1':0.09,'4_1':0.03},(67,478):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(67,477):{'3_1':0.06,'4_1':0.0},(67,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(67,475):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(67,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(67,473):{'3_1':0.09,'4_1':0.03},(67,472):{'3_1':0.06,'4_1':0.03},(67,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,470):{'3_1':0.03,'4_1':0.0,'8_19':0.0},(67,469):{'3_1':0.03,'4_1':0.0},(67,468):{'3_1':0.0,'4_1':0.0},(67,467):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(67,466):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(67,465):{'3_1':0.06,'4_1':0.0},(67,464):{'3_1':0.06,'4_1':0.0},(67,463):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,462):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,461):{'3_1':0.0,'4_1':0.0},(67,460):{'3_1':0.06,'5_2':0.0},(67,459):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(67,458):{'3_1':0.06,'4_1':0.0},(67,457):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(67,456):{'3_1':0.06,'4_1':0.0},(67,455):{'3_1':0.06,'4_1':0.03},(67,454):{'3_1':0.03,'4_1':0.0},(67,453):{'3_1':0.03,'4_1':0.0},(67,452):{'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(67,451):{'3_1':0.06,'4_1':0.0},(67,450):{'3_1':0.06,'4_1':0.03,'7_2':0.0},(67,449):{'4_1':0.0,'3_1':0.0},(67,448):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(67,447):{'3_1':0.06,'4_1':0.03},(67,446):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,445):{'3_1':0.06,'4_1':0.0},(67,444):{'3_1':0.06,'4_1':0.0},(67,443):{'3_1':0.0,'4_1':0.0},(67,442):{'3_1':0.06,'4_1':0.0},(67,441):{'3_1':0.06,'4_1':0.0},(67,440):{'3_1':0.09},(67,439):{'3_1':0.06},(67,438):{'3_1':0.09,'5_2':0.0},(67,437):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,436):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(67,435):{'3_1':0.09,'5_2':0.0},(67,434):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,433):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(67,432):{'3_1':0.09,'5_2':0.0},(67,431):{'3_1':0.06},(67,430):{'3_1':0.06},(67,429):{'3_1':0.03},(67,428):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(67,427):{'3_1':0.09,'4_1':0.0},(67,426):{'3_1':0.06,'5_2':0.0},(67,425):{'3_1':0.12,'5_2':0.0},(67,424):{'3_1':0.03,'5_2':0.0},(67,423):{'3_1':0.09,'5_2':0.0},(67,422):{'3_1':0.12,'5_2':0.0},(67,421):{'3_1':0.09,'5_2':0.0},(67,420):{'3_1':0.03},(67,419):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(67,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,417):{'3_1':0.03,'4_1':0.0},(67,416):{'5_2':0.0,'3_1':0.0,'6_1':0.0},(67,415):{'3_1':0.03,'5_2':0.0},(67,414):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,413):{'3_1':0.06,'5_2':0.0},(67,412):{'3_1':0.03,'4_1':0.0},(67,411):{'3_1':0.03,'5_2':0.0},(67,410):{'3_1':0.0},(67,409):{'3_1':0.03,'5_1':0.0},(67,408):{'3_1':0.03,'5_2':0.0},(67,407):{'3_1':0.06},(67,406):{'3_1':0.03},(67,405):{'3_1':0.0},(67,404):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,403):{'3_1':0.0,'4_1':0.0},(67,402):{'3_1':0.03,'4_1':0.0},(67,401):{'3_1':0.0,'5_2':0.0},(67,400):{'3_1':0.03,'5_2':0.0},(67,399):{'3_1':0.06},(67,398):{'3_1':0.0},(67,397):{'3_1':0.03},(67,396):{'3_1':0.0,'5_2':0.0},(67,395):{'3_1':0.06},(67,394):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,393):{'3_1':0.06},(67,392):{'3_1':0.03,'5_2':0.0},(67,391):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,390):{'3_1':0.06,'4_1':0.0},(67,389):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(67,388):{'3_1':0.06},(67,387):{'3_1':0.03,'5_2':0.0},(67,386):{'3_1':0.06},(67,385):{'3_1':0.09,'4_1':0.0},(67,384):{'3_1':0.06},(67,383):{'3_1':0.06,'4_1':0.0},(67,382):{'3_1':0.0,'4_1':0.0},(67,381):{'3_1':0.06,'4_1':0.0},(67,380):{'3_1':0.03,'4_1':0.0},(67,379):{'3_1':0.06,'4_1':0.0},(67,378):{'3_1':0.03},(67,377):{'4_1':0.03,'3_1':0.0,'7_2':0.0},(67,376):{'3_1':0.03,'4_1':0.0},(67,375):{'3_1':0.03,'5_1':0.0},(67,374):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,373):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(67,372):{'3_1':0.06,'4_1':0.0},(67,371):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(67,370):{'3_1':0.03,'4_1':0.0},(67,369):{'3_1':0.06,'4_1':0.0},(67,368):{'3_1':0.0,'4_1':0.0},(67,367):{'3_1':0.09,'4_1':0.0},(67,366):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,365):{'3_1':0.0,'4_1':0.0},(67,364):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(67,363):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,362):{'3_1':0.03,'4_1':0.0},(67,361):{'3_1':0.03},(67,360):{'3_1':0.03,'4_1':0.0},(67,359):{'3_1':0.0},(67,358):{'3_1':0.06,'5_2':0.0},(67,357):{'3_1':0.03,'4_1':0.0},(67,356):{'3_1':0.0,'4_1':0.0},(67,355):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(67,354):{'3_1':0.0},(67,353):{'3_1':0.0,'4_1':0.0},(67,352):{'3_1':0.03,'4_1':0.0},(67,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,350):{'3_1':0.0,'4_1':0.0},(67,349):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,348):{'3_1':0.0},(67,347):{'3_1':0.06},(67,346):{'3_1':0.03},(67,345):{'3_1':0.03},(67,344):{'3_1':0.03,'4_1':0.0},(67,343):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(67,342):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,341):{'4_1':0.0,'5_1':0.0,'3_1':0.0},(67,340):{'3_1':0.06,'4_1':0.0},(67,339):{'3_1':0.03,'4_1':0.0},(67,338):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(67,337):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(67,336):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,335):{'3_1':0.09,'4_1':0.0},(67,334):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(67,333):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(67,332):{'3_1':0.03,'4_1':0.0},(67,331):{'3_1':0.03,'4_1':0.0},(67,330):{'3_1':0.03,'4_1':0.0},(67,329):{'4_1':0.0,'3_1':0.0},(67,328):{'3_1':0.03},(67,327):{'3_1':0.0,'4_1':0.0},(67,326):{'3_1':0.0,'4_1':0.0},(67,325):{'3_1':0.03,'4_1':0.0},(67,324):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,323):{'3_1':0.0},(67,322):{'3_1':0.0,'4_1':0.0},(67,321):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(67,320):{'3_1':0.03,'4_1':0.0},(67,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(67,318):{'3_1':0.06,'5_2':0.0},(67,317):{'3_1':0.03,'4_1':0.0},(67,316):{'3_1':0.03,'4_1':0.0},(67,315):{'3_1':0.03,'4_1':0.0},(67,314):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,313):{'3_1':0.03,'4_1':0.0},(67,312):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(67,311):{'3_1':0.03,'4_1':0.0},(67,310):{'3_1':0.0,'4_1':0.0},(67,309):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,308):{'3_1':0.03,'5_2':0.0},(67,307):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,306):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(67,305):{'3_1':0.03,'5_2':0.0},(67,304):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(67,303):{'3_1':0.06,'4_1':0.0},(67,302):{'3_1':0.09,'4_1':0.0},(67,301):{'3_1':0.06,'4_1':0.0},(67,300):{'3_1':0.03,'4_1':0.0},(67,299):{'3_1':0.03,'4_1':0.0},(67,298):{'3_1':0.06,'4_1':0.0},(67,297):{'4_1':0.03,'5_2':0.0,'3_1':0.0},(67,296):{'4_1':0.03,'3_1':0.0},(67,295):{'3_1':0.06},(67,294):{'4_1':0.0,'3_1':0.0,'7_3':0.0},(67,293):{'3_1':0.09,'4_1':0.0},(67,292):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(67,291):{'3_1':0.06,'4_1':0.03},(67,290):{'3_1':0.03},(67,289):{'3_1':0.0},(67,288):{'3_1':0.09,'4_1':0.0},(67,287):{'3_1':0.06,'4_1':0.0},(67,286):{'3_1':0.03,'4_1':0.0},(67,285):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,284):{'3_1':0.09,'4_1':0.0},(67,283):{'3_1':0.09},(67,282):{'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(67,281):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(67,280):{'3_1':0.0,'4_1':0.0},(67,279):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(67,278):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,277):{'3_1':0.06,'4_1':0.0},(67,276):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(67,275):{'3_1':0.03},(67,274):{'3_1':0.09,'5_1':0.0},(67,273):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(67,272):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(67,271):{'3_1':0.06,'4_1':0.0},(67,270):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(67,269):{'3_1':0.06,'6_2':0.0},(67,268):{'3_1':0.06},(67,267):{'3_1':0.06,'4_1':0.0},(67,266):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(67,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(67,264):{'3_1':0.06,'5_2':0.0},(67,263):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,262):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(67,261):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,260):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(67,259):{'3_1':0.06,'5_1':0.0},(67,258):{'3_1':0.03,'4_1':0.0},(67,257):{'3_1':0.03,'4_1':0.0},(67,256):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(67,255):{'3_1':0.06,'4_1':0.0},(67,254):{'3_1':0.03,'4_1':0.03},(67,253):{'3_1':0.06,'4_1':0.0},(67,252):{'3_1':0.03,'5_2':0.0},(67,251):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(67,250):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(67,249):{'3_1':0.06,'5_2':0.0,'3_1#5_1':0.0},(67,248):{'3_1':0.03,'4_1':0.0},(67,247):{'3_1':0.06,'4_1':0.0},(67,246):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(67,245):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(67,244):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(67,243):{'3_1':0.06,'4_1':0.0},(67,242):{'3_1':0.03,'4_1':0.0},(67,241):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(67,240):{'3_1':0.06,'4_1':0.0},(67,239):{'3_1':0.0,'7_2':0.0},(67,238):{'3_1':0.03,'5_2':0.0},(67,237):{'5_1':0.0},(67,236):{'3_1':0.03,'4_1':0.0},(67,235):{'3_1':0.03,'4_1':0.0},(67,234):{'3_1':0.03},(67,233):{'3_1':0.03,'5_2':0.0},(67,232):{'3_1':0.06,'4_1':0.0},(67,231):{'3_1':0.03},(67,230):{'3_1':0.06,'4_1':0.0},(67,229):{'3_1':0.06},(67,228):{'3_1':0.09},(67,227):{'3_1':0.06},(67,226):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(67,225):{'3_1':0.06,'4_1':0.0},(67,224):{'3_1':0.06},(67,223):{'3_1':0.06,'8_20|3_1#3_1':0.0},(67,222):{'3_1':0.03},(67,221):{'3_1':0.03,'4_1':0.0},(67,220):{'3_1':0.06},(67,219):{'3_1':0.03,'4_1':0.0},(67,218):{'3_1':0.0},(67,217):{'3_1':0.06},(67,216):{'3_1':0.0},(67,215):{'3_1':0.06},(67,214):{'3_1':0.03},(67,213):{'3_1':0.03,'5_2':0.0},(67,212):{'3_1':0.03},(67,211):{'3_1':0.06,'4_1':0.0},(67,210):{'3_1':0.0,'4_1':0.0},(67,209):{'3_1':0.03},(67,208):{'3_1':0.03},(67,207):{'3_1':0.03},(67,206):{'3_1':0.0},(67,205):{'3_1':0.03,'3_1#5_1':0.0},(67,204):{'3_1':0.0},(67,203):{'3_1':0.0,'4_1':0.0},(67,202):{'3_1':0.03},(67,201):{'3_1':0.03},(67,200):{'3_1':0.06},(67,199):{'3_1':0.0},(67,198):{'3_1':0.0},(67,197):{'3_1':0.06},(67,196):{'3_1':0.06},(67,195):{'3_1':0.06},(67,194):{'3_1':0.06,'4_1':0.0},(67,193):{'3_1':0.03,'4_1':0.0},(67,192):{'3_1':0.0},(67,191):{'3_1':0.06,'7_2':0.0},(67,190):{'3_1':0.0,'4_1':0.0},(67,189):{'3_1':0.06},(67,188):{'3_1':0.03},(67,187):{'3_1':0.0},(67,186):{'3_1':0.03,'4_1':0.0},(67,185):{'3_1':0.03},(67,184):{'3_1':0.03},(67,183):{'3_1':0.03},(67,182):{'3_1':0.03},(67,181):{'3_1':0.06},(67,180):{'3_1':0.03},(67,179):{'3_1':0.0,'4_1':0.0},(67,178):{'3_1':0.0},(67,177):{'3_1':0.03},(67,176):{'3_1':0.06,'4_1':0.0},(67,175):{'3_1':0.0},(67,174):{'3_1':0.03,'4_1':0.0},(67,173):{'3_1':0.03},(67,172):{'3_1':0.03,'4_1':0.0},(67,171):{'3_1':0.03},(67,170):{'3_1':0.03,'4_1':0.0},(67,169):{'3_1':0.03},(67,168):{'3_1':0.06},(67,167):{'3_1':0.03,'4_1':0.0},(67,166):{'3_1':0.03,'4_1':0.0},(67,165):{'3_1':0.0},(67,164):{'3_1':0.0,'4_1':0.0},(67,163):{'3_1':0.0,'4_1':0.0},(67,162):{'3_1':0.0},(67,161):{'3_1':0.03},(67,160):{'3_1':0.03},(67,159):{'3_1':0.03,'4_1':0.0},(67,158):{'3_1':0.03},(67,157):{'3_1':0.03},(67,156):{'3_1':0.06,'5_1':0.0},(67,155):{'3_1':0.0},(67,154):{'3_1':0.03},(67,153):{'3_1':0.0},(67,152):{'3_1':0.03},(67,151):{'3_1':0.03},(67,150):{'3_1':0.0},(67,149):{'3_1':0.03},(67,148):{'3_1':0.0},(67,147):{'3_1':0.0,'4_1':0.0},(67,146):{'3_1':0.0},(67,145):{'3_1':0.03},(67,144):{'3_1':0.0},(67,143):{'3_1':0.03},(67,142):{'3_1':0.0},(67,141):{'3_1':0.03},(67,140):{'3_1':0.0},(67,139):{'3_1':0.0},(67,138):{'3_1':0.0},(67,137):{'3_1':0.0,'5_1':0.0},(67,136):{'3_1':0.0,'5_1':0.0},(67,133):{'3_1':0.0},(67,132):{'3_1':0.0},(67,131):{'3_1':0.0},(67,127):{'5_1':0.0},(67,126):{'5_2':0.0},(67,125):{'3_1':0.0},(67,123):{'3_1':0.0},(67,122):{'3_1':0.0},(67,121):{'3_1':0.0},(67,115):{'3_1':0.0},(68,727):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(68,726):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(68,725):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(68,724):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(68,723):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0},(68,722):{'5_2':0.48,'-3':0.21,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(68,721):{'5_2':0.51,'-3':0.09,'3_1':0.09,'5_1':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(68,720):{'5_2':0.45,'3_1':0.09,'-3':0.09,'3_1#5_2':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'8_8':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(68,719):{'5_2':0.45,'-3':0.09,'3_1':0.06,'3_1#5_2':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(68,718):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(68,717):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_2':0.03,'7_3':0.0},(68,716):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0},(68,715):{'5_2':0.45,'-3':0.15,'7_4':0.09,'3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0,'6_1':0.0,'7_5':0.0},(68,714):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0},(68,713):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(68,712):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(68,711):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(68,710):{'5_2':0.39,'-3':0.15,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_19':0.0},(68,709):{'5_2':0.39,'-3':0.27,'3_1':0.03,'5_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'2':-0.03},(68,708):{'5_2':0.42,'-3':0.15,'5_1':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(68,707):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(68,706):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.09,'3_1#5_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(68,705):{'5_2':0.48,'3_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(68,704):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(68,703):{'5_2':0.27,'-3':0.12,'3_1':0.09,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(68,702):{'5_2':0.39,'-3':0.15,'3_1':0.12,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(68,701):{'5_2':0.24,'3_1':0.18,'-3':0.15,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_6':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(68,700):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(68,699):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_5':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(68,698):{'5_2':0.36,'3_1':0.09,'7_3':0.09,'-3':0.09,'7_4':0.06,'7_6':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'1':-0.03},(68,697):{'5_2':0.3,'-3':0.18,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'8_8':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(68,696):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(68,695):{'5_2':0.3,'3_1':0.15,'-3':0.12,'3_1#5_2':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0},(68,694):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_3':0.03,'7_6':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(68,693):{'5_2':0.3,'-3':0.18,'3_1':0.12,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(68,692):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(68,691):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(68,690):{'5_2':0.33,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(68,689):{'5_2':0.21,'3_1':0.21,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(68,688):{'5_2':0.3,'3_1':0.24,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(68,687):{'3_1':0.27,'5_2':0.27,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(68,686):{'5_2':0.24,'3_1':0.21,'7_4':0.09,'-3':0.03,'7_3':0.03,'7_7':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(68,685):{'3_1':0.3,'5_2':0.21,'-3':0.09,'7_4':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(68,684):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'3_1#5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_8':0.0,'8_14':0.0},(68,683):{'3_1':0.24,'5_2':0.21,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(68,682):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'-3':0.06,'7_7':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,681):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(68,680):{'3_1':0.39,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(68,679):{'3_1':0.33,'5_2':0.15,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_1':0.0},(68,678):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(68,677):{'3_1':0.36,'5_2':0.15,'8_20|3_1#3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0},(68,676):{'3_1':0.42,'-3':0.09,'5_2':0.06,'7_4':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(68,675):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(68,674):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'4_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'3_1#5_2':0.0},(68,673):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.03,'7_7':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(68,672):{'3_1':0.45,'5_2':0.09,'8_20|3_1#3_1':0.06,'7_3':0.03,'7_7':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(68,671):{'3_1':0.42,'5_2':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'3_1#5_2':0.0},(68,670):{'3_1':0.51,'-3':0.09,'5_2':0.06,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(68,669):{'3_1':0.51,'5_2':0.09,'-3':0.06,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(68,668):{'3_1':0.48,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_7':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(68,667):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(68,666):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'7_7':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(68,665):{'3_1':0.39,'5_2':0.06,'7_4':0.03,'4_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(68,664):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_1':0.0},(68,663):{'3_1':0.42,'5_2':0.18,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(68,662):{'3_1':0.39,'5_2':0.18,'-3':0.06,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(68,661):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(68,660):{'3_1':0.42,'5_2':0.18,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(68,659):{'3_1':0.42,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(68,658):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'7_7':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,657):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(68,656):{'3_1':0.33,'5_2':0.12,'-3':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(68,655):{'3_1':0.45,'5_2':0.12,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,654):{'3_1':0.48,'5_2':0.12,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(68,653):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(68,652):{'3_1':0.33,'5_2':0.09,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0},(68,651):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(68,650):{'3_1':0.36,'5_2':0.15,'7_7':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(68,649):{'3_1':0.24,'5_2':0.09,'7_6':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'8_15':0.0,'1':-0.03},(68,648):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_6':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(68,647):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,646):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(68,645):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(68,644):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(68,643):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(68,642):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_6':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0},(68,641):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(68,640):{'3_1':0.24,'5_2':0.09,'-3':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(68,639):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,638):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_10':0.0,'8_14':0.0,'3_1#5_2':0.0},(68,637):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,636):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,635):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(68,634):{'3_1':0.21,'5_2':0.09,'4_1':0.09,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,633):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(68,632):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(68,631):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(68,630):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(68,629):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(68,628):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(68,627):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(68,626):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(68,625):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0,'8_14':0.0,'9_1':0.0},(68,624):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'3_1#5_1':0.0,'-3':0.0},(68,623):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(68,622):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(68,621):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,620):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'7_7':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(68,619):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(68,618):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'6_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(68,617):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(68,616):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,615):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,614):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(68,613):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(68,612):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0},(68,611):{'3_1':0.21,'4_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(68,610):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0,'7_7':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(68,609):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(68,608):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(68,607):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,606):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(68,605):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,604):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,603):{'4_1':0.12,'3_1':0.12,'7_1':0.0,'7_4':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(68,602):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0},(68,601):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,600):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(68,599):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(68,598):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_1':0.0,'-3':0.0},(68,597):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(68,596):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(68,595):{'3_1':0.15,'4_1':0.15,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(68,594):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(68,593):{'4_1':0.21,'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(68,592):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(68,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,590):{'3_1':0.18,'4_1':0.15,'6_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(68,589):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(68,588):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(68,587):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(68,586):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(68,585):{'4_1':0.24,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(68,584):{'4_1':0.15,'6_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(68,583):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(68,582):{'4_1':0.18,'6_1':0.03,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(68,581):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(68,580):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,579):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(68,578):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(68,577):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(68,576):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0},(68,575):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(68,574):{'4_1':0.21,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0},(68,573):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(68,572):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(68,571):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(68,570):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(68,569):{'4_1':0.09,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(68,568):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(68,567):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(68,566):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0,'5_1':0.0},(68,565):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0},(68,564):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,563):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(68,562):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_11':0.0},(68,561):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_4':0.0},(68,560):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(68,559):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(68,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(68,557):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,556):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(68,555):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_1':0.0,'8_1':0.0,'-3':0.0},(68,554):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0},(68,553):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(68,552):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,551):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(68,550):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(68,549):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(68,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(68,547):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(68,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(68,545):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(68,544):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(68,543):{'3_1':0.12,'4_1':0.12,'8_20|3_1#3_1':0.0,'7_4':0.0},(68,542):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0},(68,541):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(68,540):{'3_1':0.15,'4_1':0.06,'8_20|3_1#3_1':0.0,'9_1':0.0},(68,539):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0},(68,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(68,537):{'3_1':0.21,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(68,536):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'9_1':0.0,'5_1':0.0,'7_1':0.0},(68,535):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_2':0.0},(68,534):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(68,533):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(68,532):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(68,531):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(68,530):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,529):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(68,528):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(68,527):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(68,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(68,525):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(68,524):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(68,523):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_2':0.0},(68,522):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(68,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(68,520):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(68,519):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(68,518):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(68,517):{'3_1':0.09,'4_1':0.0},(68,516):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(68,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,514):{'3_1':0.09,'4_1':0.03},(68,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,512):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(68,511):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(68,510):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(68,508):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,507):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(68,506):{'3_1':0.09,'4_1':0.0},(68,505):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0},(68,504):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(68,503):{'3_1':0.09,'4_1':0.0},(68,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,501):{'3_1':0.03,'4_1':0.0},(68,500):{'3_1':0.06,'4_1':0.0},(68,499):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,498):{'3_1':0.09,'4_1':0.0},(68,497):{'3_1':0.09},(68,496):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(68,495):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(68,494):{'3_1':0.06,'4_1':0.0},(68,493):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,492):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(68,491):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_4':0.0},(68,490):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(68,489):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(68,488):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(68,487):{'3_1':0.09},(68,486):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(68,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(68,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(68,481):{'3_1':0.09,'4_1':0.03},(68,480):{'3_1':0.15,'4_1':0.0},(68,479):{'3_1':0.12,'4_1':0.03},(68,478):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,477):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'9_1':0.0},(68,476):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(68,475):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(68,474):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(68,473):{'3_1':0.03,'4_1':0.03},(68,472):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(68,471):{'3_1':0.06,'4_1':0.03},(68,470):{'3_1':0.06,'4_1':0.0},(68,469):{'3_1':0.12,'4_1':0.03},(68,468):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(68,467):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(68,466):{'3_1':0.09,'4_1':0.0},(68,465):{'3_1':0.06,'4_1':0.0},(68,464):{'3_1':0.0,'4_1':0.0},(68,463):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,462):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(68,461):{'3_1':0.09,'4_1':0.0},(68,460):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,459):{'3_1':0.09,'4_1':0.03},(68,458):{'3_1':0.06},(68,457):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(68,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,455):{'3_1':0.06,'4_1':0.0},(68,454):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_2':0.0},(68,453):{'3_1':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0},(68,452):{'3_1':0.06,'4_1':0.0},(68,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(68,450):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,449):{'3_1':0.06,'4_1':0.0},(68,448):{'3_1':0.09,'4_1':0.06},(68,447):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,446):{'3_1':0.09,'5_2':0.0},(68,445):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(68,444):{'3_1':0.03,'4_1':0.0},(68,443):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,442):{'3_1':0.03,'4_1':0.0},(68,441):{'3_1':0.03},(68,440):{'3_1':0.09,'5_2':0.0},(68,439):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,438):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,437):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(68,436):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(68,435):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,434):{'3_1':0.09,'8_2':0.0},(68,433):{'3_1':0.06,'4_1':0.0},(68,432):{'3_1':0.09,'4_1':0.0},(68,431):{'3_1':0.12,'4_1':0.0},(68,430):{'3_1':0.0,'4_1':0.0},(68,429):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(68,428):{'3_1':0.12,'4_1':0.03},(68,427):{'3_1':0.06,'4_1':0.0},(68,426):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(68,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,424):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(68,423):{'3_1':0.06,'8_20|3_1#3_1':0.0},(68,422):{'3_1':0.06,'5_2':0.0},(68,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,420):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(68,419):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(68,418):{'3_1':0.12,'5_2':0.0},(68,417):{'3_1':0.06},(68,416):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(68,415):{'3_1':0.03,'4_1':0.0},(68,414):{'3_1':0.03,'5_2':0.0},(68,413):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,412):{'3_1':0.06},(68,411):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,410):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(68,409):{'3_1':0.09,'4_1':0.0},(68,408):{'3_1':0.06,'4_1':0.0},(68,407):{'3_1':0.03},(68,406):{'3_1':0.03},(68,405):{'3_1':0.03},(68,404):{'3_1':0.06},(68,403):{'3_1':0.03,'5_2':0.0},(68,402):{'3_1':0.0},(68,401):{'3_1':0.06,'4_1':0.0},(68,400):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(68,399):{'3_1':0.06,'5_1':0.0},(68,398):{'3_1':0.0,'5_2':0.0},(68,397):{'3_1':0.0,'5_1':0.0},(68,396):{'3_1':0.0,'5_2':0.0},(68,395):{'3_1':0.06,'4_1':0.0},(68,394):{'3_1':0.03,'5_2':0.0},(68,393):{'3_1':0.0},(68,392):{'3_1':0.03},(68,391):{'3_1':0.03,'5_2':0.0},(68,390):{'3_1':0.03},(68,389):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,388):{'3_1':0.09,'4_1':0.0},(68,387):{'3_1':0.0,'4_1':0.0},(68,386):{'3_1':0.03},(68,385):{'3_1':0.03},(68,384):{'3_1':0.03,'5_2':0.0},(68,383):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,382):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,381):{'3_1':0.06,'4_1':0.0},(68,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,379):{'3_1':0.06,'4_1':0.0},(68,378):{'3_1':0.03,'5_1':0.0},(68,377):{'3_1':0.03,'4_1':0.0},(68,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(68,375):{'3_1':0.03,'4_1':0.0},(68,374):{'3_1':0.0},(68,373):{'3_1':0.03,'4_1':0.0},(68,372):{'3_1':0.0,'4_1':0.0},(68,371):{'3_1':0.09,'4_1':0.0},(68,370):{'3_1':0.09,'4_1':0.0},(68,369):{'3_1':0.03},(68,368):{'3_1':0.03,'4_1':0.0},(68,367):{'3_1':0.06},(68,366):{'3_1':0.0,'4_1':0.0},(68,365):{'3_1':0.03,'4_1':0.0},(68,364):{'3_1':0.06,'4_1':0.03},(68,363):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,362):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,361):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,360):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(68,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,358):{'3_1':0.03,'4_1':0.0},(68,357):{'3_1':0.03},(68,356):{'3_1':0.06,'4_1':0.0},(68,355):{'3_1':0.03,'4_1':0.0},(68,354):{'3_1':0.06,'4_1':0.0},(68,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,352):{'3_1':0.0,'4_1':0.0},(68,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,350):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,349):{'3_1':0.03,'4_1':0.0},(68,348):{'3_1':0.03,'4_1':0.03},(68,347):{'3_1':0.03},(68,346):{'3_1':0.09,'4_1':0.0},(68,345):{'3_1':0.03},(68,344):{'3_1':0.0},(68,343):{'3_1':0.06,'4_1':0.0},(68,342):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(68,341):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(68,340):{'3_1':0.03,'4_1':0.0},(68,339):{'3_1':0.03,'4_1':0.0},(68,338):{'3_1':0.03},(68,337):{'3_1':0.03,'4_1':0.0},(68,336):{'3_1':0.0},(68,335):{'3_1':0.03,'4_1':0.0},(68,334):{'3_1':0.09,'4_1':0.0},(68,333):{'3_1':0.03},(68,332):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,331):{'3_1':0.03,'4_1':0.0},(68,330):{'3_1':0.0,'4_1':0.0},(68,329):{'3_1':0.09,'4_1':0.0},(68,328):{'3_1':0.03},(68,327):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(68,326):{'3_1':0.03,'4_1':0.0},(68,325):{'3_1':0.06},(68,324):{'3_1':0.03,'4_1':0.0},(68,323):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,322):{'3_1':0.03},(68,321):{'3_1':0.06,'5_1':0.0},(68,320):{'3_1':0.06,'4_1':0.0},(68,319):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(68,318):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(68,317):{'3_1':0.06,'4_1':0.0},(68,316):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(68,315):{'3_1':0.03},(68,314):{'3_1':0.03,'5_2':0.0},(68,313):{'3_1':0.03},(68,312):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,311):{'3_1':0.06,'4_1':0.0},(68,310):{'3_1':0.09},(68,309):{'3_1':0.03,'4_1':0.0},(68,308):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,307):{'3_1':0.09,'4_1':0.0},(68,306):{'3_1':0.06},(68,305):{'3_1':0.09,'5_2':0.0},(68,304):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(68,303):{'3_1':0.06},(68,302):{'3_1':0.06,'5_2':0.0},(68,301):{'3_1':0.06,'5_2':0.0},(68,300):{'3_1':0.03},(68,299):{'3_1':0.06},(68,298):{'3_1':0.0,'4_1':0.0},(68,297):{'3_1':0.06,'4_1':0.0},(68,296):{'3_1':0.0},(68,295):{'3_1':0.03,'4_1':0.0,'-3':0.0},(68,294):{'3_1':0.03,'4_1':0.0},(68,293):{'3_1':0.06},(68,292):{'3_1':0.09},(68,291):{'3_1':0.06,'4_1':0.0},(68,290):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,289):{'3_1':0.06,'4_1':0.0},(68,288):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,287):{'3_1':0.03},(68,286):{'3_1':0.0,'4_1':0.0},(68,285):{'3_1':0.06},(68,284):{'3_1':0.03,'4_1':0.0},(68,283):{'3_1':0.06,'4_1':0.0},(68,282):{'3_1':0.03,'4_1':0.0},(68,281):{'3_1':0.06,'4_1':0.0},(68,280):{'3_1':0.03},(68,279):{'3_1':0.06,'5_2':0.0},(68,278):{'3_1':0.06,'4_1':0.0},(68,277):{'3_1':0.03,'4_1':0.0},(68,276):{'3_1':0.06},(68,275):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,274):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(68,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,272):{'3_1':0.0},(68,271):{'3_1':0.09},(68,270):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(68,269):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_4':0.0},(68,268):{'3_1':0.0},(68,267):{'3_1':0.03},(68,266):{'3_1':0.03,'4_1':0.0},(68,265):{'3_1':0.03,'4_1':0.0},(68,264):{'3_1':0.03},(68,263):{'3_1':0.03,'4_1':0.0},(68,262):{'3_1':0.09,'4_1':0.0},(68,261):{'3_1':0.06,'4_1':0.0},(68,260):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(68,259):{'3_1':0.03},(68,258):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(68,257):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(68,256):{'3_1':0.0,'4_1':0.0},(68,255):{'3_1':0.03},(68,254):{'3_1':0.03},(68,253):{'3_1':0.03,'4_1':0.0},(68,252):{'3_1':0.03,'4_1':0.0},(68,251):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(68,250):{'3_1':0.06,'4_1':0.0},(68,249):{'3_1':0.03},(68,248):{'3_1':0.06,'4_1':0.0},(68,247):{'3_1':0.09,'4_1':0.0},(68,246):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(68,245):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(68,244):{'3_1':0.09,'4_1':0.0},(68,243):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(68,242):{'3_1':0.0},(68,241):{'3_1':0.06,'4_1':0.0},(68,240):{'3_1':0.09,'4_1':0.0},(68,239):{'3_1':0.03},(68,238):{'3_1':0.15},(68,237):{'3_1':0.06,'4_1':0.0},(68,236):{'3_1':0.06,'5_1':0.0},(68,235):{'3_1':0.03,'4_1':0.0},(68,234):{'3_1':0.0,'4_1':0.0},(68,233):{'3_1':0.03},(68,232):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,231):{'3_1':0.03},(68,230):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,229):{'3_1':0.06},(68,228):{'3_1':0.03},(68,227):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,226):{'3_1':0.06},(68,225):{'3_1':0.09},(68,224):{'3_1':0.09},(68,223):{'3_1':0.06},(68,222):{'3_1':0.06},(68,221):{'3_1':0.0},(68,220):{'3_1':0.0},(68,219):{'3_1':0.06},(68,218):{'3_1':0.06},(68,217):{'3_1':0.03},(68,216):{'3_1':0.06,'4_1':0.0},(68,215):{'3_1':0.09},(68,214):{'3_1':0.09},(68,213):{'3_1':0.06},(68,212):{'3_1':0.03,'4_1':0.0},(68,211):{'3_1':0.03,'4_1':0.0},(68,210):{'3_1':0.0},(68,209):{'3_1':0.03},(68,208):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,207):{'3_1':0.06},(68,206):{'3_1':0.0,'4_1':0.0},(68,205):{'3_1':0.06,'5_1':0.0,'7_4':0.0},(68,204):{'3_1':0.0},(68,203):{'3_1':0.06},(68,202):{'3_1':0.06},(68,201):{'3_1':0.0,'4_1':0.0},(68,200):{'3_1':0.0,'5_1':0.0},(68,199):{'3_1':0.06},(68,198):{'3_1':0.03},(68,197):{'3_1':0.0},(68,196):{'3_1':0.03},(68,195):{'3_1':0.06},(68,194):{'3_1':0.03,'5_2':0.0},(68,193):{'3_1':0.06},(68,192):{'3_1':0.03},(68,191):{'3_1':0.06},(68,190):{'3_1':0.0},(68,189):{'3_1':0.0},(68,188):{'3_1':0.06},(68,187):{'3_1':0.03},(68,186):{'3_1':0.0},(68,185):{'3_1':0.03},(68,184):{'3_1':0.06,'7_2':0.0},(68,183):{'3_1':0.0},(68,182):{'3_1':0.0},(68,181):{'3_1':0.06},(68,180):{'3_1':0.06},(68,179):{'3_1':0.06,'4_1':0.0},(68,178):{'3_1':0.06,'4_1':0.0},(68,177):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(68,176):{'3_1':0.03},(68,175):{'3_1':0.03},(68,174):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(68,173):{'3_1':0.03},(68,172):{'3_1':0.06,'4_1':0.0},(68,171):{'3_1':0.06},(68,170):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,169):{'3_1':0.06},(68,168):{'3_1':0.06},(68,167):{'3_1':0.03},(68,166):{'3_1':0.06},(68,165):{'3_1':0.03},(68,164):{'3_1':0.0,'4_1':0.0},(68,163):{'3_1':0.03},(68,162):{'3_1':0.0},(68,161):{'3_1':0.03},(68,160):{'3_1':0.06,'4_1':0.0},(68,159):{'3_1':0.06},(68,158):{'3_1':0.03},(68,157):{'3_1':0.03,'4_1':0.0},(68,156):{'3_1':0.06},(68,155):{'3_1':0.03},(68,154):{'3_1':0.09},(68,153):{'3_1':0.06},(68,152):{'3_1':0.03},(68,151):{'3_1':0.0},(68,150):{'3_1':0.03},(68,149):{'3_1':0.03},(68,148):{'3_1':0.0,'4_1':0.0},(68,147):{'3_1':0.03,'4_1':0.0},(68,146):{'3_1':0.03},(68,145):{'3_1':0.03},(68,144):{'3_1':0.06},(68,143):{'3_1':0.03},(68,142):{'3_1':0.03},(68,141):{'3_1':0.0},(68,140):{'3_1':0.0},(68,139):{'3_1':0.03},(68,138):{'3_1':0.0,'5_1':0.0},(68,137):{'3_1':0.0},(68,135):{'3_1':0.0,'5_1':0.0},(68,132):{'3_1':0.0},(68,131):{'3_1':0.0},(68,130):{'3_1':0.0},(68,129):{'3_1':0.0},(68,128):{'3_1':0.0,'4_1':0.0},(68,127):{'5_1':0.0},(68,125):{'3_1':0.0},(68,124):{'3_1':0.0},(68,123):{'3_1':0.0},(68,122):{'3_1':0.0},(68,120):{'3_1':0.0},(68,115):{'3_1':0.0},(69,727):{'5_2':0.6,'-3':0.09,'3_1':0.06,'3_1#5_2':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0},(69,726):{'5_2':0.54,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0},(69,725):{'5_2':0.57,'-3':0.15,'5_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_4':0.0,'6_1':0.0,'8_8':0.0},(69,724):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(69,723):{'5_2':0.6,'-3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(69,722):{'5_2':0.45,'-3':0.09,'7_4':0.06,'3_1':0.06,'7_5':0.06,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(69,721):{'5_2':0.48,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_2':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(69,720):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(69,719):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0},(69,718):{'5_2':0.54,'3_1':0.06,'-3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0},(69,717):{'5_2':0.36,'-3':0.12,'7_2':0.06,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0},(69,716):{'5_2':0.48,'7_4':0.09,'3_1':0.06,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(69,715):{'5_2':0.42,'-3':0.18,'7_4':0.12,'3_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0},(69,714):{'5_2':0.42,'3_1':0.12,'-3':0.09,'5_1':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(69,713):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'3_1#5_2':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(69,712):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0},(69,711):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(69,710):{'5_2':0.36,'-3':0.21,'3_1':0.09,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(69,709):{'5_2':0.45,'-3':0.24,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'2':-0.03},(69,708):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'1':-0.03},(69,707):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'6_2':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_12':0.0},(69,706):{'5_2':0.42,'3_1':0.18,'-3':0.15,'7_4':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_2':0.0},(69,705):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(69,704):{'5_2':0.42,'3_1':0.18,'-3':0.06,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(69,703):{'5_2':0.3,'-3':0.18,'3_1':0.15,'7_4':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0,'1':-0.03},(69,702):{'5_2':0.3,'-3':0.18,'3_1':0.09,'3_1#5_2':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_9':0.0},(69,701):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.03,'5_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(69,700):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(69,699):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(69,698):{'5_2':0.36,'-3':0.15,'3_1':0.09,'3_1#5_2':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(69,697):{'5_2':0.3,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(69,696):{'5_2':0.3,'3_1':0.21,'-3':0.15,'7_4':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(69,695):{'5_2':0.39,'-3':0.15,'3_1':0.12,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(69,694):{'5_2':0.36,'-3':0.21,'3_1':0.12,'7_3':0.03,'7_4':0.03,'8_14':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(69,693):{'5_2':0.3,'-3':0.15,'3_1':0.15,'7_4':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'1':-0.03},(69,692):{'5_2':0.3,'3_1':0.21,'-3':0.12,'5_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(69,691):{'5_2':0.33,'3_1':0.18,'-3':0.18,'7_4':0.03,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0},(69,690):{'5_2':0.24,'3_1':0.18,'-3':0.15,'7_3':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(69,689):{'5_2':0.3,'3_1':0.21,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'8_14':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(69,688):{'3_1':0.21,'5_2':0.21,'-3':0.21,'7_4':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(69,687):{'5_2':0.27,'-3':0.18,'3_1':0.18,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0,'1':-0.03},(69,686):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'8_14':0.0,'8_15':0.0},(69,685):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_7':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(69,684):{'3_1':0.27,'5_2':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(69,683):{'5_2':0.21,'3_1':0.21,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0},(69,682):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(69,681):{'3_1':0.33,'5_2':0.18,'7_4':0.12,'-3':0.03,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(69,680):{'3_1':0.36,'5_2':0.15,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(69,679):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(69,678):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'-3':0.06,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(69,677):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(69,676):{'3_1':0.39,'7_4':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(69,675):{'3_1':0.45,'5_2':0.09,'-3':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(69,674):{'3_1':0.57,'5_2':0.06,'-3':0.03,'7_3':0.03,'7_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(69,673):{'3_1':0.45,'7_4':0.12,'5_2':0.06,'-3':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'6_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(69,672):{'3_1':0.42,'7_4':0.09,'-3':0.09,'5_2':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_7':0.0,'7_1':0.0,'8_3':0.0},(69,671):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(69,670):{'3_1':0.48,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(69,669):{'3_1':0.51,'5_2':0.15,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(69,668):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(69,667):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0},(69,666):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'-3':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(69,665):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(69,664):{'3_1':0.42,'5_2':0.21,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(69,663):{'3_1':0.39,'5_2':0.12,'-3':0.06,'7_7':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0},(69,662):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(69,661):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(69,660):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'7_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(69,659):{'3_1':0.42,'5_2':0.24,'-3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(69,658):{'3_1':0.54,'5_2':0.12,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(69,657):{'3_1':0.42,'5_2':0.12,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(69,656):{'3_1':0.42,'5_2':0.06,'7_7':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(69,655):{'3_1':0.51,'5_2':0.06,'5_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'1':-0.03},(69,654):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(69,653):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(69,652):{'3_1':0.42,'5_2':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(69,651):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(69,650):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_7':0.0},(69,649):{'3_1':0.42,'5_2':0.06,'4_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(69,648):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(69,647):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(69,646):{'3_1':0.33,'5_2':0.15,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(69,645):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(69,644):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(69,643):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_16':0.0,'3_1#5_1':0.0,'-3':0.0},(69,642):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(69,641):{'3_1':0.3,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(69,640):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(69,639):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(69,638):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(69,637):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(69,636):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(69,635):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(69,634):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_12':0.0},(69,633):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_19':0.0,'-3':0.0},(69,632):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(69,631):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(69,630):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(69,629):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(69,628):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,627):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,626):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(69,625):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(69,624):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(69,623):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(69,622):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(69,621):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,620):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0},(69,619):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,618):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0},(69,617):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(69,616):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(69,615):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0},(69,614):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,613):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'5_1':0.03,'-3':0.0,'3_1#5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(69,612):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(69,611):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(69,610):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'8_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(69,609):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(69,608):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(69,607):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,606):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,605):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'7_3':0.0,'5_1':0.0},(69,604):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_19':0.0},(69,603):{'3_1':0.15,'4_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(69,602):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(69,601):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(69,600):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(69,599):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_2':0.0,'8_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(69,598):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(69,597):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(69,596):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'7_6':0.0,'7_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,595):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(69,594):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(69,593):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'8_19':0.0},(69,592):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'9_1':0.0},(69,591):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(69,590):{'3_1':0.18,'4_1':0.15,'5_1':0.03,'6_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(69,589):{'4_1':0.15,'3_1':0.15,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(69,588):{'4_1':0.18,'6_1':0.03,'5_2':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(69,587):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(69,586):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,585):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(69,584):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(69,583):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0},(69,582):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,581):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(69,580):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0},(69,579):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(69,578):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(69,577):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(69,576):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0},(69,575):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'8_1':0.0,'5_1':0.0,'7_6':0.0},(69,574):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(69,573):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,572):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(69,571):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(69,570):{'4_1':0.18,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0},(69,569):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(69,568):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(69,567):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'-3':0.0,'7_3':0.0,'8_3':0.0},(69,566):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(69,565):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,564):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,563):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_1':0.0,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(69,562):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(69,561):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(69,560):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(69,559):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(69,558):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(69,557):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(69,556):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(69,555):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(69,554):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(69,553):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,552):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(69,551):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(69,550):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'-3':0.0},(69,549):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(69,548):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(69,547):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(69,546):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(69,545):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(69,544):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(69,543):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(69,542):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,541):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(69,540):{'3_1':0.06,'4_1':0.03,'8_2':0.0},(69,539):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(69,538):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,537):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(69,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(69,535):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(69,534):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,533):{'3_1':0.06,'4_1':0.0,'8_2':0.0},(69,532):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(69,531):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(69,530):{'3_1':0.15,'8_1':0.0,'8_20|3_1#3_1':0.0},(69,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,528):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(69,527):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(69,526):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(69,525):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,524):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(69,523):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(69,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,519):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(69,518):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0},(69,517):{'3_1':0.12},(69,516):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_1':0.0},(69,515):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(69,514):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0},(69,513):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(69,512):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(69,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,510):{'3_1':0.03,'4_1':0.0},(69,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,508):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(69,507):{'3_1':0.09},(69,506):{'3_1':0.09,'4_1':0.0},(69,505):{'3_1':0.09,'5_1':0.0},(69,504):{'3_1':0.09,'4_1':0.0},(69,503):{'3_1':0.09,'4_1':0.0},(69,502):{'3_1':0.06},(69,501):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,500):{'3_1':0.06,'4_1':0.0},(69,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,498):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,497):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(69,495):{'3_1':0.0,'5_2':0.0},(69,494):{'3_1':0.06,'4_1':0.0},(69,493):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,492):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,490):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,489):{'3_1':0.09,'4_1':0.0},(69,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(69,487):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(69,486):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(69,485):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(69,484):{'3_1':0.15},(69,483):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(69,482):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(69,481):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(69,480):{'3_1':0.06,'6_1':0.0},(69,479):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'3_1#5_1':0.0},(69,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,477):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,476):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,475):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,474):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(69,473):{'3_1':0.06,'4_1':0.0},(69,472):{'3_1':0.06,'5_2':0.0},(69,471):{'3_1':0.03,'4_1':0.03},(69,470):{'3_1':0.09,'4_1':0.0},(69,469):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(69,468):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,467):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,466):{'3_1':0.03,'4_1':0.0},(69,465):{'3_1':0.06,'4_1':0.0},(69,464):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,463):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(69,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,461):{'3_1':0.06,'4_1':0.0},(69,460):{'3_1':0.09,'4_1':0.0},(69,459):{'3_1':0.09},(69,458):{'3_1':0.09,'4_1':0.0},(69,457):{'3_1':0.06,'4_1':0.0},(69,456):{'3_1':0.03,'4_1':0.0},(69,455):{'3_1':0.06},(69,454):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(69,453):{'3_1':0.03,'4_1':0.0},(69,452):{'3_1':0.06,'4_1':0.0},(69,451):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0},(69,450):{'3_1':0.09,'4_1':0.0,'-3':0.0},(69,449):{'3_1':0.06,'5_2':0.0},(69,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,447):{'3_1':0.12,'4_1':0.0},(69,446):{'3_1':0.09,'4_1':0.0},(69,445):{'3_1':0.12,'4_1':0.0},(69,444):{'3_1':0.06,'4_1':0.0},(69,443):{'3_1':0.0,'5_2':0.0},(69,442):{'3_1':0.12},(69,441):{'3_1':0.06,'8_20|3_1#3_1':0.0},(69,440):{'3_1':0.06,'5_2':0.0},(69,439):{'3_1':0.03,'5_2':0.0},(69,438):{'3_1':0.06},(69,437):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,436):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,435):{'3_1':0.09,'5_1':0.0,'7_2':0.0},(69,434):{'3_1':0.09,'7_2':0.0,'4_1':0.0},(69,433):{'3_1':0.09},(69,432):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,431):{'3_1':0.06,'4_1':0.0},(69,430):{'3_1':0.09},(69,429):{'3_1':0.12,'5_2':0.0},(69,428):{'3_1':0.06,'4_1':0.0},(69,427):{'3_1':0.09,'5_2':0.0},(69,426):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(69,425):{'3_1':0.12,'4_1':0.0},(69,424):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(69,423):{'3_1':0.09},(69,422):{'3_1':0.12,'4_1':0.0},(69,421):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,419):{'3_1':0.03,'4_1':0.0},(69,418):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,417):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(69,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,415):{'3_1':0.03,'5_2':0.0},(69,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,413):{'3_1':0.09,'4_1':0.0},(69,412):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(69,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(69,410):{'3_1':0.06},(69,409):{'3_1':0.0,'4_1':0.0},(69,408):{'3_1':0.03},(69,407):{'3_1':0.03},(69,406):{'3_1':0.03},(69,405):{'3_1':0.03},(69,404):{'3_1':0.03,'5_2':0.0},(69,403):{'3_1':0.0,'5_2':0.0},(69,402):{'3_1':0.03},(69,401):{'4_1':0.0},(69,400):{'3_1':0.03},(69,399):{'3_1':0.06},(69,398):{'3_1':0.06,'8_20|3_1#3_1':0.0},(69,397):{'3_1':0.0,'5_1':0.0},(69,396):{'3_1':0.03},(69,395):{'3_1':0.03},(69,394):{'3_1':0.06},(69,393):{'3_1':0.0,'5_2':0.0},(69,392):{'3_1':0.03},(69,391):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(69,390):{'3_1':0.03},(69,389):{'3_1':0.0,'4_1':0.0},(69,388):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(69,387):{'3_1':0.0},(69,386):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,385):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(69,384):{'3_1':0.0},(69,383):{'3_1':0.03,'5_2':0.0},(69,382):{'3_1':0.0,'4_1':0.0},(69,381):{'3_1':0.03},(69,380):{'3_1':0.06,'4_1':0.0},(69,379):{'3_1':0.03,'4_1':0.0},(69,378):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(69,377):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,376):{'3_1':0.06,'4_1':0.0},(69,375):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(69,374):{'3_1':0.03,'4_1':0.0},(69,373):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(69,372):{'3_1':0.03,'4_1':0.0},(69,371):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,370):{'3_1':0.06},(69,369):{'3_1':0.06,'4_1':0.0},(69,368):{'3_1':0.03,'4_1':0.03},(69,367):{'3_1':0.06,'4_1':0.0},(69,366):{'3_1':0.03,'7_1':0.0},(69,365):{'3_1':0.03},(69,364):{'3_1':0.03},(69,363):{'3_1':0.03,'4_1':0.0},(69,362):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(69,361):{'3_1':0.0},(69,360):{'3_1':0.03,'4_1':0.0},(69,359):{'3_1':0.03},(69,358):{'3_1':0.03,'4_1':0.0},(69,357):{'4_1':0.0,'3_1':0.0},(69,356):{'3_1':0.03},(69,355):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,354):{'3_1':0.0,'4_1':0.0},(69,353):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(69,352):{'4_1':0.0,'3_1':0.0},(69,351):{'3_1':0.0,'6_1':0.0},(69,350):{'3_1':0.06,'4_1':0.0},(69,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(69,348):{'3_1':0.03},(69,347):{'3_1':0.06},(69,346):{'3_1':0.0,'4_1':0.0},(69,345):{'3_1':0.03,'4_1':0.0},(69,344):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(69,343):{'3_1':0.0},(69,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,341):{'3_1':0.06,'5_1':0.0},(69,340):{'3_1':0.0},(69,339):{'3_1':0.03},(69,338):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(69,337):{'3_1':0.0,'4_1':0.0},(69,336):{'3_1':0.0,'4_1':0.0},(69,335):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(69,334):{'3_1':0.0,'4_1':0.0},(69,333):{'3_1':0.06,'4_1':0.0},(69,332):{'3_1':0.03},(69,331):{'3_1':0.03,'4_1':0.0},(69,330):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(69,329):{'3_1':0.03},(69,328):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(69,327):{'3_1':0.03,'4_1':0.0},(69,326):{'3_1':0.09},(69,325):{'3_1':0.06,'4_1':0.0},(69,323):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(69,322):{'3_1':0.0,'8_20|3_1#3_1':0.0},(69,321):{'3_1':0.03,'4_1':0.0},(69,320):{'3_1':0.0},(69,319):{'3_1':0.0},(69,318):{'3_1':0.06,'4_1':0.0},(69,317):{'3_1':0.03},(69,316):{'3_1':0.03,'4_1':0.0},(69,315):{'3_1':0.06},(69,314):{'3_1':0.06,'4_1':0.0},(69,313):{'3_1':0.0,'4_1':0.0},(69,312):{'3_1':0.09,'4_1':0.0},(69,311):{'3_1':0.03},(69,310):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(69,309):{'3_1':0.06},(69,308):{'3_1':0.03,'4_1':0.0},(69,307):{'3_1':0.03,'4_1':0.0},(69,306):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,305):{'3_1':0.0,'4_1':0.0},(69,304):{'3_1':0.0},(69,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,302):{'3_1':0.09},(69,301):{'3_1':0.03,'4_1':0.0},(69,300):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(69,299):{'3_1':0.03,'4_1':0.0},(69,298):{'3_1':0.09,'4_1':0.0},(69,297):{'3_1':0.06,'4_1':0.0},(69,296):{'3_1':0.03,'4_1':0.0},(69,295):{'3_1':0.0,'7_1':0.0},(69,294):{'3_1':0.0},(69,293):{'3_1':0.03},(69,292):{'3_1':0.03},(69,291):{'3_1':0.03,'4_1':0.0},(69,290):{'3_1':0.06,'4_1':0.0},(69,289):{'3_1':0.03,'4_1':0.0},(69,288):{'3_1':0.06},(69,287):{'3_1':0.03,'4_1':0.0},(69,286):{'3_1':0.03},(69,285):{'3_1':0.03},(69,284):{'3_1':0.03},(69,283):{'3_1':0.03,'4_1':0.0},(69,282):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,281):{'3_1':0.06},(69,280):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(69,279):{'3_1':0.06},(69,278):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(69,277):{'3_1':0.06},(69,276):{'3_1':0.06,'8_20|3_1#3_1':0.0},(69,275):{'3_1':0.09,'6_1':0.0},(69,274):{'3_1':0.03,'4_1':0.0},(69,273):{'3_1':0.0,'6_2':0.0},(69,272):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(69,271):{'3_1':0.06,'4_1':0.0},(69,270):{'3_1':0.06,'4_1':0.0},(69,269):{'3_1':0.06},(69,268):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(69,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(69,266):{'3_1':0.03},(69,265):{'3_1':0.03,'5_2':0.0},(69,264):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(69,263):{'3_1':0.03,'4_1':0.0},(69,262):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(69,261):{'3_1':0.06,'6_2':0.0},(69,260):{'3_1':0.06,'5_2':0.0},(69,259):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(69,258):{'3_1':0.06,'5_1':0.0},(69,257):{'3_1':0.03,'4_1':0.0},(69,256):{'3_1':0.06},(69,255):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,254):{'3_1':0.03,'5_1':0.0},(69,253):{'3_1':0.03,'7_1':0.0},(69,252):{'3_1':0.09,'4_1':0.0},(69,251):{'3_1':0.06,'4_1':0.0},(69,250):{'3_1':0.09},(69,249):{'3_1':0.06},(69,248):{'3_1':0.03,'4_1':0.0},(69,247):{'3_1':0.09,'4_1':0.0},(69,246):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,245):{'3_1':0.09},(69,244):{'3_1':0.0,'4_1':0.0},(69,243):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(69,242):{'3_1':0.03,'-3':0.0},(69,241):{'3_1':0.06,'4_1':0.0},(69,240):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(69,239):{'3_1':0.03,'4_1':0.0},(69,238):{'3_1':0.09,'4_1':0.0},(69,237):{'3_1':0.0},(69,236):{'3_1':0.09},(69,235):{'3_1':0.03},(69,234):{'3_1':0.03,'4_1':0.0},(69,233):{'3_1':0.06},(69,232):{'3_1':0.0,'4_1':0.0},(69,231):{'3_1':0.09},(69,230):{'3_1':0.03},(69,229):{'3_1':0.03,'5_1':0.0},(69,228):{'3_1':0.0},(69,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,226):{'3_1':0.0},(69,225):{'3_1':0.03,'4_1':0.0},(69,224):{'3_1':0.03,'4_1':0.0},(69,223):{'3_1':0.03},(69,222):{'3_1':0.03},(69,221):{'3_1':0.0},(69,220):{'3_1':0.03},(69,219):{'3_1':0.03},(69,218):{'3_1':0.0},(69,217):{'3_1':0.0},(69,216):{'3_1':0.03,'4_1':0.0},(69,215):{'3_1':0.06},(69,214):{'3_1':0.06},(69,213):{'3_1':0.03,'4_1':0.0},(69,212):{'3_1':0.0},(69,211):{'3_1':0.06,'5_2':0.0},(69,210):{'3_1':0.06},(69,209):{'3_1':0.0},(69,208):{'3_1':0.03},(69,207):{'3_1':0.03},(69,206):{'3_1':0.03},(69,205):{'3_1':0.0},(69,204):{'3_1':0.06,'5_2':0.0},(69,203):{'3_1':0.03},(69,202):{'3_1':0.0},(69,201):{'3_1':0.0},(69,200):{'3_1':0.03},(69,199):{'3_1':0.03},(69,198):{'3_1':0.06},(69,197):{'3_1':0.03},(69,196):{'3_1':0.03},(69,195):{'3_1':0.06,'4_1':0.0},(69,194):{'3_1':0.09},(69,193):{'3_1':0.03},(69,192):{'3_1':0.0},(69,191):{'3_1':0.06},(69,190):{'3_1':0.0},(69,189):{'3_1':0.0},(69,188):{'3_1':0.0},(69,187):{'3_1':0.0},(69,186):{'3_1':0.0},(69,185):{'3_1':0.03},(69,184):{'3_1':0.03},(69,183):{'3_1':0.09},(69,182):{'3_1':0.03},(69,181):{'3_1':0.0},(69,180):{'3_1':0.0},(69,179):{'3_1':0.0,'4_1':0.0},(69,178):{'3_1':0.03,'4_1':0.0},(69,177):{'3_1':0.0},(69,176):{'3_1':0.06,'4_1':0.0},(69,175):{'3_1':0.06},(69,174):{'3_1':0.06},(69,173):{'3_1':0.03},(69,172):{'3_1':0.0},(69,171):{'3_1':0.06},(69,170):{'3_1':0.03},(69,169):{'3_1':0.03},(69,168):{'3_1':0.03},(69,167):{'3_1':0.03,'5_2':0.0},(69,166):{'3_1':0.03},(69,165):{'3_1':0.03,'5_1':0.0},(69,164):{'3_1':0.12},(69,163):{'3_1':0.03},(69,162):{'3_1':0.03,'4_1':0.0},(69,161):{'3_1':0.0},(69,160):{'3_1':0.06,'4_1':0.0},(69,159):{'3_1':0.06},(69,158):{'3_1':0.06},(69,157):{'3_1':0.03},(69,156):{'3_1':0.03},(69,155):{'3_1':0.03,'4_1':0.0},(69,154):{'3_1':0.06},(69,153):{'3_1':0.06},(69,152):{'3_1':0.06},(69,151):{'3_1':0.0},(69,150):{'3_1':0.06},(69,149):{'3_1':0.03},(69,148):{'3_1':0.03},(69,147):{'3_1':0.03},(69,146):{'3_1':0.0},(69,145):{'3_1':0.0},(69,144):{'3_1':0.0},(69,143):{'3_1':0.03},(69,142):{'3_1':0.0},(69,140):{'3_1':0.0},(69,139):{'3_1':0.0},(69,138):{'3_1':0.0},(69,137):{'3_1':0.0},(69,136):{'3_1':0.0,'5_1':0.0},(69,135):{'3_1':0.0},(69,134):{'3_1':0.0,'4_1':0.0},(69,132):{'3_1':0.0},(69,131):{'3_1':0.0,'5_1':0.0},(69,130):{'3_1':0.0},(69,129):{'3_1':0.0},(69,128):{'3_1':0.0},(69,127):{'3_1':0.0,'4_1':0.0},(69,125):{'3_1':0.0},(69,122):{'3_1':0.0},(69,120):{'3_1':0.0},(69,118):{'3_1':0.0},(69,113):{'3_1':0.0},(70,727):{'5_2':0.57,'-3':0.09,'3_1':0.09,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(70,726):{'5_2':0.63,'-3':0.09,'7_4':0.06,'3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(70,725):{'5_2':0.63,'-3':0.09,'3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(70,724):{'5_2':0.51,'-3':0.06,'3_1':0.06,'3_1#5_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(70,723):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(70,722):{'5_2':0.51,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_2':0.03,'3_1#5_2':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0},(70,721):{'5_2':0.63,'-3':0.09,'3_1#5_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(70,720):{'5_2':0.45,'-3':0.15,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(70,719):{'5_2':0.57,'-3':0.12,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(70,718):{'5_2':0.6,'-3':0.06,'3_1':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(70,717):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(70,716):{'5_2':0.57,'-3':0.06,'3_1#5_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(70,715):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_5':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0},(70,714):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_5':0.03,'7_4':0.0,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(70,713):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'3_1#5_2':0.0},(70,712):{'5_2':0.42,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(70,711):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(70,710):{'5_2':0.36,'-3':0.18,'3_1':0.09,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_19':0.0,'1':-0.03},(70,709):{'5_2':0.45,'-3':0.27,'5_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'8_13':0.0},(70,708):{'5_2':0.54,'-3':0.12,'3_1':0.09,'3_1#5_2':0.03,'7_4':0.0,'3_1#5_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(70,707):{'5_2':0.36,'-3':0.18,'3_1':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_4':0.0,'1':-0.03},(70,706):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(70,705):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(70,704):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_14':0.0},(70,703):{'5_2':0.42,'-3':0.18,'7_4':0.09,'3_1':0.06,'3_1#5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(70,702):{'5_2':0.45,'3_1':0.15,'-3':0.12,'3_1#5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'1':-0.03},(70,701):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_7':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_8':0.0,'8_14':0.0,'9_1':0.0,'1':-0.03},(70,700):{'5_2':0.36,'-3':0.18,'3_1':0.12,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0},(70,699):{'5_2':0.36,'-3':0.18,'3_1':0.15,'7_4':0.03,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(70,698):{'5_2':0.3,'-3':0.24,'3_1':0.12,'3_1#5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(70,697):{'5_2':0.36,'-3':0.24,'3_1':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'7_6':0.0,'1':-0.03},(70,696):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,695):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_15':0.0,'1':-0.03},(70,694):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_3':0.03,'7_6':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_1':0.0,'8_9':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(70,693):{'5_2':0.45,'-3':0.15,'3_1':0.09,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0},(70,692):{'5_2':0.33,'3_1':0.24,'-3':0.15,'3_1#5_2':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(70,691):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_4':0.06,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(70,690):{'5_2':0.24,'3_1':0.18,'-3':0.18,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_1':0.0},(70,689):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_4':0.06,'7_3':0.06,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,688):{'5_2':0.27,'3_1':0.24,'-3':0.18,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(70,687):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(70,686):{'5_2':0.21,'3_1':0.18,'7_4':0.12,'-3':0.12,'7_3':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_4':0.0,'3_1#5_1':0.0},(70,685):{'3_1':0.33,'5_2':0.24,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,684):{'3_1':0.27,'5_2':0.27,'7_3':0.06,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(70,683):{'5_2':0.3,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(70,682):{'3_1':0.33,'5_2':0.12,'7_4':0.09,'5_1':0.03,'8_20|3_1#3_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0,'8_13':0.0},(70,681):{'3_1':0.36,'5_2':0.21,'-3':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(70,680):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(70,679):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(70,678):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'9_1':0.0,'3_1#5_2':0.0},(70,677):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'7_7':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(70,676):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,675):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'-3':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(70,674):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'-3':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,673):{'3_1':0.48,'5_2':0.09,'-3':0.06,'8_20|3_1#3_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(70,672):{'3_1':0.54,'7_4':0.09,'5_2':0.06,'-3':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(70,671):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(70,670):{'3_1':0.39,'5_2':0.15,'7_4':0.12,'-3':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(70,669):{'3_1':0.45,'7_4':0.12,'5_2':0.12,'-3':0.06,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(70,668):{'3_1':0.42,'5_2':0.15,'7_3':0.03,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0,'6_1':0.0},(70,667):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(70,666):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(70,665):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(70,664):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_10':0.0,'8_11':0.0},(70,663):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,662):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(70,661):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(70,660):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'7_7':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(70,659):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,658):{'3_1':0.45,'5_2':0.15,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(70,657):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'3_1#5_2':0.0},(70,656):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(70,655):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(70,654):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(70,653):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(70,652):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(70,651):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(70,650):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.03,'3_1#5_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(70,649):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(70,648):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(70,647):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(70,646):{'3_1':0.24,'5_2':0.15,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,645):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0},(70,644):{'3_1':0.3,'5_2':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_15':0.0,'9_1':0.0},(70,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(70,642):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(70,641):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(70,640):{'3_1':0.18,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(70,639):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_1':0.0,'-3':0.0},(70,638):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.06,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,637):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(70,636):{'3_1':0.18,'5_2':0.09,'7_3':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'8_16':0.0},(70,635):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(70,634):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(70,633):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'3_1#5_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,632):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(70,631):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0},(70,630):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_2':0.0,'-3':0.0},(70,629):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(70,628):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(70,627):{'3_1':0.15,'5_2':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(70,626):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(70,625):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(70,624):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(70,623):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_10':0.0,'8_15':0.0,'3_1#5_2':0.0},(70,622):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_1':0.0,'3_1#5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(70,621):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(70,620):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,619):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_7':0.0},(70,618):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_10':0.0,'8_15':0.0,'3_1#5_2':0.0},(70,617):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(70,616):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(70,615):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(70,614):{'3_1':0.15,'4_1':0.06,'-3':0.03,'5_2':0.03,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(70,613):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0},(70,612):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0},(70,611):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(70,610):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(70,609):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(70,608):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(70,607):{'3_1':0.21,'4_1':0.06,'7_1':0.0,'7_3':0.0,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(70,606):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(70,605):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,604):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(70,603):{'3_1':0.15,'4_1':0.06,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(70,602):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(70,601):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(70,600):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(70,599):{'4_1':0.15,'3_1':0.12,'8_20|3_1#3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_2':0.0},(70,598):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(70,597):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0},(70,596):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0},(70,595):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(70,594):{'3_1':0.15,'4_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'9_1':0.0},(70,593):{'3_1':0.21,'4_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(70,592):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(70,591):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(70,590):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(70,589):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_14':0.0},(70,588):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(70,587):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(70,586):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(70,585):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0},(70,584):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_1':0.0},(70,583):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(70,582):{'4_1':0.15,'3_1':0.03,'5_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_2':0.0,'6_2':0.0},(70,581):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(70,580):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(70,579):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(70,578):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(70,577):{'4_1':0.15,'5_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(70,576):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(70,575):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(70,574):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0},(70,573):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(70,572):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(70,571):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(70,570):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(70,569):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(70,568):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(70,567):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(70,566):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(70,565):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(70,564):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0},(70,563):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(70,562):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(70,561):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(70,560):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(70,559):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0},(70,558):{'3_1':0.06,'4_1':0.06,'5_2':0.03},(70,557):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(70,556):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(70,555):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(70,554):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(70,553):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(70,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(70,551):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(70,550):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(70,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(70,548):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0},(70,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,544):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(70,543):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_1':0.0},(70,542):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(70,541):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(70,540):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(70,539):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(70,538):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0},(70,537):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,536):{'3_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(70,535):{'3_1':0.21,'4_1':0.0,'8_1':0.0},(70,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,533):{'3_1':0.12,'4_1':0.0},(70,532):{'3_1':0.15,'4_1':0.03},(70,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_2':0.0},(70,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(70,529):{'3_1':0.09,'4_1':0.03},(70,528):{'3_1':0.12},(70,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(70,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(70,523):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(70,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,521):{'3_1':0.06,'4_1':0.03},(70,520):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(70,519):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(70,518):{'3_1':0.03,'4_1':0.0},(70,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(70,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,514):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,513):{'3_1':0.06,'4_1':0.0},(70,512):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(70,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,510):{'3_1':0.03,'4_1':0.0},(70,509):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(70,508):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(70,507):{'3_1':0.06,'4_1':0.0},(70,506):{'3_1':0.06,'4_1':0.0},(70,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(70,504):{'3_1':0.09,'4_1':0.0},(70,503):{'3_1':0.09,'4_1':0.0},(70,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,501):{'3_1':0.06,'5_2':0.0},(70,500):{'3_1':0.09},(70,499):{'3_1':0.06,'4_1':0.0},(70,498):{'3_1':0.09,'5_2':0.0},(70,497):{'3_1':0.06,'4_1':0.0},(70,496):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(70,495):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,494):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(70,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(70,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,491):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(70,490):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(70,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,487):{'3_1':0.06,'4_1':0.0},(70,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,485):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,484):{'3_1':0.06,'7_1':0.0},(70,483):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(70,482):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,481):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(70,480):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(70,478):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,477):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(70,476):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(70,475):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(70,474):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(70,473):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(70,472):{'3_1':0.12,'4_1':0.0},(70,471):{'3_1':0.06,'4_1':0.0},(70,470):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(70,469):{'3_1':0.03,'6_2':0.0},(70,468):{'3_1':0.03,'4_1':0.0},(70,467):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(70,466):{'3_1':0.06},(70,465):{'3_1':0.03,'4_1':0.0},(70,464):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(70,463):{'3_1':0.03,'4_1':0.0},(70,462):{'3_1':0.03,'4_1':0.0},(70,461):{'3_1':0.12,'4_1':0.0},(70,460):{'3_1':0.06,'4_1':0.0},(70,459):{'3_1':0.03,'4_1':0.0},(70,458):{'3_1':0.15,'5_2':0.0},(70,457):{'3_1':0.03,'4_1':0.0},(70,456):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,455):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(70,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(70,452):{'3_1':0.06,'5_2':0.0},(70,451):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(70,450):{'3_1':0.03,'4_1':0.0},(70,449):{'3_1':0.03},(70,448):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(70,447):{'3_1':0.12,'4_1':0.0},(70,446):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,445):{'3_1':0.09,'4_1':0.0},(70,444):{'3_1':0.12,'5_1':0.0},(70,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,442):{'3_1':0.09},(70,441):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(70,440):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(70,439):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(70,438):{'3_1':0.03,'5_1':0.0},(70,437):{'3_1':0.06,'6_1':0.0},(70,436):{'3_1':0.09,'8_20|3_1#3_1':0.0},(70,435):{'3_1':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(70,434):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(70,433):{'3_1':0.12,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(70,432):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(70,431):{'3_1':0.06},(70,430):{'3_1':0.09},(70,429):{'3_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0},(70,428):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(70,427):{'3_1':0.03},(70,426):{'3_1':0.06,'5_1':0.0},(70,425):{'3_1':0.03,'4_1':0.0},(70,424):{'3_1':0.06},(70,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,422):{'3_1':0.12},(70,421):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(70,420):{'3_1':0.03,'4_1':0.0},(70,419):{'3_1':0.09,'5_2':0.0},(70,418):{'3_1':0.03,'4_1':0.0},(70,417):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(70,416):{'3_1':0.06,'5_2':0.0},(70,415):{'3_1':0.06,'4_1':0.0},(70,414):{'3_1':0.09},(70,413):{'3_1':0.0},(70,412):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(70,411):{'3_1':0.06},(70,410):{'3_1':0.03,'4_1':0.0},(70,409):{'3_1':0.06},(70,408):{'3_1':0.06},(70,407):{'3_1':0.03},(70,406):{'3_1':0.06},(70,405):{'3_1':0.03,'4_1':0.0},(70,404):{'3_1':0.03},(70,403):{'3_1':0.03,'4_1':0.0},(70,402):{'3_1':0.06},(70,401):{'3_1':0.03},(70,400):{'3_1':0.06},(70,399):{'3_1':0.03,'4_1':0.0},(70,398):{'3_1':0.0},(70,397):{'3_1':0.03},(70,396):{'3_1':0.06,'8_20|3_1#3_1':0.0},(70,395):{'3_1':0.03},(70,394):{'3_1':0.03,'4_1':0.0},(70,393):{'3_1':0.06},(70,392):{'3_1':0.03},(70,391):{'3_1':0.03,'4_1':0.0},(70,390):{'3_1':0.06},(70,389):{'3_1':0.06},(70,388):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(70,387):{'3_1':0.0},(70,386):{'3_1':0.06},(70,385):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,384):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(70,383):{'3_1':0.06},(70,382):{'3_1':0.06},(70,381):{'3_1':0.03,'4_1':0.0},(70,380):{'3_1':0.03,'4_1':0.0},(70,379):{'3_1':0.03},(70,378):{'3_1':0.03,'5_1':0.0},(70,377):{'3_1':0.06},(70,376):{'3_1':0.03,'4_1':0.0},(70,375):{'3_1':0.09,'4_1':0.0},(70,374):{'3_1':0.03,'5_1':0.0},(70,373):{'3_1':0.03},(70,372):{'3_1':0.06},(70,371):{'3_1':0.06},(70,370):{'3_1':0.06},(70,369):{'3_1':0.0},(70,368):{'3_1':0.03,'4_1':0.0},(70,367):{'3_1':0.03},(70,366):{'3_1':0.06},(70,365):{'3_1':0.0,'5_2':0.0},(70,364):{'3_1':0.06},(70,363):{'3_1':0.03,'4_1':0.0},(70,362):{'3_1':0.03,'4_1':0.0},(70,361):{'3_1':0.09,'9_1':0.0},(70,360):{'3_1':0.06,'4_1':0.0},(70,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,358):{'3_1':0.0},(70,357):{'3_1':0.06,'4_1':0.0},(70,356):{'3_1':0.0,'4_1':0.0},(70,355):{'3_1':0.03},(70,354):{'3_1':0.0,'4_1':0.0},(70,353):{'3_1':0.09},(70,352):{'3_1':0.03},(70,351):{'3_1':0.03,'5_1':0.0},(70,350):{'3_1':0.03},(70,349):{'3_1':0.06,'7_1':0.0},(70,348):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,347):{'3_1':0.0,'4_1':0.0},(70,346):{'3_1':0.0},(70,345):{'3_1':0.0,'7_2':0.0},(70,344):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,343):{'3_1':0.06,'5_1':0.0},(70,342):{'3_1':0.03},(70,341):{'3_1':0.03,'4_1':0.0},(70,340):{'3_1':0.03},(70,339):{'3_1':0.03,'5_1':0.0},(70,338):{'3_1':0.03,'4_1':0.0},(70,337):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(70,336):{'3_1':0.03,'4_1':0.0},(70,335):{'3_1':0.03},(70,334):{'3_1':0.06,'7_1':0.0},(70,333):{'3_1':0.03},(70,332):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(70,331):{'3_1':0.06,'6_1':0.0},(70,330):{'3_1':0.03},(70,329):{'3_1':0.03,'5_1':0.0},(70,328):{'3_1':0.0},(70,327):{'3_1':0.09,'4_1':0.03},(70,325):{'3_1':0.03,'4_1':0.0},(70,324):{'3_1':0.03},(70,323):{'3_1':0.03},(70,322):{'3_1':0.03,'4_1':0.0},(70,321):{'3_1':0.03,'4_1':0.0},(70,320):{'3_1':0.03,'4_1':0.0},(70,319):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,318):{'3_1':0.03,'4_1':0.03},(70,317):{'3_1':0.03,'4_1':0.0},(70,316):{'3_1':0.03,'4_1':0.0},(70,315):{'3_1':0.06},(70,314):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0},(70,313):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(70,312):{'3_1':0.03},(70,311):{'3_1':0.06},(70,310):{'3_1':0.03},(70,308):{'3_1':0.06},(70,307):{'3_1':0.03},(70,306):{'3_1':0.03},(70,305):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(70,304):{'3_1':0.0},(70,303):{'3_1':0.0,'4_1':0.0},(70,302):{'3_1':0.03},(70,301):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_1':0.0},(70,300):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(70,299):{'3_1':0.0,'4_1':0.0},(70,298):{'3_1':0.06,'4_1':0.0},(70,297):{'3_1':0.03,'4_1':0.0},(70,296):{'3_1':0.06,'4_1':0.0},(70,295):{'3_1':0.06,'-3':0.0},(70,294):{'3_1':0.0,'5_1':0.0},(70,293):{'3_1':0.06},(70,292):{'3_1':0.06,'8_20|3_1#3_1':0.0},(70,291):{'3_1':0.03,'4_1':0.0},(70,290):{'3_1':0.03},(70,289):{'3_1':0.06,'5_2':0.0},(70,288):{'3_1':0.03},(70,287):{'3_1':0.03},(70,286):{'3_1':0.06},(70,285):{'3_1':0.03,'4_1':0.0},(70,284):{'3_1':0.0},(70,283):{'3_1':0.06},(70,282):{'3_1':0.0},(70,281):{'3_1':0.06,'8_20|3_1#3_1':0.0},(70,280):{'3_1':0.06,'4_1':0.0},(70,279):{'3_1':0.06,'4_1':0.0},(70,278):{'3_1':0.0,'5_1':0.0},(70,277):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,276):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(70,275):{'3_1':0.09,'6_2':0.0},(70,274):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(70,273):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(70,272):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,271):{'3_1':0.03,'5_2':0.0},(70,270):{'3_1':0.06,'4_1':0.0},(70,269):{'3_1':0.03,'8_1':0.0},(70,268):{'3_1':0.06,'4_1':0.0},(70,267):{'3_1':0.06},(70,266):{'3_1':0.06,'6_1':0.0},(70,265):{'3_1':0.0},(70,264):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(70,263):{'3_1':0.03},(70,262):{'3_1':0.09,'4_1':0.0},(70,261):{'3_1':0.03,'5_1':0.0},(70,260):{'3_1':0.06,'4_1':0.0},(70,259):{'3_1':0.06,'4_1':0.0},(70,258):{'3_1':0.03,'5_2':0.0},(70,257):{'3_1':0.03},(70,256):{'3_1':0.0,'4_1':0.0},(70,255):{'3_1':0.06,'4_1':0.0},(70,254):{'3_1':0.09},(70,253):{'3_1':0.03,'5_2':0.0},(70,252):{'3_1':0.06,'5_2':0.0},(70,251):{'3_1':0.06},(70,250):{'3_1':0.03,'4_1':0.0},(70,249):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(70,248):{'3_1':0.03,'4_1':0.0},(70,247):{'3_1':0.03},(70,246):{'3_1':0.0,'4_1':0.0},(70,245):{'3_1':0.03},(70,244):{'3_1':0.06},(70,243):{'3_1':0.03,'4_1':0.0},(70,242):{'3_1':0.12,'7_1':0.0},(70,241):{'3_1':0.06},(70,240):{'3_1':0.06,'4_1':0.0},(70,239):{'3_1':0.09,'4_1':0.0},(70,238):{'3_1':0.03},(70,237):{'3_1':0.0,'4_1':0.0},(70,236):{'3_1':0.03,'5_2':0.0},(70,235):{'3_1':0.03},(70,234):{'3_1':0.03,'5_1':0.0},(70,233):{'3_1':0.03,'4_1':0.0},(70,232):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(70,231):{'3_1':0.03},(70,230):{'3_1':0.06},(70,229):{'3_1':0.0},(70,228):{'3_1':0.03,'5_2':0.0},(70,227):{'3_1':0.0},(70,226):{'3_1':0.06},(70,225):{'3_1':0.03},(70,224):{'3_1':0.03},(70,223):{'3_1':0.03},(70,222):{'3_1':0.0,'4_1':0.0},(70,221):{'3_1':0.03,'4_1':0.0},(70,220):{'3_1':0.03},(70,219):{'3_1':0.03,'4_1':0.0},(70,218):{'3_1':0.03},(70,217):{'3_1':0.03},(70,216):{'3_1':0.06},(70,215):{'3_1':0.03,'5_1':0.0},(70,214):{'3_1':0.06,'7_4':0.0},(70,213):{'3_1':0.03},(70,212):{'3_1':0.0},(70,211):{'3_1':0.03,'4_1':0.0},(70,210):{'3_1':0.06},(70,209):{'3_1':0.06},(70,208):{'3_1':0.06},(70,207):{'3_1':0.03,'5_1':0.0},(70,206):{'3_1':0.0,'5_2':0.0},(70,205):{'3_1':0.0,'5_1':0.0},(70,204):{'3_1':0.03},(70,203):{'3_1':0.06},(70,202):{'3_1':0.03},(70,201):{'3_1':0.09,'7_2':0.0},(70,200):{'3_1':0.0,'5_1':0.0},(70,199):{'3_1':0.03,'4_1':0.0},(70,198):{'3_1':0.06},(70,197):{'3_1':0.0},(70,196):{'3_1':0.03},(70,195):{'3_1':0.0},(70,194):{'3_1':0.09},(70,193):{'3_1':0.03},(70,192):{'3_1':0.09},(70,191):{'3_1':0.03,'8_20|3_1#3_1':0.0},(70,190):{'3_1':0.03},(70,189):{'3_1':0.06},(70,188):{'3_1':0.03},(70,187):{'3_1':0.06},(70,186):{'3_1':0.03,'4_1':0.0},(70,185):{'3_1':0.03},(70,184):{'3_1':0.03},(70,183):{'3_1':0.06},(70,182):{'3_1':0.06},(70,181):{'4_1':0.0},(70,180):{'3_1':0.0},(70,179):{'3_1':0.06,'4_1':0.0},(70,178):{'3_1':0.06},(70,177):{'3_1':0.03},(70,176):{'3_1':0.03},(70,175):{'3_1':0.06},(70,174):{'3_1':0.03},(70,173):{'3_1':0.03},(70,172):{'3_1':0.03},(70,171):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(70,170):{'3_1':0.06},(70,169):{'3_1':0.03},(70,168):{'3_1':0.03},(70,167):{'3_1':0.0},(70,166):{'3_1':0.03},(70,165):{'3_1':0.06},(70,164):{'3_1':0.03,'4_1':0.0},(70,163):{'3_1':0.0},(70,162):{'3_1':0.03,'5_2':0.0},(70,161):{'3_1':0.03,'4_1':0.0},(70,160):{'3_1':0.06},(70,159):{'3_1':0.06},(70,158):{'3_1':0.03},(70,157):{'3_1':0.03,'4_1':0.0},(70,156):{'3_1':0.06},(70,155):{'3_1':0.06},(70,154):{'3_1':0.03},(70,153):{'3_1':0.03},(70,152):{'3_1':0.03},(70,151):{'3_1':0.06},(70,150):{'3_1':0.03},(70,149):{'3_1':0.06},(70,148):{'3_1':0.03},(70,147):{'3_1':0.03},(70,146):{'3_1':0.03},(70,145):{'3_1':0.03},(70,144):{'3_1':0.06},(70,143):{'3_1':0.06},(70,142):{'3_1':0.03,'4_1':0.0},(70,141):{'3_1':0.0},(70,140):{'3_1':0.0},(70,139):{'3_1':0.0,'5_1':0.0},(70,138):{'3_1':0.0},(70,137):{'3_1':0.0},(70,136):{'3_1':0.0},(70,135):{'3_1':0.0},(70,134):{'3_1':0.0},(70,133):{'3_1':0.0},(70,132):{'5_1':0.0},(70,131):{'3_1':0.0},(70,128):{'3_1':0.0},(70,127):{'3_1':0.0},(70,125):{'3_1':0.0},(70,123):{'3_1':0.0},(70,122):{'3_1':0.0},(70,120):{'3_1':0.0},(71,727):{'5_2':0.6,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0},(71,726):{'5_2':0.6,'-3':0.15,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'9_1':0.0},(71,725):{'5_2':0.6,'-3':0.09,'3_1':0.06,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_8':0.0,'1':-0.03},(71,724):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0},(71,723):{'5_2':0.45,'-3':0.12,'7_4':0.09,'3_1':0.06,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(71,722):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_11':0.0},(71,721):{'5_2':0.57,'-3':0.15,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(71,720):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0},(71,719):{'5_2':0.54,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0},(71,718):{'5_2':0.51,'-3':0.12,'3_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(71,717):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0},(71,716):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(71,715):{'5_2':0.51,'-3':0.15,'7_4':0.06,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(71,714):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_2':0.03,'5_1':0.0,'7_5':0.0,'8_8':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(71,713):{'5_2':0.45,'7_4':0.09,'3_1':0.09,'-3':0.03,'3_1#5_2':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(71,712):{'5_2':0.42,'-3':0.09,'5_1':0.06,'3_1':0.06,'3_1#5_2':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_1':0.0,'1':-0.03},(71,711):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_2':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0},(71,710):{'5_2':0.42,'-3':0.12,'7_5':0.09,'7_4':0.06,'3_1':0.06,'3_1#5_2':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(71,709):{'5_2':0.39,'-3':0.21,'5_1':0.06,'3_1':0.06,'7_5':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(71,708):{'5_2':0.42,'-3':0.12,'3_1':0.06,'5_1':0.06,'7_4':0.03,'6_1':0.0,'8_11':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(71,707):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(71,706):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(71,705):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_2':0.03,'3_1#5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0},(71,704):{'5_2':0.48,'3_1':0.12,'-3':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0},(71,703):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(71,702):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(71,701):{'5_2':0.42,'-3':0.15,'3_1':0.06,'3_1#5_2':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(71,700):{'5_2':0.36,'-3':0.18,'3_1':0.12,'7_4':0.06,'3_1#5_2':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(71,699):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_7':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_11':0.0,'9_1':0.0,'1':-0.03},(71,698):{'5_2':0.42,'-3':0.18,'3_1':0.12,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0},(71,697):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_6':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0},(71,696):{'5_2':0.33,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(71,695):{'5_2':0.45,'3_1':0.09,'-3':0.09,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(71,694):{'5_2':0.33,'-3':0.18,'3_1':0.12,'3_1#5_2':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(71,693):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(71,692):{'5_2':0.42,'-3':0.18,'3_1':0.12,'7_4':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(71,691):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(71,690):{'5_2':0.36,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'8_11':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0,'1':-0.03},(71,689):{'5_2':0.3,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(71,688):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'-3':0.06,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(71,687):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(71,686):{'5_2':0.33,'3_1':0.24,'-3':0.06,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(71,685):{'3_1':0.33,'5_2':0.24,'7_4':0.12,'-3':0.03,'7_3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(71,684):{'3_1':0.33,'-3':0.09,'5_2':0.09,'7_4':0.06,'7_3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_15':0.0},(71,683):{'5_2':0.27,'3_1':0.24,'7_4':0.09,'-3':0.06,'7_7':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(71,682):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(71,681):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(71,680):{'3_1':0.3,'5_2':0.27,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(71,679):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_1':0.03,'8_20|3_1#3_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(71,678):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(71,677):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0,'4_1':0.0},(71,676):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(71,675):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'7_3':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(71,674):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0},(71,673):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(71,672):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.06,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(71,671):{'3_1':0.54,'7_4':0.09,'5_2':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(71,670):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(71,669):{'3_1':0.6,'5_2':0.06,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(71,668):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(71,667):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'8_20|3_1#3_1':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(71,666):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(71,665):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'8_20|3_1#3_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(71,664):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0},(71,663):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0},(71,662):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.03,'4_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_12':0.0},(71,661):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'9_1':0.0},(71,660):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(71,659):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'5_1':0.03,'7_7':0.03,'6_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(71,658):{'3_1':0.48,'5_2':0.12,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(71,657):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(71,656):{'3_1':0.51,'5_2':0.03,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(71,655):{'3_1':0.48,'5_2':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(71,654):{'3_1':0.54,'5_2':0.09,'5_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(71,653):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_3':0.03,'7_7':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0},(71,652):{'3_1':0.51,'5_2':0.09,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(71,651):{'3_1':0.36,'5_2':0.09,'7_4':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(71,650):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(71,649):{'3_1':0.39,'5_2':0.18,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(71,648):{'3_1':0.33,'5_2':0.12,'5_1':0.12,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_1':0.0},(71,647):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(71,646):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(71,645):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(71,644):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(71,643):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(71,642):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(71,641):{'3_1':0.24,'5_2':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(71,640):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'8_16':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(71,639):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0,'3_1#5_1':0.0},(71,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(71,637):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,636):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_5':0.0},(71,635):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(71,634):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(71,633):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.06,'7_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(71,632):{'5_2':0.09,'3_1':0.09,'5_1':0.06,'4_1':0.03,'3_1#5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,631):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(71,630):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(71,629):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(71,628):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(71,627):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(71,626):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,625):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'7_7':0.0,'6_3':0.0},(71,624):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(71,623):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(71,622):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0},(71,621):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(71,620):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,619):{'3_1':0.24,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(71,618):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(71,617):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(71,616):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_19':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(71,615):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_11':0.0},(71,614):{'3_1':0.15,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,613):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0},(71,612):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,611):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(71,610):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(71,609):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,608):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,607):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(71,606):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_4':0.0,'3_1#5_1':0.0,'5_1':0.0,'7_3':0.0,'8_15':0.0,'-3':0.0},(71,605):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(71,604):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(71,603):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,602):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0},(71,601):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(71,600):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0},(71,599):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(71,598):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(71,597):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(71,596):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(71,595):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'9_1':0.0},(71,594):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(71,593):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(71,592):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(71,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,590):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(71,589):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(71,588):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(71,587):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(71,586):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(71,585):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,584):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(71,583):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(71,582):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(71,581):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(71,580):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(71,579):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(71,578):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(71,577):{'4_1':0.18,'3_1':0.06,'8_21|3_1#4_1':0.0,'5_1':0.0,'8_19':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(71,576):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(71,575):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(71,574):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(71,573):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(71,572):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(71,571):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(71,570):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(71,569):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(71,568):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(71,567):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(71,566):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(71,565):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(71,564):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0},(71,563):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0,'8_1':0.0},(71,562):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_1':0.0},(71,561):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(71,560):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0},(71,559):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(71,558):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(71,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(71,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(71,555):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(71,554):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(71,553):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(71,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(71,551):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(71,549):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(71,548):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(71,547):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(71,546):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,545):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(71,544):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,543):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(71,542):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(71,541):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(71,540):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(71,539):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(71,538):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0},(71,537):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(71,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,535):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(71,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,533):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(71,532):{'3_1':0.18,'4_1':0.03},(71,531):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(71,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,529):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,528):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(71,527):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(71,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(71,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(71,524):{'3_1':0.06,'4_1':0.0},(71,523):{'3_1':0.15,'4_1':0.0},(71,522):{'3_1':0.09,'4_1':0.03},(71,521):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(71,520):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,519):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(71,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(71,517):{'3_1':0.09,'4_1':0.0},(71,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(71,515):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(71,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,513):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,512):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(71,511):{'3_1':0.06},(71,510):{'3_1':0.09,'4_1':0.03},(71,509):{'3_1':0.09,'4_1':0.0},(71,508):{'3_1':0.03,'4_1':0.0},(71,507):{'3_1':0.03,'4_1':0.0},(71,506):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(71,505):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(71,504):{'3_1':0.09,'4_1':0.0},(71,503):{'3_1':0.06,'4_1':0.0},(71,502):{'3_1':0.06,'4_1':0.0},(71,501):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(71,500):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(71,499):{'3_1':0.06},(71,498):{'3_1':0.06,'4_1':0.0},(71,497):{'3_1':0.03,'4_1':0.0},(71,496):{'3_1':0.09},(71,495):{'3_1':0.12},(71,494):{'3_1':0.09,'4_1':0.0},(71,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,492):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(71,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(71,490):{'3_1':0.12,'4_1':0.0,'-3':0.0},(71,489):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(71,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,486):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(71,485):{'3_1':0.03,'5_1':0.0},(71,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(71,483):{'3_1':0.15,'9_1':0.0},(71,482):{'3_1':0.03,'7_1':0.0},(71,481):{'3_1':0.09},(71,480):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(71,479):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(71,478):{'3_1':0.06,'4_1':0.0},(71,477):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,476):{'3_1':0.09,'5_2':0.0},(71,475):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(71,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,473):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(71,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,471):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(71,470):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(71,469):{'3_1':0.06,'5_1':0.0},(71,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,467):{'3_1':0.03,'4_1':0.0},(71,466):{'3_1':0.09},(71,465):{'3_1':0.03,'4_1':0.0},(71,464):{'3_1':0.06,'4_1':0.0},(71,463):{'3_1':0.06,'4_1':0.0},(71,462):{'3_1':0.09},(71,461):{'3_1':0.03,'6_1':0.0},(71,460):{'3_1':0.09,'4_1':0.0},(71,459):{'3_1':0.09,'4_1':0.0},(71,458):{'3_1':0.03},(71,457):{'3_1':0.12,'4_1':0.0},(71,456):{'3_1':0.03,'4_1':0.0},(71,455):{'3_1':0.0,'4_1':0.0},(71,454):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,453):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(71,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(71,451):{'3_1':0.06},(71,450):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(71,449):{'3_1':0.09,'4_1':0.0},(71,448):{'3_1':0.03},(71,447):{'3_1':0.03,'3_1#5_1':0.0},(71,446):{'3_1':0.06,'5_2':0.0},(71,445):{'3_1':0.0,'5_2':0.0},(71,444):{'3_1':0.0,'4_1':0.0},(71,443):{'3_1':0.0,'4_1':0.0},(71,442):{'3_1':0.03,'6_1':0.0},(71,441):{'3_1':0.03,'5_2':0.0},(71,440):{'3_1':0.03,'5_2':0.0},(71,439):{'3_1':0.09},(71,438):{'3_1':0.03,'4_1':0.0},(71,437):{'3_1':0.03,'4_1':0.0},(71,436):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(71,435):{'3_1':0.06},(71,434):{'3_1':0.03},(71,433):{'3_1':0.18},(71,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,431):{'3_1':0.09,'5_2':0.0},(71,430):{'3_1':0.09,'5_2':0.0},(71,429):{'3_1':0.06},(71,428):{'3_1':0.09},(71,427):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(71,426):{'3_1':0.06},(71,425):{'3_1':0.09},(71,424):{'3_1':0.0,'5_2':0.0},(71,423):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(71,422):{'3_1':0.0,'6_2':0.0},(71,421):{'3_1':0.09},(71,420):{'3_1':0.09,'4_1':0.0},(71,419):{'3_1':0.06,'5_2':0.0},(71,418):{'3_1':0.09,'4_1':0.0},(71,417):{'3_1':0.06,'5_1':0.0},(71,416):{'3_1':0.09,'4_1':0.0},(71,415):{'3_1':0.06,'5_1':0.0},(71,414):{'3_1':0.03,'4_1':0.0},(71,413):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(71,412):{'3_1':0.06,'4_1':0.0},(71,411):{'3_1':0.06,'4_1':0.0},(71,410):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,409):{'3_1':0.03},(71,408):{'3_1':0.06},(71,407):{'3_1':0.06},(71,406):{'3_1':0.06,'4_1':0.0},(71,405):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,404):{'3_1':0.06,'4_1':0.0},(71,403):{'3_1':0.06},(71,402):{'3_1':0.03,'5_1':0.0},(71,401):{'3_1':0.03},(71,400):{'3_1':0.03},(71,399):{'3_1':0.03,'4_1':0.0},(71,398):{'3_1':0.03},(71,397):{'3_1':0.03,'7_2':0.0},(71,396):{'3_1':0.06},(71,395):{'3_1':0.03,'6_1':0.0},(71,394):{'3_1':0.06,'5_1':0.0},(71,393):{'3_1':0.03},(71,392):{'3_1':0.03},(71,391):{'3_1':0.03},(71,390):{'3_1':0.03,'4_1':0.0},(71,389):{'3_1':0.03,'4_1':0.0},(71,388):{'3_1':0.0,'6_2':0.0},(71,387):{'3_1':0.0,'4_1':0.0},(71,386):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(71,385):{'3_1':0.06},(71,384):{'3_1':0.03,'8_20|3_1#3_1':0.0},(71,383):{'3_1':0.06,'4_1':0.0},(71,382):{'3_1':0.0,'4_1':0.0},(71,381):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,380):{'3_1':0.09,'4_1':0.0},(71,379):{'3_1':0.03},(71,378):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(71,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,376):{'3_1':0.06,'4_1':0.0},(71,375):{'3_1':0.03,'4_1':0.0},(71,374):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(71,373):{'3_1':0.03,'4_1':0.0},(71,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,371):{'3_1':0.06,'5_1':0.0},(71,370):{'3_1':0.09,'4_1':0.0},(71,369):{'3_1':0.06},(71,368):{'3_1':0.03,'4_1':0.0},(71,367):{'3_1':0.03,'4_1':0.0},(71,366):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(71,365):{'3_1':0.06,'5_1':0.0},(71,364):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,363):{'3_1':0.03,'4_1':0.0},(71,362):{'3_1':0.06},(71,361):{'3_1':0.09,'4_1':0.0},(71,360):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(71,359):{'3_1':0.06,'5_1':0.0},(71,358):{'3_1':0.06},(71,357):{'3_1':0.03},(71,356):{'3_1':0.03,'4_1':0.0},(71,355):{'3_1':0.0},(71,354):{'3_1':0.09,'4_1':0.0},(71,353):{'3_1':0.03,'4_1':0.0},(71,352):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,351):{'3_1':0.03,'5_2':0.0},(71,350):{'3_1':0.03,'6_1':0.0},(71,349):{'3_1':0.03,'4_1':0.0},(71,348):{'3_1':0.03,'5_1':0.0},(71,347):{'3_1':0.03},(71,346):{'3_1':0.03},(71,345):{'3_1':0.12},(71,344):{'3_1':0.0,'7_1':0.0},(71,343):{'3_1':0.06,'4_1':0.0},(71,342):{'3_1':0.03,'4_1':0.0},(71,341):{'3_1':0.0,'-3':0.0},(71,340):{'3_1':0.03,'4_1':0.0},(71,339):{'3_1':0.09,'5_1':0.0},(71,338):{'3_1':0.06},(71,337):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,336):{'3_1':0.03},(71,335):{'3_1':0.0},(71,334):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(71,333):{'3_1':0.03,'6_1':0.0},(71,332):{'3_1':0.06,'4_1':0.0},(71,331):{'3_1':0.06,'4_1':0.0},(71,330):{'3_1':0.0},(71,329):{'3_1':0.06,'5_1':0.0},(71,328):{'3_1':0.03,'7_1':0.0},(71,327):{'3_1':0.03,'4_1':0.0},(71,326):{'3_1':0.03,'4_1':0.0},(71,325):{'3_1':0.06,'4_1':0.0},(71,324):{'3_1':0.06,'4_1':0.0},(71,323):{'3_1':0.03,'4_1':0.0},(71,322):{'3_1':0.03,'4_1':0.0},(71,321):{'3_1':0.03,'4_1':0.0},(71,320):{'3_1':0.0},(71,319):{'3_1':0.06},(71,318):{'3_1':0.0},(71,317):{'3_1':0.0},(71,316):{'3_1':0.12},(71,315):{'3_1':0.06,'4_1':0.0},(71,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,313):{'3_1':0.09,'4_1':0.0},(71,312):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(71,311):{'3_1':0.03,'4_1':0.0},(71,310):{'3_1':0.03},(71,309):{'3_1':0.03,'4_1':0.0},(71,308):{'3_1':0.06,'4_1':0.0},(71,307):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,306):{'3_1':0.03},(71,305):{'3_1':0.06,'4_1':0.0,'8_1':0.0},(71,304):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(71,303):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(71,302):{'3_1':0.06},(71,301):{'3_1':0.06},(71,300):{'3_1':0.03},(71,299):{'3_1':0.0,'5_2':0.0},(71,298):{'3_1':0.06},(71,297):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,296):{'3_1':0.06,'4_1':0.0},(71,295):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(71,294):{'3_1':0.03,'5_1':0.0},(71,293):{'3_1':0.06,'5_1':0.0},(71,292):{'3_1':0.03},(71,291):{'3_1':0.03,'4_1':0.0},(71,290):{'3_1':0.03},(71,289):{'3_1':0.06,'4_1':0.0},(71,288):{'3_1':0.0,'4_1':0.0},(71,287):{'3_1':0.0},(71,286):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,285):{'3_1':0.03,'5_2':0.0},(71,284):{'3_1':0.03},(71,283):{'3_1':0.06},(71,282):{'3_1':0.06,'5_2':0.0},(71,281):{'3_1':0.06,'5_1':0.0},(71,280):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,278):{'3_1':0.06,'4_1':0.0},(71,277):{'3_1':0.06},(71,276):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(71,275):{'3_1':0.09},(71,274):{'3_1':0.03,'5_2':0.0},(71,273):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(71,272):{'3_1':0.09,'5_1':0.0},(71,271):{'3_1':0.06},(71,270):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(71,269):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(71,268):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(71,267):{'3_1':0.09},(71,266):{'3_1':0.03,'4_1':0.0},(71,265):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(71,264):{'3_1':0.06,'5_2':0.0},(71,263):{'3_1':0.06,'6_2':0.0},(71,262):{'3_1':0.06,'4_1':0.0},(71,261):{'3_1':0.06,'4_1':0.0},(71,260):{'3_1':0.06},(71,259):{'3_1':0.06,'5_1':0.0},(71,258):{'3_1':0.06,'4_1':0.0},(71,257):{'3_1':0.03},(71,256):{'3_1':0.03},(71,255):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(71,254):{'3_1':0.06,'4_1':0.0},(71,253):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(71,252):{'3_1':0.03},(71,251):{'3_1':0.03,'4_1':0.0},(71,250):{'3_1':0.06,'5_2':0.0},(71,249):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,248):{'3_1':0.0,'4_1':0.0},(71,247):{'3_1':0.03,'5_2':0.0},(71,246):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,245):{'3_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(71,244):{'3_1':0.06,'4_1':0.0},(71,243):{'3_1':0.09,'4_1':0.0},(71,242):{'3_1':0.03,'5_1':0.0},(71,241):{'3_1':0.06,'4_1':0.0},(71,240):{'3_1':0.03,'4_1':0.03},(71,239):{'3_1':0.03,'5_1':0.0},(71,238):{'3_1':0.03},(71,237):{'4_1':0.0,'3_1':0.0,'7_1':0.0},(71,236):{'3_1':0.03},(71,235):{'3_1':0.03,'4_1':0.0},(71,234):{'3_1':0.03},(71,233):{'3_1':0.06,'4_1':0.0},(71,232):{'3_1':0.03},(71,231):{'3_1':0.0,'4_1':0.0},(71,230):{'3_1':0.03},(71,229):{'3_1':0.06,'4_1':0.0},(71,228):{'3_1':0.0,'4_1':0.0},(71,227):{'3_1':0.09,'4_1':0.0},(71,226):{'3_1':0.06},(71,225):{'3_1':0.0,'4_1':0.0},(71,224):{'3_1':0.03,'4_1':0.0},(71,223):{'3_1':0.03,'4_1':0.0},(71,222):{'3_1':0.03},(71,221):{'3_1':0.0},(71,220):{'3_1':0.06},(71,219):{'3_1':0.06,'4_1':0.0},(71,218):{'3_1':0.0},(71,217):{'3_1':0.03},(71,216):{'3_1':0.0},(71,215):{'3_1':0.0,'5_1':0.0,'7_4':0.0},(71,214):{'3_1':0.03,'4_1':0.0},(71,213):{'3_1':0.0,'4_1':0.0},(71,212):{'3_1':0.0},(71,211):{'3_1':0.06,'7_4':0.0},(71,210):{'3_1':0.06},(71,209):{'3_1':0.06},(71,208):{'3_1':0.03},(71,207):{'3_1':0.0,'4_1':0.0},(71,206):{'3_1':0.03},(71,205):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,204):{'3_1':0.03,'4_1':0.0},(71,203):{'3_1':0.06},(71,202):{'3_1':0.03},(71,201):{'3_1':0.0},(71,200):{'3_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0},(71,199):{'3_1':0.0,'5_1':0.0},(71,198):{'3_1':0.06},(71,197):{'3_1':0.03},(71,196):{'3_1':0.03},(71,195):{'3_1':0.09},(71,194):{'3_1':0.06,'4_1':0.0},(71,193):{'3_1':0.06},(71,192):{'3_1':0.06,'4_1':0.0},(71,191):{'3_1':0.09},(71,190):{'3_1':0.03,'7_2':0.0},(71,189):{'3_1':0.03},(71,188):{'3_1':0.03},(71,187):{'3_1':0.0},(71,186):{'3_1':0.03},(71,185):{'3_1':0.06},(71,184):{'3_1':0.03},(71,183):{'3_1':0.03},(71,182):{'3_1':0.09},(71,181):{'3_1':0.0},(71,180):{'3_1':0.06},(71,179):{'3_1':0.09,'6_2':0.0},(71,178):{'3_1':0.03},(71,177):{'3_1':0.03},(71,176):{'3_1':0.0,'4_1':0.0},(71,175):{'3_1':0.06},(71,174):{'3_1':0.03},(71,173):{'3_1':0.03},(71,172):{'3_1':0.03},(71,171):{'3_1':0.03},(71,170):{'3_1':0.03},(71,169):{'3_1':0.03},(71,168):{'3_1':0.03},(71,167):{'3_1':0.0,'4_1':0.0},(71,166):{'3_1':0.03,'4_1':0.0},(71,165):{'3_1':0.03,'5_2':0.0},(71,164):{'3_1':0.03},(71,163):{'3_1':0.06},(71,162):{'3_1':0.0},(71,161):{'3_1':0.0},(71,160):{'3_1':0.06,'5_2':0.0},(71,159):{'3_1':0.03},(71,158):{'3_1':0.0,'5_2':0.0},(71,157):{'3_1':0.0},(71,156):{'3_1':0.03},(71,155):{'3_1':0.03},(71,154):{'3_1':0.06},(71,153):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,152):{'3_1':0.0},(71,151):{'3_1':0.0,'5_2':0.0},(71,150):{'3_1':0.09},(71,149):{'3_1':0.03},(71,148):{'3_1':0.03},(71,147):{'3_1':0.06},(71,146):{'3_1':0.03},(71,145):{'3_1':0.0,'5_2':0.0},(71,144):{'3_1':0.03},(71,143):{'3_1':0.03},(71,142):{'3_1':0.03},(71,141):{'3_1':0.0},(71,140):{'3_1':0.0},(71,139):{'3_1':0.03,'5_1':0.0},(71,138):{'3_1':0.03},(71,137):{'3_1':0.0},(71,135):{'3_1':0.0},(71,134):{'3_1':0.0},(71,131):{'3_1':0.0},(71,129):{'3_1':0.0},(71,128):{'3_1':0.0},(71,127):{'3_1':0.0,'5_1':0.0},(71,125):{'3_1':0.0},(71,124):{'3_1':0.0},(71,123):{'3_1':0.0},(71,122):{'3_1':0.0,'4_1':0.0},(71,120):{'3_1':0.0},(71,118):{'3_1':0.0},(71,117):{'3_1':0.0},(72,727):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(72,726):{'5_2':0.54,'-3':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(72,725):{'5_2':0.54,'-3':0.12,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(72,724):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(72,723):{'5_2':0.63,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(72,722):{'5_2':0.6,'-3':0.15,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(72,721):{'5_2':0.57,'3_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(72,720):{'5_2':0.54,'-3':0.12,'7_4':0.09,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'1':-0.03},(72,719):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(72,718):{'5_2':0.48,'-3':0.09,'7_5':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0},(72,717):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(72,716):{'5_2':0.6,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(72,715):{'5_2':0.51,'3_1':0.09,'7_5':0.06,'7_4':0.03,'-3':0.03,'8_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'1':-0.03},(72,714):{'5_2':0.45,'7_4':0.12,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(72,713):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(72,712):{'5_2':0.48,'-3':0.09,'7_4':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0},(72,711):{'5_2':0.45,'-3':0.15,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(72,710):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_5':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(72,709):{'5_2':0.45,'-3':0.18,'3_1':0.06,'7_2':0.03,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(72,708):{'5_2':0.45,'-3':0.18,'5_1':0.06,'3_1':0.03,'7_5':0.03,'3_1#5_2':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(72,707):{'5_2':0.48,'-3':0.12,'3_1':0.09,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(72,706):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(72,705):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_7':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'1':-0.03},(72,704):{'5_2':0.51,'-3':0.09,'7_4':0.09,'3_1':0.06,'7_6':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(72,703):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(72,702):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(72,701):{'5_2':0.36,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(72,700):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_6':0.03,'3_1#5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(72,699):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(72,698):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_6':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(72,697):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.06,'7_6':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(72,696):{'5_2':0.36,'3_1':0.15,'-3':0.15,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'6_1':0.0,'8_11':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(72,695):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(72,694):{'5_2':0.42,'-3':0.12,'3_1':0.12,'5_1':0.06,'7_3':0.06,'7_4':0.03,'8_14':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(72,693):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_3':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(72,692):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(72,691):{'5_2':0.33,'3_1':0.21,'-3':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(72,690):{'5_2':0.27,'3_1':0.21,'-3':0.12,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(72,689):{'5_2':0.27,'3_1':0.24,'7_3':0.09,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'1':-0.03},(72,688):{'5_2':0.36,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(72,687):{'5_2':0.33,'3_1':0.24,'7_3':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(72,686):{'3_1':0.3,'5_2':0.18,'7_3':0.09,'-3':0.09,'7_4':0.06,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(72,685):{'3_1':0.27,'5_2':0.24,'7_4':0.18,'-3':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0},(72,684):{'3_1':0.3,'5_2':0.27,'7_4':0.03,'-3':0.03,'5_1':0.03,'3_1#5_2':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(72,683):{'3_1':0.33,'5_2':0.27,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(72,682):{'3_1':0.36,'5_2':0.18,'7_4':0.12,'7_3':0.06,'-3':0.03,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'9_1':0.0},(72,681):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(72,680):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0},(72,679):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_2':0.0},(72,678):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(72,677):{'3_1':0.48,'5_2':0.18,'7_4':0.12,'8_20|3_1#3_1':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0},(72,676):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_3':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(72,675):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0,'3_1#5_2':0.0},(72,674):{'3_1':0.57,'7_4':0.09,'5_2':0.06,'-3':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(72,673):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'5_1':0.03,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0,'8_15':0.0},(72,672):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(72,671):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_3':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'9_1':0.0},(72,670):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'-3':0.06,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(72,669):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(72,668):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(72,667):{'3_1':0.54,'7_4':0.06,'5_2':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(72,666):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0},(72,665):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(72,664):{'3_1':0.51,'7_4':0.09,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(72,663):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(72,662):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_2':0.0,'9_1':0.0},(72,661):{'3_1':0.48,'5_2':0.18,'5_1':0.06,'7_4':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(72,660):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0},(72,659):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(72,658):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(72,657):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_7':0.0},(72,656):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(72,655):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(72,654):{'3_1':0.42,'5_2':0.18,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(72,653):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(72,652):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(72,651):{'3_1':0.45,'5_2':0.15,'7_7':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(72,650):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(72,649):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(72,648):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(72,647):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(72,646):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(72,645):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(72,644):{'3_1':0.3,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(72,643):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'9_1':0.0},(72,642):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'8_19':0.0,'7_1':0.0,'7_2':0.0},(72,641):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.03,'-3':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_19':0.0},(72,640):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_16':0.0,'3_1#5_1':0.0},(72,639):{'3_1':0.21,'5_2':0.15,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_1':0.0},(72,638):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(72,637):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(72,636):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(72,635):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,634):{'5_2':0.15,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(72,633):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_1':0.0,'7_3':0.0,'7_2':0.0},(72,632):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(72,631):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_7':0.0,'8_4':0.0},(72,630):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(72,629):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,628):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(72,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0},(72,626):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(72,625):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,624):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(72,623):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,622):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(72,621):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0,'8_4':0.0,'3_1#5_1':0.0},(72,620):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0},(72,619):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(72,618):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(72,617):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(72,616):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(72,615):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(72,614):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(72,613):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0},(72,612):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(72,611):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(72,610):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0},(72,609):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(72,608):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(72,607):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(72,606):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(72,605):{'3_1':0.3,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,604):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(72,603):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(72,602):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(72,601):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(72,600):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0,'9_1':0.0},(72,599):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(72,598):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(72,597):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0},(72,596):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(72,595):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(72,594):{'4_1':0.18,'3_1':0.15,'6_1':0.0,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(72,593):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0},(72,592):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(72,591):{'4_1':0.18,'3_1':0.18,'5_1':0.0,'7_6':0.0,'5_2':0.0,'8_4':0.0},(72,590):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(72,589):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(72,588):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(72,587):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(72,586):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(72,585):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(72,584):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(72,583):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,582):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(72,581):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(72,580):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.03,'7_5':0.0,'6_1':0.0,'8_8':0.0},(72,579):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0},(72,578):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,577):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(72,576):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(72,575):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(72,574):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(72,573):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(72,572):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(72,571):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(72,570):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0},(72,569):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(72,568):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(72,567):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0},(72,566):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(72,565):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(72,564):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(72,563):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(72,562):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_1':0.0},(72,561):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(72,560):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,559):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(72,558):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(72,557):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(72,556):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(72,555):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_4':0.0},(72,554):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(72,553):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(72,552):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(72,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_3':0.0},(72,550):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(72,549):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(72,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_4':0.0},(72,547):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0},(72,546):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(72,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(72,544):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(72,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(72,542):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(72,541):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(72,540):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_4':0.0},(72,539):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,538):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(72,537):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(72,536):{'3_1':0.12,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(72,535):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(72,534):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0},(72,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(72,532):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(72,531):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(72,530):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(72,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(72,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(72,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(72,524):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(72,523):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(72,520):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(72,519):{'3_1':0.06},(72,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,516):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(72,515):{'3_1':0.09,'4_1':0.0},(72,514):{'3_1':0.06,'4_1':0.0},(72,513):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(72,512):{'3_1':0.06,'4_1':0.0},(72,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,510):{'3_1':0.09,'5_2':0.0},(72,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(72,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,507):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,506):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(72,505):{'3_1':0.03},(72,504):{'3_1':0.03,'4_1':0.0},(72,503):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(72,502):{'3_1':0.09,'4_1':0.0},(72,501):{'3_1':0.03,'4_1':0.0},(72,500):{'3_1':0.06,'4_1':0.0},(72,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,498):{'3_1':0.06,'4_1':0.0},(72,497):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,495):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(72,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(72,492):{'3_1':0.09,'4_1':0.0},(72,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,490):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(72,489):{'3_1':0.09},(72,488):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,487):{'3_1':0.03,'4_1':0.0},(72,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(72,485):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(72,484):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(72,483):{'3_1':0.06,'4_1':0.0},(72,482):{'3_1':0.06,'4_1':0.0},(72,481):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(72,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(72,479):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0},(72,478):{'3_1':0.06},(72,477):{'3_1':0.09,'9_1':0.0},(72,476):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(72,475):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(72,474):{'3_1':0.12,'5_2':0.0},(72,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(72,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,471):{'3_1':0.06,'5_2':0.0},(72,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,469):{'3_1':0.0,'4_1':0.0},(72,468):{'3_1':0.06,'5_1':0.0},(72,467):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,466):{'3_1':0.03,'4_1':0.0},(72,465):{'3_1':0.06},(72,464):{'3_1':0.03,'4_1':0.0},(72,463):{'3_1':0.06,'6_1':0.0},(72,462):{'3_1':0.03,'4_1':0.0},(72,461):{'3_1':0.03},(72,460):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,459):{'3_1':0.03,'4_1':0.0},(72,458):{'3_1':0.03,'4_1':0.0},(72,457):{'3_1':0.06,'4_1':0.0},(72,456):{'3_1':0.03,'4_1':0.0},(72,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,453):{'3_1':0.03,'4_1':0.0},(72,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,451):{'3_1':0.09},(72,450):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(72,449):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(72,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(72,447):{'3_1':0.0,'4_1':0.0},(72,446):{'3_1':0.0},(72,445):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,444):{'3_1':0.06,'5_2':0.0},(72,443):{'3_1':0.0,'4_1':0.0},(72,442):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(72,441):{'3_1':0.03,'4_1':0.0},(72,440):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(72,439):{'3_1':0.03},(72,438):{'3_1':0.03},(72,437):{'3_1':0.09},(72,436):{'3_1':0.06,'4_1':0.0},(72,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,434):{'3_1':0.03,'5_2':0.0},(72,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,432):{'3_1':0.03},(72,431):{'3_1':0.06,'4_1':0.0},(72,430):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(72,429):{'3_1':0.03,'4_1':0.0},(72,428):{'3_1':0.09,'4_1':0.0},(72,427):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(72,426):{'3_1':0.03,'4_1':0.0},(72,425):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(72,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,423):{'3_1':0.06,'4_1':0.0},(72,422):{'3_1':0.06,'4_1':0.0},(72,421):{'3_1':0.09,'4_1':0.0},(72,420):{'3_1':0.03},(72,419):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(72,418):{'3_1':0.06,'5_2':0.0},(72,417):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(72,416):{'3_1':0.03,'5_2':0.0},(72,415):{'3_1':0.12},(72,414):{'3_1':0.06},(72,413):{'3_1':0.03,'9_1':0.0},(72,412):{'3_1':0.03,'4_1':0.0},(72,411):{'3_1':0.0},(72,410):{'3_1':0.03,'4_1':0.0},(72,409):{'3_1':0.03,'5_1':0.0},(72,408):{'3_1':0.0},(72,407):{'3_1':0.0},(72,406):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(72,405):{'3_1':0.0,'4_1':0.0,'8_4':0.0},(72,404):{'3_1':0.0,'4_1':0.0},(72,403):{'3_1':0.03,'5_2':0.0},(72,402):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(72,401):{'3_1':0.0,'5_2':0.0},(72,400):{'3_1':0.0,'6_1':0.0},(72,399):{'3_1':0.03,'4_1':0.0},(72,398):{'3_1':0.0},(72,397):{'3_1':0.03,'5_2':0.0},(72,396):{'3_1':0.03},(72,395):{'3_1':0.0,'5_2':0.0},(72,394):{'3_1':0.0,'4_1':0.0},(72,393):{'3_1':0.03,'4_1':0.0},(72,392):{'3_1':0.0},(72,391):{'3_1':0.0,'5_2':0.0},(72,390):{'3_1':0.0},(72,389):{'3_1':0.03,'6_1':0.0},(72,388):{'3_1':0.03,'4_1':0.0},(72,387):{'3_1':0.03},(72,386):{'3_1':0.03,'7_4':0.0},(72,385):{'3_1':0.06},(72,384):{'3_1':0.0,'4_1':0.0},(72,383):{'3_1':0.03},(72,382):{'3_1':0.0,'4_1':0.0},(72,381):{'3_1':0.03,'7_4':0.0},(72,380):{'3_1':0.03},(72,379):{'3_1':0.0,'4_1':0.0},(72,378):{'3_1':0.03},(72,377):{'3_1':0.09,'4_1':0.0},(72,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(72,375):{'3_1':0.03},(72,374):{'3_1':0.03},(72,373):{'3_1':0.03,'4_1':0.0},(72,372):{'3_1':0.06,'4_1':0.0},(72,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,369):{'3_1':0.03},(72,368):{'3_1':0.03},(72,367):{'3_1':0.0,'4_1':0.0},(72,366):{'3_1':0.03,'4_1':0.0},(72,365):{'3_1':0.03},(72,364):{'3_1':0.0,'4_1':0.0},(72,363):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(72,362):{'3_1':0.03,'4_1':0.0},(72,361):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(72,359):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(72,358):{'4_1':0.0,'3_1':0.0},(72,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(72,356):{'3_1':0.03},(72,355):{'4_1':0.0,'3_1':0.0},(72,354):{'3_1':0.03,'5_1':0.0},(72,353):{'3_1':0.03,'5_1':0.0},(72,352):{'3_1':0.0,'4_1':0.0},(72,351):{'3_1':0.0,'4_1':0.0},(72,350):{'3_1':0.0,'4_1':0.0},(72,349):{'3_1':0.0},(72,348):{'3_1':0.0},(72,347):{'3_1':0.0},(72,346):{'3_1':0.0,'4_1':0.0},(72,345):{'3_1':0.03,'4_1':0.0},(72,344):{'3_1':0.03},(72,343):{'4_1':0.0,'3_1':0.0},(72,342):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(72,341):{'3_1':0.0,'7_1':0.0},(72,340):{'3_1':0.0,'5_1':0.0},(72,339):{'3_1':0.0},(72,338):{'3_1':0.03,'4_1':0.0},(72,337):{'3_1':0.03,'8_20|3_1#3_1':0.0},(72,336):{'3_1':0.03,'4_1':0.0},(72,335):{'3_1':0.03,'9_1':0.0},(72,333):{'3_1':0.0,'5_1':0.0},(72,332):{'3_1':0.03,'4_1':0.0},(72,331):{'3_1':0.03,'6_1':0.0},(72,330):{'3_1':0.06,'4_1':0.0},(72,329):{'3_1':0.03},(72,328):{'3_1':0.0},(72,327):{'3_1':0.0,'4_1':0.0},(72,326):{'3_1':0.0,'4_1':0.0},(72,325):{'3_1':0.0},(72,324):{'3_1':0.03,'4_1':0.0},(72,323):{'3_1':0.0},(72,322):{'3_1':0.03},(72,321):{'3_1':0.0},(72,320):{'3_1':0.0,'4_1':0.0},(72,319):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(72,318):{'3_1':0.0,'4_1':0.0},(72,317):{'3_1':0.0,'4_1':0.0},(72,316):{'3_1':0.06},(72,315):{'3_1':0.0},(72,314):{'3_1':0.03,'5_2':0.0},(72,313):{'3_1':0.03},(72,312):{'3_1':0.06},(72,311):{'3_1':0.0,'8_20|3_1#3_1':0.0},(72,310):{'3_1':0.06},(72,309):{'3_1':0.0,'4_1':0.0},(72,308):{'3_1':0.0,'4_1':0.0},(72,307):{'3_1':0.03},(72,306):{'3_1':0.0},(72,305):{'3_1':0.03,'4_1':0.0},(72,304):{'3_1':0.03},(72,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,302):{'3_1':0.0,'4_1':0.0},(72,301):{'3_1':0.0},(72,300):{'3_1':0.0},(72,299):{'3_1':0.0,'4_1':0.0},(72,298):{'3_1':0.03,'6_1':0.0},(72,297):{'3_1':0.03},(72,296):{'3_1':0.0,'7_2':0.0},(72,295):{'3_1':0.0,'4_1':0.0},(72,294):{'4_1':0.0,'3_1':0.0},(72,293):{'3_1':0.03,'4_1':0.0},(72,292):{'3_1':0.03,'4_1':0.0},(72,291):{'3_1':0.0},(72,290):{'3_1':0.06},(72,289):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(72,288):{'3_1':0.0,'4_1':0.0},(72,287):{'3_1':0.03},(72,286):{'3_1':0.0},(72,285):{'3_1':0.03},(72,284):{'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(72,283):{'3_1':0.03},(72,282):{'3_1':0.03,'5_1':0.0},(72,281):{'3_1':0.03,'4_1':0.0},(72,280):{'3_1':0.03},(72,279):{'3_1':0.0},(72,278):{'3_1':0.0,'5_1':0.0},(72,277):{'3_1':0.06},(72,276):{'3_1':0.03,'5_1':0.0},(72,275):{'3_1':0.03},(72,274):{'3_1':0.03,'8_20|3_1#3_1':0.0},(72,273):{'3_1':0.0},(72,272):{'3_1':0.06,'5_1':0.0},(72,271):{'3_1':0.03,'5_1':0.0},(72,270):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(72,269):{'3_1':0.0},(72,268):{'3_1':0.06},(72,267):{'3_1':0.09,'5_1':0.0},(72,266):{'3_1':0.09,'4_1':0.0},(72,265):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(72,264):{'3_1':0.0},(72,263):{'3_1':0.0},(72,262):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(72,261):{'3_1':0.0,'4_1':0.0},(72,260):{'3_1':0.06},(72,259):{'3_1':0.0,'4_1':0.0},(72,258):{'3_1':0.03,'5_1':0.0},(72,257):{'3_1':0.03},(72,256):{'3_1':0.06},(72,255):{'3_1':0.03},(72,254):{'3_1':0.06,'5_2':0.0},(72,253):{'3_1':0.0},(72,252):{'3_1':0.03},(72,251):{'3_1':0.03},(72,250):{'3_1':0.06,'4_1':0.0},(72,249):{'3_1':0.0},(72,248):{'3_1':0.0},(72,247):{'3_1':0.03},(72,246):{'3_1':0.0,'4_1':0.0},(72,245):{'3_1':0.03,'4_1':0.0},(72,244):{'3_1':0.03,'4_1':0.0},(72,243):{'3_1':0.03,'4_1':0.0},(72,242):{'3_1':0.03,'5_1':0.0},(72,241):{'3_1':0.06,'4_1':0.0},(72,240):{'3_1':0.03},(72,239):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,238):{'3_1':0.03,'5_2':0.0,'7_1':0.0,'9_1':0.0},(72,237):{'3_1':0.06,'4_1':0.0},(72,236):{'3_1':0.06},(72,235):{'3_1':0.0},(72,234):{'3_1':0.03},(72,233):{'3_1':0.0},(72,232):{'3_1':0.03},(72,231):{'3_1':0.0,'4_1':0.0},(72,230):{'3_1':0.03,'4_1':0.0},(72,229):{'3_1':0.0},(72,228):{'3_1':0.03},(72,227):{'3_1':0.0},(72,225):{'3_1':0.0,'4_1':0.0},(72,224):{'3_1':0.03},(72,223):{'3_1':0.0},(72,222):{'3_1':0.03,'5_2':0.0},(72,221):{'3_1':0.06},(72,220):{'3_1':0.03,'4_1':0.0},(72,219):{'3_1':0.0},(72,217):{'3_1':0.0},(72,216):{'3_1':0.03},(72,215):{'3_1':0.0,'4_1':0.0},(72,214):{'3_1':0.0},(72,213):{'3_1':0.03,'5_1':0.0},(72,212):{'3_1':0.03,'4_1':0.0},(72,211):{'3_1':0.0,'4_1':0.0},(72,209):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(72,208):{'4_1':0.0,'3_1':0.0,'7_4':0.0},(72,207):{'3_1':0.0},(72,206):{'3_1':0.0,'5_2':0.0},(72,205):{'3_1':0.0,'5_2':0.0},(72,204):{'3_1':0.0,'4_1':0.0},(72,203):{'3_1':0.0},(72,202):{'3_1':0.0},(72,201):{'3_1':0.0},(72,200):{'3_1':0.0},(72,199):{'3_1':0.03,'7_2':0.0},(72,198):{'3_1':0.0},(72,197):{'3_1':0.0},(72,196):{'3_1':0.03},(72,195):{'3_1':0.0},(72,194):{'3_1':0.03},(72,193):{'3_1':0.03},(72,192):{'3_1':0.03},(72,191):{'3_1':0.06},(72,190):{'3_1':0.0},(72,189):{'3_1':0.0},(72,188):{'3_1':0.0},(72,186):{'3_1':0.03},(72,185):{'3_1':0.0},(72,184):{'3_1':0.0},(72,183):{'3_1':0.03},(72,182):{'3_1':0.0},(72,181):{'3_1':0.0},(72,180):{'3_1':0.0},(72,179):{'3_1':0.03},(72,178):{'3_1':0.03,'4_1':0.0},(72,177):{'3_1':0.03},(72,175):{'3_1':0.0},(72,174):{'3_1':0.03},(72,173):{'3_1':0.0},(72,172):{'3_1':0.0,'4_1':0.0},(72,171):{'3_1':0.0,'4_1':0.0},(72,170):{'3_1':0.0},(72,169):{'3_1':0.0,'5_2':0.0},(72,168):{'3_1':0.0},(72,167):{'3_1':0.0},(72,166):{'3_1':0.0},(72,165):{'3_1':0.03},(72,164):{'3_1':0.03},(72,163):{'3_1':0.0,'4_1':0.0},(72,162):{'3_1':0.0},(72,161):{'3_1':0.0},(72,160):{'3_1':0.06},(72,159):{'3_1':0.0,'4_1':0.0},(72,158):{'3_1':0.0},(72,157):{'3_1':0.0},(72,156):{'3_1':0.0,'4_1':0.0},(72,155):{'3_1':0.0,'4_1':0.0},(72,154):{'3_1':0.0},(72,153):{'3_1':0.03,'5_1':0.0},(72,152):{'3_1':0.0},(72,151):{'3_1':0.0,'4_1':0.0},(72,150):{'3_1':0.0,'5_2':0.0},(72,149):{'3_1':0.0,'5_2':0.0},(72,148):{'3_1':0.0},(72,147):{'3_1':0.03},(72,146):{'3_1':0.0,'4_1':0.0},(72,145):{'3_1':0.03},(72,144):{'3_1':0.0},(72,143):{'3_1':0.0},(72,141):{'3_1':0.0},(72,140):{'3_1':0.0},(72,139):{'3_1':0.03},(72,138):{'3_1':0.0,'5_1':0.0},(72,137):{'3_1':0.0},(72,136):{'3_1':0.0},(72,135):{'3_1':0.0},(72,134):{'3_1':0.0},(72,133):{'3_1':0.0},(72,132):{'3_1':0.0},(72,131):{'3_1':0.0},(72,130):{'3_1':0.0},(72,129):{'3_1':0.0},(72,128):{'3_1':0.0},(72,126):{'3_1':0.03},(72,125):{'3_1':0.0},(72,124):{'3_1':0.0},(72,122):{'3_1':0.0},(72,121):{'3_1':0.0},(72,120):{'3_1':0.0},(72,116):{'3_1':0.0},(72,114):{'3_1':0.0},(73,727):{'5_2':0.69,'-3':0.06,'3_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(73,726):{'5_2':0.54,'-3':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(73,725):{'5_2':0.45,'-3':0.12,'3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0,'2':-0.03},(73,724):{'5_2':0.54,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'2':-0.03},(73,723):{'5_2':0.54,'7_4':0.09,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0,'9_1':0.0},(73,722):{'5_2':0.54,'-3':0.12,'5_1':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_1':0.0},(73,721):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(73,720):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'5_1':0.03,'7_5':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(73,719):{'5_2':0.45,'-3':0.15,'5_1':0.03,'7_4':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(73,718):{'5_2':0.45,'-3':0.18,'7_2':0.03,'5_1':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'2':-0.03},(73,717):{'5_2':0.51,'-3':0.15,'3_1':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(73,716):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(73,715):{'5_2':0.54,'-3':0.12,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_4':0.0,'8_6':0.0,'1':-0.03},(73,714):{'5_2':0.54,'3_1':0.06,'7_4':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'1':-0.03},(73,713):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(73,712):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(73,711):{'5_2':0.39,'-3':0.21,'3_1':0.09,'7_2':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(73,710):{'5_2':0.42,'-3':0.15,'7_5':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'2':-0.03},(73,709):{'5_2':0.42,'-3':0.18,'5_1':0.06,'3_1':0.0,'7_5':0.0,'8_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0},(73,708):{'5_2':0.42,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'5_1':0.03,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(73,707):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(73,706):{'5_2':0.51,'-3':0.09,'7_4':0.09,'3_1':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(73,705):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0},(73,704):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(73,703):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'8_6':0.0},(73,702):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'1':-0.03},(73,701):{'5_2':0.42,'-3':0.15,'7_4':0.06,'7_3':0.06,'3_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(73,700):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'9_1':0.0},(73,699):{'5_2':0.36,'3_1':0.15,'-3':0.12,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(73,698):{'5_2':0.39,'-3':0.15,'3_1':0.12,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_15':0.0,'8_19':0.0,'1':-0.03},(73,697):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_4':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(73,696):{'5_2':0.36,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(73,695):{'5_2':0.36,'-3':0.18,'3_1':0.09,'7_3':0.06,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0,'1':-0.03},(73,694):{'5_2':0.39,'-3':0.24,'3_1':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(73,693):{'5_2':0.3,'3_1':0.15,'-3':0.15,'5_1':0.06,'7_3':0.06,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(73,692):{'5_2':0.33,'3_1':0.18,'-3':0.15,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0},(73,691):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'8_15':0.0},(73,690):{'5_2':0.33,'3_1':0.12,'-3':0.12,'7_3':0.06,'5_1':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0},(73,689):{'3_1':0.3,'5_2':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(73,688):{'3_1':0.24,'5_2':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(73,687):{'5_2':0.3,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(73,686):{'5_2':0.27,'3_1':0.21,'-3':0.15,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(73,685):{'3_1':0.24,'5_2':0.24,'7_4':0.09,'-3':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(73,684):{'3_1':0.39,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'1':-0.03},(73,683):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'-3':0.09,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(73,682):{'3_1':0.45,'5_2':0.21,'-3':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(73,681):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(73,680):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'5_1':0.06,'-3':0.06,'7_7':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(73,679):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_3':0.0},(73,678):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(73,677):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(73,676):{'3_1':0.42,'5_2':0.12,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_19':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(73,675):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'-3':0.06,'4_1':0.0,'7_3':0.0,'9_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(73,674):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(73,673):{'3_1':0.48,'5_2':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(73,672):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(73,671):{'3_1':0.57,'7_4':0.06,'-3':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(73,670):{'3_1':0.57,'5_2':0.06,'7_4':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'9_1':0.0,'3_1#5_2':0.0},(73,669):{'3_1':0.45,'5_2':0.15,'7_4':0.12,'7_7':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(73,668):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(73,667):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(73,666):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(73,665):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(73,664):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(73,663):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(73,662):{'3_1':0.45,'5_2':0.21,'7_4':0.09,'5_1':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(73,661):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(73,660):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(73,659):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(73,658):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(73,657):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(73,656):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(73,655):{'3_1':0.54,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(73,654):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0,'-3':0.0},(73,653):{'3_1':0.42,'5_2':0.18,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(73,652):{'3_1':0.39,'5_2':0.09,'7_4':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(73,651):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(73,650):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'9_1':0.0},(73,649):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(73,648):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'-3':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0},(73,647):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_9':0.0},(73,646):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'8_6':0.0,'8_16':0.0},(73,645):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(73,644):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.06,'8_19':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_9':0.0},(73,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0},(73,642):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(73,641):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(73,640):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(73,639):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(73,638):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(73,637):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(73,636):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(73,635):{'5_2':0.12,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(73,634):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(73,633):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.03,'6_2':0.0,'5_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(73,632):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'9_1':0.0},(73,631):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(73,630):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'9_1':0.0,'-3':0.0},(73,629):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,628):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(73,627):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_1':0.0},(73,626):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(73,625):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(73,624):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(73,623):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(73,622):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(73,621):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0},(73,620):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(73,619):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0},(73,618):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'9_1':0.0},(73,617):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(73,616):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(73,615):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_7':0.0,'8_11':0.0},(73,614):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(73,613):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(73,612):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(73,611):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(73,610):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(73,609):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(73,608):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(73,607):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(73,606):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(73,605):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,604):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(73,603):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(73,602):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0},(73,601):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(73,600):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(73,599):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(73,598):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(73,597):{'4_1':0.21,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(73,596):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(73,595):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(73,594):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(73,593):{'4_1':0.21,'3_1':0.15,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(73,592):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'8_4':0.0},(73,591):{'4_1':0.18,'3_1':0.09,'6_2':0.03,'5_2':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(73,590):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'7_3':0.0},(73,589):{'4_1':0.24,'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(73,588):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0},(73,587):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(73,586):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(73,585):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(73,584):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(73,583):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(73,582):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(73,581):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(73,580):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(73,579):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0},(73,578):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(73,577):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0},(73,576):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(73,575):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(73,574):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,573):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(73,572):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(73,571):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0},(73,570):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'8_1':0.0},(73,569):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'8_4':0.0},(73,568):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(73,567):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(73,566):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0},(73,565):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'8_21|3_1#4_1':0.0},(73,564):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(73,563):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(73,562):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(73,561):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(73,560):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(73,559):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0},(73,558):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(73,557):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0},(73,556):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(73,555):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(73,554):{'3_1':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(73,553):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(73,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(73,551):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(73,550):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(73,549):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(73,548):{'3_1':0.15,'4_1':0.03,'8_5':0.0,'8_20|3_1#3_1':0.0},(73,547):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(73,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,544):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(73,543):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0},(73,542):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(73,541):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_4':0.0},(73,540):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(73,539):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'-3':0.0},(73,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_11':0.0},(73,537):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(73,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(73,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(73,534):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(73,533):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(73,532):{'3_1':0.18,'4_1':0.0,'-3':0.0},(73,531):{'3_1':0.21,'5_2':0.0},(73,530):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(73,529):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(73,528):{'3_1':0.12,'4_1':0.03},(73,527):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(73,526):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(73,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(73,524):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(73,523):{'3_1':0.09,'5_1':0.0},(73,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,520):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(73,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(73,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(73,517):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(73,516):{'3_1':0.12,'4_1':0.0},(73,515):{'3_1':0.06,'4_1':0.03},(73,514):{'3_1':0.03,'4_1':0.0},(73,513):{'3_1':0.06,'4_1':0.0},(73,512):{'3_1':0.06,'4_1':0.0},(73,511):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(73,510):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(73,509):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(73,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(73,507):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(73,506):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(73,505):{'3_1':0.06},(73,504):{'3_1':0.03},(73,503):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(73,502):{'3_1':0.06,'4_1':0.0},(73,501):{'3_1':0.06,'4_1':0.0},(73,500):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(73,499):{'3_1':0.03,'4_1':0.0},(73,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(73,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,496):{'3_1':0.06,'4_1':0.0},(73,495):{'3_1':0.09,'4_1':0.0},(73,494):{'3_1':0.03,'4_1':0.0},(73,493):{'3_1':0.03,'4_1':0.0},(73,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,491):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,490):{'3_1':0.03,'4_1':0.0},(73,489):{'3_1':0.06,'5_2':0.0},(73,488):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(73,487):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(73,486):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(73,485):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(73,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(73,483):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(73,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(73,481):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'9_1':0.0},(73,480):{'3_1':0.06,'4_1':0.0},(73,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(73,478):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(73,477):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,476):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(73,475):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(73,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,473):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,472):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,471):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(73,470):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(73,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,468):{'3_1':0.06},(73,467):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(73,466):{'3_1':0.03,'4_1':0.0},(73,465):{'3_1':0.06,'4_1':0.0},(73,464):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(73,463):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(73,462):{'3_1':0.0,'4_1':0.0},(73,461):{'3_1':0.09,'4_1':0.0},(73,460):{'3_1':0.03,'4_1':0.0},(73,459):{'3_1':0.06,'4_1':0.0},(73,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,457):{'3_1':0.06,'4_1':0.0},(73,456):{'3_1':0.03,'4_1':0.0},(73,455):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(73,454):{'3_1':0.06,'5_2':0.0},(73,453):{'3_1':0.03,'4_1':0.0},(73,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,451):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(73,450):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(73,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(73,447):{'3_1':0.03,'4_1':0.0},(73,446):{'3_1':0.03,'4_1':0.0},(73,445):{'3_1':0.03,'6_2':0.0},(73,444):{'3_1':0.03},(73,443):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(73,442):{'3_1':0.06,'4_1':0.0},(73,441):{'3_1':0.03,'4_1':0.0},(73,440):{'3_1':0.03,'8_20|3_1#3_1':0.0},(73,439):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0},(73,438):{'3_1':0.0},(73,437):{'3_1':0.0,'4_1':0.0},(73,436):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,435):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(73,434):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(73,433):{'4_1':0.03,'3_1':0.0},(73,432):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0},(73,431):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(73,430):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,429):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(73,428):{'3_1':0.06,'4_1':0.0},(73,427):{'3_1':0.06,'6_1':0.0,'6_2':0.0},(73,426):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(73,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,424):{'3_1':0.0,'4_1':0.0},(73,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,421):{'4_1':0.0,'5_1':0.0},(73,420):{'3_1':0.03,'4_1':0.0},(73,419):{'3_1':0.03,'4_1':0.0},(73,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(73,417):{'3_1':0.0,'4_1':0.0},(73,416):{'3_1':0.06,'4_1':0.0},(73,415):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,414):{'3_1':0.03,'4_1':0.0},(73,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,412):{'3_1':0.03,'5_2':0.0},(73,411):{'3_1':0.09},(73,410):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(73,409):{'3_1':0.0,'4_1':0.0},(73,408):{'3_1':0.0},(73,407):{'3_1':0.0},(73,406):{'3_1':0.03},(73,405):{'3_1':0.03},(73,404):{'3_1':0.0,'4_1':0.0},(73,403):{'3_1':0.0,'4_1':0.0},(73,402):{'3_1':0.03,'4_1':0.0},(73,401):{'3_1':0.0,'4_1':0.0},(73,400):{'3_1':0.03},(73,399):{'3_1':0.03,'4_1':0.0},(73,398):{'3_1':0.03},(73,397):{'3_1':0.0,'6_1':0.0},(73,396):{'3_1':0.0},(73,395):{'3_1':0.0,'4_1':0.0},(73,394):{'3_1':0.03},(73,393):{'3_1':0.0},(73,392):{'3_1':0.0,'4_1':0.0},(73,391):{'3_1':0.03},(73,390):{'3_1':0.0,'4_1':0.0},(73,389):{'3_1':0.03},(73,388):{'3_1':0.03},(73,387):{'3_1':0.0,'4_1':0.0},(73,386):{'3_1':0.03},(73,385):{'3_1':0.0},(73,384):{'3_1':0.03,'4_1':0.0},(73,383):{'3_1':0.06},(73,382):{'3_1':0.03,'4_1':0.0},(73,381):{'3_1':0.0,'4_1':0.0},(73,380):{'3_1':0.0,'6_1':0.0,'5_1':0.0},(73,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,377):{'3_1':0.0},(73,376):{'3_1':0.0,'5_1':0.0},(73,375):{'3_1':0.0,'4_1':0.0},(73,374):{'3_1':0.03},(73,373):{'3_1':0.03},(73,372):{'3_1':0.0},(73,371):{'3_1':0.03},(73,370):{'3_1':0.03,'4_1':0.0},(73,369):{'3_1':0.03},(73,368):{'3_1':0.0,'4_1':0.0},(73,367):{'3_1':0.0},(73,366):{'3_1':0.0},(73,365):{'3_1':0.03,'4_1':0.0},(73,364):{'4_1':0.0},(73,363):{'3_1':0.0,'4_1':0.0},(73,362):{'3_1':0.0},(73,361):{'3_1':0.03,'4_1':0.0},(73,360):{'3_1':0.03},(73,359):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(73,358):{'3_1':0.03,'4_1':0.0},(73,357):{'3_1':0.0,'4_1':0.0},(73,356):{'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(73,355):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(73,353):{'3_1':0.0},(73,352):{'3_1':0.03,'5_1':0.0},(73,351):{'3_1':0.0,'4_1':0.0},(73,350):{'3_1':0.0,'4_1':0.0},(73,349):{'3_1':0.03},(73,348):{'3_1':0.03,'4_1':0.0},(73,347):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(73,346):{'3_1':0.03,'6_1':0.0},(73,345):{'3_1':0.0,'4_1':0.0},(73,344):{'3_1':0.0,'4_1':0.0},(73,343):{'3_1':0.0},(73,342):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(73,341):{'3_1':0.0,'4_1':0.0},(73,340):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(73,339):{'4_1':0.0,'3_1':0.0},(73,338):{'3_1':0.03,'4_1':0.0},(73,337):{'3_1':0.0,'4_1':0.0},(73,336):{'3_1':0.03},(73,335):{'3_1':0.03},(73,334):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(73,333):{'3_1':0.0,'4_1':0.0},(73,332):{'3_1':0.03,'4_1':0.0},(73,331):{'3_1':0.0,'7_1':0.0},(73,330):{'3_1':0.0,'4_1':0.0},(73,329):{'4_1':0.03,'3_1':0.0},(73,328):{'3_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0},(73,327):{'3_1':0.0},(73,326):{'3_1':0.03,'4_1':0.0},(73,325):{'3_1':0.03,'4_1':0.0},(73,324):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(73,323):{'4_1':0.03,'3_1':0.0},(73,322):{'3_1':0.0,'4_1':0.0},(73,321):{'3_1':0.03},(73,320):{'3_1':0.03,'4_1':0.0},(73,319):{'3_1':0.0,'4_1':0.0},(73,318):{'4_1':0.0,'3_1':0.0},(73,317):{'3_1':0.0,'4_1':0.0},(73,316):{'4_1':0.0},(73,315):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(73,314):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(73,313):{'3_1':0.03,'4_1':0.0},(73,312):{'3_1':0.0,'4_1':0.0},(73,311):{'3_1':0.0,'4_1':0.0},(73,310):{'3_1':0.0,'4_1':0.0},(73,309):{'3_1':0.03,'4_1':0.0},(73,308):{'3_1':0.0,'4_1':0.0},(73,307):{'3_1':0.03,'5_2':0.0},(73,306):{'3_1':0.0,'4_1':0.0},(73,305):{'3_1':0.0,'4_1':0.0},(73,304):{'3_1':0.0},(73,303):{'3_1':0.03,'5_1':0.0},(73,302):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(73,301):{'3_1':0.0},(73,300):{'3_1':0.0},(73,299):{'3_1':0.0},(73,298):{'3_1':0.03,'4_1':0.0},(73,297):{'3_1':0.0,'4_1':0.0},(73,296):{'3_1':0.0},(73,295):{'3_1':0.0},(73,294):{'3_1':0.0},(73,293):{'3_1':0.03},(73,292):{'3_1':0.03},(73,291):{'3_1':0.03},(73,290):{'4_1':0.0,'3_1':0.0},(73,289):{'3_1':0.03,'6_1':0.0},(73,288):{'3_1':0.0,'4_1':0.0},(73,287):{'4_1':0.0},(73,286):{'4_1':0.0},(73,285):{'3_1':0.0,'4_1':0.0},(73,284):{'4_1':0.0,'3_1':0.0},(73,283):{'3_1':0.03,'4_1':0.0},(73,282):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,281):{'3_1':0.0,'5_2':0.0},(73,280):{'3_1':0.0,'4_1':0.0},(73,279):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(73,278):{'3_1':0.0},(73,277):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(73,276):{'3_1':0.06,'6_2':0.0},(73,275):{'3_1':0.06},(73,274):{'3_1':0.0,'4_1':0.0},(73,273):{'3_1':0.03,'4_1':0.0},(73,272):{'3_1':0.0},(73,271):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,270):{'3_1':0.0,'4_1':0.0},(73,269):{'3_1':0.03},(73,268):{'3_1':0.0,'4_1':0.0},(73,266):{'3_1':0.0,'5_1':0.0},(73,265):{'3_1':0.0,'5_2':0.0},(73,264):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,263):{'3_1':0.0},(73,262):{'3_1':0.03,'4_1':0.0},(73,261):{'3_1':0.03,'4_1':0.0},(73,260):{'3_1':0.0,'4_1':0.0},(73,259):{'3_1':0.0,'4_1':0.0},(73,258):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(73,257):{'3_1':0.0},(73,256):{'3_1':0.0},(73,255):{'3_1':0.03,'4_1':0.0},(73,254):{'4_1':0.0,'3_1':0.0},(73,253):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,252):{'3_1':0.0,'4_1':0.0},(73,251):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,250):{'3_1':0.03,'4_1':0.0},(73,249):{'4_1':0.0,'5_1':0.0},(73,248):{'3_1':0.03},(73,247):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,246):{'3_1':0.03,'5_1':0.0},(73,245):{'3_1':0.0,'5_1':0.0},(73,244):{'3_1':0.03,'4_1':0.0},(73,243):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(73,242):{'3_1':0.03,'4_1':0.0},(73,241):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,240):{'3_1':0.03,'4_1':0.0},(73,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(73,238):{'3_1':0.03,'4_1':0.0},(73,237):{'3_1':0.06,'4_1':0.0},(73,236):{'3_1':0.0},(73,235):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(73,234):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(73,233):{'3_1':0.0},(73,232):{'3_1':0.0,'4_1':0.0},(73,231):{'4_1':0.0},(73,230):{'3_1':0.03,'4_1':0.0},(73,229):{'3_1':0.03,'4_1':0.0},(73,228):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,227):{'3_1':0.0},(73,226):{'4_1':0.0,'3_1':0.0},(73,225):{'3_1':0.0,'4_1':0.0},(73,224):{'3_1':0.0},(73,223):{'4_1':0.0,'3_1':0.0},(73,222):{'3_1':0.0,'4_1':0.0},(73,221):{'3_1':0.0},(73,220):{'3_1':0.0},(73,219):{'3_1':0.0},(73,218):{'3_1':0.0},(73,217):{'3_1':0.0,'5_2':0.0},(73,216):{'3_1':0.0,'6_1':0.0},(73,215):{'3_1':0.0},(73,214):{'4_1':0.0,'3_1':0.0},(73,212):{'3_1':0.0,'4_1':0.0},(73,211):{'4_1':0.0},(73,210):{'3_1':0.0},(73,209):{'3_1':0.0},(73,208):{'3_1':0.0,'4_1':0.0},(73,206):{'3_1':0.0},(73,205):{'3_1':0.0},(73,204):{'3_1':0.0,'4_1':0.0},(73,203):{'3_1':0.0,'4_1':0.0},(73,202):{'3_1':0.03,'4_1':0.0},(73,201):{'3_1':0.03},(73,200):{'4_1':0.0,'3_1':0.0},(73,199):{'5_1':0.0},(73,198):{'3_1':0.0,'4_1':0.0},(73,197):{'3_1':0.0,'4_1':0.0},(73,196):{'3_1':0.0,'4_1':0.0},(73,195):{'3_1':0.0,'4_1':0.0},(73,194):{'3_1':0.0,'4_1':0.0},(73,193):{'3_1':0.03},(73,192):{'3_1':0.0},(73,191):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,190):{'3_1':0.03,'4_1':0.0},(73,189):{'3_1':0.0},(73,188):{'3_1':0.0},(73,187):{'3_1':0.0},(73,186):{'3_1':0.0,'4_1':0.0},(73,185):{'3_1':0.0,'4_1':0.0},(73,184):{'3_1':0.0},(73,183):{'3_1':0.03},(73,182):{'3_1':0.0,'4_1':0.0},(73,181):{'3_1':0.0},(73,180):{'3_1':0.0},(73,179):{'3_1':0.0},(73,178):{'4_1':0.0},(73,177):{'3_1':0.0},(73,176):{'3_1':0.0,'4_1':0.0},(73,175):{'3_1':0.0},(73,174):{'3_1':0.0,'4_1':0.0},(73,173):{'3_1':0.0,'4_1':0.0},(73,172):{'3_1':0.03,'4_1':0.0},(73,171):{'3_1':0.0,'-3':0.0},(73,170):{'3_1':0.0,'4_1':0.0},(73,169):{'3_1':0.0},(73,167):{'3_1':0.0},(73,166):{'3_1':0.03,'4_1':0.0},(73,165):{'3_1':0.0,'4_1':0.0},(73,164):{'3_1':0.0},(73,163):{'3_1':0.0},(73,162):{'3_1':0.0},(73,160):{'3_1':0.03,'4_1':0.0},(73,159):{'3_1':0.0},(73,158):{'3_1':0.0,'4_1':0.0},(73,157):{'3_1':0.0,'4_1':0.0},(73,156):{'4_1':0.0,'3_1':0.0},(73,155):{'3_1':0.0},(73,154):{'3_1':0.0},(73,153):{'3_1':0.0},(73,152):{'3_1':0.0,'4_1':0.0},(73,151):{'3_1':0.0},(73,150):{'3_1':0.03,'4_1':0.0},(73,149):{'4_1':0.03,'3_1':0.0},(73,148):{'3_1':0.0},(73,147):{'3_1':0.0,'5_2':0.0},(73,146):{'3_1':0.03,'4_1':0.0},(73,145):{'3_1':0.0},(73,144):{'3_1':0.0},(73,143):{'3_1':0.0},(73,142):{'3_1':0.0},(73,141):{'3_1':0.0},(73,140):{'3_1':0.0,'4_1':0.0},(73,139):{'3_1':0.0},(73,138):{'3_1':0.0,'5_1':0.0},(73,136):{'3_1':0.03},(73,135):{'3_1':0.0},(73,134):{'3_1':0.0},(73,133):{'3_1':0.0},(73,132):{'3_1':0.0},(73,131):{'3_1':0.0},(73,130):{'3_1':0.0},(73,129):{'3_1':0.0},(73,128):{'3_1':0.03},(73,127):{'3_1':0.0},(73,126):{'3_1':0.0},(73,125):{'3_1':0.0},(73,124):{'3_1':0.0},(73,122):{'3_1':0.0},(73,121):{'3_1':0.0},(73,120):{'3_1':0.0},(73,119):{'3_1':0.0},(73,118):{'3_1':0.0},(73,116):{'3_1':0.0},(73,113):{'3_1':0.0},(74,727):{'5_2':0.6,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(74,726):{'5_2':0.6,'-3':0.09,'5_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'6_1':0.0,'3_1#5_2':0.0},(74,725):{'5_2':0.57,'-3':0.06,'7_4':0.03,'3_1#5_2':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(74,724):{'5_2':0.57,'-3':0.09,'7_4':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0},(74,723):{'5_2':0.63,'-3':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(74,722):{'5_2':0.48,'-3':0.15,'7_2':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0},(74,721):{'5_2':0.48,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(74,720):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_5':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(74,719):{'5_2':0.48,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_19':0.0},(74,718):{'5_2':0.54,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(74,717):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(74,716):{'5_2':0.39,'-3':0.15,'7_4':0.09,'3_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(74,715):{'5_2':0.45,'-3':0.12,'7_3':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(74,714):{'5_2':0.57,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'6_1':0.0,'8_19':0.0,'3_1#5_2':0.0,'3_1':0.0},(74,713):{'5_2':0.45,'7_4':0.09,'-3':0.09,'3_1':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(74,712):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_3':0.03,'7_5':0.03,'7_2':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(74,711):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(74,710):{'5_2':0.42,'-3':0.12,'7_4':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(74,709):{'5_2':0.45,'-3':0.21,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(74,708):{'5_2':0.42,'-3':0.18,'5_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'2':-0.03},(74,707):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(74,706):{'5_2':0.51,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(74,705):{'5_2':0.36,'3_1':0.09,'7_4':0.09,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(74,704):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(74,703):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(74,702):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(74,701):{'5_2':0.33,'-3':0.15,'3_1':0.09,'7_3':0.06,'7_6':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(74,700):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(74,699):{'5_2':0.48,'-3':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(74,698):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_2':0.0,'3_1#5_2':0.0},(74,697):{'5_2':0.39,'-3':0.15,'3_1':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(74,696):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0},(74,695):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_3':0.06,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(74,694):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'2':-0.03},(74,693):{'5_2':0.36,'-3':0.18,'3_1':0.12,'7_4':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(74,692):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'8_14':0.0},(74,691):{'5_2':0.33,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(74,690):{'5_2':0.33,'3_1':0.18,'-3':0.18,'7_3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'1':-0.03},(74,689):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.0,'7_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(74,688):{'5_2':0.3,'3_1':0.15,'-3':0.15,'7_4':0.03,'6_1':0.03,'7_7':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0,'8_19':0.0,'9_1':0.0},(74,687):{'5_2':0.24,'3_1':0.21,'-3':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(74,686):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_7':0.0,'8_11':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(74,685):{'3_1':0.24,'5_2':0.24,'7_4':0.12,'7_3':0.06,'-3':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_15':0.0,'1':-0.03},(74,684):{'5_2':0.3,'3_1':0.24,'7_4':0.09,'-3':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'8_14':0.0},(74,683):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(74,682):{'3_1':0.3,'5_2':0.21,'7_4':0.12,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0},(74,681):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(74,680):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(74,679):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'7_7':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(74,678):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(74,677):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(74,676):{'3_1':0.39,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(74,675):{'3_1':0.45,'7_4':0.12,'5_2':0.06,'7_7':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'9_1':0.0},(74,674):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'5_1':0.03,'7_7':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,673):{'3_1':0.45,'5_2':0.09,'7_7':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(74,672):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(74,671):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(74,670):{'3_1':0.6,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(74,669):{'3_1':0.6,'5_2':0.15,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(74,668):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(74,667):{'3_1':0.57,'5_2':0.12,'7_7':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0},(74,666):{'3_1':0.54,'5_2':0.12,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(74,665):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(74,664):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(74,663):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(74,662):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0},(74,661):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(74,660):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0},(74,659):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0},(74,658):{'3_1':0.57,'5_2':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(74,657):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'7_6':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(74,656):{'3_1':0.48,'5_2':0.09,'7_7':0.03,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(74,655):{'3_1':0.42,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(74,654):{'3_1':0.54,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'3_1#5_2':0.0},(74,653):{'3_1':0.45,'5_2':0.12,'7_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0},(74,652):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(74,651):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_7':0.03,'8_21|3_1#4_1':0.0,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(74,650):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(74,649):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(74,648):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(74,647):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(74,646):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0},(74,645):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(74,644):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(74,643):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_3':0.0,'9_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(74,642):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(74,641):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(74,640):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_1':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(74,639):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0,'9_1':0.0},(74,638):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(74,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(74,636):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(74,635):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(74,634):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(74,633):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(74,632):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(74,631):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(74,630):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(74,629):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(74,628):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0},(74,627):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(74,626):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(74,625):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(74,624):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(74,623):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_6':0.0},(74,622):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(74,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(74,620):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_5':0.0,'7_7':0.0,'8_19':0.0},(74,619):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0},(74,618):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_16':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_1':0.0},(74,617):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0},(74,616):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0},(74,615):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(74,614):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(74,613):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(74,612):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(74,611):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0,'1':-0.03},(74,610):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(74,609):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(74,608):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(74,607):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(74,606):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(74,605):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(74,604):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'6_1':0.0},(74,603):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(74,602):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(74,601):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(74,600):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(74,599):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(74,598):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(74,597):{'4_1':0.24,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(74,596):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(74,595):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(74,594):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(74,593):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'6_1':0.0},(74,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(74,591):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(74,590):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(74,589):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(74,588):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0},(74,587):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0},(74,586):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'8_8':0.0,'8_19':0.0},(74,585):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(74,584):{'4_1':0.12,'3_1':0.06,'8_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(74,583):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(74,582):{'4_1':0.24,'3_1':0.03,'6_1':0.0,'5_2':0.0},(74,581):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_7':0.0},(74,580):{'4_1':0.15,'7_5':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(74,579):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(74,578):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(74,577):{'4_1':0.15,'5_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(74,576):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(74,575):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(74,574):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(74,573):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(74,572):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(74,571):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(74,570):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(74,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(74,568):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(74,567):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(74,566):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(74,565):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(74,564):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(74,563):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(74,562):{'4_1':0.06,'3_1':0.03},(74,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(74,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(74,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(74,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_6':0.0,'8_2':0.0},(74,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(74,556):{'3_1':0.06,'4_1':0.0},(74,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(74,554):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(74,553):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(74,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(74,551):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(74,550):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(74,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(74,547):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(74,546):{'3_1':0.21,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(74,545):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(74,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(74,542):{'3_1':0.15,'4_1':0.0},(74,541):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(74,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(74,539):{'3_1':0.12,'4_1':0.0},(74,538):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(74,537):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(74,536):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(74,535):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(74,534):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(74,533):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(74,532):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(74,531):{'3_1':0.21,'4_1':0.0},(74,530):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(74,528):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(74,527):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0},(74,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,525):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,524):{'3_1':0.09,'4_1':0.03},(74,523):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(74,522):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(74,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,520):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(74,519):{'3_1':0.03},(74,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,516):{'3_1':0.06,'4_1':0.0},(74,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(74,514):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(74,513):{'3_1':0.06,'4_1':0.0},(74,512):{'3_1':0.03,'4_1':0.0},(74,511):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(74,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,509):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(74,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(74,507):{'3_1':0.03,'4_1':0.0},(74,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,504):{'3_1':0.03,'4_1':0.03},(74,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,502):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(74,501):{'3_1':0.03,'4_1':0.03},(74,500):{'3_1':0.03,'4_1':0.0},(74,499):{'3_1':0.09,'4_1':0.0},(74,498):{'3_1':0.03,'4_1':0.0},(74,497):{'3_1':0.09},(74,496):{'3_1':0.09,'4_1':0.0},(74,495):{'3_1':0.03,'4_1':0.0},(74,494):{'3_1':0.06,'4_1':0.0},(74,493):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(74,492):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(74,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,489):{'3_1':0.06,'4_1':0.0},(74,488):{'3_1':0.06,'5_1':0.0},(74,487):{'3_1':0.03,'5_2':0.0},(74,486):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(74,485):{'3_1':0.06,'4_1':0.0},(74,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,483):{'3_1':0.06,'4_1':0.0},(74,482):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(74,481):{'3_1':0.06},(74,480):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(74,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(74,478):{'3_1':0.06,'4_1':0.0},(74,477):{'4_1':0.03,'3_1':0.0},(74,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,475):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(74,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,473):{'3_1':0.09,'4_1':0.0},(74,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,471):{'3_1':0.03,'4_1':0.0},(74,470):{'3_1':0.09},(74,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(74,468):{'3_1':0.03,'4_1':0.0},(74,467):{'3_1':0.06,'4_1':0.0},(74,466):{'3_1':0.03},(74,465):{'3_1':0.03,'4_1':0.0},(74,464):{'3_1':0.03,'4_1':0.03},(74,463):{'3_1':0.0},(74,462):{'3_1':0.03,'4_1':0.0},(74,461):{'3_1':0.03},(74,460):{'3_1':0.0,'4_1':0.0},(74,459):{'3_1':0.06,'4_1':0.0},(74,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,457):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(74,456):{'3_1':0.03,'4_1':0.0},(74,455):{'3_1':0.06,'4_1':0.0},(74,454):{'3_1':0.0},(74,453):{'3_1':0.03,'4_1':0.0},(74,452):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(74,451):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(74,450):{'3_1':0.0,'4_1':0.0},(74,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,448):{'3_1':0.0,'4_1':0.0},(74,447):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(74,446):{'3_1':0.03,'4_1':0.0},(74,445):{'3_1':0.06,'4_1':0.0},(74,444):{'3_1':0.06,'5_2':0.0},(74,443):{'3_1':0.03},(74,442):{'3_1':0.03},(74,441):{'3_1':0.03},(74,440):{'3_1':0.03},(74,439):{'3_1':0.03,'4_1':0.0},(74,438):{'3_1':0.0},(74,437):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(74,436):{'3_1':0.06},(74,435):{'3_1':0.03},(74,434):{'3_1':0.06,'4_1':0.0},(74,433):{'3_1':0.0},(74,432):{'3_1':0.03,'4_1':0.03},(74,431):{'3_1':0.03,'6_1':0.0},(74,430):{'3_1':0.03,'7_2':0.0},(74,429):{'3_1':0.09,'4_1':0.0},(74,428):{'3_1':0.03,'4_1':0.0},(74,427):{'3_1':0.0,'4_1':0.0},(74,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(74,425):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(74,424):{'3_1':0.06,'4_1':0.0},(74,423):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(74,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,421):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(74,420):{'3_1':0.03,'4_1':0.0},(74,419):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(74,418):{'3_1':0.0,'4_1':0.0},(74,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,416):{'3_1':0.03,'4_1':0.0},(74,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,414):{'4_1':0.0,'3_1':0.0},(74,413):{'3_1':0.03,'4_1':0.0},(74,412):{'3_1':0.03,'4_1':0.03},(74,411):{'3_1':0.0,'4_1':0.0},(74,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,409):{'3_1':0.0,'4_1':0.0},(74,408):{'3_1':0.0,'4_1':0.0},(74,407):{'3_1':0.0},(74,406):{'3_1':0.06},(74,405):{'3_1':0.03},(74,404):{'3_1':0.0},(74,403):{'3_1':0.0},(74,402):{'3_1':0.0},(74,401):{'3_1':0.03,'5_1':0.0},(74,400):{'3_1':0.0},(74,399):{'3_1':0.03},(74,398):{'3_1':0.0,'5_2':0.0},(74,397):{'3_1':0.0},(74,396):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,394):{'3_1':0.0},(74,393):{'3_1':0.0,'5_2':0.0},(74,392):{'3_1':0.0},(74,391):{'3_1':0.0,'4_1':0.0},(74,390):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(74,389):{'3_1':0.0,'4_1':0.0},(74,388):{'3_1':0.03,'5_2':0.0},(74,387):{'3_1':0.0},(74,386):{'3_1':0.0,'4_1':0.0},(74,385):{'3_1':0.0},(74,384):{'3_1':0.03,'4_1':0.0},(74,383):{'3_1':0.03,'4_1':0.0},(74,382):{'3_1':0.0},(74,381):{'3_1':0.0,'4_1':0.0},(74,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(74,379):{'3_1':0.0,'4_1':0.0},(74,378):{'3_1':0.0,'4_1':0.0},(74,377):{'3_1':0.03},(74,376):{'3_1':0.0},(74,375):{'3_1':0.0},(74,374):{'3_1':0.0,'4_1':0.0},(74,373):{'3_1':0.03},(74,372):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(74,371):{'3_1':0.0,'7_4':0.0},(74,370):{'3_1':0.03},(74,369):{'3_1':0.0,'4_1':0.0},(74,368):{'3_1':0.03,'5_1':0.0},(74,367):{'3_1':0.03},(74,366):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(74,365):{'4_1':0.0},(74,364):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(74,363):{'3_1':0.0,'4_1':0.0},(74,361):{'4_1':0.0,'3_1':0.0},(74,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,359):{'3_1':0.0},(74,358):{'3_1':0.0,'4_1':0.0},(74,357):{'3_1':0.0,'4_1':0.0},(74,356):{'4_1':0.0,'3_1':0.0},(74,355):{'3_1':0.0},(74,354):{'3_1':0.0},(74,353):{'3_1':0.0,'4_1':0.0},(74,352):{'3_1':0.0},(74,351):{'3_1':0.0,'4_1':0.0},(74,350):{'3_1':0.0},(74,349):{'3_1':0.0},(74,347):{'3_1':0.0,'5_2':0.0},(74,346):{'4_1':0.0},(74,344):{'3_1':0.0,'4_1':0.0},(74,343):{'5_1':0.0},(74,342):{'3_1':0.0},(74,341):{'3_1':0.0,'5_2':0.0},(74,340):{'3_1':0.0},(74,339):{'3_1':0.0,'4_1':0.0},(74,338):{'3_1':0.0,'4_1':0.0},(74,337):{'3_1':0.0,'4_1':0.0},(74,336):{'3_1':0.0},(74,335):{'4_1':0.0},(74,334):{'3_1':0.0,'4_1':0.0},(74,333):{'5_2':0.0},(74,332):{'3_1':0.0},(74,331):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(74,330):{'4_1':0.0,'3_1':0.0},(74,329):{'3_1':0.0},(74,328):{'3_1':0.0,'7_1':0.0},(74,327):{'3_1':0.0,'4_1':0.0},(74,326):{'3_1':0.0},(74,325):{'3_1':0.0},(74,324):{'3_1':0.0},(74,323):{'3_1':0.0,'4_1':0.0},(74,322):{'3_1':0.0},(74,321):{'4_1':0.0},(74,320):{'3_1':0.03},(74,319):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(74,318):{'3_1':0.0,'4_1':0.0},(74,317):{'3_1':0.03},(74,316):{'3_1':0.0,'4_1':0.0},(74,315):{'3_1':0.0,'4_1':0.0},(74,314):{'3_1':0.0,'4_1':0.0},(74,313):{'3_1':0.0},(74,312):{'3_1':0.03,'4_1':0.0},(74,311):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(74,310):{'3_1':0.0,'4_1':0.0},(74,309):{'3_1':0.0,'6_1':0.0},(74,308):{'3_1':0.03},(74,306):{'6_2':0.0},(74,305):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,304):{'3_1':0.03,'4_1':0.0},(74,303):{'3_1':0.0,'5_1':0.0},(74,302):{'3_1':0.03},(74,301):{'3_1':0.0,'4_1':0.0},(74,300):{'3_1':0.03},(74,299):{'3_1':0.0},(74,297):{'3_1':0.0,'4_1':0.0},(74,296):{'3_1':0.0,'4_1':0.0},(74,295):{'3_1':0.0,'7_1':0.0},(74,294):{'3_1':0.03},(74,293):{'3_1':0.0},(74,292):{'3_1':0.03,'4_1':0.0},(74,291):{'3_1':0.03,'4_1':0.0},(74,290):{'3_1':0.0},(74,289):{'3_1':0.0,'4_1':0.0},(74,288):{'4_1':0.0,'6_2':0.0},(74,287):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,286):{'3_1':0.0},(74,285):{'3_1':0.03},(74,284):{'3_1':0.0,'4_1':0.0},(74,283):{'3_1':0.0},(74,282):{'3_1':0.0,'4_1':0.0},(74,281):{'3_1':0.0},(74,280):{'3_1':0.0,'4_1':0.0},(74,279):{'3_1':0.0},(74,278):{'3_1':0.0,'4_1':0.0},(74,277):{'4_1':0.0},(74,276):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(74,275):{'3_1':0.03,'4_1':0.0},(74,274):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,273):{'5_1':0.03,'3_1':0.0,'4_1':0.0},(74,272):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,271):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(74,270):{'3_1':0.0,'4_1':0.0},(74,269):{'3_1':0.03},(74,268):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(74,267):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(74,266):{'3_1':0.0},(74,265):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(74,264):{'3_1':0.0},(74,263):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,262):{'4_1':0.0,'3_1':0.0},(74,261):{'3_1':0.0},(74,260):{'3_1':0.0},(74,259):{'4_1':0.0,'3_1':0.0},(74,258):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(74,257):{'3_1':0.0},(74,256):{'4_1':0.0},(74,255):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(74,254):{'4_1':0.0,'5_1':0.0},(74,253):{'3_1':0.0,'4_1':0.0},(74,252):{'3_1':0.03,'4_1':0.0},(74,251):{'3_1':0.0,'4_1':0.0},(74,250):{'3_1':0.0,'4_1':0.0},(74,249):{'5_1':0.0},(74,248):{'4_1':0.0},(74,247):{'3_1':0.0},(74,246):{'3_1':0.0},(74,245):{'3_1':0.0,'5_1':0.0},(74,244):{'4_1':0.0,'3_1':0.0},(74,243):{'3_1':0.0,'4_1':0.0},(74,242):{'4_1':0.0,'3_1':0.0},(74,241):{'3_1':0.03,'4_1':0.0},(74,240):{'4_1':0.0,'3_1':0.0},(74,239):{'4_1':0.0,'3_1':0.0},(74,238):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,237):{'4_1':0.0,'7_1':0.0},(74,236):{'3_1':0.0},(74,235):{'3_1':0.0},(74,234):{'3_1':0.0},(74,233):{'3_1':0.0,'4_1':0.0},(74,232):{'3_1':0.03,'4_1':0.0},(74,231):{'3_1':0.0,'4_1':0.0},(74,230):{'3_1':0.03},(74,229):{'3_1':0.0,'4_1':0.0},(74,228):{'3_1':0.0,'4_1':0.0},(74,227):{'3_1':0.0},(74,226):{'3_1':0.0},(74,225):{'5_2':0.0},(74,224):{'3_1':0.0},(74,223):{'3_1':0.0},(74,222):{'3_1':0.03,'4_1':0.0},(74,221):{'3_1':0.0},(74,220):{'3_1':0.0},(74,219):{'3_1':0.03,'4_1':0.0},(74,218):{'3_1':0.0,'4_1':0.0},(74,217):{'3_1':0.0,'4_1':0.0},(74,216):{'3_1':0.0,'4_1':0.0},(74,215):{'3_1':0.03},(74,213):{'3_1':0.0,'4_1':0.0},(74,212):{'3_1':0.0,'4_1':0.0},(74,211):{'3_1':0.0},(74,210):{'7_4':0.0},(74,209):{'3_1':0.0,'5_1':0.0},(74,208):{'4_1':0.0,'5_2':0.0},(74,207):{'3_1':0.0},(74,206):{'3_1':0.0},(74,205):{'3_1':0.0},(74,204):{'3_1':0.0},(74,203):{'3_1':0.0},(74,202):{'7_4':0.0},(74,201):{'4_1':0.0},(74,200):{'3_1':0.03},(74,199):{'3_1':0.0},(74,198):{'3_1':0.0},(74,197):{'3_1':0.03},(74,196):{'3_1':0.0},(74,195):{'3_1':0.03},(74,194):{'3_1':0.0},(74,193):{'3_1':0.0},(74,191):{'3_1':0.0},(74,190):{'3_1':0.0},(74,189):{'4_1':0.0},(74,188):{'4_1':0.0},(74,187):{'3_1':0.0},(74,186):{'4_1':0.0},(74,184):{'3_1':0.0},(74,183):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(74,182):{'4_1':0.0},(74,180):{'3_1':0.0,'4_1':0.0},(74,179):{'3_1':0.0},(74,178):{'4_1':0.0},(74,177):{'3_1':0.0,'6_2':0.0},(74,176):{'3_1':0.0},(74,175):{'3_1':0.0,'4_1':0.0},(74,173):{'3_1':0.0,'4_1':0.0},(74,172):{'4_1':0.0},(74,171):{'3_1':0.0},(74,170):{'3_1':0.0,'4_1':0.0},(74,168):{'3_1':0.0,'4_1':0.0},(74,167):{'5_2':0.0},(74,166):{'4_1':0.0},(74,165):{'3_1':0.0},(74,164):{'4_1':0.0},(74,163):{'3_1':0.03},(74,162):{'3_1':0.0,'4_1':0.0},(74,161):{'3_1':0.03},(74,160):{'3_1':0.03},(74,159):{'3_1':0.0,'5_2':0.0},(74,158):{'3_1':0.0},(74,157):{'3_1':0.03},(74,156):{'3_1':0.0},(74,155):{'3_1':0.0,'4_1':0.0},(74,154):{'3_1':0.0},(74,153):{'3_1':0.0},(74,152):{'3_1':0.0},(74,151):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,150):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(74,149):{'3_1':0.0,'4_1':0.0},(74,148):{'3_1':0.0},(74,147):{'3_1':0.0,'4_1':0.0},(74,146):{'3_1':0.0},(74,145):{'3_1':0.03,'4_1':0.0},(74,142):{'3_1':0.0},(74,140):{'3_1':0.0},(74,139):{'3_1':0.0},(74,138):{'3_1':0.0},(74,137):{'5_1':0.0},(74,136):{'3_1':0.0},(74,134):{'3_1':0.0},(74,132):{'3_1':0.0,'5_1':0.0},(74,131):{'3_1':0.0},(74,130):{'3_1':0.0},(74,129):{'3_1':0.0},(74,128):{'3_1':0.03},(74,127):{'3_1':0.0},(74,126):{'3_1':0.03},(74,124):{'3_1':0.03},(74,123):{'3_1':0.0},(74,122):{'3_1':0.0},(74,120):{'3_1':0.03},(74,119):{'3_1':0.0},(74,117):{'5_2':0.0},(75,727):{'5_2':0.6,'-3':0.18,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_10':0.0},(75,726):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(75,725):{'5_2':0.57,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(75,724):{'5_2':0.54,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(75,723):{'5_2':0.57,'-3':0.09,'5_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'2':-0.03},(75,722):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(75,721):{'5_2':0.51,'-3':0.09,'7_5':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(75,720):{'5_2':0.54,'-3':0.12,'7_4':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(75,719):{'5_2':0.57,'-3':0.12,'7_4':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(75,718):{'5_2':0.48,'-3':0.15,'7_4':0.06,'7_5':0.03,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'7_2':0.0,'8_3':0.0},(75,717):{'5_2':0.51,'-3':0.15,'7_2':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(75,716):{'5_2':0.63,'-3':0.15,'7_4':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0},(75,715):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(75,714):{'5_2':0.48,'-3':0.12,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_14':0.0},(75,713):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(75,712):{'5_2':0.42,'3_1':0.09,'-3':0.09,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_6':0.0},(75,711):{'5_2':0.39,'-3':0.18,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_7':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(75,710):{'5_2':0.42,'-3':0.18,'7_5':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(75,709):{'5_2':0.51,'-3':0.24,'7_5':0.03,'5_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0},(75,708):{'5_2':0.54,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(75,707):{'5_2':0.51,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0},(75,706):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_3':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'8_11':0.0,'7_5':0.0,'1':-0.03},(75,705):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(75,704):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(75,703):{'5_2':0.39,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(75,702):{'5_2':0.42,'-3':0.15,'3_1':0.09,'7_3':0.06,'6_1':0.03,'7_5':0.03,'3_1#5_2':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(75,701):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_6':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(75,700):{'5_2':0.33,'-3':0.15,'7_4':0.09,'3_1':0.06,'7_3':0.06,'7_7':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(75,699):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'8_6':0.0,'8_11':0.0,'8_18':0.0},(75,698):{'5_2':0.36,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_3':0.06,'5_1':0.03,'7_6':0.03,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(75,697):{'5_2':0.42,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_3':0.03,'7_6':0.03,'7_5':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(75,696):{'5_2':0.42,'-3':0.15,'3_1':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0},(75,695):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_4':0.06,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(75,694):{'5_2':0.36,'-3':0.15,'3_1':0.15,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(75,693):{'5_2':0.42,'3_1':0.18,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(75,692):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(75,691):{'5_2':0.33,'-3':0.21,'3_1':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(75,690):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(75,689):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(75,688):{'5_2':0.27,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.03,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0},(75,687):{'5_2':0.33,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'8_15':0.0},(75,686):{'5_2':0.24,'3_1':0.21,'7_3':0.09,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_18':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(75,685):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'7_3':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(75,684):{'5_2':0.36,'3_1':0.33,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_7':0.0},(75,683):{'3_1':0.3,'5_2':0.27,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,682):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'-3':0.06,'6_1':0.06,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0},(75,681):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(75,680):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,679):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0},(75,678):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(75,677):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'-3':0.09,'7_3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0},(75,676):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'-3':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(75,675):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0},(75,674):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.06,'7_5':0.0,'7_7':0.0,'7_2':0.0,'8_19':0.0},(75,673):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'5_1':0.06,'7_7':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(75,672):{'3_1':0.57,'5_2':0.12,'7_7':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,671):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.06,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(75,670):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(75,669):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0,'3_1#5_2':0.0},(75,668):{'3_1':0.57,'5_2':0.09,'-3':0.03,'7_4':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(75,667):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,666):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_3':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(75,665):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'5_1':0.06,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(75,664):{'3_1':0.48,'5_2':0.12,'7_4':0.12,'7_7':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(75,663):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,662):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(75,661):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_7':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(75,660):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(75,659):{'3_1':0.54,'5_2':0.18,'5_1':0.0,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(75,658):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_2':0.0,'8_17':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(75,657):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,656):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(75,655):{'3_1':0.48,'5_2':0.12,'-3':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(75,654):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(75,653):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(75,652):{'3_1':0.39,'5_2':0.12,'7_7':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(75,651):{'3_1':0.57,'5_2':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(75,650):{'3_1':0.45,'5_2':0.24,'7_7':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(75,649):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0},(75,648):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(75,647):{'3_1':0.3,'5_2':0.21,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(75,646):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(75,645):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(75,644):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(75,643):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(75,642):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(75,641):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(75,640):{'3_1':0.27,'5_2':0.15,'7_1':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0},(75,639):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(75,638):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0},(75,637):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(75,636):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(75,635):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(75,634):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(75,633):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(75,632):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(75,631):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(75,630):{'5_2':0.18,'3_1':0.15,'4_1':0.09,'7_6':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(75,629):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_1':0.0,'-3':0.0},(75,628):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(75,627):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_16':0.0,'-3':0.0},(75,626):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(75,625):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(75,624):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(75,623):{'5_2':0.15,'3_1':0.12,'5_1':0.03,'4_1':0.03,'8_1':0.0,'-3':0.0},(75,622):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.03,'7_3':0.0,'7_5':0.0},(75,621):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'-3':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(75,620):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'-3':0.0,'8_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(75,619):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.06,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(75,618):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(75,617):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(75,616):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(75,615):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0},(75,614):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(75,613):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_17':0.0},(75,612):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(75,611):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(75,610):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(75,609):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0},(75,608):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(75,607):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_2':0.0},(75,606):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(75,605):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(75,604):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_19':0.0},(75,603):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(75,602):{'3_1':0.21,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(75,601):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(75,600):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(75,599):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0},(75,598):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(75,597):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(75,596):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'8_4':0.0},(75,595):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'7_7':0.0},(75,594):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(75,593):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(75,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(75,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(75,590):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(75,589):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(75,588):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0},(75,587):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'6_1':0.0},(75,586):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(75,585):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(75,584):{'4_1':0.24,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(75,583):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(75,582):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(75,581):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(75,580):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(75,579):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(75,578):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(75,577):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(75,576):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_6':0.0},(75,575):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(75,574):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(75,573):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(75,572):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(75,571):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(75,570):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(75,569):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(75,568):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(75,567):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(75,566):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0},(75,565):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(75,564):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(75,563):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(75,562):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(75,561):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(75,560):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0},(75,559):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(75,558):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(75,557):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(75,556):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(75,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(75,554):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(75,553):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(75,552):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(75,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(75,550):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(75,549):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(75,548):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(75,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(75,546):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(75,545):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(75,544):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(75,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(75,542):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(75,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,540):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(75,539):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(75,538):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(75,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(75,536):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(75,535):{'3_1':0.18,'4_1':0.0},(75,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0},(75,533):{'3_1':0.15,'4_1':0.0},(75,532):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(75,531):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,530):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_14':0.0},(75,529):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(75,528):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(75,527):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(75,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(75,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(75,524):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(75,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,522):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(75,521):{'3_1':0.09,'4_1':0.0},(75,520):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(75,519):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(75,518):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(75,517):{'3_1':0.06,'5_2':0.0},(75,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(75,515):{'3_1':0.09},(75,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,513):{'3_1':0.06,'4_1':0.0},(75,512):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(75,511):{'3_1':0.09,'4_1':0.0},(75,510):{'3_1':0.0,'6_2':0.0},(75,509):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(75,508):{'3_1':0.03,'4_1':0.0},(75,507):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,505):{'3_1':0.06,'4_1':0.0},(75,504):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(75,503):{'3_1':0.03},(75,502):{'3_1':0.03,'4_1':0.0},(75,501):{'3_1':0.03,'4_1':0.0},(75,500):{'3_1':0.06,'4_1':0.0},(75,499):{'3_1':0.06,'4_1':0.0},(75,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,497):{'3_1':0.06,'4_1':0.0},(75,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,495):{'3_1':0.09,'4_1':0.0},(75,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,493):{'3_1':0.03,'4_1':0.0},(75,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(75,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(75,490):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(75,489):{'3_1':0.06,'4_1':0.0},(75,488):{'3_1':0.03,'4_1':0.0},(75,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,486):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(75,485):{'3_1':0.09,'5_2':0.0},(75,484):{'3_1':0.09,'4_1':0.0},(75,483):{'3_1':0.12,'7_1':0.0},(75,482):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(75,481):{'3_1':0.09,'4_1':0.0},(75,480):{'3_1':0.03,'4_1':0.0},(75,479):{'3_1':0.06,'5_1':0.0},(75,478):{'3_1':0.06},(75,477):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(75,475):{'3_1':0.12,'4_1':0.0},(75,474):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(75,473):{'3_1':0.03},(75,472):{'3_1':0.12,'4_1':0.0},(75,471):{'3_1':0.03,'5_2':0.0},(75,470):{'3_1':0.06},(75,469):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,468):{'3_1':0.06},(75,467):{'3_1':0.03},(75,466):{'3_1':0.03,'4_1':0.0},(75,465):{'3_1':0.03,'4_1':0.0},(75,464):{'3_1':0.0},(75,463):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,462):{'4_1':0.0,'3_1':0.0},(75,461):{'3_1':0.03,'8_20|3_1#3_1':0.0},(75,460):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,459):{'3_1':0.03,'4_1':0.0},(75,458):{'3_1':0.06,'4_1':0.0},(75,457):{'3_1':0.06},(75,456):{'3_1':0.06,'4_1':0.0},(75,455):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,453):{'3_1':0.09,'6_3':0.0},(75,452):{'3_1':0.06,'5_1':0.0},(75,451):{'3_1':0.06,'5_2':0.0},(75,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(75,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,448):{'3_1':0.06},(75,447):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(75,446):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(75,445):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(75,444):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(75,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,442):{'3_1':0.03,'4_1':0.0},(75,441):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(75,440):{'3_1':0.0,'4_1':0.0},(75,439):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,438):{'3_1':0.03,'5_2':0.0},(75,437):{'3_1':0.06},(75,436):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(75,435):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(75,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,433):{'3_1':0.06,'5_2':0.0},(75,432):{'3_1':0.03,'4_1':0.0},(75,431):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(75,430):{'3_1':0.03,'4_1':0.0},(75,429):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(75,428):{'3_1':0.03,'4_1':0.0},(75,427):{'3_1':0.03,'4_1':0.0},(75,426):{'3_1':0.03,'4_1':0.0},(75,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,424):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(75,423):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(75,422):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(75,421):{'3_1':0.03},(75,420):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(75,418):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,416):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(75,415):{'3_1':0.03,'4_1':0.0},(75,414):{'3_1':0.06,'5_1':0.0},(75,413):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,412):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(75,411):{'3_1':0.06},(75,410):{'3_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(75,409):{'3_1':0.06,'4_1':0.0},(75,408):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(75,407):{'3_1':0.0,'8_20|3_1#3_1':0.0},(75,406):{'3_1':0.0,'4_1':0.0},(75,405):{'3_1':0.0},(75,404):{'3_1':0.0,'5_2':0.0},(75,403):{'3_1':0.0},(75,402):{'3_1':0.03,'6_1':0.0},(75,401):{'3_1':0.03},(75,400):{'3_1':0.0,'4_1':0.0},(75,399):{'3_1':0.06},(75,398):{'3_1':0.0},(75,397):{'3_1':0.03,'4_1':0.0},(75,395):{'3_1':0.0},(75,394):{'3_1':0.0,'5_2':0.0},(75,393):{'3_1':0.03},(75,392):{'3_1':0.03,'5_2':0.0},(75,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,390):{'3_1':0.0},(75,389):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(75,388):{'3_1':0.0},(75,387):{'3_1':0.0},(75,386):{'3_1':0.0,'4_1':0.0},(75,385):{'3_1':0.0},(75,384):{'3_1':0.03,'5_1':0.0},(75,383):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,382):{'3_1':0.0,'4_1':0.0},(75,381):{'3_1':0.03,'4_1':0.0},(75,380):{'3_1':0.0},(75,379):{'3_1':0.03,'5_1':0.0},(75,378):{'3_1':0.0,'5_2':0.0},(75,377):{'3_1':0.06,'4_1':0.0},(75,376):{'3_1':0.03},(75,375):{'3_1':0.0,'4_1':0.0},(75,374):{'3_1':0.03},(75,373):{'4_1':0.0},(75,372):{'3_1':0.03,'5_1':0.0},(75,371):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(75,370):{'3_1':0.03,'4_1':0.0},(75,369):{'3_1':0.0},(75,368):{'3_1':0.0,'4_1':0.0},(75,367):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(75,366):{'3_1':0.0},(75,365):{'3_1':0.03},(75,364):{'3_1':0.0},(75,363):{'3_1':0.0,'4_1':0.0},(75,362):{'3_1':0.0,'4_1':0.0},(75,361):{'3_1':0.0,'4_1':0.0},(75,360):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,359):{'3_1':0.03,'4_1':0.0},(75,358):{'3_1':0.03,'4_1':0.0},(75,357):{'3_1':0.03,'4_1':0.0},(75,356):{'3_1':0.03,'5_1':0.0},(75,355):{'3_1':0.0,'4_1':0.0},(75,354):{'3_1':0.0},(75,353):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,352):{'4_1':0.0,'7_3':0.0},(75,351):{'5_1':0.0,'7_3':0.0},(75,350):{'3_1':0.0,'4_1':0.0},(75,349):{'3_1':0.0},(75,348):{'3_1':0.0},(75,347):{'3_1':0.0},(75,346):{'3_1':0.03,'4_1':0.0},(75,345):{'3_1':0.0},(75,344):{'3_1':0.0,'6_1':0.0},(75,343):{'3_1':0.03},(75,342):{'3_1':0.0,'-3':0.0},(75,341):{'3_1':0.0,'6_2':0.0},(75,340):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,339):{'3_1':0.0,'5_1':0.0},(75,338):{'3_1':0.0,'5_1':0.0},(75,337):{'3_1':0.0,'9_1':0.0},(75,336):{'3_1':0.0},(75,335):{'3_1':0.0,'9_1':0.0,'4_1':0.0,'-3':0.0},(75,334):{'3_1':0.0,'4_1':0.0},(75,333):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(75,332):{'3_1':0.0,'4_1':0.0},(75,331):{'3_1':0.0,'4_1':0.0},(75,330):{'5_2':0.0},(75,329):{'3_1':0.03},(75,328):{'3_1':0.0},(75,327):{'3_1':0.0,'4_1':0.0},(75,326):{'3_1':0.0,'7_3':0.0},(75,325):{'3_1':0.0},(75,324):{'3_1':0.0},(75,323):{'3_1':0.0},(75,322):{'3_1':0.0},(75,321):{'3_1':0.03},(75,320):{'3_1':0.0,'7_5':0.0},(75,319):{'4_1':0.0},(75,318):{'3_1':0.0,'8_20|3_1#3_1':0.0},(75,316):{'3_1':0.03},(75,315):{'3_1':0.03,'4_1':0.0},(75,314):{'3_1':0.03,'4_1':0.0},(75,313):{'3_1':0.03},(75,312):{'3_1':0.0,'6_1':0.0},(75,311):{'4_1':0.0,'3_1':0.0},(75,310):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,309):{'3_1':0.0,'4_1':0.0},(75,308):{'3_1':0.0},(75,307):{'3_1':0.0},(75,306):{'3_1':0.03},(75,305):{'3_1':0.03},(75,304):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,303):{'3_1':0.0},(75,302):{'3_1':0.03,'4_1':0.0},(75,301):{'3_1':0.03},(75,300):{'3_1':0.03,'4_1':0.0},(75,299):{'3_1':0.03},(75,298):{'3_1':0.0},(75,297):{'3_1':0.03},(75,296):{'3_1':0.03,'5_2':0.0},(75,295):{'3_1':0.0,'5_1':0.0},(75,294):{'3_1':0.0,'8_20|3_1#3_1':0.0},(75,293):{'3_1':0.03},(75,292):{'3_1':0.0,'4_1':0.0},(75,291):{'3_1':0.0,'5_1':0.0},(75,290):{'3_1':0.0},(75,289):{'8_20|3_1#3_1':0.0},(75,288):{'3_1':0.0},(75,287):{'4_1':0.0},(75,286):{'3_1':0.0},(75,285):{'3_1':0.0},(75,284):{'3_1':0.0},(75,283):{'4_1':0.0},(75,282):{'3_1':0.03,'4_1':0.0},(75,281):{'3_1':0.0,'5_1':0.0},(75,280):{'3_1':0.0},(75,279):{'3_1':0.0,'5_1':0.0},(75,278):{'3_1':0.0},(75,277):{'3_1':0.03,'5_1':0.0},(75,276):{'3_1':0.03,'5_1':0.0},(75,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,274):{'3_1':0.03,'4_1':0.0},(75,273):{'3_1':0.0},(75,272):{'3_1':0.06},(75,271):{'3_1':0.06},(75,270):{'3_1':0.0,'4_1':0.0},(75,269):{'3_1':0.03,'4_1':0.0},(75,268):{'3_1':0.0,'4_1':0.0},(75,267):{'3_1':0.0},(75,266):{'3_1':0.03},(75,265):{'3_1':0.03,'4_1':0.0},(75,264):{'3_1':0.0,'4_1':0.0},(75,263):{'3_1':0.06},(75,261):{'3_1':0.0},(75,260):{'3_1':0.0,'4_1':0.0},(75,259):{'3_1':0.03,'4_1':0.0},(75,258):{'3_1':0.03,'4_1':0.0},(75,257):{'3_1':0.0,'4_1':0.0},(75,256):{'3_1':0.0},(75,255):{'3_1':0.0},(75,254):{'3_1':0.0,'4_1':0.0},(75,253):{'3_1':0.0},(75,252):{'4_1':0.0,'3_1':0.0},(75,251):{'3_1':0.0,'7_7':0.0},(75,250):{'3_1':0.0,'4_1':0.0},(75,249):{'3_1':0.0},(75,248):{'3_1':0.0},(75,246):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,245):{'3_1':0.0,'5_2':0.0},(75,244):{'3_1':0.0,'4_1':0.0},(75,243):{'3_1':0.03,'4_1':0.0},(75,242):{'3_1':0.0},(75,241):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,240):{'3_1':0.06,'4_1':0.0},(75,239):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,238):{'4_1':0.0,'3_1':0.0},(75,237):{'3_1':0.03,'4_1':0.0},(75,236):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(75,235):{'3_1':0.0,'4_1':0.0},(75,234):{'3_1':0.03,'4_1':0.0},(75,233):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(75,232):{'3_1':0.0},(75,231):{'3_1':0.0},(75,230):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(75,229):{'3_1':0.0},(75,228):{'3_1':0.03},(75,227):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(75,226):{'3_1':0.0},(75,225):{'4_1':0.0},(75,224):{'3_1':0.0},(75,223):{'3_1':0.0,'4_1':0.0},(75,222):{'3_1':0.0},(75,221):{'3_1':0.0,'4_1':0.0},(75,220):{'3_1':0.03,'4_1':0.0},(75,219):{'4_1':0.0,'3_1':0.0},(75,218):{'3_1':0.0},(75,217):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,216):{'3_1':0.0},(75,215):{'3_1':0.0},(75,214):{'4_1':0.0,'3_1':0.0},(75,213):{'3_1':0.0},(75,212):{'3_1':0.0,'4_1':0.0},(75,211):{'4_1':0.0},(75,210):{'3_1':0.03},(75,209):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,208):{'3_1':0.0,'8_20|3_1#3_1':0.0},(75,206):{'3_1':0.0,'5_2':0.0},(75,205):{'4_1':0.0,'3_1':0.0},(75,204):{'3_1':0.0},(75,203):{'3_1':0.0},(75,202):{'3_1':0.0},(75,201):{'3_1':0.0,'4_1':0.0},(75,200):{'3_1':0.0},(75,199):{'4_1':0.0},(75,198):{'3_1':0.0,'4_1':0.0},(75,197):{'3_1':0.0},(75,196):{'3_1':0.0},(75,195):{'3_1':0.0},(75,194):{'3_1':0.03},(75,193):{'3_1':0.0},(75,192):{'3_1':0.0},(75,191):{'3_1':0.0},(75,190):{'3_1':0.0,'5_2':0.0},(75,189):{'3_1':0.0},(75,188):{'3_1':0.0},(75,187):{'3_1':0.0},(75,186):{'3_1':0.0},(75,185):{'3_1':0.0},(75,184):{'3_1':0.0},(75,183):{'3_1':0.0},(75,182):{'3_1':0.0},(75,179):{'4_1':0.0,'3_1':0.0},(75,178):{'3_1':0.0},(75,177):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(75,176):{'3_1':0.0},(75,175):{'3_1':0.0},(75,173):{'3_1':0.0},(75,172):{'3_1':0.0},(75,170):{'3_1':0.0,'4_1':0.0},(75,169):{'3_1':0.0},(75,168):{'3_1':0.0,'4_1':0.0},(75,167):{'3_1':0.0},(75,166):{'4_1':0.0,'5_2':0.0},(75,165):{'3_1':0.0},(75,164):{'3_1':0.0},(75,163):{'3_1':0.0},(75,162):{'3_1':0.03},(75,161):{'3_1':0.0},(75,160):{'3_1':0.0,'5_2':0.0},(75,159):{'3_1':0.0},(75,157):{'3_1':0.0,'4_1':0.0},(75,156):{'3_1':0.0,'4_1':0.0},(75,155):{'3_1':0.0},(75,154):{'3_1':0.0,'4_1':0.0},(75,153):{'3_1':0.0},(75,152):{'3_1':0.0},(75,151):{'3_1':0.0},(75,150):{'3_1':0.0,'4_1':0.0},(75,149):{'3_1':0.0,'4_1':0.0},(75,148):{'3_1':0.0},(75,147):{'3_1':0.0},(75,146):{'3_1':0.0},(75,145):{'3_1':0.0},(75,143):{'3_1':0.0},(75,142):{'3_1':0.0},(75,141):{'3_1':0.0},(75,140):{'3_1':0.0},(75,139):{'3_1':0.0},(75,138):{'3_1':0.0},(75,137):{'3_1':0.0},(75,136):{'5_1':0.0},(75,135):{'3_1':0.0},(75,134):{'3_1':0.03},(75,133):{'3_1':0.0,'5_1':0.0},(75,132):{'3_1':0.0},(75,131):{'3_1':0.0},(75,129):{'5_1':0.0},(75,126):{'3_1':0.0},(75,125):{'3_1':0.0},(75,124):{'3_1':0.0,'5_1':0.0},(75,123):{'3_1':0.0},(75,122):{'3_1':0.0},(75,120):{'3_1':0.0},(75,116):{'3_1':0.0},(75,115):{'3_1':0.0},(76,727):{'5_2':0.63,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0},(76,726):{'5_2':0.6,'-3':0.12,'7_4':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(76,725):{'5_2':0.51,'-3':0.12,'7_4':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(76,724):{'5_2':0.6,'-3':0.18,'7_4':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(76,723):{'5_2':0.6,'-3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(76,722):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0},(76,721):{'5_2':0.54,'-3':0.12,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(76,720):{'5_2':0.57,'-3':0.15,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(76,719):{'5_2':0.66,'-3':0.09,'7_3':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(76,718):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'4_1':0.0,'7_6':0.0,'8_15':0.0},(76,717):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(76,716):{'5_2':0.57,'-3':0.09,'7_4':0.06,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'8_1':0.0,'8_15':0.0},(76,715):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'1':-0.03},(76,714):{'5_2':0.57,'7_4':0.06,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0},(76,713):{'5_2':0.42,'-3':0.12,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,712):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'3':-0.03},(76,711):{'5_2':0.48,'-3':0.12,'7_3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(76,710):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_5':0.06,'5_1':0.03,'7_4':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'1':-0.03},(76,709):{'5_2':0.42,'-3':0.27,'5_1':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(76,708):{'5_2':0.42,'-3':0.18,'3_1':0.06,'5_1':0.06,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'9_1':0.0},(76,707):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(76,706):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0},(76,705):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'8_13':0.0,'8_14':0.0},(76,704):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_6':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(76,703):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0},(76,702):{'5_2':0.42,'-3':0.18,'7_3':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0,'7_7':0.0},(76,701):{'5_2':0.45,'-3':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(76,700):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'8_3':0.0},(76,699):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0},(76,698):{'5_2':0.42,'3_1':0.18,'-3':0.12,'5_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0},(76,697):{'5_2':0.27,'-3':0.18,'3_1':0.18,'7_3':0.03,'7_6':0.03,'7_4':0.03,'3_1#5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(76,696):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_2':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(76,695):{'5_2':0.42,'3_1':0.15,'-3':0.12,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_12':0.0,'3_1#5_2':0.0},(76,694):{'5_2':0.39,'-3':0.18,'3_1':0.12,'7_4':0.03,'7_3':0.03,'8_11':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(76,693):{'5_2':0.39,'-3':0.18,'3_1':0.12,'5_1':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'1':-0.03},(76,692):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.06,'7_4':0.06,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(76,691):{'5_2':0.24,'-3':0.18,'3_1':0.12,'7_4':0.06,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(76,690):{'5_2':0.3,'3_1':0.21,'-3':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(76,689):{'5_2':0.3,'3_1':0.18,'-3':0.12,'7_3':0.06,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0,'1':-0.03},(76,688):{'5_2':0.3,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.03,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(76,687):{'3_1':0.33,'5_2':0.18,'-3':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0},(76,686):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0},(76,685):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'4_1':0.0,'7_6':0.0,'7_5':0.0},(76,684):{'3_1':0.3,'5_2':0.24,'-3':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(76,683):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'6_2':0.0,'8_8':0.0},(76,682):{'3_1':0.48,'5_2':0.21,'7_7':0.03,'-3':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(76,681):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(76,680):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'7_7':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(76,679):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(76,678):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_3':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(76,677):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(76,676):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(76,675):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(76,674):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(76,673):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'7_7':0.03,'-3':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(76,672):{'3_1':0.51,'7_4':0.06,'-3':0.06,'5_2':0.06,'5_1':0.03,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_1':0.0},(76,671):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(76,670):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(76,669):{'3_1':0.66,'5_2':0.06,'-3':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(76,668):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(76,667):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(76,666):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(76,665):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(76,664):{'3_1':0.48,'5_2':0.15,'5_1':0.06,'7_7':0.03,'7_4':0.03,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(76,663):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(76,662):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(76,661):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(76,660):{'3_1':0.48,'5_2':0.09,'7_7':0.06,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(76,659):{'3_1':0.42,'5_2':0.18,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0},(76,658):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(76,657):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0},(76,656):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(76,655):{'3_1':0.39,'5_2':0.18,'7_7':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(76,654):{'3_1':0.57,'5_2':0.09,'7_7':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(76,653):{'3_1':0.39,'5_1':0.06,'5_2':0.06,'7_7':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(76,652):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(76,651):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(76,650):{'3_1':0.33,'5_2':0.27,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(76,649):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(76,648):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(76,647):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_5':0.0},(76,646):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(76,645):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0},(76,644):{'3_1':0.24,'5_2':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_7':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(76,643):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'-3':0.03,'4_1':0.03,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(76,642):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(76,641):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(76,640):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_1':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(76,639):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_14':0.0},(76,638):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(76,637):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_7':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'-3':0.0},(76,636):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(76,635):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(76,634):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(76,633):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0},(76,632):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(76,631):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(76,630):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(76,629):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(76,628):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_1':0.0,'-3':0.0},(76,627):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(76,626):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(76,625):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(76,624):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_1':0.0,'-3':0.0},(76,623):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(76,622):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(76,621):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(76,620):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(76,619):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_1':0.0},(76,618):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_1':0.0,'7_6':0.0},(76,617):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_1':0.0,'-3':0.0},(76,616):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(76,615):{'3_1':0.3,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(76,614):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(76,613):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0},(76,612):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(76,611):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'8_16':0.0,'-3':0.0},(76,610):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(76,609):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(76,608):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,607):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_4':0.0,'7_7':0.0},(76,606):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'9_1':0.0},(76,605):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(76,604):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(76,603):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(76,602):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(76,601):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(76,600):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(76,599):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(76,598):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'7_7':0.0},(76,597):{'4_1':0.18,'3_1':0.12,'6_1':0.0,'5_2':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(76,596):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(76,595):{'3_1':0.18,'4_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(76,594):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(76,593):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(76,592):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(76,591):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0},(76,590):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(76,589):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(76,588):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(76,587):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(76,586):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(76,585):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(76,584):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0},(76,583):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(76,582):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(76,581):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(76,580):{'4_1':0.12,'3_1':0.06,'7_5':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(76,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(76,578):{'4_1':0.15,'3_1':0.12,'5_2':0.0},(76,577):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(76,576):{'4_1':0.15,'3_1':0.03,'5_2':0.03},(76,575):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(76,574):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(76,573):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(76,572):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(76,571):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(76,570):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'7_5':0.0,'8_19':0.0},(76,569):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0},(76,568):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0},(76,567):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(76,566):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_4':0.0},(76,565):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0},(76,564):{'4_1':0.06,'3_1':0.03,'5_2':0.03},(76,563):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(76,562):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(76,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,560):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(76,559):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(76,558):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_11':0.0},(76,557):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(76,556):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(76,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(76,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0},(76,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(76,552):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(76,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(76,550):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,549):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(76,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(76,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(76,546):{'3_1':0.21,'4_1':0.0},(76,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(76,544):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(76,543):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(76,542):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,541):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,540):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(76,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,538):{'3_1':0.18,'4_1':0.03},(76,537):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_2':0.0},(76,536):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,535):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(76,534):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0},(76,533):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(76,532):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(76,531):{'3_1':0.12,'4_1':0.0},(76,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(76,528):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(76,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(76,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(76,524):{'3_1':0.12,'4_1':0.03},(76,523):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(76,522):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_7':0.0},(76,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,520):{'3_1':0.06,'4_1':0.0},(76,519):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(76,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(76,517):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(76,516):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(76,515):{'3_1':0.09,'4_1':0.0},(76,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(76,513):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(76,512):{'3_1':0.03,'6_2':0.0,'5_2':0.0},(76,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,510):{'3_1':0.06,'5_2':0.0},(76,509):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(76,508):{'3_1':0.06},(76,507):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(76,506):{'3_1':0.03,'4_1':0.0},(76,505):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,504):{'3_1':0.03,'4_1':0.0},(76,503):{'3_1':0.03,'5_2':0.0},(76,502):{'3_1':0.03,'4_1':0.0},(76,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,500):{'3_1':0.0},(76,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,498):{'3_1':0.06,'4_1':0.03},(76,497):{'3_1':0.06,'4_1':0.0},(76,496):{'3_1':0.03},(76,495):{'3_1':0.03,'4_1':0.0},(76,494):{'3_1':0.06,'4_1':0.0},(76,493):{'3_1':0.06,'4_1':0.0},(76,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(76,491):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,490):{'3_1':0.06,'4_1':0.0},(76,489):{'3_1':0.06,'4_1':0.0},(76,488):{'3_1':0.03,'5_2':0.0},(76,487):{'3_1':0.09,'4_1':0.0,'3_1#5_2':0.0},(76,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,485):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(76,484):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(76,483):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(76,482):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(76,480):{'3_1':0.06,'5_1':0.0},(76,479):{'3_1':0.12,'4_1':0.0},(76,478):{'3_1':0.09,'4_1':0.0},(76,477):{'3_1':0.12,'4_1':0.0},(76,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,475):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(76,474):{'3_1':0.06,'4_1':0.03},(76,473):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(76,472):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(76,471):{'3_1':0.06},(76,470):{'3_1':0.09,'5_2':0.0},(76,469):{'3_1':0.03,'4_1':0.0},(76,468):{'3_1':0.03},(76,467):{'3_1':0.06,'5_2':0.0},(76,466):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(76,465):{'3_1':0.03,'5_1':0.0},(76,464):{'3_1':0.06,'5_1':0.0},(76,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(76,462):{'3_1':0.09,'5_2':0.0},(76,461):{'3_1':0.03},(76,460):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(76,459):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,458):{'3_1':0.0,'4_1':0.0},(76,457):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,456):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(76,455):{'3_1':0.09,'5_1':0.0},(76,454):{'3_1':0.03,'4_1':0.0},(76,453):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(76,452):{'3_1':0.09},(76,451):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(76,450):{'3_1':0.06,'4_1':0.0},(76,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(76,448):{'3_1':0.09},(76,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,446):{'3_1':0.03,'4_1':0.0},(76,445):{'3_1':0.03,'4_1':0.0},(76,444):{'3_1':0.06,'4_1':0.0},(76,443):{'3_1':0.0,'4_1':0.0},(76,442):{'3_1':0.06,'4_1':0.0},(76,441):{'3_1':0.0},(76,440):{'3_1':0.06,'4_1':0.0},(76,439):{'3_1':0.0,'5_1':0.0},(76,438):{'3_1':0.03,'5_1':0.0},(76,437):{'3_1':0.09,'4_1':0.0},(76,436):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(76,435):{'3_1':0.03},(76,434):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(76,433):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(76,432):{'3_1':0.06,'8_20|3_1#3_1':0.0},(76,431):{'3_1':0.06,'6_2':0.0},(76,430):{'3_1':0.03,'4_1':0.0},(76,429):{'3_1':0.03,'4_1':0.03},(76,428):{'3_1':0.03,'4_1':0.0},(76,427):{'3_1':0.06},(76,426):{'3_1':0.09},(76,425):{'3_1':0.06,'4_1':0.03},(76,424):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(76,423):{'3_1':0.0,'4_1':0.0},(76,422):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(76,421):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(76,420):{'3_1':0.03},(76,419):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(76,418):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(76,417):{'3_1':0.03,'5_2':0.0},(76,416):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(76,415):{'3_1':0.06,'5_1':0.0},(76,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,413):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(76,412):{'3_1':0.0,'6_1':0.0},(76,411):{'3_1':0.0},(76,410):{'3_1':0.0},(76,409):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(76,408):{'3_1':0.03},(76,407):{'3_1':0.0,'5_2':0.0},(76,406):{'4_1':0.0},(76,405):{'3_1':0.06},(76,404):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(76,403):{'3_1':0.0},(76,402):{'3_1':0.0,'5_1':0.0},(76,401):{'3_1':0.0},(76,400):{'3_1':0.03,'4_1':0.0},(76,399):{'3_1':0.03,'4_1':0.0},(76,398):{'3_1':0.03,'4_1':0.0},(76,397):{'3_1':0.0,'4_1':0.0},(76,396):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,395):{'3_1':0.0},(76,394):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(76,393):{'3_1':0.0},(76,392):{'3_1':0.0},(76,391):{'3_1':0.0,'6_1':0.0},(76,390):{'3_1':0.0},(76,389):{'3_1':0.03},(76,388):{'4_1':0.0},(76,387):{'3_1':0.03,'4_1':0.0},(76,386):{'5_1':0.0,'3_1':0.0},(76,385):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,384):{'3_1':0.03},(76,383):{'3_1':0.03,'4_1':0.0},(76,382):{'3_1':0.0,'4_1':0.0},(76,381):{'3_1':0.0},(76,380):{'3_1':0.03,'4_1':0.0},(76,379):{'5_1':0.0},(76,378):{'3_1':0.03},(76,377):{'3_1':0.03,'8_20|3_1#3_1':0.0},(76,376):{'3_1':0.03,'4_1':0.0},(76,375):{'3_1':0.06},(76,374):{'3_1':0.03,'5_1':0.0},(76,373):{'3_1':0.03,'8_20|3_1#3_1':0.0},(76,372):{'3_1':0.0,'5_1':0.0},(76,371):{'3_1':0.0},(76,370):{'3_1':0.0,'4_1':0.0},(76,369):{'3_1':0.0},(76,368):{'3_1':0.0,'4_1':0.0},(76,367):{'3_1':0.0,'7_1':0.0},(76,366):{'3_1':0.03,'7_1':0.0},(76,365):{'3_1':0.0,'4_1':0.0},(76,364):{'4_1':0.0,'3_1':0.0},(76,363):{'3_1':0.0,'4_1':0.0},(76,361):{'3_1':0.03},(76,360):{'3_1':0.0},(76,359):{'3_1':0.0},(76,358):{'3_1':0.0,'5_1':0.0},(76,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(76,356):{'3_1':0.03,'4_1':0.0},(76,355):{'4_1':0.0,'3_1':0.0},(76,354):{'3_1':0.0,'4_1':0.0},(76,353):{'3_1':0.0},(76,352):{'4_1':0.0,'3_1':0.0},(76,351):{'3_1':0.0,'4_1':0.0},(76,350):{'3_1':0.0},(76,349):{'3_1':0.03,'4_1':0.0},(76,348):{'3_1':0.0},(76,347):{'4_1':0.0,'3_1':0.0},(76,346):{'3_1':0.0},(76,345):{'3_1':0.0,'4_1':0.0},(76,344):{'3_1':0.0,'4_1':0.0},(76,343):{'3_1':0.0,'5_2':0.0},(76,342):{'3_1':0.0,'4_1':0.0},(76,341):{'3_1':0.0,'-3':0.0},(76,340):{'3_1':0.0},(76,339):{'3_1':0.0,'4_1':0.0},(76,338):{'3_1':0.03},(76,337):{'3_1':0.0,'4_1':0.0},(76,336):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,335):{'3_1':0.03,'8_20|3_1#3_1':0.0},(76,334):{'3_1':0.06,'4_1':0.0},(76,333):{'3_1':0.0},(76,332):{'3_1':0.0},(76,331):{'3_1':0.03},(76,330):{'3_1':0.0},(76,329):{'3_1':0.03,'4_1':0.0},(76,328):{'3_1':0.0},(76,327):{'4_1':0.0},(76,325):{'3_1':0.0,'4_1':0.0},(76,324):{'3_1':0.03,'4_1':0.0},(76,323):{'3_1':0.0},(76,322):{'3_1':0.0},(76,321):{'3_1':0.0},(76,320):{'3_1':0.0,'4_1':0.0},(76,319):{'3_1':0.0},(76,318):{'3_1':0.0},(76,317):{'4_1':0.0,'3_1':0.0},(76,316):{'3_1':0.0,'4_1':0.0},(76,315):{'3_1':0.0,'4_1':0.0},(76,314):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,313):{'3_1':0.0},(76,312):{'3_1':0.0},(76,311):{'4_1':0.0},(76,310):{'4_1':0.0,'6_2':0.0},(76,309):{'3_1':0.03,'4_1':0.0},(76,307):{'3_1':0.03,'5_2':0.0},(76,306):{'3_1':0.0,'5_2':0.0},(76,305):{'3_1':0.0,'7_5':0.0},(76,304):{'3_1':0.03},(76,303):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,302):{'4_1':0.0,'3_1':0.0},(76,301):{'3_1':0.0,'8_20|3_1#3_1':0.0},(76,300):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,299):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,298):{'3_1':0.0,'4_1':0.0},(76,297):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,296):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(76,295):{'4_1':0.0},(76,294):{'3_1':0.0,'4_1':0.0},(76,293):{'3_1':0.0},(76,292):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,290):{'3_1':0.06,'4_1':0.0},(76,289):{'3_1':0.0,'5_1':0.0},(76,288):{'3_1':0.0,'4_1':0.0},(76,287):{'3_1':0.0},(76,286):{'3_1':0.0},(76,285):{'3_1':0.0,'4_1':0.0},(76,284):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,283):{'3_1':0.03,'8_20|3_1#3_1':0.0},(76,282):{'3_1':0.0,'4_1':0.0},(76,281):{'4_1':0.0,'3_1':0.0},(76,280):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,279):{'3_1':0.0,'5_1':0.0},(76,278):{'3_1':0.06,'4_1':0.0},(76,277):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,276):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(76,275):{'3_1':0.03,'4_1':0.0},(76,274):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,273):{'3_1':0.0},(76,272):{'3_1':0.03},(76,271):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,270):{'3_1':0.0,'4_1':0.0},(76,269):{'3_1':0.03,'4_1':0.0},(76,268):{'4_1':0.0,'5_1':0.0},(76,267):{'5_1':0.0},(76,266):{'3_1':0.06,'4_1':0.0},(76,265):{'3_1':0.0,'8_19':0.0},(76,264):{'3_1':0.0},(76,263):{'3_1':0.0,'4_1':0.0},(76,262):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,261):{'3_1':0.0,'5_1':0.0},(76,260):{'3_1':0.0,'4_1':0.0},(76,259):{'3_1':0.0},(76,258):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(76,257):{'3_1':0.03},(76,255):{'3_1':0.0},(76,254):{'3_1':0.0},(76,253):{'3_1':0.0,'4_1':0.0},(76,252):{'3_1':0.0,'5_1':0.0},(76,251):{'3_1':0.03},(76,250):{'3_1':0.0},(76,249):{'3_1':0.0,'5_1':0.0},(76,248):{'3_1':0.0,'4_1':0.0},(76,247):{'3_1':0.0,'4_1':0.0},(76,246):{'4_1':0.0,'3_1':0.0},(76,245):{'3_1':0.0,'4_1':0.0},(76,244):{'3_1':0.0,'4_1':0.0},(76,243):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(76,242):{'3_1':0.0,'4_1':0.0},(76,241):{'3_1':0.0,'4_1':0.0},(76,240):{'3_1':0.03,'4_1':0.0},(76,239):{'4_1':0.0,'3_1':0.0},(76,238):{'3_1':0.0},(76,237):{'3_1':0.0,'4_1':0.0},(76,236):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(76,235):{'3_1':0.03,'4_1':0.0},(76,234):{'4_1':0.0,'3_1':0.0},(76,233):{'3_1':0.0,'4_1':0.0},(76,232):{'3_1':0.0,'4_1':0.0},(76,231):{'3_1':0.0,'4_1':0.0},(76,230):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(76,229):{'3_1':0.0},(76,228):{'3_1':0.0},(76,227):{'5_1':0.0,'3_1':0.0},(76,226):{'4_1':0.0,'5_1':0.0,'7_1':0.0},(76,225):{'3_1':0.0},(76,224):{'3_1':0.0},(76,223):{'3_1':0.03,'4_1':0.0},(76,222):{'3_1':0.03},(76,221):{'3_1':0.0,'4_1':0.0},(76,220):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,219):{'3_1':0.03,'4_1':0.0},(76,218):{'3_1':0.0,'4_1':0.0},(76,217):{'3_1':0.03,'4_1':0.0},(76,216):{'3_1':0.0},(76,215):{'3_1':0.0},(76,213):{'3_1':0.0},(76,212):{'3_1':0.0},(76,211):{'3_1':0.0,'4_1':0.0},(76,210):{'3_1':0.0,'5_2':0.0},(76,209):{'3_1':0.0},(76,208):{'3_1':0.0,'4_1':0.0},(76,207):{'3_1':0.0},(76,205):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(76,204):{'3_1':0.0,'5_2':0.0},(76,203):{'3_1':0.0},(76,202):{'3_1':0.03},(76,200):{'3_1':0.0},(76,199):{'3_1':0.0},(76,198):{'3_1':0.0},(76,197):{'3_1':0.0,'5_2':0.0},(76,196):{'3_1':0.0,'5_2':0.0},(76,195):{'3_1':0.0},(76,194):{'3_1':0.0},(76,193):{'3_1':0.03,'5_2':0.0},(76,192):{'3_1':0.03,'4_1':0.0},(76,191):{'3_1':0.0},(76,189):{'3_1':0.0},(76,187):{'3_1':0.0},(76,186):{'3_1':0.0},(76,184):{'3_1':0.0},(76,183):{'3_1':0.0},(76,182):{'3_1':0.0},(76,180):{'3_1':0.0},(76,179):{'3_1':0.0,'4_1':0.0},(76,178):{'3_1':0.0,'4_1':0.0},(76,177):{'3_1':0.0},(76,176):{'3_1':0.0},(76,175):{'3_1':0.0},(76,174):{'3_1':0.0},(76,173):{'4_1':0.0,'3_1':0.0},(76,171):{'3_1':0.0},(76,170):{'3_1':0.0,'4_1':0.0},(76,169):{'3_1':0.0},(76,168):{'3_1':0.0},(76,167):{'3_1':0.0,'5_1':0.0},(76,166):{'3_1':0.0},(76,165):{'3_1':0.0},(76,164):{'3_1':0.0},(76,163):{'3_1':0.0,'4_1':0.0},(76,162):{'3_1':0.03},(76,161):{'3_1':0.03},(76,160):{'3_1':0.0,'4_1':0.0},(76,159):{'3_1':0.0},(76,158):{'3_1':0.0},(76,157):{'3_1':0.06},(76,156):{'3_1':0.06,'7_2':0.0},(76,155):{'3_1':0.0},(76,154):{'3_1':0.0},(76,153):{'3_1':0.03},(76,152):{'3_1':0.0,'4_1':0.0},(76,151):{'3_1':0.0},(76,150):{'3_1':0.0},(76,149):{'3_1':0.0,'4_1':0.0},(76,148):{'3_1':0.0},(76,147):{'3_1':0.0},(76,146):{'3_1':0.0},(76,144):{'3_1':0.0,'4_1':0.0},(76,142):{'3_1':0.0},(76,141):{'3_1':0.0},(76,140):{'3_1':0.03},(76,139):{'3_1':0.0,'4_1':0.0},(76,138):{'3_1':0.0,'5_1':0.0},(76,137):{'3_1':0.0,'5_1':0.0},(76,136):{'3_1':0.03},(76,135):{'3_1':0.0},(76,132):{'3_1':0.0},(76,131):{'3_1':0.0},(76,130):{'4_1':0.0},(76,129):{'3_1':0.0},(76,128):{'5_1':0.0},(76,127):{'5_1':0.0},(76,126):{'3_1':0.03},(76,125):{'3_1':0.03},(76,124):{'3_1':0.0},(76,123):{'3_1':0.0},(76,122):{'3_1':0.0},(76,121):{'3_1':0.0},(76,118):{'3_1':0.0},(76,117):{'4_1':0.0},(76,116):{'3_1':0.0},(76,115):{'3_1':0.0},(76,113):{'3_1':0.0},(77,727):{'5_2':0.6,'-3':0.15,'7_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(77,726):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_10':0.0},(77,725):{'5_2':0.54,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_10':0.0,'3_1#5_2':0.0},(77,724):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0},(77,723):{'5_2':0.6,'-3':0.12,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(77,722):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(77,721):{'5_2':0.51,'-3':0.15,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(77,720):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(77,719):{'5_2':0.51,'-3':0.12,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(77,718):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(77,717):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'8_13':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(77,716):{'5_2':0.66,'-3':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(77,715):{'5_2':0.66,'-3':0.09,'7_2':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0},(77,714):{'5_2':0.51,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(77,713):{'5_2':0.54,'-3':0.15,'7_4':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(77,712):{'5_2':0.42,'-3':0.09,'7_4':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(77,711):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_5':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(77,710):{'5_2':0.36,'-3':0.15,'7_6':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0,'8_19':0.0},(77,709):{'5_2':0.45,'-3':0.15,'5_1':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'2':-0.03},(77,708):{'5_2':0.42,'-3':0.15,'5_1':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(77,707):{'5_2':0.48,'-3':0.12,'7_5':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(77,706):{'5_2':0.42,'-3':0.12,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(77,705):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(77,704):{'5_2':0.48,'3_1':0.12,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(77,703):{'5_2':0.48,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(77,702):{'5_2':0.51,'3_1':0.12,'-3':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(77,701):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_3':0.03,'3_1#5_2':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0,'1':-0.03},(77,700):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(77,699):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0},(77,698):{'5_2':0.36,'-3':0.21,'3_1':0.09,'7_6':0.06,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(77,697):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_3':0.06,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(77,696):{'5_2':0.42,'-3':0.15,'3_1':0.12,'5_1':0.03,'7_6':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0},(77,695):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(77,694):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_4':0.06,'3_1#5_2':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0},(77,693):{'5_2':0.27,'-3':0.21,'3_1':0.18,'7_4':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(77,692):{'5_2':0.39,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(77,691):{'5_2':0.27,'3_1':0.18,'-3':0.15,'7_3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(77,690):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(77,689):{'5_2':0.3,'3_1':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(77,688):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0},(77,687):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(77,686):{'5_2':0.24,'3_1':0.18,'7_4':0.15,'-3':0.03,'5_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(77,685):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(77,684):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_4':0.09,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(77,683):{'3_1':0.33,'5_2':0.24,'-3':0.06,'7_4':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(77,682):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(77,681):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0},(77,680):{'3_1':0.39,'5_2':0.3,'7_4':0.03,'7_7':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(77,679):{'3_1':0.51,'5_2':0.21,'-3':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(77,678):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_7':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0},(77,677):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'3_1#5_2':0.0},(77,676):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(77,675):{'3_1':0.36,'5_2':0.12,'7_4':0.09,'5_1':0.06,'7_7':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(77,674):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_3':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(77,673):{'3_1':0.54,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(77,672):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_7':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0},(77,671):{'3_1':0.54,'5_2':0.18,'7_4':0.09,'-3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(77,670):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'7_3':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_19':0.0},(77,669):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(77,668):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(77,667):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'7_7':0.06,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(77,666):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_1':0.0,'8_14':0.0},(77,665):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_7':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(77,664):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0},(77,663):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'7_7':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0,'8_19':0.0},(77,662):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0},(77,661):{'3_1':0.42,'5_2':0.21,'7_7':0.03,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(77,660):{'3_1':0.42,'5_2':0.24,'7_4':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(77,659):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'7_7':0.03,'7_1':0.0,'8_19':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(77,658):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_7':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(77,657):{'3_1':0.45,'5_2':0.18,'5_1':0.06,'7_7':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0},(77,656):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(77,655):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(77,654):{'3_1':0.48,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(77,653):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(77,652):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_7':0.0,'-3':0.0},(77,651):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0},(77,650):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0},(77,649):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(77,648):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(77,647):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(77,646):{'3_1':0.3,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(77,645):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_7':0.0,'8_16':0.0,'-3':0.0,'1':-0.03},(77,644):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(77,643):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(77,642):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(77,641):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'7_4':0.06,'4_1':0.03,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(77,640):{'5_2':0.18,'3_1':0.15,'5_1':0.12,'7_3':0.03,'-3':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0},(77,639):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(77,638):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(77,637):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(77,636):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(77,635):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(77,634):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0},(77,633):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'3_1#5_1':0.0},(77,632):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(77,631):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(77,630):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(77,629):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(77,628):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0},(77,627):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_4':0.0},(77,626):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_7':0.0},(77,625):{'5_2':0.15,'3_1':0.15,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(77,624):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0},(77,623):{'3_1':0.09,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_16':0.0,'-3':0.0},(77,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(77,621):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(77,620):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(77,619):{'3_1':0.3,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_16':0.0,'8_19':0.0},(77,618):{'3_1':0.18,'5_2':0.15,'5_1':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(77,617):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.06,'7_7':0.0,'8_9':0.0},(77,616):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(77,615):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0},(77,614):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(77,613):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0},(77,612):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(77,611):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(77,610):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(77,609):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(77,608):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(77,607):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(77,606):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_4':0.0},(77,605):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0},(77,604):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(77,603):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_1':0.0},(77,602):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0},(77,601):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(77,600):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(77,599):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(77,598):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0,'-3':0.0},(77,597):{'4_1':0.21,'3_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(77,596):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0},(77,595):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(77,594):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(77,593):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(77,592):{'4_1':0.15,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(77,591):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(77,590):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(77,589):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0},(77,588):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(77,587):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0},(77,586):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(77,585):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(77,584):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(77,583):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'8_4':0.0},(77,582):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(77,581):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(77,580):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(77,579):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(77,578):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(77,577):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0},(77,576):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(77,575):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(77,574):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(77,573):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(77,572):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(77,571):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(77,570):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(77,569):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(77,568):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'7_5':0.0},(77,567):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(77,566):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(77,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(77,564):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_6':0.0},(77,563):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(77,562):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(77,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(77,560):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(77,559):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(77,558):{'3_1':0.12,'4_1':0.06,'7_6':0.0},(77,557):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_6':0.0},(77,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(77,555):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_5':0.0},(77,554):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(77,553):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(77,552):{'3_1':0.15,'4_1':0.03},(77,551):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(77,550):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(77,549):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(77,548):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(77,547):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(77,546):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(77,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(77,543):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(77,542):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(77,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(77,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(77,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(77,537):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(77,536):{'3_1':0.21,'4_1':0.0},(77,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,534):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(77,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(77,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,531):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(77,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(77,529):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(77,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(77,527):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(77,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(77,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,523):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(77,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,521):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(77,520):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(77,519):{'3_1':0.06,'4_1':0.03},(77,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(77,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,516):{'4_1':0.03,'3_1':0.0},(77,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,511):{'3_1':0.06},(77,510):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(77,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,508):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(77,507):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(77,506):{'3_1':0.03,'4_1':0.0},(77,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,504):{'3_1':0.0,'6_1':0.0},(77,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,502):{'3_1':0.03,'5_2':0.0},(77,501):{'3_1':0.0},(77,500):{'3_1':0.06,'4_1':0.0},(77,499):{'3_1':0.03},(77,498):{'3_1':0.06,'4_1':0.0},(77,497):{'3_1':0.0,'4_1':0.0},(77,496):{'3_1':0.03},(77,495):{'3_1':0.03},(77,494):{'3_1':0.0,'5_2':0.0},(77,493):{'3_1':0.06,'4_1':0.0},(77,492):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(77,491):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,490):{'3_1':0.09,'4_1':0.0},(77,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(77,488):{'3_1':0.06,'4_1':0.03},(77,487):{'3_1':0.03,'4_1':0.0},(77,486):{'3_1':0.06,'4_1':0.0},(77,485):{'3_1':0.03,'4_1':0.0},(77,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(77,482):{'3_1':0.06},(77,481):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(77,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,479):{'3_1':0.03,'4_1':0.03,'7_1':0.0},(77,478):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(77,477):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(77,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(77,475):{'3_1':0.09,'4_1':0.0},(77,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,473):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,472):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(77,471):{'3_1':0.03,'5_1':0.0},(77,470):{'3_1':0.09,'4_1':0.0},(77,469):{'3_1':0.03},(77,468):{'3_1':0.03,'4_1':0.0},(77,467):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(77,466):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,465):{'3_1':0.03,'4_1':0.0},(77,464):{'3_1':0.03,'4_1':0.03},(77,463):{'3_1':0.0,'4_1':0.0},(77,462):{'3_1':0.0,'4_1':0.0},(77,461):{'3_1':0.03,'4_1':0.0},(77,460):{'3_1':0.03},(77,459):{'3_1':0.03},(77,458):{'4_1':0.0,'5_2':0.0},(77,457):{'3_1':0.03,'5_2':0.0},(77,456):{'3_1':0.03},(77,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,454):{'3_1':0.0,'4_1':0.0},(77,453):{'3_1':0.03,'4_1':0.0},(77,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,451):{'3_1':0.09,'4_1':0.0},(77,450):{'3_1':0.0},(77,449):{'3_1':0.0},(77,448):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,447):{'3_1':0.06},(77,446):{'3_1':0.0},(77,445):{'3_1':0.03,'4_1':0.0},(77,444):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(77,443):{'3_1':0.0},(77,442):{'3_1':0.03,'4_1':0.0},(77,441):{'3_1':0.0,'4_1':0.0},(77,440):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(77,439):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(77,438):{'3_1':0.0},(77,437):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,436):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(77,435):{'3_1':0.06},(77,434):{'3_1':0.03,'4_1':0.0},(77,433):{'3_1':0.06,'4_1':0.0},(77,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(77,431):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,430):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,429):{'3_1':0.06,'4_1':0.0},(77,428):{'3_1':0.03,'4_1':0.0},(77,427):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(77,426):{'3_1':0.03,'4_1':0.0},(77,425):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,424):{'3_1':0.03,'4_1':0.0},(77,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(77,422):{'3_1':0.03,'4_1':0.0},(77,421):{'3_1':0.03,'4_1':0.0},(77,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(77,419):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(77,418):{'3_1':0.03},(77,417):{'3_1':0.0,'4_1':0.0},(77,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,415):{'3_1':0.0,'4_1':0.0},(77,414):{'3_1':0.0,'4_1':0.0},(77,413):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(77,412):{'3_1':0.0,'4_1':0.0},(77,411):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(77,410):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(77,409):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,408):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,407):{'3_1':0.0,'4_1':0.0},(77,406):{'3_1':0.0,'5_2':0.0},(77,405):{'3_1':0.0},(77,404):{'3_1':0.03},(77,403):{'3_1':0.03},(77,402):{'3_1':0.0},(77,401):{'3_1':0.0,'4_1':0.0},(77,400):{'3_1':0.03,'4_1':0.0},(77,399):{'3_1':0.03},(77,398):{'3_1':0.03,'4_1':0.0},(77,397):{'3_1':0.0},(77,396):{'3_1':0.0,'5_1':0.0},(77,395):{'3_1':0.03},(77,394):{'3_1':0.0},(77,393):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(77,392):{'4_1':0.0,'3_1':0.0},(77,391):{'3_1':0.03},(77,390):{'3_1':0.0},(77,389):{'3_1':0.0,'4_1':0.0},(77,388):{'3_1':0.0,'4_1':0.0},(77,387):{'3_1':0.0},(77,385):{'3_1':0.0},(77,384):{'3_1':0.0},(77,383):{'3_1':0.0},(77,382):{'3_1':0.03},(77,381):{'3_1':0.0,'4_1':0.0},(77,380):{'3_1':0.03,'6_1':0.0},(77,379):{'3_1':0.0},(77,378):{'3_1':0.0,'5_2':0.0},(77,377):{'3_1':0.0,'4_1':0.0},(77,376):{'3_1':0.03,'5_1':0.0},(77,375):{'3_1':0.03,'8_20|3_1#3_1':0.0},(77,374):{'3_1':0.0,'5_1':0.0},(77,373):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,372):{'3_1':0.03,'4_1':0.0},(77,371):{'3_1':0.03},(77,370):{'3_1':0.03,'5_1':0.0},(77,369):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(77,368):{'3_1':0.0,'4_1':0.0},(77,367):{'3_1':0.0,'4_1':0.0},(77,366):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(77,365):{'3_1':0.0},(77,364):{'3_1':0.03},(77,363):{'4_1':0.0,'3_1':0.0},(77,362):{'3_1':0.0,'4_1':0.0},(77,361):{'3_1':0.0},(77,360):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(77,359):{'3_1':0.0,'4_1':0.0},(77,358):{'3_1':0.03},(77,357):{'3_1':0.03,'5_1':0.0},(77,356):{'3_1':0.03},(77,355):{'4_1':0.0},(77,354):{'3_1':0.03,'4_1':0.0},(77,353):{'3_1':0.03,'5_1':0.0},(77,352):{'3_1':0.0,'5_1':0.0},(77,350):{'3_1':0.03,'4_1':0.0},(77,349):{'3_1':0.0},(77,348):{'3_1':0.0,'5_1':0.0},(77,347):{'4_1':0.0,'3_1':0.0},(77,346):{'3_1':0.03},(77,345):{'3_1':0.0},(77,344):{'3_1':0.0},(77,343):{'3_1':0.0,'4_1':0.0},(77,341):{'3_1':0.0},(77,339):{'3_1':0.03,'5_1':0.0},(77,338):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,337):{'3_1':0.0},(77,336):{'3_1':0.0,'5_1':0.0},(77,335):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(77,334):{'4_1':0.0,'3_1':0.0},(77,332):{'3_1':0.0},(77,331):{'3_1':0.0},(77,330):{'3_1':0.0},(77,329):{'3_1':0.0},(77,328):{'3_1':0.0,'5_1':0.0},(77,327):{'3_1':0.0},(77,326):{'3_1':0.0,'4_1':0.0},(77,325):{'3_1':0.0,'4_1':0.0},(77,324):{'3_1':0.03},(77,323):{'3_1':0.0},(77,322):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,321):{'3_1':0.03,'4_1':0.0},(77,320):{'4_1':0.0},(77,319):{'3_1':0.0,'4_1':0.0},(77,318):{'3_1':0.0,'4_1':0.0},(77,317):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(77,316):{'3_1':0.0,'4_1':0.0},(77,315):{'3_1':0.0},(77,314):{'3_1':0.0},(77,313):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(77,312):{'4_1':0.0,'3_1':0.0},(77,311):{'3_1':0.0},(77,310):{'3_1':0.0,'5_2':0.0},(77,309):{'3_1':0.0},(77,308):{'4_1':0.0,'3_1':0.0},(77,307):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(77,306):{'3_1':0.0},(77,305):{'3_1':0.0},(77,304):{'3_1':0.03,'4_1':0.0},(77,303):{'3_1':0.0},(77,302):{'3_1':0.0},(77,301):{'3_1':0.0},(77,300):{'3_1':0.0},(77,299):{'3_1':0.0},(77,298):{'3_1':0.0,'4_1':0.0},(77,297):{'6_1':0.0},(77,295):{'4_1':0.0,'5_2':0.0},(77,294):{'3_1':0.0,'4_1':0.0},(77,292):{'5_2':0.0},(77,291):{'3_1':0.0,'4_1':0.0},(77,290):{'3_1':0.0,'6_1':0.0},(77,289):{'3_1':0.0},(77,288):{'3_1':0.0},(77,287):{'3_1':0.0},(77,286):{'4_1':0.0},(77,285):{'3_1':0.0,'5_2':0.0},(77,282):{'3_1':0.03,'4_1':0.0},(77,281):{'4_1':0.0},(77,280):{'3_1':0.0},(77,279):{'3_1':0.03,'4_1':0.0},(77,278):{'3_1':0.0},(77,277):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(77,276):{'3_1':0.03,'4_1':0.0},(77,275):{'3_1':0.03},(77,274):{'3_1':0.0},(77,273):{'3_1':0.03},(77,272):{'3_1':0.06},(77,271):{'3_1':0.03},(77,270):{'3_1':0.0,'4_1':0.0},(77,269):{'3_1':0.0,'4_1':0.0},(77,268):{'3_1':0.03,'4_1':0.0},(77,267):{'3_1':0.0},(77,266):{'3_1':0.0,'4_1':0.0},(77,265):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(77,264):{'3_1':0.03,'4_1':0.0},(77,263):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,262):{'3_1':0.0,'4_1':0.0},(77,261):{'3_1':0.0,'4_1':0.0},(77,260):{'3_1':0.0},(77,259):{'4_1':0.0},(77,258):{'4_1':0.0,'3_1':0.0},(77,257):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(77,256):{'3_1':0.0,'4_1':0.0},(77,255):{'3_1':0.0},(77,254):{'3_1':0.0,'4_1':0.0},(77,253):{'3_1':0.0},(77,252):{'3_1':0.0,'4_1':0.0},(77,251):{'3_1':0.0},(77,249):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,248):{'4_1':0.0},(77,247):{'3_1':0.0,'4_1':0.0},(77,246):{'3_1':0.0,'4_1':0.0},(77,245):{'4_1':0.0},(77,244):{'4_1':0.0,'3_1':0.0},(77,243):{'3_1':0.0},(77,242):{'3_1':0.03,'4_1':0.0},(77,241):{'3_1':0.0,'4_1':0.0},(77,240):{'3_1':0.0,'4_1':0.0},(77,239):{'4_1':0.0},(77,238):{'3_1':0.03},(77,237):{'4_1':0.0},(77,236):{'3_1':0.0},(77,235):{'3_1':0.0},(77,234):{'4_1':0.0,'5_1':0.0},(77,233):{'3_1':0.0},(77,232):{'3_1':0.0,'4_1':0.0},(77,231):{'3_1':0.0},(77,230):{'3_1':0.0,'4_1':0.0},(77,229):{'3_1':0.03,'4_1':0.0},(77,228):{'3_1':0.03,'4_1':0.0},(77,227):{'4_1':0.0,'6_1':0.0},(77,226):{'3_1':0.0},(77,225):{'3_1':0.0,'4_1':0.0},(77,224):{'3_1':0.0,'4_1':0.0},(77,223):{'3_1':0.0},(77,222):{'3_1':0.0},(77,219):{'3_1':0.03},(77,218):{'3_1':0.0},(77,217):{'3_1':0.03,'4_1':0.0},(77,216):{'3_1':0.0},(77,215):{'3_1':0.0},(77,213):{'5_1':0.0,'6_2':0.0},(77,212):{'3_1':0.0},(77,211):{'3_1':0.03},(77,210):{'3_1':0.0},(77,209):{'3_1':0.0,'4_1':0.0},(77,208):{'3_1':0.0},(77,207):{'3_1':0.0},(77,206):{'3_1':0.0,'4_1':0.0},(77,205):{'3_1':0.0},(77,204):{'3_1':0.0},(77,203):{'3_1':0.03},(77,202):{'3_1':0.0},(77,201):{'3_1':0.0},(77,200):{'3_1':0.0},(77,199):{'3_1':0.0},(77,198):{'3_1':0.0},(77,197):{'3_1':0.0,'5_1':0.0},(77,196):{'3_1':0.0},(77,195):{'4_1':0.0},(77,194):{'3_1':0.0},(77,192):{'3_1':0.0},(77,191):{'3_1':0.0},(77,190):{'3_1':0.0},(77,186):{'3_1':0.0},(77,185):{'3_1':0.0},(77,184):{'3_1':0.0},(77,183):{'3_1':0.0},(77,179):{'4_1':0.0},(77,178):{'3_1':0.0},(77,177):{'3_1':0.0},(77,176):{'3_1':0.0,'4_1':0.0},(77,175):{'3_1':0.0,'4_1':0.0},(77,173):{'3_1':0.0},(77,170):{'4_1':0.0},(77,168):{'3_1':0.0},(77,167):{'3_1':0.0},(77,166):{'3_1':0.0},(77,165):{'3_1':0.0,'4_1':0.0},(77,164):{'3_1':0.0},(77,162):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(77,161):{'3_1':0.0},(77,160):{'3_1':0.0},(77,159):{'3_1':0.0,'6_2':0.0},(77,158):{'3_1':0.0},(77,157):{'3_1':0.0},(77,156):{'3_1':0.0},(77,155):{'3_1':0.0},(77,154):{'3_1':0.0},(77,153):{'3_1':0.0},(77,152):{'3_1':0.0},(77,151):{'3_1':0.0},(77,150):{'3_1':0.0,'4_1':0.0},(77,149):{'3_1':0.03},(77,148):{'3_1':0.0},(77,147):{'3_1':0.0},(77,146):{'3_1':0.06},(77,143):{'3_1':0.0},(77,141):{'3_1':0.0},(77,140):{'3_1':0.0},(77,139):{'3_1':0.03},(77,138):{'3_1':0.0},(77,137):{'3_1':0.0,'5_1':0.0},(77,136):{'3_1':0.0},(77,135):{'3_1':0.0},(77,134):{'3_1':0.0,'5_1':0.0},(77,133):{'3_1':0.0},(77,132):{'3_1':0.0},(77,131):{'3_1':0.0},(77,130):{'3_1':0.03},(77,129):{'3_1':0.0},(77,126):{'3_1':0.0,'4_1':0.0},(77,124):{'3_1':0.0},(77,123):{'3_1':0.0},(77,120):{'3_1':0.0},(77,119):{'3_1':0.0},(77,118):{'3_1':0.0},(77,116):{'3_1':0.0},(77,115):{'3_1':0.0},(78,727):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0},(78,726):{'5_2':0.69,'-3':0.09,'7_4':0.03,'6_1':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(78,725):{'5_2':0.54,'-3':0.15,'3_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(78,724):{'5_2':0.57,'-3':0.12,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_8':0.0},(78,723):{'5_2':0.57,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0,'3_1#5_2':0.0},(78,722):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0},(78,721):{'5_2':0.51,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(78,720):{'5_2':0.57,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(78,719):{'5_2':0.57,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(78,718):{'5_2':0.66,'-3':0.09,'7_2':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(78,717):{'5_2':0.54,'-3':0.06,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'8_8':0.0,'6_1':0.0,'3_1#5_2':0.0},(78,716):{'5_2':0.54,'-3':0.12,'7_4':0.09,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'8_11':0.0},(78,715):{'5_2':0.51,'7_4':0.09,'-3':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0},(78,714):{'5_2':0.45,'-3':0.06,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(78,713):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(78,712):{'5_2':0.48,'3_1':0.06,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_6':0.0,'8_13':0.0},(78,711):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(78,710):{'5_2':0.42,'-3':0.18,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(78,709):{'5_2':0.51,'-3':0.24,'7_5':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(78,708):{'5_2':0.51,'-3':0.15,'3_1':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(78,707):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(78,706):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(78,705):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'8_8':0.0,'8_13':0.0},(78,704):{'5_2':0.36,'-3':0.12,'3_1':0.12,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'2':-0.03},(78,703):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(78,702):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(78,701):{'5_2':0.48,'-3':0.18,'3_1':0.09,'7_6':0.03,'3_1#5_2':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(78,700):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(78,699):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_6':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0},(78,698):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(78,697):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_3':0.06,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'1':-0.03},(78,696):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(78,695):{'5_2':0.45,'-3':0.15,'3_1':0.12,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(78,694):{'5_2':0.45,'-3':0.15,'3_1':0.09,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(78,693):{'5_2':0.39,'3_1':0.15,'-3':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'4_1':0.0,'8_14':0.0,'1':-0.03},(78,692):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_2':0.0},(78,691):{'5_2':0.39,'3_1':0.15,'-3':0.15,'7_3':0.03,'7_4':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(78,690):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_3':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(78,689):{'5_2':0.33,'3_1':0.24,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'8_11':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(78,688):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(78,687):{'5_2':0.27,'3_1':0.21,'-3':0.15,'7_3':0.06,'7_4':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(78,686):{'3_1':0.27,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(78,685):{'3_1':0.36,'5_2':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,684):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(78,683):{'3_1':0.3,'5_2':0.27,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(78,682):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(78,681):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_2':0.0},(78,680):{'3_1':0.45,'5_2':0.21,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(78,679):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(78,678):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(78,677):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(78,676):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(78,675):{'3_1':0.45,'5_2':0.21,'7_7':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0,'8_15':0.0},(78,674):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(78,673):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(78,672):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(78,671):{'3_1':0.51,'5_2':0.12,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(78,670):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(78,669):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_15':0.0},(78,668):{'3_1':0.6,'5_2':0.06,'7_4':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'8_11':0.0},(78,667):{'3_1':0.51,'7_4':0.12,'5_2':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(78,666):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0},(78,665):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0},(78,664):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'7_7':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(78,663):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0,'7_6':0.0,'8_7':0.0},(78,662):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(78,661):{'3_1':0.6,'5_2':0.12,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0},(78,660):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(78,659):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(78,658):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(78,657):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(78,656):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(78,655):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(78,654):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,653):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'7_7':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(78,652):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(78,651):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(78,650):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,649):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(78,648):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(78,647):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,646):{'3_1':0.36,'5_2':0.09,'5_1':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(78,645):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(78,644):{'3_1':0.33,'5_2':0.09,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'8_6':0.0},(78,643):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(78,642):{'3_1':0.21,'5_2':0.15,'5_1':0.12,'7_1':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(78,641):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(78,640):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(78,639):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'7_1':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(78,638):{'3_1':0.27,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(78,637):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(78,636):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(78,635):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(78,634):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(78,633):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,632):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.03},(78,631):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(78,630):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'8_19':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(78,629):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(78,628):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(78,627):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(78,626):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,625):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(78,624):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'8_16':0.0},(78,623):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.06,'6_1':0.0},(78,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(78,621):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(78,620):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(78,619):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'3_1#5_1':0.0},(78,618):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_1':0.0},(78,617):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(78,616):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(78,615):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_3':0.0,'3_1#5_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(78,614):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(78,613):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_1':0.0},(78,612):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_19':0.0},(78,611):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0,'7_4':0.0},(78,610):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(78,609):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(78,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(78,607):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(78,606):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(78,605):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(78,604):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(78,603):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(78,602):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(78,601):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(78,600):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(78,599):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(78,598):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(78,597):{'4_1':0.21,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(78,596):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(78,595):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(78,594):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(78,593):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(78,592):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(78,591):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0},(78,590):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'7_4':0.0},(78,589):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(78,588):{'4_1':0.12,'5_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0},(78,587):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0},(78,586):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(78,585):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(78,584):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.03,'7_3':0.0,'8_11':0.0},(78,583):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(78,582):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0},(78,581):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0},(78,580):{'4_1':0.15,'3_1':0.06,'5_1':0.03,'5_2':0.0},(78,579):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(78,578):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(78,577):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(78,576):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0},(78,575):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(78,574):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(78,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(78,572):{'3_1':0.12,'4_1':0.12,'6_2':0.0},(78,571):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0},(78,570):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(78,569):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(78,568):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(78,567):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0},(78,566):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(78,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(78,564):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0},(78,563):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(78,562):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(78,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(78,560):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0},(78,559):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_6':0.0},(78,558):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(78,557):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(78,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(78,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(78,554):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0},(78,553):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0},(78,552):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_2':0.0},(78,551):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(78,550):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(78,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(78,548):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_5':0.0},(78,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(78,546):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(78,545):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(78,544):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(78,543):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(78,542):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(78,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(78,540):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(78,539):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(78,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(78,537):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(78,536):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(78,535):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(78,534):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(78,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(78,532):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_3':0.0},(78,531):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(78,530):{'3_1':0.06,'4_1':0.0},(78,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(78,528):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(78,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(78,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(78,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(78,524):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(78,523):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(78,522):{'3_1':0.09,'5_2':0.0},(78,521):{'3_1':0.09},(78,520):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(78,519):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(78,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(78,517):{'3_1':0.06,'4_1':0.03},(78,516):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(78,515):{'3_1':0.03,'4_1':0.0},(78,514):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(78,513):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,512):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(78,511):{'3_1':0.03,'4_1':0.0},(78,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,509):{'3_1':0.0,'5_2':0.0},(78,508):{'3_1':0.03,'4_1':0.0},(78,507):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(78,506):{'3_1':0.03},(78,505):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(78,504):{'3_1':0.03},(78,503):{'3_1':0.03,'4_1':0.0},(78,502):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(78,501):{'3_1':0.03},(78,500):{'3_1':0.03,'5_2':0.0},(78,499):{'3_1':0.03,'4_1':0.0},(78,498):{'3_1':0.03,'4_1':0.0},(78,497):{'3_1':0.03,'4_1':0.0},(78,496):{'3_1':0.03,'4_1':0.0},(78,495):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(78,494):{'3_1':0.06,'4_1':0.0},(78,493):{'4_1':0.0,'3_1':0.0},(78,492):{'3_1':0.03,'4_1':0.03},(78,491):{'3_1':0.09},(78,490):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,488):{'3_1':0.06,'4_1':0.0},(78,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(78,486):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(78,485):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,484):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(78,483):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(78,482):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(78,481):{'3_1':0.06,'4_1':0.0},(78,480):{'3_1':0.06,'4_1':0.0},(78,479):{'3_1':0.09},(78,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,477):{'3_1':0.06,'4_1':0.0,'8_2':0.0},(78,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(78,475):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(78,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(78,472):{'3_1':0.0},(78,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(78,469):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(78,468):{'3_1':0.03,'4_1':0.0},(78,467):{'3_1':0.03,'4_1':0.0},(78,466):{'3_1':0.0},(78,465):{'3_1':0.0,'4_1':0.0},(78,464):{'3_1':0.03,'4_1':0.0},(78,463):{'3_1':0.0,'4_1':0.0},(78,462):{'3_1':0.03,'4_1':0.0},(78,461):{'3_1':0.03,'4_1':0.0},(78,460):{'3_1':0.0},(78,459):{'3_1':0.03,'4_1':0.0},(78,458):{'3_1':0.03,'4_1':0.0},(78,457):{'3_1':0.03},(78,456):{'3_1':0.0,'4_1':0.0},(78,455):{'4_1':0.03,'3_1':0.0},(78,454):{'3_1':0.03},(78,453):{'3_1':0.03,'4_1':0.0},(78,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,451):{'4_1':0.0},(78,450):{'3_1':0.0,'5_1':0.0},(78,449):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(78,448):{'3_1':0.0},(78,447):{'3_1':0.03,'5_1':0.0},(78,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,445):{'3_1':0.0},(78,444):{'3_1':0.03,'4_1':0.0},(78,443):{'3_1':0.03,'5_2':0.0},(78,442):{'3_1':0.03},(78,441):{'3_1':0.03,'4_1':0.0},(78,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,439):{'3_1':0.03,'4_1':0.0},(78,438):{'3_1':0.03},(78,437):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(78,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(78,435):{'3_1':0.03,'4_1':0.0},(78,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,433):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(78,432):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(78,431):{'3_1':0.0,'6_2':0.0},(78,430):{'3_1':0.03},(78,429):{'3_1':0.03,'4_1':0.0},(78,428):{'3_1':0.03,'4_1':0.0},(78,427):{'3_1':0.03,'4_1':0.0},(78,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,425):{'3_1':0.03},(78,424):{'3_1':0.03,'5_2':0.0},(78,423):{'3_1':0.09},(78,422):{'3_1':0.06,'4_1':0.0},(78,421):{'3_1':0.06},(78,420):{'3_1':0.0,'4_1':0.0},(78,419):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(78,418):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,417):{'3_1':0.0,'4_1':0.0},(78,416):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(78,415):{'3_1':0.06,'5_2':0.0},(78,414):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(78,413):{'3_1':0.03,'4_1':0.0},(78,412):{'3_1':0.03,'4_1':0.0},(78,411):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(78,410):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(78,409):{'3_1':0.03},(78,408):{'4_1':0.0},(78,407):{'3_1':0.0},(78,406):{'3_1':0.0,'6_1':0.0},(78,405):{'3_1':0.0,'4_1':0.0},(78,404):{'3_1':0.0},(78,403):{'4_1':0.0,'6_2':0.0},(78,402):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,401):{'3_1':0.03,'6_1':0.0},(78,400):{'3_1':0.0},(78,399):{'3_1':0.03},(78,398):{'3_1':0.0,'4_1':0.0},(78,397):{'3_1':0.0,'4_1':0.0},(78,396):{'3_1':0.0,'4_1':0.0},(78,395):{'3_1':0.03},(78,394):{'3_1':0.0,'4_1':0.0},(78,393):{'3_1':0.0},(78,392):{'3_1':0.0},(78,391):{'3_1':0.0,'6_1':0.0},(78,390):{'3_1':0.0},(78,389):{'3_1':0.0,'4_1':0.0},(78,388):{'3_1':0.0},(78,387):{'3_1':0.0},(78,386):{'6_2':0.0},(78,385):{'3_1':0.0},(78,384):{'3_1':0.0,'5_1':0.0},(78,382):{'3_1':0.0},(78,381):{'3_1':0.0},(78,380):{'3_1':0.0,'4_1':0.0},(78,379):{'3_1':0.0},(78,378):{'3_1':0.0},(78,377):{'3_1':0.0},(78,376):{'3_1':0.03,'7_1':0.0},(78,375):{'3_1':0.03},(78,374):{'3_1':0.03},(78,373):{'3_1':0.0,'5_1':0.0},(78,372):{'3_1':0.0},(78,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,370):{'3_1':0.03,'4_1':0.0},(78,369):{'3_1':0.03},(78,368):{'3_1':0.0,'4_1':0.0},(78,367):{'3_1':0.03},(78,366):{'3_1':0.0,'4_1':0.0},(78,365):{'3_1':0.0,'4_1':0.0},(78,364):{'4_1':0.0},(78,363):{'3_1':0.0},(78,362):{'3_1':0.0},(78,361):{'3_1':0.0,'4_1':0.0},(78,360):{'3_1':0.0,'4_1':0.0},(78,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,358):{'3_1':0.03},(78,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(78,356):{'3_1':0.0},(78,355):{'3_1':0.0,'4_1':0.0},(78,354):{'3_1':0.0,'4_1':0.0},(78,353):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(78,352):{'3_1':0.0},(78,351):{'4_1':0.0},(78,350):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(78,349):{'3_1':0.0},(78,348):{'3_1':0.0},(78,347):{'3_1':0.0,'4_1':0.0},(78,345):{'3_1':0.0,'4_1':0.0},(78,344):{'3_1':0.0},(78,343):{'3_1':0.03},(78,342):{'4_1':0.0,'5_1':0.0},(78,340):{'3_1':0.0,'4_1':0.0},(78,339):{'3_1':0.0},(78,338):{'3_1':0.0,'4_1':0.0},(78,337):{'3_1':0.0,'4_1':0.0},(78,336):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(78,334):{'3_1':0.0},(78,333):{'3_1':0.0,'5_1':0.0},(78,332):{'3_1':0.0},(78,331):{'3_1':0.0},(78,330):{'3_1':0.0},(78,329):{'3_1':0.0},(78,326):{'3_1':0.0,'4_1':0.0},(78,325):{'3_1':0.0,'4_1':0.0},(78,324):{'4_1':0.0},(78,323):{'3_1':0.0,'5_1':0.0},(78,322):{'3_1':0.03},(78,321):{'3_1':0.0},(78,320):{'3_1':0.03},(78,319):{'3_1':0.0,'5_2':0.0},(78,318):{'3_1':0.0},(78,317):{'4_1':0.0,'3_1':0.0},(78,316):{'3_1':0.03},(78,315):{'3_1':0.0},(78,314):{'3_1':0.0},(78,313):{'4_1':0.0,'3_1':0.0},(78,312):{'3_1':0.0},(78,311):{'3_1':0.0,'4_1':0.0},(78,310):{'3_1':0.03},(78,309):{'3_1':0.0,'4_1':0.0},(78,308):{'3_1':0.0,'4_1':0.0},(78,307):{'3_1':0.0,'4_1':0.0},(78,306):{'3_1':0.0},(78,305):{'3_1':0.0},(78,304):{'4_1':0.0,'3_1':0.0},(78,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,302):{'3_1':0.0},(78,301):{'3_1':0.03},(78,300):{'3_1':0.0,'5_2':0.0},(78,299):{'3_1':0.0},(78,298):{'3_1':0.0},(78,297):{'3_1':0.0},(78,295):{'3_1':0.0},(78,294):{'3_1':0.0},(78,293):{'3_1':0.0,'6_1':0.0},(78,292):{'3_1':0.0,'5_2':0.0},(78,291):{'3_1':0.0},(78,290):{'3_1':0.03},(78,289):{'3_1':0.0},(78,288):{'3_1':0.0},(78,287):{'3_1':0.03},(78,286):{'3_1':0.0},(78,285):{'4_1':0.0,'3_1':0.0},(78,284):{'3_1':0.0},(78,283):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(78,282):{'3_1':0.03,'4_1':0.0},(78,281):{'3_1':0.03},(78,280):{'3_1':0.0,'6_2':0.0,'4_1':0.0},(78,279):{'3_1':0.0},(78,278):{'3_1':0.0},(78,277):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(78,276):{'3_1':0.03},(78,275):{'3_1':0.03,'4_1':0.0},(78,274):{'4_1':0.0},(78,273):{'3_1':0.03,'5_1':0.0},(78,272):{'3_1':0.0},(78,271):{'3_1':0.0,'5_1':0.0},(78,270):{'3_1':0.0,'4_1':0.0},(78,269):{'3_1':0.0,'4_1':0.0},(78,268):{'3_1':0.03,'5_1':0.0},(78,267):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,266):{'3_1':0.0},(78,265):{'3_1':0.0},(78,264):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(78,263):{'3_1':0.03,'5_1':0.0},(78,262):{'5_1':0.0},(78,261):{'4_1':0.0},(78,260):{'3_1':0.0,'4_1':0.0},(78,259):{'3_1':0.0},(78,258):{'3_1':0.0},(78,257):{'3_1':0.0,'4_1':0.0},(78,256):{'3_1':0.0},(78,255):{'4_1':0.0},(78,254):{'3_1':0.0},(78,253):{'4_1':0.0,'3_1':0.0},(78,252):{'3_1':0.0,'6_2':0.0},(78,251):{'3_1':0.0},(78,250):{'3_1':0.0},(78,249):{'3_1':0.03,'4_1':0.0},(78,247):{'3_1':0.0},(78,246):{'3_1':0.03},(78,245):{'4_1':0.0},(78,244):{'3_1':0.0},(78,243):{'4_1':0.03,'3_1':0.0},(78,242):{'3_1':0.0,'4_1':0.0},(78,241):{'3_1':0.0,'4_1':0.0},(78,240):{'4_1':0.0,'3_1':0.0},(78,239):{'3_1':0.0},(78,238):{'3_1':0.0,'7_1':0.0},(78,237):{'3_1':0.0,'4_1':0.0},(78,236):{'3_1':0.03},(78,235):{'3_1':0.0},(78,234):{'3_1':0.0},(78,232):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(78,231):{'4_1':0.0},(78,230):{'3_1':0.0,'4_1':0.0},(78,229):{'3_1':0.0},(78,228):{'3_1':0.03,'4_1':0.0},(78,227):{'3_1':0.0},(78,226):{'3_1':0.0,'5_1':0.0},(78,225):{'4_1':0.0},(78,224):{'3_1':0.0,'5_2':0.0},(78,223):{'3_1':0.0},(78,222):{'3_1':0.0},(78,221):{'3_1':0.0},(78,220):{'3_1':0.0},(78,218):{'3_1':0.0,'4_1':0.0},(78,217):{'3_1':0.0,'4_1':0.0},(78,216):{'3_1':0.0,'4_1':0.0},(78,215):{'3_1':0.0},(78,214):{'4_1':0.0,'3_1':0.0},(78,213):{'3_1':0.0},(78,212):{'3_1':0.0},(78,211):{'4_1':0.0,'3_1':0.0},(78,210):{'3_1':0.0},(78,207):{'3_1':0.0},(78,206):{'3_1':0.0,'4_1':0.0},(78,205):{'3_1':0.0},(78,204):{'3_1':0.0},(78,203):{'3_1':0.0},(78,202):{'3_1':0.0},(78,201):{'3_1':0.0},(78,200):{'3_1':0.0,'4_1':0.0},(78,199):{'5_2':0.0},(78,198):{'3_1':0.0},(78,197):{'4_1':0.0,'3_1':0.0},(78,196):{'3_1':0.0},(78,195):{'3_1':0.0,'4_1':0.0},(78,194):{'3_1':0.0},(78,193):{'3_1':0.0},(78,192):{'3_1':0.0,'4_1':0.0},(78,191):{'3_1':0.03,'4_1':0.0},(78,190):{'3_1':0.0,'5_2':0.0},(78,188):{'3_1':0.0,'4_1':0.0},(78,186):{'3_1':0.0},(78,185):{'3_1':0.0},(78,184):{'3_1':0.0,'4_1':0.0},(78,183):{'3_1':0.0},(78,182):{'3_1':0.0},(78,181):{'3_1':0.0},(78,180):{'3_1':0.0,'4_1':0.0},(78,179):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(78,178):{'3_1':0.0},(78,175):{'3_1':0.0,'4_1':0.0},(78,174):{'3_1':0.0},(78,173):{'3_1':0.0},(78,171):{'3_1':0.0},(78,169):{'3_1':0.0},(78,168):{'3_1':0.0},(78,167):{'3_1':0.0,'4_1':0.0},(78,165):{'3_1':0.0,'4_1':0.0},(78,164):{'3_1':0.0},(78,163):{'3_1':0.0},(78,162):{'3_1':0.0},(78,161):{'3_1':0.03},(78,160):{'3_1':0.0,'4_1':0.0},(78,159):{'3_1':0.0,'4_1':0.0},(78,158):{'3_1':0.0},(78,157):{'3_1':0.03},(78,156):{'3_1':0.03},(78,155):{'3_1':0.0},(78,154):{'3_1':0.06,'6_1':0.0},(78,153):{'3_1':0.03},(78,152):{'3_1':0.0,'4_1':0.0},(78,151):{'3_1':0.03},(78,150):{'3_1':0.0,'4_1':0.0},(78,149):{'3_1':0.03},(78,148):{'3_1':0.0},(78,147):{'3_1':0.0},(78,146):{'3_1':0.03},(78,145):{'3_1':0.03,'4_1':0.0},(78,144):{'3_1':0.0},(78,143):{'3_1':0.0},(78,142):{'3_1':0.0},(78,141):{'3_1':0.03},(78,140):{'3_1':0.0},(78,139):{'3_1':0.0},(78,138):{'3_1':0.03,'5_1':0.0},(78,137):{'3_1':0.0},(78,136):{'3_1':0.0},(78,135):{'3_1':0.0},(78,134):{'3_1':0.0},(78,133):{'3_1':0.0},(78,132):{'3_1':0.0},(78,131):{'3_1':0.0},(78,130):{'4_1':0.0,'5_1':0.0},(78,128):{'3_1':0.0},(78,127):{'3_1':0.0},(78,126):{'3_1':0.0,'4_1':0.0},(78,125):{'3_1':0.0,'5_1':0.0},(78,124):{'3_1':0.0},(78,123):{'3_1':0.0},(78,122):{'3_1':0.03},(78,121):{'3_1':0.0},(78,119):{'3_1':0.0},(78,118):{'3_1':0.0},(78,116):{'3_1':0.03},(79,727):{'5_2':0.6,'-3':0.09,'6_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(79,726):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(79,725):{'5_2':0.54,'-3':0.12,'7_5':0.06,'5_1':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(79,724):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(79,723):{'5_2':0.54,'-3':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(79,722):{'5_2':0.57,'-3':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.0,'7_5':0.0,'3_1':0.0},(79,721):{'5_2':0.54,'7_5':0.03,'3_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0},(79,720):{'5_2':0.51,'-3':0.12,'7_2':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(79,719):{'5_2':0.54,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'6_1':0.0,'8_11':0.0},(79,718):{'5_2':0.48,'-3':0.12,'7_4':0.09,'3_1':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'1':-0.03},(79,717):{'5_2':0.51,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0},(79,716):{'5_2':0.54,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0},(79,715):{'5_2':0.54,'3_1':0.06,'7_4':0.06,'7_2':0.03,'5_1':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0},(79,714):{'5_2':0.48,'-3':0.15,'7_5':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_11':0.0,'1':-0.03},(79,713):{'5_2':0.51,'-3':0.12,'7_4':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(79,712):{'5_2':0.42,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0},(79,711):{'5_2':0.39,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(79,710):{'5_2':0.48,'-3':0.15,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_15':0.0},(79,709):{'5_2':0.54,'-3':0.15,'5_1':0.03,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(79,708):{'5_2':0.51,'3_1':0.06,'7_5':0.06,'-3':0.06,'5_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(79,707):{'5_2':0.54,'-3':0.09,'3_1':0.09,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(79,706):{'5_2':0.51,'3_1':0.12,'-3':0.09,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_8':0.0},(79,705):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(79,704):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(79,703):{'5_2':0.42,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(79,702):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(79,701):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_11':0.0,'2':-0.03},(79,700):{'5_2':0.36,'3_1':0.09,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'8_11':0.0},(79,699):{'5_2':0.39,'-3':0.12,'3_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_14':0.0},(79,698):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(79,697):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(79,696):{'5_2':0.42,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0,'1':-0.03},(79,695):{'5_2':0.45,'3_1':0.12,'-3':0.09,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0},(79,694):{'5_2':0.39,'3_1':0.12,'-3':0.12,'3_1#5_2':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'8_14':0.0,'1':-0.03},(79,693):{'5_2':0.27,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'3_1#5_2':0.0},(79,692):{'5_2':0.36,'3_1':0.18,'-3':0.15,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(79,691):{'5_2':0.42,'3_1':0.15,'-3':0.09,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(79,690):{'5_2':0.36,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_7':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(79,689):{'5_2':0.36,'3_1':0.18,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(79,688):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_7':0.0},(79,687):{'3_1':0.24,'5_2':0.21,'-3':0.12,'7_3':0.09,'7_4':0.03,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(79,686):{'5_2':0.24,'3_1':0.18,'-3':0.06,'7_3':0.06,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(79,685):{'3_1':0.33,'5_2':0.18,'-3':0.12,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(79,684):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(79,683):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(79,682):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(79,681):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(79,680):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0},(79,679):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(79,678):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(79,677):{'3_1':0.45,'5_2':0.15,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(79,676):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(79,675):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0},(79,674):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(79,673):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(79,672):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(79,671):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(79,670):{'3_1':0.57,'5_2':0.15,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(79,669):{'3_1':0.51,'7_4':0.06,'5_2':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'1':-0.03},(79,668):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'7_3':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(79,667):{'3_1':0.51,'5_2':0.09,'7_7':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(79,666):{'3_1':0.51,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_7':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_6':0.0},(79,665):{'3_1':0.54,'5_2':0.06,'7_4':0.03,'7_7':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(79,664):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'8_11':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(79,663):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(79,662):{'3_1':0.3,'5_2':0.18,'7_7':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(79,661):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(79,660):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(79,659):{'3_1':0.45,'5_2':0.24,'7_4':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(79,658):{'3_1':0.48,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,657):{'3_1':0.54,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(79,656):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(79,655):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(79,654):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(79,653):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(79,652):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(79,651):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(79,650):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(79,649):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(79,648):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(79,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(79,646):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_7':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(79,645):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0},(79,644):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(79,643):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(79,642):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(79,641):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'7_2':0.0},(79,640):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_5':0.0,'8_2':0.0},(79,639):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(79,638):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0},(79,637):{'5_2':0.18,'3_1':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(79,636):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,635):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(79,634):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(79,633):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0},(79,632):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0},(79,631):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(79,630):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(79,629):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(79,628):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(79,627):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0},(79,626):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(79,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(79,624):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0,'8_19':0.0},(79,623):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0},(79,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(79,621):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(79,620):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(79,619):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_4':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(79,618):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(79,617):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_7':0.0},(79,616):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(79,615):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(79,614):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(79,613):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(79,612):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0,'8_19':0.0},(79,611):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(79,610):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(79,609):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_16':0.0,'-3':0.0},(79,608):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(79,607):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'7_3':0.0},(79,606):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(79,605):{'3_1':0.15,'4_1':0.09,'7_7':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0,'9_1':0.0},(79,604):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(79,603):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(79,602):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(79,601):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_7':0.0,'7_4':0.0},(79,600):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0},(79,599):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(79,598):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0},(79,597):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(79,596):{'4_1':0.15,'3_1':0.09},(79,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(79,594):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.0},(79,593):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_1':0.0},(79,592):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(79,591):{'3_1':0.18,'4_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(79,590):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(79,589):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(79,588):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(79,587):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(79,586):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0},(79,585):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(79,584):{'4_1':0.24,'3_1':0.06,'5_1':0.03,'6_2':0.0,'7_4':0.0},(79,583):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(79,582):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(79,581):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0},(79,580):{'4_1':0.06,'3_1':0.03,'7_5':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(79,579):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(79,578):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(79,577):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(79,576):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0},(79,575):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(79,574):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(79,573):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0},(79,572):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(79,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(79,570):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(79,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(79,568):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(79,567):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(79,566):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(79,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(79,564):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(79,563):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(79,562):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(79,561):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(79,560):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_6':0.0},(79,559):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(79,558):{'3_1':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(79,557):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(79,556):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(79,555):{'3_1':0.12,'4_1':0.03},(79,554):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(79,553):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(79,552):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(79,551):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0,'-3':0.0},(79,550):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(79,549):{'3_1':0.12,'4_1':0.03},(79,548):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(79,547):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(79,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(79,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(79,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(79,543):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(79,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(79,541):{'3_1':0.15,'4_1':0.0},(79,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(79,539):{'3_1':0.09,'4_1':0.03,'7_7':0.0},(79,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(79,537):{'3_1':0.18,'5_1':0.03,'7_1':0.0},(79,536):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(79,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(79,534):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(79,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(79,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(79,531):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(79,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,528):{'3_1':0.09,'4_1':0.0},(79,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(79,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(79,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(79,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,523):{'3_1':0.09,'4_1':0.0},(79,522):{'3_1':0.03,'4_1':0.0},(79,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,520):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(79,519):{'4_1':0.0},(79,518):{'3_1':0.06},(79,517):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(79,516):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(79,515):{'3_1':0.06,'5_1':0.0},(79,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(79,513):{'3_1':0.06,'5_1':0.0},(79,512):{'3_1':0.06,'4_1':0.0},(79,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(79,510):{'3_1':0.03,'5_2':0.0},(79,509):{'3_1':0.06,'4_1':0.0},(79,508):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(79,507):{'3_1':0.06,'4_1':0.0},(79,506):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(79,505):{'3_1':0.03},(79,504):{'3_1':0.06},(79,503):{'3_1':0.0},(79,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,501):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(79,500):{'3_1':0.03,'4_1':0.0},(79,499):{'3_1':0.03,'4_1':0.0},(79,498):{'4_1':0.03,'3_1':0.0},(79,497):{'3_1':0.06,'4_1':0.0},(79,496):{'3_1':0.0,'4_1':0.0},(79,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,494):{'3_1':0.03},(79,493):{'3_1':0.06,'4_1':0.0},(79,492):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(79,491):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(79,490):{'3_1':0.06,'4_1':0.0},(79,489):{'3_1':0.0,'4_1':0.0},(79,488):{'3_1':0.03,'5_2':0.0},(79,487):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(79,486):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(79,485):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(79,484):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(79,483):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(79,482):{'3_1':0.03,'4_1':0.0},(79,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,479):{'3_1':0.15,'4_1':0.0},(79,478):{'3_1':0.03,'4_1':0.0},(79,477):{'3_1':0.03,'4_1':0.0},(79,476):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(79,475):{'3_1':0.09,'4_1':0.0},(79,474):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(79,473):{'3_1':0.09,'4_1':0.0},(79,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(79,471):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(79,470):{'3_1':0.06,'4_1':0.0},(79,469):{'5_1':0.03,'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,468):{'3_1':0.06,'4_1':0.0},(79,467):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(79,466):{'3_1':0.03,'4_1':0.03},(79,465):{'3_1':0.0},(79,464):{'3_1':0.03,'4_1':0.0},(79,463):{'3_1':0.0,'4_1':0.0},(79,462):{'3_1':0.0,'4_1':0.0},(79,461):{'3_1':0.0},(79,460):{'3_1':0.03},(79,459):{'3_1':0.0,'4_1':0.0},(79,458):{'3_1':0.0,'4_1':0.0},(79,457):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,456):{'3_1':0.0,'5_1':0.0},(79,455):{'3_1':0.03},(79,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(79,453):{'3_1':0.0,'4_1':0.0},(79,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,451):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(79,450):{'3_1':0.03,'4_1':0.0},(79,449):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,448):{'3_1':0.03,'5_1':0.0},(79,447):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(79,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,445):{'3_1':0.06,'4_1':0.0},(79,444):{'3_1':0.09,'4_1':0.0},(79,443):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(79,442):{'3_1':0.03,'4_1':0.0},(79,441):{'3_1':0.0,'5_2':0.0},(79,440):{'3_1':0.06},(79,439):{'3_1':0.0},(79,438):{'3_1':0.0,'4_1':0.0,'8_2':0.0},(79,437):{'3_1':0.03,'6_2':0.0},(79,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,435):{'3_1':0.0},(79,434):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(79,433):{'3_1':0.06},(79,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(79,431):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(79,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,429):{'3_1':0.03,'4_1':0.0},(79,428):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(79,427):{'3_1':0.03},(79,426):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(79,425):{'3_1':0.03},(79,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,423):{'3_1':0.03},(79,422):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(79,421):{'4_1':0.0,'3_1':0.0},(79,420):{'3_1':0.03,'4_1':0.0},(79,419):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(79,418):{'4_1':0.03,'3_1':0.0},(79,417):{'3_1':0.06,'5_1':0.0},(79,416):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(79,415):{'3_1':0.0,'5_1':0.0},(79,414):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,413):{'3_1':0.03,'6_1':0.0},(79,412):{'3_1':0.0,'4_1':0.0},(79,411):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(79,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,409):{'3_1':0.0,'4_1':0.0},(79,408):{'3_1':0.0,'4_1':0.0},(79,407):{'3_1':0.0,'4_1':0.0},(79,406):{'3_1':0.0,'4_1':0.0},(79,405):{'3_1':0.03,'5_2':0.0},(79,404):{'3_1':0.0,'4_1':0.0},(79,403):{'3_1':0.0},(79,402):{'3_1':0.0,'5_2':0.0},(79,401):{'3_1':0.0},(79,400):{'6_1':0.0,'3_1':0.0},(79,399):{'3_1':0.0,'4_1':0.0},(79,398):{'3_1':0.0},(79,397):{'3_1':0.03,'4_1':0.0},(79,396):{'3_1':0.0},(79,395):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(79,394):{'4_1':0.0,'5_2':0.0},(79,393):{'3_1':0.0},(79,392):{'3_1':0.0,'4_1':0.0},(79,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,389):{'3_1':0.0,'6_1':0.0},(79,388):{'4_1':0.0,'3_1':0.0},(79,387):{'4_1':0.0,'3_1':0.0},(79,386):{'4_1':0.0,'5_1':0.0},(79,385):{'3_1':0.0,'4_1':0.0},(79,384):{'3_1':0.0,'6_1':0.0},(79,383):{'3_1':0.03,'4_1':0.0},(79,382):{'3_1':0.0,'4_1':0.0},(79,381):{'3_1':0.0,'4_1':0.0},(79,380):{'3_1':0.03,'6_2':0.0},(79,379):{'3_1':0.0},(79,378):{'3_1':0.03},(79,377):{'3_1':0.0,'4_1':0.0},(79,376):{'3_1':0.03,'4_1':0.0},(79,375):{'3_1':0.0,'4_1':0.0},(79,374):{'3_1':0.0,'5_1':0.0},(79,373):{'3_1':0.0},(79,372):{'3_1':0.0},(79,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,370):{'3_1':0.06},(79,369):{'3_1':0.03,'4_1':0.0},(79,368):{'3_1':0.03,'4_1':0.0},(79,367):{'3_1':0.0},(79,366):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,365):{'4_1':0.0,'3_1':0.0},(79,364):{'3_1':0.0,'5_1':0.0},(79,363):{'4_1':0.0,'3_1':0.0},(79,362):{'4_1':0.0},(79,361):{'3_1':0.03,'6_3':0.0},(79,360):{'4_1':0.0,'3_1':0.0},(79,359):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(79,358):{'3_1':0.0},(79,357):{'3_1':0.0},(79,356):{'5_1':0.0},(79,355):{'3_1':0.0,'1':-0.03},(79,354):{'4_1':0.0},(79,353):{'3_1':0.0},(79,352):{'3_1':0.0,'5_1':0.0},(79,351):{'6_1':0.0},(79,350):{'5_2':0.0},(79,349):{'4_1':0.0,'5_1':0.0},(79,348):{'3_1':0.0,'4_1':0.0},(79,347):{'3_1':0.0},(79,346):{'3_1':0.0},(79,345):{'3_1':0.0,'4_1':0.0},(79,344):{'3_1':0.03,'6_1':0.0},(79,343):{'3_1':0.0},(79,342):{'3_1':0.0,'4_1':0.0},(79,341):{'4_1':0.0},(79,340):{'4_1':0.0,'5_1':0.0},(79,339):{'3_1':0.06},(79,338):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,337):{'3_1':0.0,'-3':0.0},(79,336):{'4_1':0.0},(79,335):{'4_1':0.0,'5_2':0.0},(79,333):{'3_1':0.0,'4_1':0.0},(79,332):{'3_1':0.06},(79,331):{'3_1':0.03,'5_2':0.0},(79,330):{'3_1':0.0},(79,329):{'3_1':0.0},(79,328):{'3_1':0.0},(79,327):{'3_1':0.0,'6_1':0.0},(79,326):{'3_1':0.0},(79,325):{'3_1':0.0},(79,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(79,323):{'4_1':0.0},(79,322):{'3_1':0.03,'4_1':0.0},(79,321):{'3_1':0.0,'5_1':0.0},(79,320):{'3_1':0.0,'4_1':0.0},(79,319):{'3_1':0.0,'4_1':0.0},(79,318):{'8_20|3_1#3_1':0.0},(79,317):{'3_1':0.0},(79,316):{'4_1':0.03,'3_1':0.0},(79,315):{'3_1':0.0,'4_1':0.0},(79,314):{'3_1':0.0,'4_1':0.0},(79,313):{'3_1':0.0,'4_1':0.0},(79,312):{'3_1':0.0,'4_1':0.0},(79,311):{'3_1':0.03},(79,310):{'4_1':0.0},(79,309):{'3_1':0.0},(79,308):{'3_1':0.0,'4_1':0.0},(79,307):{'3_1':0.03,'5_1':0.0},(79,306):{'3_1':0.0,'5_1':0.0},(79,305):{'3_1':0.0,'4_1':0.0},(79,304):{'3_1':0.0,'4_1':0.0},(79,303):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(79,302):{'3_1':0.0},(79,301):{'3_1':0.03,'4_1':0.0},(79,300):{'3_1':0.03},(79,299):{'3_1':0.0,'4_1':0.0},(79,298):{'3_1':0.03},(79,297):{'4_1':0.0},(79,296):{'3_1':0.0},(79,295):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(79,294):{'3_1':0.0,'5_1':0.0},(79,293):{'3_1':0.0,'5_1':0.0},(79,292):{'3_1':0.0,'4_1':0.0},(79,291):{'3_1':0.0},(79,290):{'3_1':0.0,'4_1':0.0},(79,289):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,288):{'3_1':0.0,'4_1':0.0},(79,287):{'3_1':0.0,'4_1':0.0},(79,286):{'3_1':0.0},(79,285):{'4_1':0.0,'3_1':0.0},(79,284):{'3_1':0.0},(79,283):{'3_1':0.0,'4_1':0.0},(79,282):{'3_1':0.0},(79,281):{'3_1':0.03,'6_2':0.0},(79,280):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,279):{'3_1':0.0},(79,278):{'3_1':0.0,'4_1':0.0},(79,277):{'3_1':0.0},(79,276):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,275):{'3_1':0.0,'5_2':0.0},(79,274):{'3_1':0.03,'4_1':0.0},(79,273):{'3_1':0.03,'4_1':0.0},(79,272):{'3_1':0.03},(79,271):{'3_1':0.0,'4_1':0.0},(79,270):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(79,269):{'3_1':0.03},(79,268):{'3_1':0.0},(79,267):{'4_1':0.0,'3_1':0.0},(79,266):{'3_1':0.0,'4_1':0.0},(79,265):{'3_1':0.03,'4_1':0.0},(79,264):{'3_1':0.0},(79,263):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(79,262):{'4_1':0.0},(79,261):{'3_1':0.03,'4_1':0.0},(79,260):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,258):{'4_1':0.0,'3_1':0.0},(79,257):{'3_1':0.0,'6_2':0.0},(79,256):{'3_1':0.0,'5_1':0.0},(79,255):{'3_1':0.0},(79,254):{'4_1':0.0,'3_1':0.0},(79,253):{'4_1':0.0,'3_1':0.0},(79,252):{'3_1':0.0},(79,251):{'3_1':0.03},(79,250):{'3_1':0.0},(79,249):{'4_1':0.0},(79,248):{'3_1':0.0,'4_1':0.0},(79,247):{'3_1':0.03,'4_1':0.0},(79,246):{'4_1':0.0},(79,244):{'3_1':0.0},(79,243):{'3_1':0.0},(79,242):{'3_1':0.03},(79,241):{'3_1':0.0,'4_1':0.0},(79,240):{'3_1':0.0,'4_1':0.0},(79,238):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(79,237):{'3_1':0.0,'4_1':0.0},(79,236):{'3_1':0.0,'4_1':0.0},(79,235):{'3_1':0.0,'4_1':0.0},(79,234):{'3_1':0.0,'5_1':0.0},(79,233):{'3_1':0.03},(79,232):{'3_1':0.0,'4_1':0.0},(79,231):{'4_1':0.03,'3_1':0.0},(79,230):{'3_1':0.03},(79,229):{'3_1':0.03,'4_1':0.0},(79,228):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(79,227):{'4_1':0.0,'3_1':0.0},(79,226):{'4_1':0.0,'3_1':0.0},(79,225):{'3_1':0.0,'4_1':0.0},(79,224):{'3_1':0.0},(79,223):{'3_1':0.0},(79,222):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,221):{'3_1':0.0},(79,219):{'3_1':0.0},(79,218):{'3_1':0.0},(79,217):{'3_1':0.0},(79,216):{'3_1':0.0,'4_1':0.0},(79,215):{'3_1':0.06,'4_1':0.0},(79,214):{'4_1':0.0},(79,213):{'4_1':0.0},(79,212):{'4_1':0.0,'3_1':0.0},(79,211):{'3_1':0.0},(79,210):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(79,209):{'5_2':0.0,'7_2':0.0},(79,208):{'3_1':0.0},(79,207):{'3_1':0.0,'4_1':0.0},(79,206):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(79,205):{'4_1':0.0,'3_1':0.0},(79,204):{'3_1':0.0},(79,203):{'3_1':0.03,'4_1':0.0},(79,201):{'3_1':0.03},(79,200):{'3_1':0.0,'4_1':0.0},(79,199):{'4_1':0.0,'3_1':0.0},(79,198):{'3_1':0.0},(79,197):{'5_1':0.0,'4_1':0.0,'5_2':0.0},(79,196):{'4_1':0.0},(79,195):{'3_1':0.0},(79,194):{'3_1':0.0},(79,193):{'3_1':0.0,'7_4':0.0},(79,192):{'4_1':0.0},(79,191):{'3_1':0.0,'4_1':0.0},(79,190):{'3_1':0.0,'4_1':0.0},(79,187):{'3_1':0.0},(79,181):{'3_1':0.0},(79,180):{'3_1':0.0},(79,179):{'3_1':0.0},(79,178):{'4_1':0.0},(79,177):{'6_2':0.0},(79,176):{'3_1':0.0},(79,175):{'3_1':0.0},(79,174):{'4_1':0.0,'5_2':0.0},(79,173):{'5_2':0.0},(79,170):{'4_1':0.0},(79,169):{'6_1':0.0},(79,168):{'3_1':0.0},(79,167):{'3_1':0.0,'4_1':0.0},(79,166):{'3_1':0.03},(79,165):{'3_1':0.03},(79,164):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(79,163):{'3_1':0.03},(79,162):{'3_1':0.0},(79,161):{'3_1':0.0},(79,160):{'3_1':0.0},(79,159):{'3_1':0.03},(79,158):{'3_1':0.03},(79,157):{'3_1':0.03,'4_1':0.0},(79,156):{'3_1':0.0,'4_1':0.0},(79,155):{'3_1':0.0,'4_1':0.0},(79,154):{'3_1':0.03},(79,153):{'3_1':0.03,'4_1':0.0},(79,152):{'3_1':0.03},(79,151):{'3_1':0.03},(79,150):{'3_1':0.0},(79,149):{'3_1':0.0,'5_2':0.0},(79,148):{'3_1':0.03},(79,147):{'3_1':0.0},(79,146):{'3_1':0.03},(79,145):{'3_1':0.0},(79,144):{'3_1':0.0},(79,143):{'3_1':0.0},(79,142):{'3_1':0.06},(79,141):{'3_1':0.0},(79,139):{'3_1':0.0},(79,138):{'3_1':0.0},(79,137):{'3_1':0.0},(79,136):{'3_1':0.0},(79,135):{'3_1':0.0,'4_1':0.0},(79,133):{'3_1':0.0},(79,132):{'3_1':0.0},(79,131):{'3_1':0.0},(79,130):{'3_1':0.0},(79,129):{'3_1':0.0},(79,128):{'3_1':0.0},(79,127):{'3_1':0.0},(79,126):{'3_1':0.0},(79,125):{'3_1':0.0,'5_1':0.0},(79,124):{'3_1':0.0},(79,123):{'3_1':0.0,'5_1':0.0},(79,122):{'3_1':0.0,'4_1':0.0},(79,121):{'3_1':0.0},(79,120):{'3_1':0.0},(79,119):{'3_1':0.0},(79,118):{'3_1':0.0},(80,727):{'5_2':0.66,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(80,726):{'5_2':0.54,'-3':0.06,'5_1':0.0,'7_2':0.0,'3_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(80,725):{'5_2':0.48,'-3':0.15,'7_5':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(80,724):{'5_2':0.6,'7_4':0.03,'5_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(80,723):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(80,722):{'5_2':0.51,'-3':0.12,'5_1':0.06,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(80,721):{'5_2':0.51,'-3':0.09,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'8_8':0.0},(80,720):{'5_2':0.6,'-3':0.12,'3_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0},(80,719):{'5_2':0.51,'-3':0.12,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(80,718):{'5_2':0.45,'-3':0.15,'7_4':0.06,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(80,717):{'5_2':0.51,'-3':0.15,'3_1':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(80,716):{'5_2':0.48,'-3':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(80,715):{'5_2':0.51,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(80,714):{'5_2':0.51,'-3':0.09,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0},(80,713):{'5_2':0.42,'-3':0.15,'7_3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(80,712):{'5_2':0.51,'-3':0.12,'7_4':0.06,'3_1':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(80,711):{'5_2':0.39,'-3':0.18,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(80,710):{'5_2':0.42,'-3':0.21,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'8_19':0.0,'6_1':0.0,'1':-0.03},(80,709):{'5_2':0.42,'-3':0.24,'5_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(80,708):{'5_2':0.51,'-3':0.15,'5_1':0.03,'7_2':0.03,'7_4':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(80,707):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'3_1#5_2':0.0},(80,706):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_6':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(80,705):{'5_2':0.36,'7_4':0.09,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(80,704):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_7':0.0},(80,703):{'5_2':0.36,'-3':0.12,'3_1':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(80,702):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(80,701):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_6':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(80,700):{'5_2':0.39,'-3':0.15,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(80,699):{'5_2':0.39,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_14':0.0,'7_2':0.0,'3_1#5_2':0.0},(80,698):{'5_2':0.48,'-3':0.15,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_11':0.0},(80,697):{'5_2':0.33,'-3':0.18,'3_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(80,696):{'5_2':0.39,'3_1':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(80,695):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_4':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(80,694):{'5_2':0.33,'-3':0.24,'3_1':0.09,'7_3':0.03,'3_1#5_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(80,693):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(80,692):{'5_2':0.33,'3_1':0.18,'-3':0.18,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(80,691):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(80,690):{'5_2':0.24,'3_1':0.21,'7_3':0.06,'7_4':0.06,'-3':0.06,'6_1':0.03,'5_1':0.03,'7_6':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(80,689):{'5_2':0.24,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(80,688):{'5_2':0.3,'3_1':0.21,'-3':0.06,'7_4':0.06,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'1':-0.03},(80,687):{'3_1':0.24,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(80,686):{'3_1':0.24,'5_2':0.24,'-3':0.12,'7_4':0.09,'7_3':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(80,685):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'-3':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(80,684):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'7_3':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(80,683):{'3_1':0.39,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(80,682):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(80,681):{'3_1':0.39,'5_2':0.27,'-3':0.03,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(80,680):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(80,679):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0},(80,678):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(80,677):{'3_1':0.45,'5_2':0.18,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(80,676):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(80,675):{'3_1':0.48,'5_2':0.12,'-3':0.06,'7_4':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_5':0.0,'1':-0.03},(80,674):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_7':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(80,673):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'-3':0.06,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,672):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(80,671):{'3_1':0.54,'5_2':0.06,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(80,670):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'7_7':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(80,669):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(80,668):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_1':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(80,667):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(80,666):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0},(80,665):{'3_1':0.51,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(80,664):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(80,663):{'3_1':0.42,'5_2':0.15,'-3':0.06,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(80,662):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(80,661):{'3_1':0.51,'5_2':0.12,'7_7':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(80,660):{'3_1':0.48,'5_2':0.09,'7_7':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(80,659):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(80,658):{'3_1':0.51,'5_2':0.12,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(80,657):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'9_1':0.0},(80,656):{'3_1':0.36,'5_2':0.18,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(80,655):{'3_1':0.42,'5_2':0.24,'7_7':0.06,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(80,654):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(80,653):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_7':0.03,'7_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(80,652):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'7_7':0.03,'6_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(80,651):{'3_1':0.54,'5_2':0.09,'5_1':0.09,'4_1':0.0,'7_7':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(80,650):{'3_1':0.33,'5_2':0.18,'7_7':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0},(80,649):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(80,648):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(80,647):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(80,646):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_7':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'8_16':0.0,'6_2':0.0},(80,645):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_7':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(80,644):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0},(80,643):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(80,642):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(80,641):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(80,640):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(80,639):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(80,638):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_7':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(80,637):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(80,636):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(80,635):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(80,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(80,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(80,632):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(80,631):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(80,630):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(80,629):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,628):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(80,627):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(80,626):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(80,625):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(80,624):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.03,'7_5':0.0,'7_7':0.0,'-3':0.0},(80,623):{'3_1':0.15,'5_2':0.09,'5_1':0.09,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(80,622):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(80,621):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'6_2':0.0,'7_7':0.0},(80,620):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'3_1#5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(80,619):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_7':0.0},(80,618):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_7':0.0,'3_1#5_1':0.0},(80,617):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(80,616):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0},(80,615):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(80,614):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_16':0.0,'8_19':0.0},(80,613):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0},(80,612):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(80,611):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(80,610):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(80,609):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(80,608):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(80,607):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(80,606):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_7':0.0,'7_1':0.0},(80,605):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0},(80,604):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(80,603):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(80,602):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(80,601):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(80,600):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(80,599):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(80,598):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(80,597):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(80,596):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(80,595):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(80,594):{'3_1':0.18,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(80,593):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(80,592):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(80,591):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,590):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(80,589):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(80,588):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(80,587):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(80,586):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(80,585):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(80,584):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_2':0.0},(80,583):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(80,582):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(80,581):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(80,580):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_6':0.0},(80,579):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(80,578):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(80,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_14':0.0,'-3':0.0},(80,576):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(80,575):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(80,574):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(80,573):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_7':0.0},(80,572):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(80,571):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(80,570):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'8_11':0.0},(80,569):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_7':0.0},(80,568):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(80,567):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'8_6':0.0,'-3':0.0},(80,566):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_6':0.0,'-3':0.0},(80,565):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(80,564):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(80,563):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(80,562):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(80,561):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_3':0.0},(80,560):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_6':0.0},(80,559):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(80,558):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(80,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(80,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(80,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(80,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(80,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,552):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'8_2':0.0},(80,551):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(80,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(80,549):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(80,547):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(80,546):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0},(80,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(80,544):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(80,543):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(80,542):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(80,541):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(80,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(80,539):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(80,538):{'3_1':0.18,'4_1':0.0},(80,537):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_1':0.0},(80,536):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(80,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(80,534):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'7_1':0.0},(80,533):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_3':0.0},(80,532):{'3_1':0.21,'5_1':0.0},(80,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(80,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(80,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(80,527):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(80,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_2':0.0},(80,525):{'3_1':0.12,'5_1':0.0,'7_3':0.0,'4_1':0.0},(80,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(80,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,522):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(80,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(80,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(80,519):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(80,518):{'3_1':0.03,'5_1':0.0},(80,517):{'3_1':0.09,'4_1':0.0},(80,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,515):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(80,514):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(80,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(80,511):{'3_1':0.06},(80,510):{'3_1':0.06,'5_1':0.0},(80,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(80,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,507):{'3_1':0.06},(80,506):{'3_1':0.03},(80,505):{'3_1':0.03},(80,504):{'3_1':0.03},(80,503):{'3_1':0.0},(80,502):{'3_1':0.03,'4_1':0.0},(80,501):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,500):{'3_1':0.0,'5_2':0.0},(80,499):{'3_1':0.12,'5_1':0.0},(80,498):{'3_1':0.06,'6_2':0.0},(80,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,495):{'3_1':0.03,'4_1':0.0},(80,494):{'3_1':0.0,'4_1':0.0},(80,493):{'3_1':0.06,'5_2':0.0},(80,492):{'3_1':0.12,'5_2':0.0},(80,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,490):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(80,489):{'3_1':0.03,'4_1':0.0},(80,488):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,487):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(80,486):{'3_1':0.12,'4_1':0.0},(80,485):{'3_1':0.0,'5_1':0.0},(80,484):{'3_1':0.09},(80,483):{'3_1':0.06,'5_2':0.0},(80,482):{'3_1':0.06,'7_1':0.0,'5_1':0.0},(80,481):{'3_1':0.06,'9_1':0.0},(80,480):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(80,479):{'3_1':0.06,'4_1':0.0},(80,478):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,477):{'3_1':0.0,'4_1':0.0},(80,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,475):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,474):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(80,473):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,472):{'3_1':0.03},(80,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(80,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,469):{'3_1':0.0,'4_1':0.0},(80,468):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(80,467):{'3_1':0.0,'4_1':0.0},(80,466):{'3_1':0.0,'4_1':0.0},(80,465):{'3_1':0.03,'4_1':0.03},(80,464):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,463):{'3_1':0.06,'4_1':0.0},(80,462):{'3_1':0.0},(80,461):{'3_1':0.03},(80,460):{'4_1':0.0},(80,459):{'3_1':0.03},(80,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,457):{'3_1':0.0},(80,456):{'3_1':0.0},(80,455):{'3_1':0.03,'4_1':0.0},(80,454):{'3_1':0.03,'4_1':0.0},(80,453):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,452):{'3_1':0.0,'5_1':0.0},(80,451):{'3_1':0.03,'5_1':0.0},(80,450):{'3_1':0.0,'6_3':0.0},(80,449):{'3_1':0.0,'5_2':0.0},(80,448):{'5_1':0.03,'4_1':0.0,'3_1':0.0},(80,447):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,446):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,445):{'3_1':0.0,'4_1':0.0},(80,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,443):{'3_1':0.0,'4_1':0.0},(80,442):{'3_1':0.03},(80,440):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,439):{'3_1':0.06,'4_1':0.0},(80,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(80,437):{'3_1':0.06},(80,436):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(80,435):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,434):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,433):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(80,432):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(80,431):{'3_1':0.03},(80,430):{'3_1':0.03,'4_1':0.0},(80,429):{'3_1':0.0,'4_1':0.0},(80,428):{'3_1':0.06,'4_1':0.03},(80,427):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(80,426):{'3_1':0.03,'6_1':0.0},(80,425):{'3_1':0.06,'4_1':0.0},(80,424):{'3_1':0.0},(80,423):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(80,422):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(80,421):{'3_1':0.0,'4_1':0.0},(80,420):{'3_1':0.0},(80,419):{'3_1':0.03,'4_1':0.0},(80,418):{'3_1':0.03,'4_1':0.03},(80,417):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0},(80,416):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,415):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(80,414):{'3_1':0.0,'4_1':0.0},(80,413):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,412):{'3_1':0.03,'6_1':0.0,'5_2':0.0},(80,411):{'3_1':0.0,'4_1':0.0},(80,410):{'3_1':0.0},(80,409):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(80,408):{'3_1':0.03},(80,407):{'4_1':0.0,'3_1':0.0},(80,406):{'3_1':0.0},(80,405):{'3_1':0.0,'4_1':0.0},(80,404):{'3_1':0.03,'4_1':0.0},(80,403):{'3_1':0.0,'6_2':0.0},(80,402):{'3_1':0.0},(80,401):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(80,400):{'3_1':0.03},(80,399):{'3_1':0.0},(80,398):{'3_1':0.0,'6_1':0.0},(80,397):{'3_1':0.03,'4_1':0.0},(80,396):{'3_1':0.03},(80,395):{'3_1':0.0},(80,394):{'3_1':0.0},(80,393):{'3_1':0.03},(80,392):{'3_1':0.0},(80,391):{'3_1':0.0,'5_2':0.0},(80,390):{'3_1':0.0},(80,389):{'3_1':0.0,'4_1':0.0},(80,388):{'3_1':0.0,'4_1':0.0},(80,387):{'3_1':0.03},(80,386):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(80,385):{'3_1':0.0},(80,384):{'3_1':0.0},(80,383):{'3_1':0.03},(80,382):{'3_1':0.0,'6_1':0.0},(80,381):{'3_1':0.0},(80,380):{'3_1':0.03,'4_1':0.0},(80,379):{'3_1':0.0},(80,378):{'3_1':0.03,'4_1':0.0},(80,377):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(80,376):{'3_1':0.03,'6_3':0.0,'7_6':0.0},(80,375):{'3_1':0.06,'5_1':0.0},(80,374):{'3_1':0.03,'5_1':0.0},(80,373):{'3_1':0.0,'4_1':0.0},(80,372):{'3_1':0.0},(80,371):{'3_1':0.0,'4_1':0.0},(80,370):{'3_1':0.03},(80,369):{'3_1':0.0,'4_1':0.0},(80,368):{'3_1':0.0,'4_1':0.0},(80,367):{'3_1':0.0,'4_1':0.0},(80,366):{'3_1':0.0},(80,365):{'3_1':0.0,'4_1':0.0},(80,364):{'3_1':0.0,'6_2':0.0},(80,363):{'3_1':0.0},(80,362):{'4_1':0.03,'3_1':0.0},(80,361):{'3_1':0.0,'9_1':0.0},(80,360):{'3_1':0.0},(80,359):{'3_1':0.0},(80,358):{'5_1':0.0,'7_1':0.0},(80,357):{'4_1':0.0},(80,356):{'4_1':0.0},(80,355):{'3_1':0.0,'4_1':0.0},(80,354):{'4_1':0.0},(80,353):{'3_1':0.0},(80,352):{'3_1':0.0},(80,350):{'4_1':0.0},(80,349):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,348):{'4_1':0.0},(80,347):{'3_1':0.0,'4_1':0.0},(80,346):{'3_1':0.0},(80,345):{'3_1':0.0},(80,344):{'4_1':0.0},(80,343):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,342):{'3_1':0.0,'4_1':0.0},(80,341):{'3_1':0.0},(80,340):{'7_3':0.0},(80,339):{'3_1':0.0},(80,338):{'3_1':0.0},(80,337):{'3_1':0.0},(80,335):{'3_1':0.0,'4_1':0.0},(80,334):{'4_1':0.0,'3_1':0.0},(80,333):{'3_1':0.0,'4_1':0.0},(80,332):{'3_1':0.0},(80,331):{'3_1':0.03,'4_1':0.0},(80,328):{'3_1':0.0},(80,327):{'3_1':0.0},(80,326):{'3_1':0.0},(80,325):{'3_1':0.0},(80,324):{'4_1':0.0},(80,323):{'3_1':0.0},(80,322):{'3_1':0.0},(80,321):{'3_1':0.03,'4_1':0.03},(80,320):{'3_1':0.0},(80,319):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,318):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,317):{'3_1':0.0,'-3':0.0},(80,316):{'3_1':0.0,'4_1':0.0},(80,315):{'3_1':0.0,'4_1':0.0},(80,314):{'3_1':0.0},(80,312):{'3_1':0.0,'4_1':0.0},(80,311):{'3_1':0.03,'8_20|3_1#3_1':0.0},(80,310):{'3_1':0.03,'4_1':0.0},(80,308):{'3_1':0.0,'4_1':0.0},(80,307):{'3_1':0.03},(80,306):{'5_1':0.0,'3_1':0.0},(80,305):{'4_1':0.0},(80,304):{'3_1':0.0},(80,303):{'3_1':0.03,'4_1':0.0},(80,301):{'3_1':0.0},(80,300):{'3_1':0.0},(80,299):{'3_1':0.0},(80,298):{'3_1':0.0},(80,297):{'3_1':0.0},(80,296):{'3_1':0.0},(80,295):{'3_1':0.0},(80,294):{'3_1':0.0,'5_1':0.0},(80,293):{'3_1':0.0,'4_1':0.0},(80,292):{'5_2':0.0},(80,291):{'3_1':0.0},(80,290):{'3_1':0.0},(80,289):{'3_1':0.0,'7_3':0.0},(80,288):{'3_1':0.0,'4_1':0.0},(80,287):{'3_1':0.0},(80,286):{'3_1':0.0,'5_2':0.0},(80,285):{'3_1':0.03},(80,284):{'3_1':0.0},(80,283):{'3_1':0.0,'4_1':0.0},(80,282):{'3_1':0.0},(80,281):{'3_1':0.0},(80,280):{'3_1':0.0,'4_1':0.0},(80,279):{'3_1':0.03,'8_1':0.0},(80,278):{'3_1':0.03},(80,277):{'3_1':0.0,'7_3':0.0},(80,276):{'3_1':0.03},(80,275):{'3_1':0.0,'8_11':0.0},(80,274):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,273):{'3_1':0.03},(80,272):{'3_1':0.03,'4_1':0.0},(80,271):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,270):{'3_1':0.03,'6_2':0.0},(80,269):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,268):{'3_1':0.0,'4_1':0.0},(80,267):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,266):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(80,265):{'3_1':0.03},(80,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,263):{'3_1':0.0,'4_1':0.0},(80,262):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(80,261):{'3_1':0.0,'4_1':0.0},(80,260):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,259):{'3_1':0.0},(80,258):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(80,257):{'3_1':0.0},(80,256):{'4_1':0.0,'3_1':0.0},(80,255):{'3_1':0.0},(80,254):{'3_1':0.0,'4_1':0.0},(80,253):{'3_1':0.0},(80,252):{'4_1':0.0},(80,251):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,250):{'4_1':0.0},(80,249):{'4_1':0.0,'3_1':0.0},(80,248):{'4_1':0.0},(80,247):{'4_1':0.03,'3_1':0.0},(80,246):{'4_1':0.0,'3_1':0.0},(80,245):{'3_1':0.0},(80,244):{'3_1':0.03},(80,243):{'3_1':0.0},(80,242):{'3_1':0.0},(80,241):{'3_1':0.03,'4_1':0.0},(80,240):{'3_1':0.0,'4_1':0.0},(80,239):{'3_1':0.0},(80,238):{'3_1':0.03,'4_1':0.03},(80,237):{'5_2':0.0,'3_1':0.0},(80,236):{'3_1':0.03,'4_1':0.03},(80,235):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,234):{'4_1':0.0,'3_1':0.0},(80,233):{'3_1':0.0,'4_1':0.0},(80,232):{'3_1':0.0,'4_1':0.0},(80,231):{'3_1':0.0,'4_1':0.0},(80,230):{'3_1':0.03,'4_1':0.0},(80,229):{'3_1':0.0},(80,228):{'4_1':0.0,'3_1':0.0},(80,227):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(80,226):{'3_1':0.0,'4_1':0.0},(80,225):{'3_1':0.03,'4_1':0.0},(80,224):{'3_1':0.0,'4_1':0.0},(80,223):{'3_1':0.0,'4_1':0.0},(80,222):{'3_1':0.0,'4_1':0.0},(80,221):{'3_1':0.0},(80,220):{'3_1':0.03},(80,219):{'4_1':0.0,'3_1':0.0},(80,218):{'3_1':0.0,'4_1':0.0},(80,216):{'3_1':0.0},(80,215):{'3_1':0.0},(80,214):{'3_1':0.0},(80,213):{'3_1':0.0},(80,212):{'3_1':0.0},(80,211):{'3_1':0.0,'6_1':0.0},(80,209):{'3_1':0.0,'5_2':0.0},(80,208):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(80,207):{'3_1':0.0,'4_1':0.0},(80,206):{'3_1':0.0},(80,205):{'3_1':0.0},(80,204):{'3_1':0.0,'4_1':0.0},(80,203):{'3_1':0.0},(80,201):{'3_1':0.0},(80,200):{'3_1':0.0,'4_1':0.0},(80,199):{'3_1':0.0,'4_1':0.0},(80,197):{'3_1':0.0},(80,196):{'4_1':0.0},(80,195):{'3_1':0.0},(80,194):{'3_1':0.0},(80,192):{'3_1':0.0,'4_1':0.0},(80,191):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(80,189):{'3_1':0.0},(80,188):{'3_1':0.0},(80,187):{'4_1':0.0},(80,186):{'4_1':0.0},(80,185):{'3_1':0.0},(80,179):{'3_1':0.0,'4_1':0.0},(80,177):{'3_1':0.0},(80,176):{'3_1':0.0},(80,175):{'4_1':0.0,'5_2':0.0},(80,174):{'3_1':0.0,'4_1':0.0},(80,173):{'3_1':0.03,'5_2':0.0},(80,171):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(80,170):{'3_1':0.0,'5_2':0.0},(80,169):{'3_1':0.0,'4_1':0.0},(80,168):{'3_1':0.0,'4_1':0.0},(80,167):{'3_1':0.03,'4_1':0.0},(80,166):{'3_1':0.03,'6_2':0.0,'7_3':0.0},(80,165):{'3_1':0.0,'5_2':0.0},(80,164):{'3_1':0.0},(80,163):{'3_1':0.0},(80,162):{'3_1':0.03},(80,161):{'3_1':0.0},(80,160):{'3_1':0.0},(80,159):{'3_1':0.0},(80,158):{'3_1':0.03},(80,157):{'3_1':0.03,'4_1':0.0},(80,156):{'3_1':0.0},(80,155):{'3_1':0.0},(80,154):{'3_1':0.03},(80,153):{'3_1':0.06,'4_1':0.0},(80,152):{'3_1':0.0},(80,151):{'3_1':0.0},(80,150):{'3_1':0.0,'4_1':0.0},(80,149):{'3_1':0.03,'4_1':0.0},(80,148):{'3_1':0.0},(80,147):{'3_1':0.0},(80,146):{'3_1':0.03},(80,145):{'3_1':0.0},(80,144):{'3_1':0.0},(80,142):{'3_1':0.0},(80,140):{'3_1':0.0},(80,139):{'3_1':0.0},(80,138):{'7_1':0.0},(80,137):{'5_1':0.0},(80,134):{'3_1':0.0},(80,133):{'3_1':0.0},(80,132):{'4_1':0.0},(80,128):{'3_1':0.03},(80,127):{'3_1':0.0,'4_1':0.0},(80,126):{'3_1':0.0},(80,125):{'3_1':0.0,'5_1':0.0},(80,124):{'3_1':0.03},(80,123):{'5_1':0.0},(80,122):{'3_1':0.0},(80,121):{'3_1':0.0},(80,120):{'3_1':0.0},(80,119):{'3_1':0.0,'5_1':0.0},(80,118):{'3_1':0.0},(80,116):{'3_1':0.0},(80,111):{'3_1':0.0},(81,727):{'5_2':0.57,'-3':0.15,'7_5':0.03,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(81,726):{'5_2':0.54,'-3':0.12,'7_4':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(81,725):{'5_2':0.51,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_6':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(81,724):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(81,723):{'5_2':0.57,'-3':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_11':0.0,'8_19':0.0},(81,722):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(81,721):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_2':0.0},(81,720):{'5_2':0.57,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0},(81,719):{'5_2':0.51,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_11':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0},(81,718):{'5_2':0.51,'-3':0.15,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(81,717):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(81,716):{'5_2':0.51,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(81,715):{'5_2':0.6,'-3':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'7_2':0.0},(81,714):{'5_2':0.54,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_19':0.0},(81,713):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0},(81,712):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_4':0.09,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'3_1#5_2':0.0,'2':-0.03},(81,711):{'5_2':0.48,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(81,710):{'5_2':0.39,'-3':0.21,'7_5':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'1':-0.03},(81,709):{'5_2':0.45,'-3':0.21,'7_5':0.03,'5_1':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'7_6':0.0,'8_11':0.0,'2':-0.03},(81,708):{'5_2':0.45,'-3':0.18,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(81,707):{'5_2':0.42,'3_1':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'7_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'1':-0.03},(81,706):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(81,705):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(81,704):{'5_2':0.42,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(81,703):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(81,702):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(81,701):{'5_2':0.42,'-3':0.15,'7_4':0.06,'3_1':0.06,'7_3':0.03,'7_6':0.03,'7_5':0.0,'7_2':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(81,700):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(81,699):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'1':-0.03},(81,698):{'5_2':0.36,'-3':0.15,'3_1':0.06,'7_3':0.06,'7_2':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(81,697):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0},(81,696):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(81,695):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_6':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(81,694):{'5_2':0.33,'-3':0.12,'3_1':0.12,'7_3':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(81,693):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0},(81,692):{'5_2':0.33,'3_1':0.21,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(81,691):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_3':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(81,690):{'5_2':0.33,'3_1':0.18,'-3':0.15,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(81,689):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_7':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(81,688):{'5_2':0.3,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.06,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0},(81,687):{'5_2':0.27,'3_1':0.18,'-3':0.12,'7_4':0.09,'7_3':0.06,'7_2':0.03,'7_7':0.0,'4_1':0.0,'8_15':0.0},(81,686):{'5_2':0.24,'3_1':0.21,'-3':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(81,685):{'3_1':0.33,'5_2':0.3,'-3':0.03,'7_3':0.03,'7_7':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0},(81,684):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'9_1':0.0},(81,683):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'5_1':0.03,'7_1':0.03,'7_7':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(81,682):{'3_1':0.39,'5_2':0.21,'7_4':0.12,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0},(81,681):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'7_7':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0},(81,680):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(81,679):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(81,678):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'7_7':0.03,'7_3':0.03,'-3':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0},(81,677):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(81,676):{'3_1':0.48,'7_4':0.09,'5_2':0.09,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_2':0.0,'8_19':0.0},(81,675):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(81,674):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_7':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(81,673):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(81,672):{'3_1':0.6,'5_2':0.09,'7_3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0},(81,671):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_3':0.0},(81,670):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(81,669):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(81,668):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(81,667):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(81,666):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0},(81,665):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.03,'7_7':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(81,664):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(81,663):{'3_1':0.51,'5_2':0.15,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(81,662):{'3_1':0.45,'5_2':0.18,'-3':0.03,'5_1':0.03,'7_7':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(81,661):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(81,660):{'3_1':0.54,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_13':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(81,659):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(81,658):{'3_1':0.45,'5_2':0.12,'7_7':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(81,657):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_16':0.0},(81,656):{'3_1':0.42,'5_2':0.15,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,655):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_7':0.06,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0},(81,654):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,653):{'3_1':0.54,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(81,652):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(81,651):{'3_1':0.48,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(81,650):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(81,649):{'3_1':0.36,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(81,648):{'3_1':0.39,'5_2':0.09,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(81,647):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'7_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,646):{'3_1':0.3,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(81,645):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(81,644):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(81,643):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(81,642):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(81,641):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_10':0.0,'8_19':0.0},(81,640):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,639):{'3_1':0.18,'5_2':0.15,'7_1':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(81,638):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(81,637):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0},(81,636):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(81,635):{'5_2':0.18,'3_1':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(81,634):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0},(81,633):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0},(81,632):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0},(81,631):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'-3':0.0},(81,630):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(81,629):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(81,628):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0},(81,627):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_3':0.0,'7_3':0.0},(81,626):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.03,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(81,625):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_7':0.0,'-3':0.0},(81,624):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(81,623):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(81,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(81,621):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(81,620):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_7':0.0},(81,619):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0},(81,618):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'3_1#5_1':0.0,'-3':0.0},(81,617):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0},(81,616):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_7':0.0,'8_19':0.0},(81,615):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_7':0.0,'8_11':0.0},(81,614):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0},(81,613):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_6':0.0},(81,612):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0},(81,611):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.03,'-3':0.0},(81,610):{'3_1':0.15,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0},(81,609):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(81,608):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(81,607):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_1':0.0},(81,606):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(81,605):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_13':0.0},(81,604):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'7_3':0.0},(81,603):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(81,602):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(81,601):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_7':0.0},(81,600):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'9_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(81,599):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(81,598):{'3_1':0.12,'4_1':0.12,'6_2':0.0},(81,597):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(81,596):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(81,595):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(81,594):{'3_1':0.18,'4_1':0.18,'5_1':0.0,'6_2':0.0},(81,593):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,592):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(81,591):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(81,590):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0},(81,589):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0},(81,588):{'4_1':0.21,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(81,587):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0},(81,586):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(81,585):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(81,584):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(81,583):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(81,582):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(81,581):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0},(81,580):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(81,579):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0},(81,578):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(81,577):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,576):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'5_2':0.03,'7_6':0.0},(81,575):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(81,574):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(81,573):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0},(81,572):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(81,571):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(81,570):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0},(81,569):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,568):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'7_3':0.0},(81,567):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_3':0.0},(81,566):{'3_1':0.06,'4_1':0.06,'6_2':0.0},(81,565):{'3_1':0.09,'4_1':0.06,'7_5':0.0,'7_6':0.0},(81,564):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,563):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(81,562):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,561):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(81,560):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(81,559):{'3_1':0.12,'4_1':0.12},(81,558):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0},(81,557):{'3_1':0.09,'4_1':0.03,'7_6':0.0},(81,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(81,555):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(81,554):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(81,553):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(81,552):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(81,551):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(81,550):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(81,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,548):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(81,547):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0},(81,546):{'3_1':0.12,'5_2':0.03,'6_3':0.0,'4_1':0.0},(81,545):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(81,544):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(81,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(81,542):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(81,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(81,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(81,538):{'3_1':0.27,'4_1':0.0},(81,537):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(81,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(81,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(81,534):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(81,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(81,532):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(81,531):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(81,530):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(81,529):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(81,528):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(81,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(81,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,525):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(81,524):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(81,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(81,522):{'3_1':0.06,'4_1':0.0},(81,521):{'3_1':0.03},(81,520):{'3_1':0.09,'4_1':0.0},(81,519):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,518):{'3_1':0.06,'4_1':0.0},(81,517):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(81,516):{'3_1':0.09,'4_1':0.0},(81,515):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(81,514):{'3_1':0.06,'4_1':0.0},(81,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,512):{'3_1':0.09,'4_1':0.0},(81,511):{'3_1':0.0,'4_1':0.0},(81,510):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_4':0.0},(81,509):{'3_1':0.06,'4_1':0.0},(81,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,507):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(81,506):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(81,505):{'3_1':0.03,'4_1':0.0},(81,504):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(81,503):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,502):{'3_1':0.0,'4_1':0.0},(81,501):{'3_1':0.03,'5_2':0.0},(81,500):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,499):{'3_1':0.03},(81,498):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(81,497):{'3_1':0.03},(81,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,495):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(81,494):{'3_1':0.06,'4_1':0.0},(81,493):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(81,492):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,491):{'3_1':0.09,'4_1':0.0},(81,490):{'3_1':0.06,'4_1':0.0},(81,489):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(81,488):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(81,487):{'3_1':0.03,'4_1':0.0},(81,486):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(81,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(81,484):{'3_1':0.09,'4_1':0.0},(81,483):{'3_1':0.09,'7_1':0.0,'5_2':0.0,'6_3':0.0},(81,482):{'3_1':0.03,'5_2':0.0},(81,481):{'3_1':0.09,'4_1':0.0},(81,480):{'3_1':0.06,'4_1':0.0},(81,479):{'3_1':0.09},(81,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,477):{'3_1':0.0},(81,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(81,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(81,474):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,473):{'3_1':0.06},(81,472):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,471):{'3_1':0.09},(81,470):{'3_1':0.06,'4_1':0.0},(81,469):{'3_1':0.06,'4_1':0.0},(81,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(81,467):{'3_1':0.03,'4_1':0.0},(81,466):{'3_1':0.03,'4_1':0.0},(81,465):{'3_1':0.0},(81,464):{'3_1':0.03},(81,463):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(81,462):{'3_1':0.0},(81,461):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(81,460):{'3_1':0.03,'4_1':0.0},(81,459):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(81,458):{'3_1':0.03,'4_1':0.0},(81,457):{'3_1':0.03,'8_20|3_1#3_1':0.0},(81,456):{'3_1':0.03},(81,455):{'3_1':0.03},(81,454):{'3_1':0.0},(81,453):{'3_1':0.0},(81,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,451):{'3_1':0.03,'5_1':0.0},(81,450):{'3_1':0.0,'4_1':0.0},(81,449):{'3_1':0.03,'4_1':0.0},(81,448):{'3_1':0.03,'5_1':0.0},(81,447):{'3_1':0.0,'4_1':0.0},(81,446):{'3_1':0.0},(81,445):{'3_1':0.03,'5_1':0.0},(81,444):{'3_1':0.0,'4_1':0.0},(81,443):{'3_1':0.0,'5_2':0.0},(81,442):{'3_1':0.0},(81,441):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(81,440):{'3_1':0.03},(81,439):{'3_1':0.0,'5_1':0.0},(81,438):{'3_1':0.0,'5_1':0.0},(81,437):{'3_1':0.0,'5_2':0.0},(81,436):{'3_1':0.06,'5_2':0.0},(81,435):{'3_1':0.03,'4_1':0.0},(81,434):{'3_1':0.0,'5_1':0.0},(81,433):{'3_1':0.06,'6_2':0.0},(81,432):{'3_1':0.0,'4_1':0.0},(81,431):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(81,430):{'3_1':0.03,'4_1':0.0},(81,429):{'3_1':0.0,'4_1':0.0},(81,428):{'3_1':0.06,'4_1':0.0},(81,427):{'3_1':0.0,'5_2':0.0},(81,426):{'3_1':0.09,'7_1':0.0},(81,425):{'3_1':0.03},(81,424):{'3_1':0.03,'4_1':0.0},(81,423):{'3_1':0.03,'4_1':0.0},(81,422):{'3_1':0.03,'4_1':0.0},(81,421):{'4_1':0.0,'3_1':0.0},(81,420):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(81,419):{'3_1':0.03,'4_1':0.0},(81,418):{'3_1':0.0},(81,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(81,416):{'3_1':0.03},(81,415):{'3_1':0.0},(81,414):{'3_1':0.03,'4_1':0.0},(81,413):{'3_1':0.0,'6_1':0.0,'5_1':0.0},(81,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,411):{'3_1':0.0,'4_1':0.0},(81,410):{'3_1':0.0,'4_1':0.0},(81,409):{'5_2':0.0},(81,408):{'3_1':0.0},(81,407):{'3_1':0.03},(81,406):{'3_1':0.0},(81,405):{'3_1':0.0},(81,404):{'3_1':0.0},(81,403):{'3_1':0.0},(81,402):{'3_1':0.0,'6_1':0.0},(81,401):{'3_1':0.03},(81,400):{'3_1':0.0},(81,399):{'3_1':0.03},(81,398):{'3_1':0.03},(81,397):{'3_1':0.0,'4_1':0.0},(81,396):{'3_1':0.0,'6_1':0.0},(81,395):{'3_1':0.03},(81,394):{'3_1':0.0},(81,393):{'3_1':0.0,'5_2':0.0},(81,390):{'3_1':0.0},(81,389):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(81,388):{'3_1':0.0,'4_1':0.0},(81,387):{'3_1':0.0,'4_1':0.0},(81,385):{'3_1':0.0,'6_1':0.0},(81,384):{'3_1':0.0},(81,383):{'3_1':0.0},(81,382):{'3_1':0.03},(81,381):{'3_1':0.03},(81,380):{'3_1':0.03,'4_1':0.0},(81,379):{'3_1':0.0},(81,378):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(81,377):{'3_1':0.03},(81,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(81,375):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(81,374):{'3_1':0.0},(81,373):{'3_1':0.06},(81,372):{'3_1':0.0,'4_1':0.0},(81,371):{'3_1':0.0,'4_1':0.0},(81,370):{'7_1':0.0},(81,369):{'3_1':0.03,'4_1':0.0},(81,368):{'4_1':0.0,'3_1':0.0},(81,367):{'3_1':0.0,'4_1':0.0},(81,366):{'3_1':0.0},(81,365):{'3_1':0.0,'4_1':0.0},(81,364):{'3_1':0.0},(81,363):{'3_1':0.0,'4_1':0.0},(81,362):{'3_1':0.0},(81,361):{'3_1':0.03},(81,360):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,359):{'3_1':0.03,'4_1':0.0},(81,358):{'3_1':0.03,'4_1':0.0},(81,356):{'3_1':0.0,'6_1':0.0},(81,355):{'3_1':0.0},(81,354):{'3_1':0.03,'4_1':0.0},(81,353):{'3_1':0.0,'4_1':0.0},(81,352):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(81,350):{'3_1':0.03},(81,349):{'3_1':0.0},(81,348):{'3_1':0.0},(81,347):{'3_1':0.0,'4_1':0.0},(81,346):{'3_1':0.0,'5_2':0.0,'8_1':0.0},(81,345):{'3_1':0.03,'4_1':0.0},(81,343):{'3_1':0.0},(81,342):{'3_1':0.0},(81,341):{'3_1':0.0},(81,340):{'3_1':0.0},(81,339):{'3_1':0.0},(81,338):{'3_1':0.0,'7_3':0.0},(81,337):{'3_1':0.0,'-3':0.0},(81,336):{'3_1':0.0,'4_1':0.0},(81,335):{'3_1':0.0},(81,334):{'3_1':0.0,'9_1':0.0},(81,332):{'3_1':0.0},(81,331):{'4_1':0.0},(81,330):{'3_1':0.03},(81,329):{'3_1':0.0},(81,328):{'3_1':0.0},(81,327):{'3_1':0.03},(81,326):{'3_1':0.0},(81,325):{'4_1':0.0},(81,324):{'3_1':0.0,'5_1':0.0},(81,323):{'3_1':0.0},(81,322):{'3_1':0.03,'4_1':0.0},(81,321):{'3_1':0.0},(81,320):{'3_1':0.0},(81,319):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,317):{'5_2':0.0},(81,316):{'3_1':0.0},(81,315):{'3_1':0.03,'5_1':0.0},(81,314):{'3_1':0.0},(81,313):{'3_1':0.0},(81,312):{'3_1':0.0},(81,311):{'3_1':0.0},(81,310):{'3_1':0.0,'4_1':0.0},(81,309):{'3_1':0.0,'4_1':0.0},(81,308):{'3_1':0.03,'5_1':0.0},(81,307):{'3_1':0.0},(81,306):{'3_1':0.0,'5_1':0.0},(81,305):{'4_1':0.0},(81,304):{'3_1':0.06,'4_1':0.0},(81,303):{'3_1':0.03,'5_1':0.0},(81,302):{'3_1':0.0,'4_1':0.0},(81,301):{'3_1':0.03,'5_1':0.0},(81,300):{'3_1':0.0},(81,299):{'3_1':0.0},(81,298):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,297):{'3_1':0.0},(81,296):{'3_1':0.03,'5_1':0.0},(81,295):{'3_1':0.0},(81,293):{'3_1':0.0,'5_1':0.0},(81,292):{'3_1':0.0},(81,291):{'3_1':0.0,'5_2':0.0},(81,290):{'3_1':0.0},(81,289):{'3_1':0.0,'4_1':0.0},(81,288):{'3_1':0.0},(81,287):{'3_1':0.0},(81,286):{'3_1':0.0},(81,284):{'3_1':0.0},(81,283):{'3_1':0.0},(81,282):{'3_1':0.0,'4_1':0.0},(81,281):{'3_1':0.0},(81,280):{'3_1':0.0},(81,279):{'3_1':0.0,'4_1':0.0},(81,278):{'3_1':0.0},(81,277):{'3_1':0.0},(81,276):{'3_1':0.0,'4_1':0.0},(81,275):{'3_1':0.0,'4_1':0.0},(81,274):{'3_1':0.06},(81,273):{'4_1':0.0,'3_1':0.0},(81,272):{'3_1':0.03,'4_1':0.0},(81,271):{'3_1':0.03},(81,270):{'3_1':0.0},(81,269):{'4_1':0.0},(81,268):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(81,267):{'3_1':0.0},(81,266):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(81,265):{'3_1':0.0},(81,264):{'3_1':0.03,'5_2':0.0},(81,263):{'3_1':0.0},(81,262):{'4_1':0.0},(81,261):{'3_1':0.0},(81,260):{'3_1':0.0},(81,258):{'3_1':0.0},(81,257):{'4_1':0.0,'3_1':0.0},(81,256):{'3_1':0.0},(81,255):{'3_1':0.0},(81,254):{'3_1':0.0,'4_1':0.0},(81,253):{'3_1':0.0,'4_1':0.0},(81,252):{'3_1':0.0},(81,251):{'4_1':0.0},(81,250):{'3_1':0.0},(81,249):{'3_1':0.03},(81,248):{'3_1':0.0},(81,247):{'3_1':0.0},(81,246):{'3_1':0.0,'4_1':0.0},(81,245):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(81,244):{'3_1':0.0},(81,243):{'3_1':0.0},(81,242):{'3_1':0.0,'4_1':0.0},(81,241):{'3_1':0.0},(81,240):{'3_1':0.03},(81,239):{'3_1':0.0},(81,238):{'3_1':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0},(81,237):{'3_1':0.0,'4_1':0.0},(81,236):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(81,235):{'3_1':0.0},(81,234):{'3_1':0.0,'4_1':0.0},(81,233):{'3_1':0.03,'5_1':0.0},(81,231):{'3_1':0.0,'5_2':0.0},(81,230):{'3_1':0.0,'4_1':0.0},(81,229):{'3_1':0.0,'4_1':0.0},(81,228):{'3_1':0.0},(81,227):{'3_1':0.0,'4_1':0.0},(81,226):{'3_1':0.0},(81,225):{'3_1':0.03,'4_1':0.0},(81,224):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(81,223):{'3_1':0.0},(81,222):{'3_1':0.0,'4_1':0.0},(81,221):{'3_1':0.0},(81,220):{'3_1':0.0},(81,219):{'4_1':0.0,'3_1':0.0},(81,218):{'3_1':0.0},(81,217):{'3_1':0.0,'4_1':0.0},(81,216):{'3_1':0.03,'4_1':0.0},(81,213):{'3_1':0.0},(81,212):{'3_1':0.0},(81,211):{'3_1':0.0},(81,210):{'3_1':0.0},(81,209):{'3_1':0.0},(81,208):{'3_1':0.0},(81,207):{'3_1':0.0},(81,206):{'3_1':0.0},(81,205):{'3_1':0.0,'5_1':0.0},(81,204):{'3_1':0.0},(81,203):{'3_1':0.0},(81,195):{'3_1':0.0},(81,194):{'3_1':0.0},(81,193):{'3_1':0.0},(81,192):{'3_1':0.0},(81,191):{'3_1':0.0,'5_2':0.0},(81,187):{'3_1':0.0,'4_1':0.0},(81,185):{'3_1':0.0},(81,184):{'5_2':0.0},(81,183):{'3_1':0.0},(81,182):{'3_1':0.0,'4_1':0.0},(81,180):{'3_1':0.0},(81,179):{'5_2':0.0},(81,171):{'3_1':0.0},(81,170):{'3_1':0.0},(81,169):{'3_1':0.0},(81,168):{'3_1':0.0,'4_1':0.0},(81,167):{'3_1':0.03,'4_1':0.0},(81,164):{'3_1':0.03},(81,163):{'3_1':0.0},(81,162):{'3_1':0.0},(81,161):{'3_1':0.03},(81,160):{'3_1':0.03},(81,159):{'3_1':0.03},(81,158):{'3_1':0.03},(81,157):{'3_1':0.0},(81,156):{'3_1':0.03,'7_2':0.0},(81,155):{'3_1':0.0},(81,154):{'3_1':0.06},(81,153):{'3_1':0.06},(81,152):{'3_1':0.06},(81,151):{'3_1':0.06,'4_1':0.0},(81,150):{'3_1':0.0,'4_1':0.0},(81,149):{'3_1':0.06},(81,148):{'3_1':0.03},(81,147):{'3_1':0.06},(81,146):{'3_1':0.03},(81,145):{'3_1':0.0},(81,144):{'3_1':0.0},(81,143):{'3_1':0.0},(81,141):{'3_1':0.0},(81,139):{'5_1':0.0},(81,137):{'5_1':0.0},(81,136):{'3_1':0.0},(81,134):{'3_1':0.0},(81,133):{'5_1':0.0},(81,131):{'3_1':0.0},(81,130):{'3_1':0.0},(81,129):{'5_1':0.0},(81,128):{'3_1':0.0},(81,127):{'3_1':0.0},(81,126):{'3_1':0.0,'5_1':0.0},(81,125):{'3_1':0.0},(81,124):{'3_1':0.0,'5_1':0.0},(81,122):{'3_1':0.0,'5_1':0.0},(81,121):{'3_1':0.0},(81,120):{'3_1':0.0},(81,119):{'3_1':0.0},(81,107):{'3_1':0.0},(82,727):{'5_2':0.57,'-3':0.12,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(82,726):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'1':-0.03},(82,725):{'5_2':0.57,'-3':0.12,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(82,724):{'5_2':0.48,'-3':0.09,'7_2':0.06,'7_4':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(82,723):{'5_2':0.57,'-3':0.12,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0},(82,722):{'5_2':0.57,'-3':0.12,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(82,721):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0},(82,720):{'5_2':0.54,'-3':0.15,'7_2':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(82,719):{'5_2':0.54,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_15':0.0},(82,718):{'5_2':0.54,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(82,717):{'5_2':0.54,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(82,716):{'5_2':0.54,'7_4':0.09,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0},(82,715):{'5_2':0.6,'7_4':0.06,'-3':0.06,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'8_11':0.0,'1':-0.03},(82,714):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_8':0.0},(82,713):{'5_2':0.54,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(82,712):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0},(82,711):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(82,710):{'5_2':0.39,'-3':0.15,'7_5':0.06,'5_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'2':-0.03},(82,709):{'5_2':0.45,'-3':0.18,'5_1':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(82,708):{'5_2':0.45,'-3':0.18,'7_2':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(82,707):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(82,706):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(82,705):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(82,704):{'5_2':0.51,'-3':0.09,'7_3':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(82,703):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_6':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'8_19':0.0},(82,702):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(82,701):{'5_2':0.51,'-3':0.15,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(82,700):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(82,699):{'5_2':0.48,'-3':0.12,'3_1':0.09,'3_1#5_2':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(82,698):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_3':0.06,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(82,697):{'5_2':0.3,'-3':0.24,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.0,'7_7':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(82,696):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(82,695):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0},(82,694):{'5_2':0.36,'-3':0.15,'3_1':0.15,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(82,693):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(82,692):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(82,691):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.03,'3_1#5_2':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(82,690):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(82,689):{'5_2':0.36,'3_1':0.15,'7_3':0.09,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_1':0.03,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(82,688):{'5_2':0.33,'3_1':0.21,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(82,687):{'5_2':0.33,'3_1':0.24,'7_3':0.06,'-3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(82,686):{'3_1':0.36,'5_2':0.33,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_11':0.0,'5_1':0.0,'7_5':0.0},(82,685):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(82,684):{'3_1':0.36,'5_2':0.36,'7_4':0.06,'-3':0.03,'7_7':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(82,683):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0},(82,682):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'7_3':0.06,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(82,681):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(82,680):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(82,679):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(82,678):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(82,677):{'3_1':0.36,'5_2':0.27,'7_4':0.09,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(82,676):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(82,675):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'7_7':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(82,674):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'7_7':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(82,673):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(82,672):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(82,671):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(82,670):{'3_1':0.54,'5_2':0.15,'7_3':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(82,669):{'3_1':0.45,'5_2':0.18,'7_7':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(82,668):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0},(82,667):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(82,666):{'3_1':0.54,'5_2':0.21,'7_7':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(82,665):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_7':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0},(82,664):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(82,663):{'3_1':0.51,'5_2':0.15,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(82,662):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(82,661):{'3_1':0.48,'5_2':0.21,'7_7':0.06,'7_4':0.03,'5_1':0.0},(82,660):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'7_3':0.0},(82,659):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(82,658):{'3_1':0.42,'5_2':0.21,'7_7':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(82,657):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(82,656):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_7':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(82,655):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(82,654):{'3_1':0.39,'5_2':0.18,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(82,653):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(82,652):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_7':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0},(82,651):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(82,650):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(82,649):{'3_1':0.36,'5_2':0.18,'7_7':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(82,648):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(82,647):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(82,646):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(82,645):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.06,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'8_16':0.0},(82,644):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(82,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_1':0.0,'-3':0.0},(82,642):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(82,641):{'3_1':0.24,'5_2':0.09,'7_1':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(82,640):{'3_1':0.15,'5_2':0.15,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'8_13':0.0,'-3':0.0},(82,639):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(82,638):{'5_2':0.24,'3_1':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(82,637):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(82,636):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(82,635):{'5_2':0.15,'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(82,634):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0},(82,633):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(82,632):{'3_1':0.24,'5_2':0.09,'7_3':0.0,'4_1':0.0,'5_1':0.0},(82,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(82,630):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(82,629):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0},(82,628):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(82,627):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_7':0.0},(82,626):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(82,625):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(82,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_1':0.0,'6_1':0.0},(82,623):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(82,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0},(82,621):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(82,620):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(82,619):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(82,618):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_16':0.0},(82,617):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(82,616):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(82,615):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(82,614):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(82,613):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(82,612):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(82,611):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0},(82,610):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(82,609):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_16':0.0},(82,608):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(82,607):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(82,606):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(82,605):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(82,604):{'3_1':0.18,'4_1':0.06,'7_3':0.0,'7_7':0.0,'5_2':0.0,'8_2':0.0,'8_19':0.0},(82,603):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(82,602):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(82,601):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(82,600):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(82,599):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(82,598):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'-3':0.0},(82,597):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(82,596):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0},(82,595):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(82,594):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(82,593):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(82,592):{'4_1':0.18,'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(82,591):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(82,590):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'6_1':0.0,'5_2':0.0},(82,589):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(82,588):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'7_3':0.0},(82,587):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(82,586):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(82,585):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(82,584):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(82,583):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(82,582):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(82,581):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_5':0.0},(82,580):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(82,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_7':0.0},(82,578):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0},(82,577):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(82,576):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0},(82,575):{'4_1':0.12,'3_1':0.09,'5_1':0.0},(82,574):{'4_1':0.12,'3_1':0.09,'6_2':0.0},(82,573):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0},(82,572):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(82,571):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(82,570):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(82,569):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0},(82,568):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(82,567):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0},(82,566):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_6':0.0},(82,565):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(82,564):{'4_1':0.06,'3_1':0.06,'6_2':0.0,'6_1':0.0},(82,563):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(82,562):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(82,561):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(82,560):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(82,559):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0},(82,558):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(82,557):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(82,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(82,555):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(82,554):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(82,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(82,552):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0,'8_14':0.0},(82,551):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(82,550):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(82,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(82,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(82,547):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_2':0.0},(82,546):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(82,545):{'3_1':0.24},(82,544):{'3_1':0.09},(82,543):{'3_1':0.06,'7_3':0.0},(82,542):{'3_1':0.15,'4_1':0.0},(82,541):{'3_1':0.15,'5_1':0.0},(82,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(82,539):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(82,538):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(82,537):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(82,536):{'3_1':0.21,'5_1':0.0},(82,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(82,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(82,533):{'3_1':0.15,'4_1':0.0},(82,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(82,531):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(82,530):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(82,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(82,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(82,527):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(82,526):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(82,525):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(82,524):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(82,523):{'3_1':0.09,'4_1':0.0},(82,522):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(82,521):{'3_1':0.09},(82,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,519):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(82,518):{'3_1':0.09,'5_2':0.0},(82,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,516):{'3_1':0.09},(82,515):{'3_1':0.06,'8_7':0.0},(82,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(82,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(82,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(82,510):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(82,509):{'3_1':0.06,'4_1':0.0},(82,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(82,507):{'3_1':0.0},(82,506):{'3_1':0.0,'4_1':0.0},(82,505):{'3_1':0.03,'5_2':0.0},(82,504):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(82,503):{'3_1':0.0},(82,502):{'3_1':0.0,'5_2':0.0},(82,501):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(82,500):{'3_1':0.0,'5_2':0.0},(82,499):{'3_1':0.06},(82,498):{'3_1':0.03,'4_1':0.0},(82,497):{'3_1':0.09,'4_1':0.0},(82,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(82,495):{'3_1':0.03},(82,494):{'3_1':0.06},(82,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(82,492):{'3_1':0.03,'5_2':0.0},(82,491):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(82,490):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(82,489):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,488):{'3_1':0.03},(82,487):{'3_1':0.09},(82,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,485):{'3_1':0.0,'4_1':0.0},(82,484):{'3_1':0.06},(82,483):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(82,482):{'3_1':0.12,'5_1':0.0},(82,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,480):{'3_1':0.03,'4_1':0.0},(82,479):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(82,478):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(82,477):{'3_1':0.06,'4_1':0.0},(82,476):{'3_1':0.06,'4_1':0.0},(82,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,474):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(82,473):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(82,472):{'3_1':0.06},(82,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(82,470):{'3_1':0.0},(82,469):{'3_1':0.03,'4_1':0.0},(82,468):{'3_1':0.03,'4_1':0.0},(82,467):{'3_1':0.03,'4_1':0.0},(82,466):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(82,465):{'3_1':0.03,'4_1':0.0},(82,464):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(82,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,462):{'3_1':0.0},(82,461):{'3_1':0.0},(82,460):{'3_1':0.03,'4_1':0.0},(82,459):{'3_1':0.03,'4_1':0.0},(82,458):{'3_1':0.03,'4_1':0.0},(82,457):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(82,456):{'3_1':0.0,'5_2':0.0},(82,455):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(82,454):{'3_1':0.03,'4_1':0.0},(82,453):{'3_1':0.06,'5_2':0.0},(82,452):{'3_1':0.12,'4_1':0.0},(82,451):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,450):{'3_1':0.03,'4_1':0.0},(82,449):{'3_1':0.0},(82,448):{'3_1':0.0,'5_1':0.0},(82,447):{'4_1':0.0,'3_1':0.0},(82,446):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(82,445):{'3_1':0.06},(82,444):{'3_1':0.0,'4_1':0.0},(82,443):{'3_1':0.0,'5_2':0.0},(82,442):{'3_1':0.03,'4_1':0.0},(82,441):{'3_1':0.03,'5_2':0.0},(82,440):{'3_1':0.03,'5_2':0.0},(82,439):{'3_1':0.03,'4_1':0.0},(82,438):{'3_1':0.0},(82,437):{'3_1':0.03,'5_1':0.0},(82,436):{'3_1':0.09},(82,435):{'3_1':0.03},(82,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,433):{'3_1':0.03,'4_1':0.0},(82,432):{'3_1':0.0,'5_1':0.0},(82,431):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(82,430):{'3_1':0.06},(82,429):{'3_1':0.0},(82,428):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(82,427):{'4_1':0.03},(82,426):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(82,425):{'3_1':0.06,'4_1':0.0},(82,424):{'3_1':0.03},(82,423):{'3_1':0.0},(82,422):{'3_1':0.0},(82,421):{'3_1':0.0,'4_1':0.0},(82,420):{'3_1':0.0,'4_1':0.0},(82,419):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(82,418):{'3_1':0.03,'7_3':0.0,'7_4':0.0},(82,417):{'3_1':0.03,'5_1':0.0},(82,416):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(82,415):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(82,414):{'3_1':0.03},(82,413):{'3_1':0.06},(82,412):{'3_1':0.0},(82,411):{'3_1':0.0},(82,410):{'3_1':0.03},(82,409):{'3_1':0.03,'6_1':0.0},(82,408):{'3_1':0.03},(82,407):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,406):{'3_1':0.0},(82,405):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(82,403):{'3_1':0.0},(82,402):{'3_1':0.0},(82,401):{'3_1':0.0},(82,400):{'3_1':0.0,'6_1':0.0},(82,399):{'3_1':0.0,'4_1':0.0},(82,398):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(82,397):{'3_1':0.0},(82,396):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(82,395):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(82,394):{'3_1':0.0},(82,393):{'3_1':0.0},(82,392):{'3_1':0.0},(82,391):{'3_1':0.0},(82,390):{'3_1':0.0},(82,389):{'3_1':0.0,'4_1':0.0},(82,388):{'3_1':0.0},(82,387):{'3_1':0.0},(82,386):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,384):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(82,383):{'3_1':0.0},(82,382):{'3_1':0.0},(82,381):{'3_1':0.0,'4_1':0.0},(82,380):{'3_1':0.0},(82,379):{'3_1':0.03,'4_1':0.0},(82,378):{'3_1':0.0},(82,377):{'4_1':0.0},(82,376):{'3_1':0.0},(82,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,374):{'3_1':0.03,'5_2':0.0,'8_19':0.0},(82,373):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(82,372):{'3_1':0.0},(82,371):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(82,370):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,369):{'3_1':0.0},(82,368):{'3_1':0.0,'5_2':0.0},(82,367):{'3_1':0.0,'7_1':0.0},(82,366):{'3_1':0.0},(82,365):{'3_1':0.0},(82,364):{'3_1':0.0,'5_1':0.0},(82,363):{'3_1':0.03,'4_1':0.0},(82,362):{'3_1':0.0,'4_1':0.0},(82,361):{'3_1':0.0,'4_1':0.0},(82,360):{'3_1':0.0,'4_1':0.0},(82,359):{'3_1':0.0,'4_1':0.0},(82,358):{'3_1':0.0,'7_1':0.0},(82,357):{'3_1':0.0},(82,356):{'5_1':0.0},(82,355):{'3_1':0.03,'5_2':0.0},(82,354):{'3_1':0.0,'4_1':0.0},(82,353):{'3_1':0.0,'4_1':0.0},(82,352):{'3_1':0.0},(82,351):{'3_1':0.0,'5_1':0.0},(82,349):{'3_1':0.0,'5_2':0.0},(82,348):{'3_1':0.0},(82,347):{'3_1':0.03},(82,346):{'3_1':0.0},(82,345):{'4_1':0.0},(82,344):{'3_1':0.0,'6_1':0.0},(82,343):{'3_1':0.0},(82,342):{'3_1':0.0,'5_1':0.0},(82,341):{'3_1':0.0},(82,339):{'5_1':0.0},(82,338):{'3_1':0.0},(82,337):{'3_1':0.0,'4_1':0.0},(82,336):{'3_1':0.03,'4_1':0.0},(82,335):{'3_1':0.03},(82,334):{'3_1':0.0},(82,333):{'3_1':0.0},(82,332):{'3_1':0.03},(82,331):{'3_1':0.0},(82,330):{'3_1':0.0},(82,328):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(82,327):{'3_1':0.0,'4_1':0.0},(82,326):{'4_1':0.0},(82,325):{'3_1':0.0},(82,324):{'3_1':0.0,'5_1':0.0},(82,323):{'5_1':0.0},(82,322):{'3_1':0.0},(82,321):{'3_1':0.0,'4_1':0.0},(82,320):{'3_1':0.0,'4_1':0.0},(82,319):{'3_1':0.0},(82,318):{'3_1':0.0},(82,317):{'4_1':0.0},(82,316):{'3_1':0.0},(82,315):{'3_1':0.0,'4_1':0.0},(82,314):{'3_1':0.0,'4_1':0.0},(82,313):{'3_1':0.03,'4_1':0.0},(82,312):{'3_1':0.0,'4_1':0.0},(82,311):{'3_1':0.0},(82,310):{'3_1':0.0,'4_1':0.0},(82,309):{'3_1':0.0},(82,308):{'3_1':0.0},(82,307):{'3_1':0.0,'5_1':0.0},(82,306):{'3_1':0.0,'4_1':0.0},(82,304):{'3_1':0.0,'4_1':0.0},(82,303):{'3_1':0.03,'5_2':0.0},(82,302):{'3_1':0.0},(82,301):{'3_1':0.0},(82,300):{'3_1':0.0},(82,299):{'3_1':0.0},(82,298):{'3_1':0.0,'5_2':0.0},(82,297):{'3_1':0.0},(82,296):{'3_1':0.0,'4_1':0.0},(82,295):{'3_1':0.03},(82,294):{'3_1':0.0,'7_1':0.0},(82,293):{'3_1':0.0},(82,292):{'3_1':0.0},(82,291):{'3_1':0.0},(82,290):{'3_1':0.0},(82,288):{'3_1':0.0},(82,287):{'3_1':0.0},(82,286):{'3_1':0.0,'4_1':0.0},(82,285):{'3_1':0.03},(82,284):{'3_1':0.0,'4_1':0.0},(82,283):{'3_1':0.0},(82,282):{'3_1':0.0},(82,281):{'3_1':0.0,'5_2':0.0},(82,280):{'4_1':0.0,'5_2':0.0},(82,279):{'3_1':0.0,'4_1':0.0},(82,278):{'3_1':0.03,'4_1':0.0},(82,277):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,276):{'3_1':0.0,'4_1':0.0},(82,275):{'3_1':0.03,'4_1':0.0},(82,274):{'3_1':0.09},(82,273):{'3_1':0.0},(82,272):{'3_1':0.03},(82,271):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(82,270):{'3_1':0.0},(82,268):{'3_1':0.0,'4_1':0.0},(82,267):{'3_1':0.0,'4_1':0.0},(82,266):{'3_1':0.0,'5_2':0.0},(82,265):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,264):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(82,262):{'3_1':0.0,'4_1':0.0},(82,261):{'3_1':0.0,'4_1':0.0},(82,260):{'3_1':0.0,'4_1':0.0},(82,259):{'3_1':0.0},(82,258):{'3_1':0.0},(82,257):{'3_1':0.0,'5_1':0.0},(82,256):{'4_1':0.0,'6_1':0.0},(82,255):{'3_1':0.0,'4_1':0.0},(82,252):{'4_1':0.0},(82,251):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,250):{'3_1':0.0},(82,249):{'3_1':0.0,'5_1':0.0},(82,248):{'4_1':0.0,'5_1':0.0},(82,247):{'3_1':0.0},(82,246):{'3_1':0.0},(82,245):{'5_1':0.0},(82,244):{'4_1':0.0},(82,243):{'3_1':0.0,'5_1':0.0},(82,242):{'4_1':0.0},(82,241):{'3_1':0.0,'4_1':0.0},(82,240):{'3_1':0.0},(82,239):{'3_1':0.0},(82,238):{'3_1':0.0,'7_1':0.0},(82,237):{'3_1':0.03,'4_1':0.0},(82,236):{'3_1':0.03,'4_1':0.0},(82,235):{'3_1':0.03,'4_1':0.0},(82,234):{'3_1':0.0,'4_1':0.0},(82,233):{'3_1':0.0},(82,232):{'3_1':0.0,'4_1':0.0},(82,231):{'3_1':0.0},(82,230):{'3_1':0.0,'4_1':0.0},(82,229):{'3_1':0.0},(82,228):{'3_1':0.0},(82,227):{'4_1':0.0,'5_2':0.0},(82,226):{'3_1':0.0},(82,225):{'3_1':0.0},(82,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,223):{'3_1':0.0},(82,222):{'3_1':0.0},(82,221):{'3_1':0.0,'4_1':0.0},(82,220):{'3_1':0.03},(82,219):{'3_1':0.0,'4_1':0.0},(82,218):{'3_1':0.0,'4_1':0.0},(82,217):{'3_1':0.0,'4_1':0.0},(82,215):{'3_1':0.0,'4_1':0.0},(82,214):{'3_1':0.03,'5_1':0.0},(82,213):{'5_1':0.0},(82,212):{'3_1':0.0},(82,211):{'3_1':0.03,'4_1':0.0},(82,210):{'3_1':0.03},(82,209):{'3_1':0.0},(82,208):{'3_1':0.03},(82,207):{'3_1':0.0,'4_1':0.0},(82,206):{'3_1':0.0},(82,205):{'3_1':0.0},(82,204):{'3_1':0.0},(82,203):{'3_1':0.0},(82,202):{'3_1':0.0},(82,201):{'3_1':0.0},(82,198):{'3_1':0.0},(82,196):{'3_1':0.0,'4_1':0.0},(82,195):{'3_1':0.0},(82,194):{'3_1':0.0},(82,193):{'3_1':0.0,'5_2':0.0},(82,191):{'3_1':0.0},(82,190):{'3_1':0.0},(82,188):{'3_1':0.0,'4_1':0.0},(82,187):{'3_1':0.0},(82,186):{'4_1':0.0},(82,185):{'3_1':0.0},(82,183):{'3_1':0.0},(82,182):{'3_1':0.0},(82,179):{'4_1':0.0},(82,178):{'4_1':0.0},(82,177):{'3_1':0.0},(82,175):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(82,173):{'3_1':0.0,'4_1':0.0},(82,171):{'3_1':0.03},(82,170):{'3_1':0.0},(82,168):{'3_1':0.03},(82,166):{'3_1':0.03},(82,165):{'3_1':0.0,'4_1':0.0},(82,164):{'3_1':0.03},(82,163):{'3_1':0.0},(82,162):{'3_1':0.06},(82,161):{'3_1':0.03},(82,160):{'3_1':0.03},(82,159):{'3_1':0.03},(82,158):{'3_1':0.0},(82,157):{'3_1':0.0,'4_1':0.0},(82,156):{'3_1':0.0},(82,155):{'3_1':0.0},(82,154):{'3_1':0.06},(82,153):{'3_1':0.0},(82,152):{'3_1':0.0,'6_1':0.0},(82,151):{'3_1':0.03},(82,150):{'3_1':0.06,'4_1':0.0},(82,149):{'3_1':0.03},(82,148):{'3_1':0.03},(82,147):{'3_1':0.0},(82,146):{'3_1':0.06},(82,145):{'3_1':0.03},(82,144):{'3_1':0.0},(82,143):{'3_1':0.03},(82,142):{'3_1':0.0},(82,141):{'3_1':0.03},(82,140):{'3_1':0.0},(82,139):{'3_1':0.0},(82,137):{'3_1':0.0},(82,133):{'3_1':0.0},(82,131):{'3_1':0.0},(82,130):{'3_1':0.0},(82,129):{'3_1':0.0},(82,128):{'3_1':0.03,'5_1':0.0},(82,127):{'3_1':0.0},(82,126):{'5_1':0.0},(82,123):{'3_1':0.0},(82,122):{'3_1':0.0,'6_1':0.0},(82,121):{'3_1':0.0},(82,119):{'3_1':0.0},(82,118):{'3_1':0.0},(83,727):{'5_2':0.6,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_5':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(83,726):{'5_2':0.6,'7_4':0.06,'-3':0.06,'7_6':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'8_14':0.0,'1':-0.03},(83,725):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0},(83,724):{'5_2':0.63,'-3':0.12,'7_4':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(83,723):{'5_2':0.54,'-3':0.12,'7_2':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(83,722):{'5_2':0.48,'-3':0.12,'7_3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'1':-0.03},(83,721):{'5_2':0.51,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(83,720):{'5_2':0.57,'-3':0.06,'7_2':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1':0.0,'3_1#5_1':0.0},(83,719):{'5_2':0.51,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(83,718):{'5_2':0.48,'-3':0.12,'7_4':0.03,'7_3':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(83,717):{'5_2':0.51,'3_1':0.06,'7_2':0.06,'-3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(83,716):{'5_2':0.48,'-3':0.09,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'8_13':0.0,'1':-0.03},(83,715):{'5_2':0.54,'-3':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0},(83,714):{'5_2':0.51,'7_2':0.06,'-3':0.06,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0,'2':-0.03},(83,713):{'5_2':0.42,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_15':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'1':-0.03},(83,712):{'5_2':0.48,'7_4':0.06,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(83,711):{'5_2':0.48,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0,'1':-0.03},(83,710):{'5_2':0.51,'-3':0.15,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(83,709):{'5_2':0.42,'-3':0.21,'5_1':0.09,'7_2':0.03,'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0},(83,708):{'5_2':0.51,'3_1':0.06,'5_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(83,707):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_2':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(83,706):{'5_2':0.33,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(83,705):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_7':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(83,704):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(83,703):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_5':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(83,702):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0,'2':-0.03},(83,701):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_8':0.0,'8_11':0.0},(83,700):{'5_2':0.39,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(83,699):{'5_2':0.45,'-3':0.15,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(83,698):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_14':0.0},(83,697):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_6':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(83,696):{'5_2':0.39,'3_1':0.18,'-3':0.09,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'6_1':0.0,'7_7':0.0},(83,695):{'5_2':0.36,'3_1':0.12,'-3':0.12,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(83,694):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(83,693):{'5_2':0.39,'3_1':0.15,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(83,692):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(83,691):{'5_2':0.33,'3_1':0.15,'-3':0.15,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'1':-0.03},(83,690):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(83,689):{'5_2':0.24,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'3_1#5_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'9_1':0.0},(83,688):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(83,687):{'5_2':0.36,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(83,686):{'5_2':0.33,'3_1':0.21,'7_3':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(83,685):{'3_1':0.42,'5_2':0.27,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0},(83,684):{'5_2':0.39,'3_1':0.3,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'1':-0.03},(83,683):{'5_2':0.27,'3_1':0.24,'5_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'7_2':0.0,'8_8':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(83,682):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_7':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'8_11':0.0},(83,681):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'7_3':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(83,680):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(83,679):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'7_3':0.06,'7_5':0.03,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'3_1#5_2':0.0},(83,678):{'3_1':0.3,'5_2':0.21,'7_4':0.12,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(83,677):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(83,676):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(83,675):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'8_15':0.0,'-3':0.0},(83,674):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(83,673):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(83,672):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0},(83,671):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(83,670):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(83,669):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(83,668):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(83,667):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(83,666):{'3_1':0.45,'5_2':0.21,'7_4':0.09,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_9':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(83,665):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'7_7':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(83,664):{'3_1':0.48,'5_2':0.24,'7_7':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,663):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(83,662):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(83,661):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(83,660):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(83,659):{'3_1':0.39,'5_2':0.24,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(83,658):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,657):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_7':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(83,656):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(83,655):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_7':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(83,654):{'3_1':0.39,'5_2':0.24,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(83,653):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(83,652):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(83,651):{'3_1':0.51,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(83,650):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(83,649):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(83,648):{'3_1':0.39,'5_2':0.12,'5_1':0.09,'7_7':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(83,647):{'3_1':0.36,'5_2':0.09,'5_1':0.09,'7_7':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(83,646):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(83,645):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'8_19':0.0},(83,644):{'3_1':0.33,'5_2':0.15,'5_1':0.12,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(83,643):{'5_2':0.21,'3_1':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.03,'7_4':0.0,'6_2':0.0},(83,642):{'3_1':0.27,'5_2':0.12,'7_7':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(83,641):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(83,640):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(83,639):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0},(83,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(83,637):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(83,636):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(83,635):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(83,634):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(83,633):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(83,632):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_9':0.0},(83,631):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(83,630):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(83,629):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(83,628):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(83,627):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(83,626):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(83,625):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0},(83,624):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(83,623):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(83,622):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0},(83,621):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(83,620):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(83,619):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(83,618):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(83,617):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0},(83,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_5':0.0,'-3':0.0},(83,615):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0},(83,614):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(83,613):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(83,612):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0},(83,611):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(83,610):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(83,609):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_7':0.0,'3_1#5_2':0.0,'-3':0.0},(83,608):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(83,607):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(83,606):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_7':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(83,605):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_7':0.0},(83,604):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_6':0.0},(83,603):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(83,602):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(83,601):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0},(83,600):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(83,599):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(83,598):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(83,597):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_1':0.0,'7_6':0.0,'-3':0.0},(83,596):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(83,595):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(83,594):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0},(83,593):{'3_1':0.18,'4_1':0.15,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(83,592):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(83,591):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'8_7':0.0},(83,590):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(83,589):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(83,588):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(83,587):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_3':0.0,'5_2':0.0},(83,586):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'7_6':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(83,585):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0},(83,584):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(83,583):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0},(83,582):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(83,581):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(83,580):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0},(83,579):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(83,578):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(83,577):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(83,576):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(83,575):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,574):{'3_1':0.12,'4_1':0.09,'6_2':0.0},(83,573):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0},(83,572):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(83,571):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(83,570):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(83,569):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(83,568):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(83,567):{'4_1':0.09,'3_1':0.06,'8_21|3_1#4_1':0.0},(83,566):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0},(83,565):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(83,564):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(83,563):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(83,562):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(83,561):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_5':0.0},(83,560):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(83,559):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(83,558):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(83,556):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(83,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(83,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(83,553):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(83,552):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(83,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(83,549):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,548):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,547):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(83,546):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,545):{'3_1':0.21,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(83,544):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(83,543):{'3_1':0.21,'5_2':0.0,'6_3':0.0},(83,542):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(83,541):{'3_1':0.15,'8_20|3_1#3_1':0.0},(83,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,539):{'3_1':0.15,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0},(83,538):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(83,537):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,536):{'3_1':0.21,'5_1':0.0},(83,535):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(83,534):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,532):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_7':0.0},(83,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,530):{'3_1':0.15,'4_1':0.0,'7_1':0.0,'7_3':0.0},(83,529):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(83,527):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(83,526):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'7_3':0.0},(83,525):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(83,524):{'3_1':0.12,'4_1':0.0},(83,523):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(83,521):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(83,520):{'3_1':0.06},(83,519):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,518):{'3_1':0.06},(83,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(83,516):{'3_1':0.06,'5_1':0.0},(83,515):{'3_1':0.06},(83,514):{'3_1':0.06,'4_1':0.0},(83,513):{'3_1':0.09,'4_1':0.0},(83,512):{'3_1':0.09},(83,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,510):{'3_1':0.03},(83,509):{'3_1':0.06,'5_1':0.0},(83,508):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(83,507):{'3_1':0.03},(83,506):{'3_1':0.03,'5_2':0.0},(83,505):{'3_1':0.0,'4_1':0.0},(83,504):{'3_1':0.03},(83,503):{'3_1':0.03,'5_2':0.0},(83,502):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,501):{'3_1':0.03},(83,500):{'3_1':0.03,'4_1':0.0},(83,499):{'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0},(83,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,497):{'3_1':0.03},(83,496):{'3_1':0.06,'4_1':0.0},(83,495):{'3_1':0.0},(83,494):{'3_1':0.06,'4_1':0.0},(83,493):{'3_1':0.09,'4_1':0.0},(83,492):{'3_1':0.06},(83,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,490):{'3_1':0.06,'4_1':0.0},(83,489):{'3_1':0.06,'4_1':0.0},(83,488):{'3_1':0.0,'4_1':0.0},(83,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,486):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(83,485):{'3_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(83,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(83,483):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(83,482):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(83,481):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,480):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_4':0.0},(83,479):{'3_1':0.06,'5_2':0.0},(83,478):{'3_1':0.06,'4_1':0.0},(83,477):{'3_1':0.03,'4_1':0.0},(83,476):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(83,475):{'3_1':0.09},(83,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(83,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,472):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(83,471):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(83,470):{'3_1':0.0,'4_1':0.0},(83,469):{'3_1':0.06,'4_1':0.0},(83,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,467):{'3_1':0.03,'5_2':0.0},(83,466):{'3_1':0.03,'4_1':0.0},(83,465):{'3_1':0.03,'4_1':0.0},(83,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,463):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(83,462):{'3_1':0.03,'4_1':0.0},(83,461):{'3_1':0.03,'4_1':0.0},(83,460):{'3_1':0.0,'5_1':0.0},(83,459):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(83,458):{'3_1':0.0},(83,457):{'3_1':0.0},(83,456):{'3_1':0.03,'5_2':0.0},(83,455):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(83,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(83,453):{'3_1':0.06},(83,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,451):{'3_1':0.03,'5_2':0.0},(83,450):{'3_1':0.0},(83,449):{'3_1':0.0,'4_1':0.0},(83,448):{'3_1':0.03,'4_1':0.0},(83,447):{'3_1':0.03},(83,446):{'3_1':0.03,'4_1':0.0},(83,445):{'3_1':0.03},(83,444):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(83,443):{'3_1':0.06,'6_1':0.0},(83,442):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,441):{'3_1':0.03},(83,440):{'3_1':0.03},(83,439):{'3_1':0.06},(83,438):{'3_1':0.03,'4_1':0.0},(83,437):{'3_1':0.03,'5_2':0.0},(83,436):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(83,435):{'3_1':0.03,'6_2':0.0},(83,434):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(83,433):{'3_1':0.0},(83,432):{'3_1':0.06},(83,431):{'3_1':0.03,'4_1':0.0},(83,430):{'3_1':0.03},(83,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(83,428):{'3_1':0.06,'4_1':0.0},(83,427):{'3_1':0.03,'4_1':0.0},(83,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(83,425):{'3_1':0.03,'4_1':0.0},(83,424):{'3_1':0.0,'4_1':0.0},(83,423):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(83,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,421):{'3_1':0.06,'4_1':0.0},(83,420):{'3_1':0.06},(83,419):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(83,418):{'3_1':0.0,'4_1':0.0},(83,417):{'3_1':0.0,'4_1':0.0},(83,416):{'3_1':0.0},(83,415):{'3_1':0.06},(83,414):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(83,413):{'3_1':0.0,'9_1':0.0},(83,412):{'3_1':0.0,'4_1':0.0},(83,411):{'3_1':0.0},(83,410):{'3_1':0.0,'5_1':0.0},(83,409):{'3_1':0.0},(83,408):{'3_1':0.0},(83,407):{'3_1':0.03},(83,406):{'3_1':0.0},(83,405):{'3_1':0.0},(83,404):{'3_1':0.03,'4_1':0.0},(83,403):{'3_1':0.0},(83,402):{'3_1':0.0,'4_1':0.0},(83,401):{'3_1':0.03,'5_1':0.0},(83,400):{'3_1':0.0},(83,398):{'3_1':0.03},(83,397):{'3_1':0.0},(83,396):{'3_1':0.03},(83,395):{'3_1':0.0},(83,394):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(83,393):{'3_1':0.0},(83,392):{'4_1':0.0,'3_1':0.0},(83,391):{'4_1':0.0},(83,390):{'3_1':0.0},(83,389):{'3_1':0.0},(83,388):{'3_1':0.0},(83,387):{'3_1':0.0,'4_1':0.0},(83,386):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(83,385):{'3_1':0.0,'8_20|3_1#3_1':0.0},(83,384):{'3_1':0.0},(83,383):{'3_1':0.03,'4_1':0.0},(83,382):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,381):{'3_1':0.03,'4_1':0.0},(83,380):{'3_1':0.0},(83,379):{'3_1':0.0},(83,378):{'3_1':0.0},(83,377):{'3_1':0.0,'5_2':0.0},(83,376):{'3_1':0.0},(83,375):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(83,374):{'3_1':0.03,'4_1':0.0},(83,373):{'3_1':0.03},(83,372):{'3_1':0.0},(83,371):{'3_1':0.0,'5_1':0.0},(83,370):{'3_1':0.0},(83,369):{'3_1':0.0},(83,368):{'5_1':0.0},(83,367):{'3_1':0.0,'4_1':0.0},(83,366):{'3_1':0.0,'4_1':0.0},(83,365):{'3_1':0.0},(83,364):{'3_1':0.03},(83,363):{'3_1':0.03,'4_1':0.0},(83,362):{'3_1':0.0,'8_20|3_1#3_1':0.0},(83,361):{'3_1':0.0},(83,360):{'3_1':0.0},(83,359):{'3_1':0.0,'5_1':0.0},(83,358):{'3_1':0.0},(83,357):{'3_1':0.03},(83,356):{'3_1':0.0,'4_1':0.0},(83,355):{'3_1':0.0},(83,354):{'3_1':0.0},(83,353):{'3_1':0.0,'4_1':0.0},(83,352):{'3_1':0.0,'5_1':0.0},(83,351):{'3_1':0.0,'5_1':0.0},(83,350):{'3_1':0.0},(83,349):{'3_1':0.0},(83,348):{'3_1':0.0},(83,347):{'3_1':0.0},(83,346):{'3_1':0.0,'7_2':0.0,'8_1':0.0},(83,345):{'3_1':0.0,'4_1':0.0},(83,344):{'3_1':0.0},(83,343):{'3_1':0.0,'8_20|3_1#3_1':0.0},(83,342):{'3_1':0.0,'4_1':0.0},(83,341):{'3_1':0.0},(83,340):{'3_1':0.03},(83,337):{'3_1':0.0,'5_2':0.0,'-3':0.0},(83,336):{'5_2':0.0,'7_4':0.0},(83,335):{'3_1':0.0},(83,334):{'3_1':0.0},(83,333):{'3_1':0.03,'4_1':0.0},(83,332):{'3_1':0.0,'5_1':0.0},(83,331):{'3_1':0.0,'4_1':0.0},(83,330):{'3_1':0.0},(83,329):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,328):{'7_1':0.0,'9_1':0.0},(83,327):{'3_1':0.0,'4_1':0.0},(83,326):{'3_1':0.0},(83,325):{'3_1':0.0},(83,324):{'3_1':0.0},(83,323):{'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(83,322):{'3_1':0.06},(83,321):{'3_1':0.0},(83,320):{'3_1':0.03},(83,319):{'3_1':0.0,'5_1':0.0},(83,318):{'3_1':0.0},(83,317):{'3_1':0.03},(83,316):{'3_1':0.0,'4_1':0.0},(83,315):{'3_1':0.0},(83,314):{'3_1':0.0,'4_1':0.0},(83,313):{'3_1':0.0},(83,312):{'3_1':0.0,'4_1':0.0},(83,311):{'3_1':0.0},(83,310):{'3_1':0.0},(83,309):{'3_1':0.0},(83,308):{'3_1':0.03},(83,307):{'3_1':0.06,'4_1':0.0},(83,306):{'3_1':0.0,'4_1':0.0},(83,305):{'3_1':0.0},(83,304):{'3_1':0.0},(83,302):{'3_1':0.03,'5_1':0.0},(83,301):{'3_1':0.0},(83,300):{'3_1':0.0},(83,299):{'3_1':0.0},(83,298):{'3_1':0.0},(83,297):{'3_1':0.0},(83,296):{'3_1':0.0,'4_1':0.0},(83,295):{'3_1':0.0},(83,294):{'3_1':0.0},(83,293):{'3_1':0.03},(83,291):{'3_1':0.0},(83,290):{'3_1':0.0},(83,288):{'3_1':0.0},(83,287):{'3_1':0.0},(83,286):{'4_1':0.0,'3_1':0.0},(83,285):{'3_1':0.03},(83,284):{'3_1':0.0,'4_1':0.0},(83,283):{'3_1':0.0},(83,282):{'3_1':0.0,'4_1':0.0},(83,281):{'3_1':0.0},(83,280):{'3_1':0.0,'6_2':0.0},(83,279):{'3_1':0.0,'4_1':0.0},(83,278):{'3_1':0.0},(83,277):{'3_1':0.03,'5_1':0.0},(83,276):{'3_1':0.03},(83,275):{'3_1':0.03,'4_1':0.0},(83,274):{'3_1':0.0,'4_1':0.0},(83,273):{'3_1':0.03},(83,272):{'3_1':0.03,'6_2':0.0},(83,271):{'3_1':0.0,'6_2':0.0},(83,270):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,269):{'3_1':0.0,'4_1':0.0},(83,268):{'3_1':0.0,'6_2':0.0},(83,267):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(83,265):{'3_1':0.0,'4_1':0.0},(83,264):{'3_1':0.03,'4_1':0.0},(83,263):{'3_1':0.0,'5_2':0.0},(83,262):{'4_1':0.0},(83,261):{'3_1':0.0,'4_1':0.0},(83,260):{'5_2':0.0},(83,259):{'3_1':0.0,'4_1':0.0},(83,258):{'3_1':0.0},(83,257):{'3_1':0.0,'6_2':0.0},(83,255):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(83,254):{'3_1':0.0,'4_1':0.0},(83,253):{'3_1':0.0,'4_1':0.0},(83,252):{'3_1':0.0},(83,251):{'3_1':0.0},(83,250):{'3_1':0.0},(83,249):{'4_1':0.0},(83,248):{'3_1':0.0,'4_1':0.0},(83,247):{'3_1':0.0},(83,246):{'3_1':0.0},(83,245):{'3_1':0.0},(83,244):{'3_1':0.0,'4_1':0.0},(83,243):{'5_1':0.0},(83,242):{'4_1':0.0},(83,241):{'4_1':0.0},(83,240):{'3_1':0.0,'4_1':0.0},(83,239):{'3_1':0.0},(83,238):{'3_1':0.0,'4_1':0.0},(83,237):{'3_1':0.0},(83,235):{'3_1':0.0,'4_1':0.0},(83,233):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,232):{'3_1':0.0},(83,231):{'3_1':0.0},(83,230):{'3_1':0.0,'4_1':0.0},(83,229):{'3_1':0.0},(83,228):{'3_1':0.0,'4_1':0.0},(83,227):{'3_1':0.0,'5_1':0.0},(83,226):{'3_1':0.0},(83,225):{'3_1':0.0},(83,224):{'3_1':0.0,'5_2':0.0},(83,223):{'3_1':0.03,'4_1':0.0},(83,222):{'4_1':0.0},(83,221):{'3_1':0.0},(83,220):{'3_1':0.0},(83,219):{'3_1':0.0,'4_1':0.0},(83,218):{'3_1':0.0},(83,217):{'3_1':0.0},(83,216):{'3_1':0.0},(83,215):{'6_2':0.0},(83,214):{'3_1':0.03},(83,213):{'3_1':0.0},(83,212):{'3_1':0.0,'4_1':0.0},(83,211):{'4_1':0.0},(83,210):{'3_1':0.0},(83,209):{'3_1':0.0,'4_1':0.0},(83,208):{'3_1':0.0},(83,207):{'3_1':0.0,'4_1':0.0},(83,206):{'3_1':0.0},(83,205):{'3_1':0.0},(83,203):{'3_1':0.0},(83,202):{'3_1':0.0},(83,201):{'3_1':0.0},(83,200):{'3_1':0.0},(83,199):{'3_1':0.03},(83,198):{'3_1':0.0},(83,196):{'5_2':0.0},(83,195):{'3_1':0.0},(83,194):{'3_1':0.0},(83,193):{'3_1':0.0},(83,192):{'3_1':0.0},(83,191):{'3_1':0.0,'5_1':0.0},(83,190):{'3_1':0.0},(83,189):{'3_1':0.0},(83,188):{'4_1':0.0},(83,187):{'3_1':0.0},(83,185):{'3_1':0.0,'5_2':0.0},(83,183):{'3_1':0.0},(83,182):{'3_1':0.0},(83,181):{'3_1':0.0},(83,180):{'3_1':0.0},(83,179):{'6_2':0.0,'8_4':0.0},(83,178):{'4_1':0.0},(83,177):{'5_2':0.0},(83,176):{'3_1':0.0},(83,175):{'3_1':0.0},(83,173):{'3_1':0.0},(83,171):{'3_1':0.0,'4_1':0.0},(83,170):{'3_1':0.0,'4_1':0.0},(83,169):{'3_1':0.0},(83,168):{'3_1':0.0},(83,167):{'3_1':0.03},(83,166):{'3_1':0.03,'7_4':0.0},(83,165):{'3_1':0.0},(83,164):{'3_1':0.0},(83,163):{'3_1':0.0},(83,162):{'3_1':0.0},(83,161):{'3_1':0.03,'5_2':0.0},(83,160):{'3_1':0.03},(83,159):{'3_1':0.03},(83,158):{'3_1':0.0},(83,157):{'3_1':0.03,'4_1':0.0},(83,156):{'3_1':0.0},(83,155):{'3_1':0.0},(83,154):{'3_1':0.03},(83,153):{'3_1':0.06},(83,152):{'3_1':0.03},(83,151):{'3_1':0.0},(83,150):{'3_1':0.03},(83,149):{'3_1':0.03},(83,148):{'3_1':0.0},(83,147):{'3_1':0.0},(83,146):{'3_1':0.0},(83,145):{'3_1':0.03},(83,144):{'3_1':0.0},(83,143):{'3_1':0.03},(83,141):{'3_1':0.0},(83,140):{'3_1':0.0},(83,139):{'3_1':0.0},(83,138):{'3_1':0.0},(83,137):{'3_1':0.0},(83,136):{'3_1':0.0},(83,135):{'3_1':0.0},(83,134):{'3_1':0.0,'5_2':0.0},(83,133):{'3_1':0.0},(83,131):{'3_1':0.0},(83,130):{'3_1':0.0},(83,129):{'3_1':0.0},(83,128):{'3_1':0.0},(83,127):{'3_1':0.0},(83,126):{'3_1':0.0},(83,125):{'3_1':0.0},(83,124):{'3_1':0.0},(83,123):{'3_1':0.0},(83,122):{'3_1':0.0},(83,121):{'3_1':0.0},(83,120):{'3_1':0.0},(83,119):{'3_1':0.0},(83,118):{'3_1':0.0},(84,727):{'5_2':0.54,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(84,726):{'5_2':0.54,'7_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_8':0.0},(84,725):{'5_2':0.54,'-3':0.18,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0},(84,724):{'5_2':0.54,'7_4':0.03,'7_2':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(84,723):{'5_2':0.57,'-3':0.09,'7_2':0.06,'7_5':0.03,'7_3':0.0,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(84,722):{'5_2':0.42,'-3':0.12,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0},(84,721):{'5_2':0.48,'-3':0.12,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'8_8':0.0,'8_11':0.0},(84,720):{'5_2':0.45,'7_2':0.09,'-3':0.09,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(84,719):{'5_2':0.54,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0},(84,718):{'5_2':0.48,'7_2':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(84,717):{'5_2':0.57,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(84,716):{'5_2':0.48,'-3':0.09,'7_4':0.06,'7_2':0.06,'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'1':-0.03},(84,715):{'5_2':0.57,'-3':0.09,'7_2':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(84,714):{'5_2':0.48,'-3':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(84,713):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(84,712):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(84,711):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_15':0.0,'1':-0.03},(84,710):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_5':0.03,'7_4':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(84,709):{'5_2':0.39,'-3':0.21,'7_5':0.06,'7_2':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'1':-0.03},(84,708):{'5_2':0.45,'-3':0.12,'7_4':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(84,707):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'1':-0.03},(84,706):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0},(84,705):{'5_2':0.39,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0},(84,704):{'5_2':0.45,'3_1':0.12,'-3':0.12,'7_4':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(84,703):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_6':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(84,702):{'5_2':0.42,'-3':0.15,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(84,701):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_2':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_1':0.0},(84,700):{'5_2':0.3,'-3':0.21,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(84,699):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'8_14':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(84,698):{'5_2':0.39,'-3':0.12,'7_3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'8_8':0.0,'1':-0.03},(84,697):{'5_2':0.36,'-3':0.18,'3_1':0.06,'7_6':0.03,'7_2':0.0,'7_5':0.0,'8_14':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(84,696):{'5_2':0.33,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0},(84,695):{'5_2':0.42,'-3':0.12,'3_1':0.12,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(84,694):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(84,693):{'5_2':0.24,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(84,692):{'5_2':0.3,'3_1':0.21,'-3':0.12,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(84,691):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(84,690):{'5_2':0.27,'3_1':0.15,'-3':0.15,'7_3':0.09,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(84,689):{'5_2':0.27,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'2':-0.03},(84,688):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(84,687):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.06,'7_5':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(84,686):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'7_3':0.06,'7_2':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'1':-0.03},(84,685):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(84,684):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(84,683):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'8_3':0.0,'1':-0.03},(84,682):{'3_1':0.27,'5_2':0.27,'7_4':0.06,'7_3':0.03,'7_7':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(84,681):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.06,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(84,680):{'3_1':0.36,'5_2':0.24,'7_4':0.12,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(84,679):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0},(84,678):{'3_1':0.45,'5_2':0.12,'-3':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(84,677):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(84,676):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'-3':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(84,675):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(84,674):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'-3':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(84,673):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(84,672):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(84,671):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(84,670):{'3_1':0.48,'5_2':0.21,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(84,669):{'3_1':0.48,'5_2':0.15,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_15':0.0,'3_1#5_2':0.0},(84,668):{'3_1':0.42,'5_2':0.27,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_6':0.0},(84,667):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_5':0.0},(84,666):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(84,665):{'3_1':0.54,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0},(84,664):{'3_1':0.45,'5_2':0.15,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(84,663):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(84,662):{'3_1':0.42,'5_2':0.18,'7_7':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(84,661):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(84,660):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(84,659):{'3_1':0.39,'5_2':0.18,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0},(84,658):{'3_1':0.39,'5_2':0.15,'5_1':0.09,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'8_19':0.0},(84,657):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'7_7':0.03,'6_3':0.0},(84,656):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_9':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(84,655):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_7':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(84,654):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(84,653):{'3_1':0.42,'5_2':0.15,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(84,652):{'3_1':0.36,'5_2':0.15,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(84,651):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(84,650):{'3_1':0.42,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(84,649):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_7':0.0,'7_4':0.0,'8_19':0.0},(84,648):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(84,647):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(84,646):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_15':0.0,'8_19':0.0,'-3':0.0},(84,645):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(84,644):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'7_4':0.0,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(84,643):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(84,642):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(84,641):{'3_1':0.27,'5_2':0.15,'5_1':0.12,'4_1':0.03,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0},(84,640):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(84,639):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'6_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(84,638):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(84,637):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'6_2':0.0,'1':-0.03},(84,636):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(84,635):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0},(84,634):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0},(84,633):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0},(84,632):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(84,631):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0},(84,630):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'7_3':0.0,'-3':0.0},(84,629):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(84,628):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(84,627):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(84,626):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(84,625):{'3_1':0.24,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_7':0.0,'7_1':0.0},(84,624):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0},(84,623):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(84,622):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_19':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(84,621):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(84,620):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(84,619):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_16':0.0,'6_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(84,618):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_16':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(84,617):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_19':0.0},(84,616):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(84,615):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0},(84,614):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(84,613):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03},(84,612):{'3_1':0.21,'5_2':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(84,611):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0},(84,610):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_16':0.0,'-3':0.0},(84,609):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(84,608):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(84,607):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_7':0.0},(84,606):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(84,605):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03},(84,604):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(84,603):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(84,602):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0},(84,601):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(84,600):{'3_1':0.09,'4_1':0.09,'6_3':0.0,'9_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(84,599):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(84,598):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,597):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(84,596):{'3_1':0.15,'4_1':0.09},(84,595):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0},(84,594):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(84,593):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_19':0.0},(84,592):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(84,591):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_6':0.0},(84,590):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0},(84,589):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(84,588):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(84,587):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(84,586):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(84,585):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(84,584):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(84,583):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(84,582):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(84,581):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_2':0.0,'7_2':0.0},(84,580):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0},(84,579):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0},(84,578):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(84,577):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(84,576):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(84,575):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(84,574):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0},(84,573):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(84,572):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(84,571):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_19':0.0},(84,570):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(84,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(84,568):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(84,567):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(84,566):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'7_5':0.0,'7_6':0.0},(84,565):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(84,564):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(84,563):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(84,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,561):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0},(84,560):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(84,559):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(84,558):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(84,557):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'5_2':0.0,'7_6':0.0},(84,556):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(84,555):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(84,554):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(84,553):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(84,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(84,550):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(84,549):{'3_1':0.18,'5_2':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0},(84,548):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(84,547):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0},(84,546):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(84,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(84,544):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(84,543):{'3_1':0.18,'4_1':0.0},(84,542):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(84,541):{'3_1':0.12,'4_1':0.0},(84,540):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0},(84,539):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(84,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,537):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(84,536):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0},(84,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,534):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(84,533):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(84,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(84,531):{'3_1':0.12,'4_1':0.0},(84,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(84,529):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(84,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,527):{'3_1':0.12},(84,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(84,525):{'3_1':0.06,'4_1':0.0},(84,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(84,523):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(84,522):{'3_1':0.03,'4_1':0.0},(84,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(84,520):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(84,519):{'3_1':0.06,'4_1':0.0},(84,518):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(84,517):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(84,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(84,515):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(84,514):{'3_1':0.03},(84,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(84,512):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(84,511):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(84,510):{'3_1':0.0,'5_1':0.0},(84,509):{'3_1':0.09,'8_2':0.0,'8_4':0.0},(84,508):{'3_1':0.03,'4_1':0.0},(84,507):{'3_1':0.06,'7_2':0.0},(84,506):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(84,505):{'3_1':0.03,'4_1':0.0},(84,504):{'3_1':0.0,'5_1':0.0},(84,503):{'3_1':0.03,'5_2':0.0},(84,502):{'3_1':0.06,'5_1':0.0},(84,501):{'3_1':0.03,'4_1':0.0},(84,500):{'3_1':0.03,'4_1':0.0},(84,499):{'4_1':0.0},(84,498):{'3_1':0.06,'4_1':0.0},(84,497):{'3_1':0.03,'4_1':0.0},(84,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,495):{'3_1':0.06,'4_1':0.0},(84,494):{'3_1':0.0,'4_1':0.0},(84,493):{'3_1':0.06,'4_1':0.0},(84,492):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(84,491):{'3_1':0.03,'4_1':0.0},(84,490):{'3_1':0.06,'4_1':0.0},(84,489):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(84,488):{'3_1':0.09,'4_1':0.0},(84,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(84,486):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0},(84,485):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,484):{'3_1':0.03,'5_1':0.0,'9_1':0.0},(84,483):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(84,482):{'3_1':0.06,'4_1':0.0},(84,481):{'3_1':0.06,'5_2':0.0,'9_1':0.0,'-3':0.0},(84,480):{'3_1':0.06,'5_2':0.0},(84,479):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(84,478):{'3_1':0.06,'4_1':0.0},(84,477):{'3_1':0.12,'4_1':0.0},(84,476):{'3_1':0.12,'5_1':0.0},(84,475):{'3_1':0.12,'5_2':0.0},(84,474):{'3_1':0.06,'4_1':0.0},(84,473):{'3_1':0.03,'5_2':0.0},(84,472):{'3_1':0.06,'5_1':0.0},(84,471):{'3_1':0.06,'4_1':0.0},(84,470):{'3_1':0.03,'5_1':0.0},(84,469):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(84,468):{'3_1':0.03},(84,467):{'3_1':0.06,'4_1':0.0},(84,466):{'3_1':0.06},(84,465):{'3_1':0.03,'8_20|3_1#3_1':0.0},(84,464):{'3_1':0.03,'5_2':0.0},(84,463):{'3_1':0.03,'5_2':0.0},(84,462):{'3_1':0.0},(84,461):{'3_1':0.03,'5_1':0.0},(84,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(84,458):{'3_1':0.0,'4_1':0.0},(84,457):{'3_1':0.03,'4_1':0.0},(84,456):{'3_1':0.0,'4_1':0.0},(84,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(84,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(84,453):{'3_1':0.06},(84,452):{'3_1':0.03,'6_3':0.0},(84,451):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(84,450):{'3_1':0.0,'5_2':0.0},(84,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,448):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(84,447):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,446):{'3_1':0.0,'4_1':0.0},(84,445):{'3_1':0.0},(84,444):{'3_1':0.03,'8_20|3_1#3_1':0.0},(84,443):{'3_1':0.03},(84,442):{'3_1':0.03},(84,441):{'3_1':0.03,'4_1':0.0},(84,440):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(84,439):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,438):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(84,437):{'3_1':0.0},(84,436):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0},(84,435):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(84,434):{'3_1':0.0,'4_1':0.0},(84,433):{'3_1':0.0},(84,432):{'3_1':0.06,'4_1':0.0},(84,431):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(84,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(84,428):{'3_1':0.03,'4_1':0.0},(84,427):{'3_1':0.03},(84,426):{'3_1':0.06},(84,425):{'3_1':0.03},(84,424):{'3_1':0.03,'5_2':0.0},(84,423):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,422):{'3_1':0.06},(84,421):{'3_1':0.06},(84,420):{'3_1':0.0},(84,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(84,418):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(84,417):{'3_1':0.03,'5_1':0.0},(84,416):{'3_1':0.03},(84,415):{'3_1':0.03,'4_1':0.0},(84,414):{'3_1':0.03,'4_1':0.0},(84,413):{'3_1':0.0,'4_1':0.0},(84,412):{'3_1':0.0},(84,411):{'3_1':0.0},(84,410):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(84,409):{'3_1':0.0,'4_1':0.0},(84,408):{'3_1':0.0},(84,407):{'3_1':0.0},(84,406):{'3_1':0.0},(84,405):{'3_1':0.0,'5_1':0.0},(84,404):{'3_1':0.0},(84,403):{'3_1':0.0},(84,402):{'3_1':0.03},(84,401):{'3_1':0.0},(84,400):{'3_1':0.03,'4_1':0.0},(84,399):{'3_1':0.0},(84,398):{'3_1':0.0,'5_1':0.0},(84,396):{'3_1':0.03,'4_1':0.0},(84,395):{'3_1':0.0,'4_1':0.0},(84,394):{'3_1':0.0,'4_1':0.0},(84,393):{'3_1':0.03},(84,391):{'6_1':0.0},(84,390):{'3_1':0.0},(84,389):{'4_1':0.0,'3_1':0.0},(84,388):{'3_1':0.0},(84,387):{'3_1':0.0},(84,386):{'3_1':0.0},(84,384):{'3_1':0.0,'-3':0.0},(84,383):{'3_1':0.0},(84,382):{'3_1':0.06},(84,381):{'3_1':0.03,'4_1':0.0},(84,380):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(84,379):{'3_1':0.0},(84,378):{'3_1':0.0,'5_2':0.0},(84,377):{'3_1':0.03},(84,376):{'3_1':0.03,'4_1':0.0},(84,375):{'3_1':0.0,'5_1':0.0},(84,374):{'3_1':0.03},(84,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,372):{'3_1':0.03},(84,371):{'3_1':0.0,'4_1':0.0},(84,370):{'3_1':0.0},(84,369):{'3_1':0.03,'4_1':0.0},(84,368):{'3_1':0.03},(84,367):{'3_1':0.03},(84,366):{'3_1':0.0,'5_1':0.0},(84,365):{'3_1':0.0},(84,364):{'3_1':0.03,'4_1':0.0},(84,363):{'3_1':0.0,'4_1':0.0},(84,362):{'3_1':0.0},(84,361):{'9_1':0.0},(84,360):{'3_1':0.03},(84,359):{'3_1':0.0},(84,358):{'3_1':0.0,'7_1':0.0},(84,357):{'3_1':0.0,'4_1':0.0},(84,356):{'3_1':0.0,'7_3':0.0},(84,355):{'5_1':0.0,'7_1':0.0},(84,354):{'3_1':0.0},(84,353):{'3_1':0.0,'5_1':0.0},(84,352):{'3_1':0.0},(84,351):{'3_1':0.0,'5_1':0.0},(84,350):{'3_1':0.0},(84,349):{'3_1':0.0,'4_1':0.0},(84,348):{'3_1':0.0},(84,347):{'3_1':0.0},(84,345):{'3_1':0.03,'7_2':0.0},(84,344):{'3_1':0.0},(84,343):{'3_1':0.0},(84,342):{'4_1':0.0},(84,341):{'3_1':0.0,'5_1':0.0},(84,340):{'3_1':0.0},(84,339):{'3_1':0.0,'5_1':0.0},(84,337):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(84,335):{'3_1':0.0},(84,334):{'3_1':0.0,'9_1':0.0,'-3':0.0},(84,333):{'3_1':0.0},(84,332):{'3_1':0.0},(84,331):{'3_1':0.0},(84,329):{'4_1':0.0},(84,327):{'3_1':0.0},(84,324):{'3_1':0.0},(84,322):{'3_1':0.03},(84,321):{'3_1':0.0,'5_1':0.0},(84,320):{'3_1':0.0,'4_1':0.0},(84,319):{'3_1':0.0},(84,318):{'3_1':0.0,'4_1':0.0},(84,317):{'3_1':0.0},(84,316):{'3_1':0.03,'4_1':0.0},(84,315):{'3_1':0.03,'4_1':0.0},(84,314):{'3_1':0.0,'4_1':0.0},(84,313):{'3_1':0.0},(84,312):{'3_1':0.03},(84,311):{'3_1':0.0},(84,310):{'3_1':0.0,'4_1':0.0},(84,309):{'3_1':0.0},(84,307):{'3_1':0.0},(84,306):{'3_1':0.03,'4_1':0.0},(84,305):{'3_1':0.0},(84,304):{'3_1':0.03,'4_1':0.0},(84,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(84,302):{'3_1':0.03},(84,301):{'3_1':0.03},(84,300):{'3_1':0.03},(84,299):{'3_1':0.03,'4_1':0.0},(84,298):{'3_1':0.0,'4_1':0.0},(84,297):{'3_1':0.0},(84,296):{'3_1':0.0},(84,295):{'3_1':0.0},(84,294):{'3_1':0.0},(84,292):{'3_1':0.0},(84,291):{'3_1':0.0,'5_2':0.0},(84,290):{'3_1':0.03},(84,289):{'3_1':0.0},(84,288):{'3_1':0.0,'4_1':0.0},(84,287):{'3_1':0.0},(84,286):{'3_1':0.0},(84,285):{'3_1':0.0},(84,284):{'4_1':0.0},(84,283):{'3_1':0.0},(84,282):{'3_1':0.0},(84,281):{'3_1':0.03,'4_1':0.0},(84,280):{'3_1':0.0,'4_1':0.0},(84,279):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,278):{'3_1':0.03,'4_1':0.0},(84,277):{'3_1':0.03,'4_1':0.0},(84,276):{'3_1':0.0,'4_1':0.0},(84,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,274):{'3_1':0.03},(84,273):{'3_1':0.03},(84,272):{'3_1':0.0},(84,271):{'3_1':0.03},(84,270):{'3_1':0.0,'5_2':0.0},(84,269):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(84,268):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,267):{'3_1':0.03,'4_1':0.0},(84,266):{'4_1':0.0,'5_2':0.0},(84,265):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(84,264):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(84,263):{'3_1':0.0,'4_1':0.0},(84,262):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(84,261):{'4_1':0.0},(84,260):{'3_1':0.0},(84,259):{'3_1':0.0,'4_1':0.0},(84,258):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,257):{'3_1':0.0,'4_1':0.0},(84,256):{'3_1':0.0,'5_1':0.0},(84,255):{'4_1':0.0,'3_1':0.0},(84,254):{'3_1':0.0},(84,252):{'3_1':0.0},(84,250):{'3_1':0.0},(84,249):{'3_1':0.0,'4_1':0.0},(84,248):{'4_1':0.0},(84,247):{'3_1':0.0,'4_1':0.0},(84,246):{'3_1':0.0},(84,245):{'5_1':0.0},(84,244):{'3_1':0.0,'4_1':0.0},(84,243):{'3_1':0.0,'4_1':0.0},(84,242):{'3_1':0.03},(84,241):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(84,240):{'3_1':0.0},(84,239):{'3_1':0.0},(84,238):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(84,237):{'4_1':0.03,'3_1':0.0,'7_1':0.0},(84,236):{'4_1':0.0},(84,235):{'3_1':0.0,'4_1':0.0},(84,234):{'3_1':0.0},(84,233):{'3_1':0.0},(84,232):{'3_1':0.0},(84,231):{'4_1':0.0},(84,230):{'3_1':0.03,'4_1':0.0},(84,229):{'3_1':0.03,'4_1':0.0},(84,228):{'3_1':0.0,'5_1':0.0},(84,227):{'3_1':0.0},(84,226):{'3_1':0.0,'4_1':0.0},(84,224):{'3_1':0.0,'4_1':0.0},(84,221):{'3_1':0.0},(84,219):{'3_1':0.03},(84,218):{'3_1':0.0,'4_1':0.0},(84,217):{'4_1':0.0},(84,216):{'3_1':0.0,'4_1':0.0},(84,215):{'3_1':0.0},(84,214):{'3_1':0.0},(84,213):{'3_1':0.0,'4_1':0.0},(84,212):{'4_1':0.0,'3_1':0.0},(84,211):{'3_1':0.0,'4_1':0.0},(84,210):{'3_1':0.0},(84,209):{'3_1':0.0},(84,208):{'3_1':0.0},(84,207):{'3_1':0.03},(84,206):{'3_1':0.0},(84,205):{'4_1':0.0},(84,204):{'3_1':0.0},(84,203):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(84,202):{'5_2':0.0},(84,201):{'3_1':0.0,'5_1':0.0},(84,200):{'3_1':0.0},(84,199):{'3_1':0.0},(84,198):{'3_1':0.0},(84,196):{'3_1':0.0},(84,195):{'3_1':0.0},(84,193):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,191):{'3_1':0.0,'4_1':0.0},(84,190):{'3_1':0.0},(84,189):{'4_1':0.0},(84,188):{'4_1':0.0,'3_1':0.0},(84,187):{'3_1':0.0},(84,184):{'3_1':0.0},(84,183):{'3_1':0.0},(84,181):{'3_1':0.0},(84,180):{'3_1':0.0,'4_1':0.0},(84,179):{'3_1':0.0},(84,178):{'4_1':0.0},(84,177):{'3_1':0.0},(84,176):{'3_1':0.0},(84,175):{'3_1':0.0},(84,173):{'3_1':0.0},(84,171):{'3_1':0.0},(84,170):{'3_1':0.0},(84,169):{'3_1':0.0,'4_1':0.0},(84,168):{'3_1':0.0},(84,167):{'3_1':0.03},(84,166):{'3_1':0.0},(84,165):{'3_1':0.06},(84,164):{'3_1':0.0,'4_1':0.0},(84,163):{'3_1':0.0},(84,162):{'3_1':0.03},(84,161):{'3_1':0.03,'5_2':0.0},(84,160):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(84,159):{'3_1':0.03},(84,158):{'3_1':0.09},(84,157):{'3_1':0.0,'4_1':0.0},(84,156):{'3_1':0.03},(84,155):{'3_1':0.03,'4_1':0.0},(84,154):{'3_1':0.06},(84,153):{'3_1':0.03},(84,152):{'3_1':0.03},(84,151):{'3_1':0.0},(84,150):{'3_1':0.0},(84,149):{'3_1':0.03},(84,148):{'3_1':0.06},(84,147):{'3_1':0.03},(84,146):{'3_1':0.03},(84,145):{'3_1':0.03},(84,144):{'3_1':0.03},(84,143):{'3_1':0.0},(84,141):{'3_1':0.0},(84,138):{'3_1':0.0},(84,137):{'3_1':0.0},(84,136):{'3_1':0.0,'5_2':0.0},(84,135):{'5_1':0.0},(84,134):{'5_1':0.0},(84,133):{'3_1':0.0},(84,131):{'3_1':0.0},(84,128):{'3_1':0.0},(84,127):{'3_1':0.0},(84,126):{'3_1':0.0},(84,125):{'3_1':0.0},(84,124):{'3_1':0.0},(84,123):{'3_1':0.0},(84,122):{'3_1':0.0,'4_1':0.0},(84,121):{'3_1':0.03},(84,120):{'3_1':0.03},(84,119):{'3_1':0.03,'4_1':0.0},(84,118):{'3_1':0.0},(84,109):{'5_2':0.0},(85,727):{'5_2':0.48,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0},(85,726):{'5_2':0.57,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0},(85,725):{'5_2':0.6,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0},(85,724):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(85,723):{'5_2':0.51,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0},(85,722):{'5_2':0.54,'-3':0.09,'7_5':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_15':0.0},(85,721):{'5_2':0.45,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(85,720):{'5_2':0.57,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(85,719):{'5_2':0.57,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(85,718):{'5_2':0.54,'-3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(85,717):{'5_2':0.48,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(85,716):{'5_2':0.51,'-3':0.09,'7_2':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(85,715):{'5_2':0.54,'-3':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(85,714):{'5_2':0.48,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0},(85,713):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(85,712):{'5_2':0.51,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(85,711):{'5_2':0.48,'-3':0.15,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(85,710):{'5_2':0.33,'-3':0.09,'7_5':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'4':-0.03},(85,709):{'5_2':0.39,'-3':0.18,'7_5':0.09,'3_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(85,708):{'5_2':0.48,'-3':0.15,'7_4':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0},(85,707):{'5_2':0.51,'7_2':0.03,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_5':0.03,'8_11':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'9_1':0.0,'8_8':0.0,'7_7':0.0,'7_3':0.0,'6_2':0.0,'5_1':0.0,'4_1':0.0,'1':-0.03},(85,706):{'5_2':0.48,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(85,705):{'5_2':0.48,'-3':0.06,'7_4':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0},(85,704):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(85,703):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(85,702):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(85,701):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_6':0.03,'7_4':0.03,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0},(85,700):{'5_2':0.36,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(85,699):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(85,698):{'5_2':0.3,'-3':0.18,'3_1':0.09,'7_3':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'6_1':0.0,'7_7':0.0},(85,697):{'5_2':0.36,'-3':0.15,'7_3':0.09,'3_1':0.06,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0},(85,696):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(85,695):{'5_2':0.45,'-3':0.15,'3_1':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(85,694):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'7_6':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(85,693):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_3':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(85,692):{'5_2':0.27,'3_1':0.18,'-3':0.15,'7_3':0.03,'7_6':0.03,'7_4':0.03,'7_2':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(85,691):{'5_2':0.33,'3_1':0.15,'-3':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(85,690):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.06,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'8_14':0.0,'7_2':0.0,'7_7':0.0},(85,689):{'5_2':0.42,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(85,688):{'3_1':0.27,'5_2':0.27,'7_4':0.09,'-3':0.06,'8_11':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(85,687):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(85,686):{'3_1':0.27,'5_2':0.21,'-3':0.06,'7_4':0.06,'7_3':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0},(85,685):{'5_2':0.27,'3_1':0.24,'7_4':0.09,'-3':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(85,684):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(85,683):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(85,682):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(85,681):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(85,680):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_5':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(85,679):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(85,678):{'3_1':0.42,'5_2':0.18,'7_3':0.03,'-3':0.03,'4_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(85,677):{'3_1':0.33,'5_2':0.15,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(85,676):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(85,675):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(85,674):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(85,673):{'3_1':0.54,'7_4':0.09,'5_2':0.09,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(85,672):{'3_1':0.45,'5_2':0.09,'4_1':0.06,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(85,671):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'7_7':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(85,670):{'3_1':0.45,'7_4':0.12,'5_2':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(85,669):{'3_1':0.48,'5_2':0.12,'-3':0.06,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(85,668):{'3_1':0.36,'5_2':0.09,'7_4':0.09,'7_5':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'8_19':0.0},(85,667):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0},(85,666):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'7_7':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(85,665):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'8_11':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(85,664):{'3_1':0.54,'5_2':0.06,'5_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_19':0.0,'7_1':0.0,'7_7':0.0},(85,663):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_7':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(85,662):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0},(85,661):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(85,660):{'3_1':0.54,'5_2':0.12,'4_1':0.06,'7_7':0.03,'5_1':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0},(85,659):{'3_1':0.39,'5_2':0.18,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(85,658):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(85,657):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'8_16':0.0,'-3':0.0},(85,656):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(85,655):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(85,654):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(85,653):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(85,652):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(85,651):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(85,650):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(85,649):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0},(85,648):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(85,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_3':0.03,'4_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,646):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(85,645):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(85,644):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'8_21|3_1#4_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(85,643):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'-3':0.03,'4_1':0.03,'8_20|3_1#3_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0},(85,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_16':0.0},(85,641):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,640):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_1':0.03,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(85,639):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(85,638):{'3_1':0.15,'5_2':0.15,'5_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(85,637):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0},(85,636):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(85,635):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,634):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(85,633):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(85,632):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0},(85,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0,'8_11':0.0},(85,630):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(85,629):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(85,628):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(85,627):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0},(85,626):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'-3':0.0},(85,625):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0},(85,624):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(85,623):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'-3':0.0},(85,622):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(85,621):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(85,620):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0},(85,619):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_2':0.0,'-3':0.0},(85,618):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(85,617):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(85,616):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_7':0.0,'8_7':0.0,'-3':0.0},(85,615):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(85,614):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(85,613):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_16':0.0},(85,612):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(85,611):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,610):{'3_1':0.27,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(85,609):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(85,608):{'3_1':0.18,'5_2':0.09,'4_1':0.0},(85,607):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(85,606):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(85,605):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(85,604):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(85,603):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(85,602):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0},(85,601):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(85,600):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(85,599):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0},(85,598):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(85,597):{'4_1':0.18,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0},(85,596):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(85,595):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(85,594):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(85,593):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(85,592):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_7':0.0},(85,591):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_1':0.0},(85,590):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(85,589):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(85,588):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_3':0.0},(85,587):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,586):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'5_2':0.0},(85,585):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(85,584):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(85,583):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(85,582):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(85,581):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(85,580):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0},(85,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(85,578):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(85,577):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0},(85,576):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_13':0.0},(85,575):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'8_7':0.0},(85,574):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(85,573):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(85,572):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(85,571):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_19':0.0},(85,570):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_2':0.0},(85,569):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(85,568):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0},(85,567):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0},(85,566):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(85,565):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(85,564):{'4_1':0.09,'3_1':0.06,'7_6':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(85,563):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0},(85,562):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0},(85,561):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(85,560):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(85,559):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(85,558):{'3_1':0.21,'4_1':0.06,'7_6':0.0,'5_1':0.0,'5_2':0.0},(85,557):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(85,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(85,554):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(85,553):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(85,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(85,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0},(85,550):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(85,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(85,548):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(85,547):{'3_1':0.21,'5_1':0.0},(85,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(85,545):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(85,544):{'3_1':0.15,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(85,543):{'3_1':0.15,'4_1':0.0},(85,542):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(85,541):{'3_1':0.18,'7_4':0.0},(85,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(85,539):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'9_1':0.0,'-3':0.0},(85,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(85,537):{'3_1':0.12,'5_1':0.0},(85,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(85,535):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(85,534):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(85,533):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(85,532):{'3_1':0.15,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0},(85,531):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(85,530):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(85,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(85,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,527):{'3_1':0.06,'5_2':0.0},(85,526):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(85,525):{'3_1':0.06,'5_1':0.0},(85,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(85,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(85,522):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(85,521):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(85,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,519):{'3_1':0.06},(85,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(85,517):{'3_1':0.06},(85,516):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(85,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(85,514):{'3_1':0.06,'4_1':0.0},(85,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,512):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,511):{'3_1':0.03,'5_2':0.0},(85,510):{'3_1':0.06,'4_1':0.0},(85,509):{'3_1':0.03},(85,508):{'3_1':0.06,'8_2':0.0},(85,507):{'3_1':0.03,'4_1':0.0},(85,506):{'3_1':0.0,'4_1':0.0},(85,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(85,503):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(85,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,501):{'3_1':0.03},(85,500):{'3_1':0.06,'4_1':0.0},(85,499):{'3_1':0.03},(85,498):{'3_1':0.03,'4_1':0.0},(85,497):{'3_1':0.09},(85,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,495):{'3_1':0.03,'5_1':0.0},(85,494):{'3_1':0.03},(85,493):{'3_1':0.06},(85,492):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(85,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,490):{'3_1':0.03,'4_1':0.0},(85,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,488):{'3_1':0.06,'4_1':0.0},(85,487):{'3_1':0.03,'4_1':0.0},(85,486):{'3_1':0.03,'4_1':0.03},(85,485):{'3_1':0.09},(85,484):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,483):{'3_1':0.09,'5_1':0.0},(85,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,481):{'3_1':0.06,'4_1':0.0},(85,480):{'3_1':0.09,'4_1':0.0},(85,479):{'3_1':0.09,'7_1':0.0},(85,478):{'3_1':0.09,'5_1':0.0},(85,477):{'3_1':0.03,'4_1':0.0},(85,476):{'3_1':0.06,'5_1':0.0},(85,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,474):{'3_1':0.06,'4_1':0.0},(85,473):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(85,472):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(85,471):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(85,470):{'3_1':0.06},(85,469):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,468):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,467):{'3_1':0.03,'5_1':0.0},(85,466):{'3_1':0.0},(85,465):{'3_1':0.03},(85,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(85,463):{'3_1':0.03,'5_1':0.0},(85,462):{'3_1':0.0},(85,461):{'3_1':0.0,'4_1':0.0},(85,460):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(85,459):{'3_1':0.06},(85,458):{'3_1':0.0},(85,457):{'3_1':0.03,'4_1':0.0},(85,456):{'3_1':0.03},(85,455):{'3_1':0.03,'4_1':0.0},(85,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(85,453):{'3_1':0.03,'5_2':0.0},(85,452):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(85,451):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(85,450):{'3_1':0.03,'5_2':0.0},(85,449):{'3_1':0.03},(85,448):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(85,447):{'3_1':0.03,'4_1':0.0},(85,446):{'3_1':0.0,'4_1':0.0},(85,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,444):{'3_1':0.06,'4_1':0.0},(85,443):{'3_1':0.0},(85,442):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(85,441):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(85,440):{'3_1':0.03,'4_1':0.0},(85,439):{'3_1':0.03,'4_1':0.0},(85,438):{'3_1':0.0,'4_1':0.0},(85,437):{'3_1':0.03,'6_1':0.0},(85,436):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(85,435):{'3_1':0.03,'6_2':0.0},(85,434):{'3_1':0.03,'4_1':0.0},(85,433):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(85,432):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,431):{'3_1':0.06},(85,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,429):{'3_1':0.03},(85,428):{'3_1':0.03,'4_1':0.0},(85,427):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(85,426):{'3_1':0.03,'4_1':0.0},(85,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(85,424):{'3_1':0.0,'4_1':0.0},(85,423):{'3_1':0.06,'4_1':0.0},(85,422):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,421):{'3_1':0.0,'4_1':0.0},(85,420):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(85,419):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(85,418):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(85,417):{'3_1':0.0,'4_1':0.0},(85,416):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(85,415):{'3_1':0.03,'4_1':0.0},(85,414):{'3_1':0.03,'4_1':0.0},(85,413):{'3_1':0.03},(85,412):{'3_1':0.0,'4_1':0.0},(85,411):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,410):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(85,409):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,408):{'3_1':0.0,'5_2':0.0},(85,407):{'3_1':0.0},(85,406):{'3_1':0.0,'4_1':0.0},(85,404):{'3_1':0.0},(85,403):{'3_1':0.0,'4_1':0.0},(85,402):{'3_1':0.03},(85,401):{'3_1':0.0},(85,400):{'3_1':0.0},(85,399):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,398):{'3_1':0.0,'4_1':0.0},(85,397):{'3_1':0.0,'5_1':0.0},(85,396):{'3_1':0.0},(85,395):{'3_1':0.03,'4_1':0.0},(85,394):{'3_1':0.0},(85,393):{'3_1':0.0},(85,392):{'3_1':0.0},(85,390):{'3_1':0.0,'6_2':0.0},(85,389):{'3_1':0.0},(85,388):{'3_1':0.0},(85,387):{'3_1':0.0,'7_5':0.0},(85,386):{'3_1':0.0},(85,385):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,384):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,383):{'3_1':0.0},(85,382):{'3_1':0.03},(85,381):{'3_1':0.0,'4_1':0.0},(85,380):{'3_1':0.03,'4_1':0.0},(85,379):{'3_1':0.0,'6_1':0.0},(85,378):{'3_1':0.03},(85,377):{'3_1':0.0,'5_1':0.0},(85,376):{'3_1':0.0,'4_1':0.0},(85,375):{'3_1':0.0},(85,374):{'3_1':0.03},(85,373):{'3_1':0.03},(85,372):{'3_1':0.0},(85,371):{'3_1':0.0},(85,370):{'3_1':0.06,'4_1':0.0},(85,369):{'3_1':0.0,'4_1':0.0},(85,368):{'3_1':0.0},(85,367):{'3_1':0.0,'5_1':0.0},(85,366):{'4_1':0.0,'5_1':0.0},(85,365):{'3_1':0.0},(85,364):{'3_1':0.0,'5_1':0.0},(85,363):{'3_1':0.03,'4_1':0.0},(85,362):{'3_1':0.0},(85,361):{'3_1':0.0},(85,360):{'3_1':0.0},(85,359):{'3_1':0.0,'4_1':0.0},(85,358):{'3_1':0.03},(85,357):{'3_1':0.0,'4_1':0.0},(85,356):{'3_1':0.0,'5_1':0.0},(85,355):{'3_1':0.0,'5_1':0.0},(85,354):{'3_1':0.03},(85,353):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(85,351):{'3_1':0.0},(85,350):{'3_1':0.0},(85,349):{'3_1':0.0},(85,348):{'3_1':0.0,'4_1':0.0},(85,347):{'3_1':0.0},(85,346):{'3_1':0.0},(85,345):{'3_1':0.0},(85,344):{'3_1':0.0},(85,343):{'3_1':0.0},(85,342):{'3_1':0.03},(85,340):{'3_1':0.0},(85,339):{'3_1':0.0},(85,338):{'4_1':0.0},(85,337):{'-3':0.0,'3_1':0.0},(85,336):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,335):{'3_1':0.03},(85,334):{'3_1':0.0,'4_1':0.0},(85,333):{'3_1':0.0,'4_1':0.0},(85,332):{'3_1':0.0},(85,331):{'3_1':0.03,'5_1':0.0},(85,330):{'3_1':0.0},(85,329):{'4_1':0.0},(85,327):{'3_1':0.0},(85,326):{'3_1':0.0},(85,323):{'3_1':0.0,'5_1':0.0},(85,322):{'3_1':0.0,'4_1':0.0},(85,321):{'3_1':0.03,'5_1':0.0},(85,320):{'3_1':0.0},(85,319):{'3_1':0.0},(85,318):{'3_1':0.0,'5_1':0.0},(85,317):{'3_1':0.0},(85,316):{'3_1':0.03,'4_1':0.0},(85,315):{'4_1':0.0},(85,314):{'3_1':0.03},(85,313):{'3_1':0.0},(85,312):{'3_1':0.03,'4_1':0.0},(85,311):{'3_1':0.0},(85,310):{'3_1':0.0},(85,309):{'3_1':0.03},(85,308):{'3_1':0.0,'4_1':0.0},(85,307):{'3_1':0.03},(85,305):{'3_1':0.0,'6_1':0.0},(85,304):{'3_1':0.0,'7_3':0.0},(85,303):{'3_1':0.03},(85,302):{'3_1':0.0,'5_2':0.0},(85,301):{'3_1':0.0,'4_1':0.0},(85,300):{'3_1':0.0},(85,299):{'3_1':0.0},(85,298):{'3_1':0.0},(85,297):{'3_1':0.0,'4_1':0.0},(85,296):{'3_1':0.0},(85,295):{'3_1':0.0,'5_1':0.0},(85,294):{'3_1':0.0,'5_1':0.0},(85,293):{'3_1':0.03},(85,291):{'3_1':0.03},(85,290):{'3_1':0.0},(85,289):{'3_1':0.0},(85,288):{'3_1':0.0},(85,287):{'3_1':0.03},(85,286):{'3_1':0.03},(85,285):{'3_1':0.0,'4_1':0.0},(85,284):{'3_1':0.0},(85,282):{'3_1':0.0,'4_1':0.0},(85,281):{'3_1':0.03},(85,280):{'3_1':0.03,'4_1':0.0},(85,279):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(85,278):{'3_1':0.0},(85,277):{'3_1':0.03},(85,276):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,275):{'3_1':0.03},(85,274):{'3_1':0.03,'4_1':0.0},(85,272):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(85,271):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(85,270):{'3_1':0.0,'4_1':0.0},(85,269):{'3_1':0.0},(85,268):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(85,267):{'3_1':0.03,'5_2':0.0},(85,266):{'3_1':0.0,'4_1':0.0},(85,265):{'3_1':0.03},(85,264):{'3_1':0.0,'6_2':0.0},(85,263):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,262):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(85,261):{'3_1':0.0,'4_1':0.0},(85,260):{'6_1':0.0},(85,259):{'3_1':0.0},(85,258):{'4_1':0.0,'3_1':0.0},(85,257):{'3_1':0.0,'4_1':0.0},(85,256):{'3_1':0.0,'5_1':0.0},(85,255):{'4_1':0.0},(85,254):{'3_1':0.0,'4_1':0.0},(85,253):{'3_1':0.0,'4_1':0.0},(85,252):{'3_1':0.0,'4_1':0.0},(85,251):{'3_1':0.0},(85,250):{'3_1':0.0},(85,249):{'3_1':0.0},(85,247):{'3_1':0.0},(85,246):{'3_1':0.0},(85,245):{'4_1':0.0},(85,244):{'3_1':0.0,'4_1':0.0},(85,243):{'3_1':0.0},(85,241):{'4_1':0.03,'3_1':0.0},(85,240):{'4_1':0.0,'3_1':0.0},(85,239):{'3_1':0.0,'4_1':0.0},(85,238):{'3_1':0.0,'7_1':0.0},(85,237):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(85,236):{'3_1':0.0},(85,235):{'3_1':0.0,'4_1':0.0},(85,234):{'4_1':0.0,'3_1':0.0},(85,233):{'3_1':0.0,'5_1':0.0},(85,232):{'4_1':0.0,'3_1':0.0},(85,231):{'3_1':0.0},(85,230):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(85,229):{'3_1':0.0,'4_1':0.0},(85,227):{'3_1':0.0},(85,226):{'3_1':0.0,'5_2':0.0},(85,225):{'3_1':0.03},(85,224):{'3_1':0.0,'4_1':0.0},(85,223):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(85,222):{'4_1':0.0},(85,221):{'3_1':0.0,'4_1':0.0},(85,220):{'4_1':0.0},(85,219):{'4_1':0.0},(85,217):{'4_1':0.0},(85,216):{'3_1':0.0},(85,214):{'3_1':0.0},(85,213):{'4_1':0.0},(85,212):{'3_1':0.0},(85,211):{'3_1':0.0,'5_1':0.0},(85,210):{'3_1':0.0},(85,209):{'4_1':0.0,'3_1':0.0},(85,208):{'3_1':0.03,'4_1':0.0},(85,207):{'3_1':0.0,'4_1':0.0},(85,206):{'3_1':0.0},(85,205):{'3_1':0.0},(85,204):{'3_1':0.0,'4_1':0.0},(85,202):{'3_1':0.0,'4_1':0.0},(85,201):{'3_1':0.0,'5_1':0.0},(85,200):{'3_1':0.0},(85,199):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(85,198):{'3_1':0.0},(85,196):{'3_1':0.0},(85,193):{'3_1':0.0},(85,192):{'3_1':0.0},(85,191):{'3_1':0.03,'5_2':0.0},(85,190):{'3_1':0.0},(85,189):{'4_1':0.0},(85,188):{'3_1':0.0},(85,187):{'3_1':0.0,'4_1':0.0},(85,185):{'3_1':0.0},(85,184):{'3_1':0.0},(85,180):{'3_1':0.0},(85,179):{'4_1':0.0},(85,178):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(85,176):{'3_1':0.0},(85,175):{'4_1':0.0},(85,173):{'3_1':0.0},(85,171):{'3_1':0.0,'4_1':0.0},(85,170):{'4_1':0.0},(85,169):{'3_1':0.0},(85,168):{'3_1':0.03},(85,167):{'3_1':0.0,'4_1':0.0},(85,166):{'3_1':0.0},(85,165):{'3_1':0.0},(85,164):{'3_1':0.0},(85,163):{'3_1':0.0},(85,162):{'3_1':0.03},(85,161):{'3_1':0.0,'5_2':0.0},(85,160):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(85,159):{'3_1':0.0},(85,158):{'3_1':0.06},(85,157):{'3_1':0.0,'4_1':0.0},(85,156):{'3_1':0.03,'5_2':0.0},(85,155):{'3_1':0.0},(85,154):{'3_1':0.03},(85,153):{'3_1':0.03,'4_1':0.0},(85,152):{'3_1':0.0},(85,151):{'3_1':0.0},(85,150):{'3_1':0.0},(85,149):{'3_1':0.0},(85,148):{'3_1':0.0},(85,147):{'3_1':0.0},(85,146):{'3_1':0.03,'4_1':0.0},(85,145):{'3_1':0.0},(85,144):{'3_1':0.0},(85,143):{'3_1':0.0},(85,142):{'3_1':0.0},(85,141):{'3_1':0.0},(85,140):{'3_1':0.0},(85,139):{'3_1':0.0},(85,138):{'3_1':0.0},(85,131):{'3_1':0.0},(85,129):{'3_1':0.0},(85,127):{'5_1':0.0},(85,126):{'3_1':0.0},(85,124):{'3_1':0.0},(85,123):{'3_1':0.0},(85,122):{'3_1':0.0},(85,121):{'3_1':0.0},(85,120):{'3_1':0.0},(85,119):{'3_1':0.03},(85,118):{'3_1':0.0,'6_1':0.0},(85,109):{'3_1':0.0},(86,727):{'5_2':0.54,'7_2':0.06,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(86,726):{'5_2':0.57,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0,'8_19':0.0},(86,725):{'5_2':0.54,'-3':0.12,'7_2':0.06,'6_1':0.03,'7_4':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(86,724):{'5_2':0.45,'-3':0.09,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(86,723):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0},(86,722):{'5_2':0.54,'-3':0.06,'7_6':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0},(86,721):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(86,720):{'5_2':0.39,'-3':0.15,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(86,719):{'5_2':0.51,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_6':0.0,'6_1':0.0,'7_5':0.0,'3_1':0.0,'8_15':0.0},(86,718):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(86,717):{'5_2':0.42,'-3':0.12,'7_5':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0},(86,716):{'5_2':0.54,'7_4':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(86,715):{'5_2':0.6,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(86,714):{'5_2':0.48,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(86,713):{'5_2':0.54,'3_1':0.06,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(86,712):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0},(86,711):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(86,710):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(86,709):{'5_2':0.51,'-3':0.18,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(86,708):{'5_2':0.42,'-3':0.12,'7_5':0.09,'5_1':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'8_11':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(86,707):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_5':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0},(86,706):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(86,705):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(86,704):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(86,703):{'5_2':0.48,'3_1':0.09,'-3':0.09,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(86,702):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'1':-0.03},(86,701):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(86,700):{'5_2':0.3,'3_1':0.12,'-3':0.12,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(86,699):{'5_2':0.48,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'8_11':0.0},(86,698):{'5_2':0.33,'-3':0.18,'3_1':0.15,'7_3':0.03,'7_6':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(86,697):{'5_2':0.27,'-3':0.18,'3_1':0.09,'7_2':0.03,'7_4':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'2':-0.03},(86,696):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.06,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0},(86,695):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'1':-0.03},(86,694):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_7':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(86,693):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(86,692):{'5_2':0.33,'-3':0.18,'3_1':0.12,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(86,691):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(86,690):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_3':0.09,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(86,689):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(86,688):{'5_2':0.36,'3_1':0.21,'7_4':0.06,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'7_6':0.0,'3_1#5_2':0.0},(86,687):{'5_2':0.27,'3_1':0.18,'-3':0.12,'7_3':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(86,686):{'5_2':0.39,'3_1':0.18,'7_5':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(86,685):{'5_2':0.33,'3_1':0.3,'7_4':0.06,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(86,684):{'3_1':0.3,'5_2':0.3,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(86,683):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'8_15':0.0},(86,682):{'3_1':0.33,'5_2':0.27,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(86,681):{'3_1':0.33,'5_2':0.21,'7_4':0.12,'-3':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(86,680):{'3_1':0.33,'5_2':0.21,'7_4':0.12,'7_7':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(86,679):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(86,678):{'3_1':0.3,'5_2':0.21,'7_4':0.15,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(86,677):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_7':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(86,676):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'7_7':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(86,675):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0},(86,674):{'3_1':0.51,'5_2':0.18,'7_7':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,673):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_15':0.0,'-3':0.0},(86,672):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'7_7':0.03,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(86,671):{'3_1':0.48,'5_2':0.12,'-3':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.03,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0},(86,670):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(86,669):{'3_1':0.6,'5_2':0.18,'7_4':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(86,668):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(86,667):{'3_1':0.48,'5_2':0.21,'7_7':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(86,666):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(86,665):{'3_1':0.54,'5_2':0.18,'7_7':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(86,664):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(86,663):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(86,662):{'3_1':0.39,'5_2':0.27,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(86,661):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(86,660):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(86,659):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'7_4':0.06,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(86,658):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0},(86,657):{'3_1':0.57,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(86,656):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(86,655):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0},(86,654):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_7':0.03,'7_1':0.0},(86,653):{'3_1':0.6,'5_2':0.12,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(86,652):{'3_1':0.45,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(86,651):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(86,650):{'3_1':0.48,'5_1':0.06,'5_2':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,649):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_7':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(86,648):{'3_1':0.3,'5_1':0.18,'5_2':0.15,'7_4':0.0,'4_1':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,647):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_7':0.0},(86,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0},(86,645):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.0,'8_19':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(86,644):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(86,643):{'3_1':0.3,'5_2':0.15,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0},(86,642):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(86,641):{'3_1':0.36,'5_2':0.09,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_4':0.0},(86,640):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_7':0.0,'7_4':0.0,'7_3':0.0,'8_16':0.0,'8_19':0.0},(86,639):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_4':0.0,'8_2':0.0},(86,638):{'5_2':0.15,'3_1':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(86,637):{'3_1':0.36,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(86,636):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(86,635):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(86,634):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(86,633):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0},(86,632):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.0,'3_1#5_1':0.0},(86,631):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(86,630):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(86,629):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(86,628):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03},(86,627):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(86,626):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0},(86,625):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(86,624):{'3_1':0.09,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_7':0.0},(86,623):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(86,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(86,621):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,620):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(86,619):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(86,618):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(86,617):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0},(86,616):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(86,615):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(86,614):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,613):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(86,612):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(86,611):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(86,610):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(86,609):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(86,608):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0},(86,607):{'3_1':0.36,'4_1':0.03,'5_2':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(86,606):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_7':0.0,'-3':0.0},(86,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(86,604):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(86,603):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(86,602):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(86,601):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(86,600):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(86,599):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(86,598):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(86,597):{'4_1':0.15,'3_1':0.15,'6_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(86,596):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(86,595):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(86,594):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(86,593):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(86,592):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(86,591):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(86,590):{'3_1':0.21,'4_1':0.15,'6_1':0.0,'6_2':0.0,'5_2':0.0},(86,589):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(86,588):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'5_2':0.0},(86,587):{'3_1':0.18,'4_1':0.18,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0},(86,586):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(86,585):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0},(86,584):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(86,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(86,582):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(86,581):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0},(86,580):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0},(86,579):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(86,578):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(86,577):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(86,576):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_6':0.0,'6_2':0.0,'-3':0.0},(86,575):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(86,574):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(86,573):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(86,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(86,571):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(86,570):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(86,569):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(86,568):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0},(86,567):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(86,566):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(86,565):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_2':0.0},(86,564):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_3':0.0},(86,563):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(86,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0},(86,561):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(86,560):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(86,559):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(86,558):{'3_1':0.12,'4_1':0.03,'8_2':0.0},(86,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(86,555):{'3_1':0.15,'4_1':0.06,'7_3':0.0,'7_5':0.0,'8_7':0.0},(86,554):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(86,553):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(86,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(86,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,549):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(86,548):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(86,547):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(86,546):{'3_1':0.15,'4_1':0.0},(86,545):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(86,544):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(86,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(86,542):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(86,541):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(86,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(86,539):{'3_1':0.21,'5_2':0.0},(86,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(86,536):{'3_1':0.21,'5_2':0.0,'7_1':0.0},(86,535):{'3_1':0.24,'4_1':0.0,'6_2':0.0,'7_1':0.0},(86,534):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(86,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(86,532):{'3_1':0.18,'5_2':0.0,'7_1':0.0},(86,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(86,530):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(86,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(86,528):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(86,527):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(86,526):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(86,525):{'3_1':0.06,'5_2':0.0},(86,524):{'3_1':0.06,'5_2':0.0},(86,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,522):{'3_1':0.06,'4_1':0.0},(86,521):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(86,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(86,519):{'3_1':0.09,'4_1':0.0},(86,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,517):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(86,516):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(86,515):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(86,514):{'3_1':0.03,'4_1':0.0},(86,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(86,512):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(86,511):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,509):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(86,508):{'3_1':0.0,'4_1':0.0},(86,507):{'3_1':0.0,'4_1':0.0,'8_4':0.0},(86,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,505):{'3_1':0.03,'4_1':0.0},(86,504):{'3_1':0.03},(86,503):{'3_1':0.03,'4_1':0.0},(86,502):{'3_1':0.03,'5_1':0.0},(86,501):{'3_1':0.0},(86,500):{'3_1':0.03},(86,499):{'3_1':0.03,'4_1':0.0},(86,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,497):{'3_1':0.03,'4_1':0.0},(86,496):{'3_1':0.0,'4_1':0.0},(86,495):{'3_1':0.06},(86,494):{'3_1':0.09,'5_1':0.0},(86,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,492):{'3_1':0.03},(86,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(86,490):{'3_1':0.06,'4_1':0.0},(86,489):{'3_1':0.06,'5_1':0.0},(86,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,487):{'3_1':0.03,'6_2':0.0},(86,486):{'3_1':0.09},(86,485):{'3_1':0.03,'4_1':0.0},(86,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(86,483):{'3_1':0.06,'7_1':0.0},(86,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(86,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(86,480):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,479):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,478):{'3_1':0.03,'5_2':0.0},(86,477):{'3_1':0.06},(86,476):{'3_1':0.09,'4_1':0.0},(86,475):{'3_1':0.09,'4_1':0.0},(86,474):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(86,473):{'3_1':0.09,'4_1':0.0},(86,472):{'3_1':0.06,'4_1':0.0},(86,471):{'3_1':0.06,'5_1':0.03},(86,470):{'3_1':0.03,'4_1':0.0},(86,469):{'3_1':0.03},(86,468):{'3_1':0.06,'4_1':0.0},(86,467):{'3_1':0.06},(86,466):{'3_1':0.06},(86,465):{'3_1':0.06},(86,464):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(86,463):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(86,462):{'3_1':0.03,'4_1':0.0},(86,461):{'3_1':0.03},(86,460):{'3_1':0.03,'4_1':0.0},(86,459):{'3_1':0.03,'4_1':0.0},(86,458):{'3_1':0.03},(86,457):{'3_1':0.06},(86,456):{'3_1':0.0,'6_3':0.0},(86,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,454):{'3_1':0.06,'4_1':0.0},(86,453):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(86,452):{'3_1':0.03},(86,451):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(86,450):{'3_1':0.03},(86,449):{'3_1':0.03,'4_1':0.0},(86,448):{'3_1':0.03,'4_1':0.0},(86,447):{'3_1':0.06},(86,446):{'3_1':0.0},(86,445):{'3_1':0.0,'4_1':0.0},(86,444):{'3_1':0.0,'4_1':0.0},(86,443):{'3_1':0.0},(86,442):{'3_1':0.0},(86,441):{'3_1':0.03,'4_1':0.0},(86,440):{'3_1':0.03},(86,439):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(86,438):{'3_1':0.03},(86,437):{'3_1':0.0,'4_1':0.0},(86,436):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(86,435):{'3_1':0.0,'4_1':0.0},(86,434):{'3_1':0.03,'4_1':0.0},(86,433):{'3_1':0.06,'4_1':0.0},(86,432):{'3_1':0.03,'4_1':0.0},(86,431):{'3_1':0.03,'6_1':0.0},(86,430):{'3_1':0.03,'4_1':0.0},(86,429):{'3_1':0.06,'6_1':0.0},(86,428):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(86,427):{'3_1':0.03,'4_1':0.0},(86,426):{'4_1':0.03,'3_1':0.0,'-3':0.0},(86,425):{'3_1':0.03},(86,424):{'3_1':0.0,'4_1':0.0},(86,423):{'3_1':0.06,'4_1':0.0},(86,422):{'3_1':0.06,'4_1':0.0},(86,421):{'3_1':0.03,'4_1':0.0},(86,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(86,419):{'3_1':0.0,'5_2':0.0},(86,418):{'3_1':0.03},(86,417):{'3_1':0.0,'5_2':0.0},(86,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(86,415):{'3_1':0.0},(86,414):{'3_1':0.0},(86,413):{'3_1':0.0,'5_1':0.0},(86,412):{'3_1':0.0,'4_1':0.0},(86,411):{'3_1':0.0,'4_1':0.0},(86,410):{'3_1':0.0},(86,409):{'4_1':0.0},(86,408):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,406):{'3_1':0.0},(86,405):{'3_1':0.0,'4_1':0.0},(86,404):{'3_1':0.0,'4_1':0.0},(86,403):{'4_1':0.0},(86,402):{'3_1':0.03,'4_1':0.0},(86,401):{'3_1':0.03,'4_1':0.0},(86,400):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(86,399):{'3_1':0.0,'5_2':0.0},(86,398):{'3_1':0.0,'4_1':0.0},(86,397):{'3_1':0.0,'4_1':0.0},(86,396):{'3_1':0.0,'5_2':0.0},(86,393):{'3_1':0.0,'6_1':0.0},(86,392):{'3_1':0.0,'4_1':0.0},(86,391):{'3_1':0.0},(86,390):{'3_1':0.0},(86,389):{'4_1':0.0},(86,386):{'3_1':0.0,'4_1':0.0},(86,385):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(86,384):{'3_1':0.0},(86,383):{'3_1':0.03},(86,382):{'3_1':0.03},(86,381):{'3_1':0.0,'5_1':0.0},(86,380):{'3_1':0.03,'4_1':0.0},(86,379):{'3_1':0.0,'4_1':0.0},(86,378):{'3_1':0.03},(86,377):{'3_1':0.03},(86,376):{'3_1':0.03,'4_1':0.0},(86,375):{'3_1':0.03,'5_1':0.0},(86,374):{'3_1':0.03,'4_1':0.0},(86,373):{'3_1':0.0},(86,372):{'3_1':0.0},(86,371):{'3_1':0.0,'5_1':0.0},(86,370):{'3_1':0.0},(86,368):{'3_1':0.0},(86,367):{'3_1':0.0},(86,366):{'3_1':0.0},(86,365):{'3_1':0.0},(86,364):{'3_1':0.0,'5_1':0.0},(86,363):{'3_1':0.03},(86,362):{'3_1':0.03},(86,361):{'3_1':0.0},(86,360):{'4_1':0.0,'5_1':0.0},(86,359):{'3_1':0.0,'5_1':0.0},(86,358):{'4_1':0.0,'7_1':0.0},(86,357):{'3_1':0.0},(86,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,355):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(86,354):{'3_1':0.0,'5_1':0.0},(86,353):{'3_1':0.0},(86,352):{'3_1':0.0,'5_2':0.0},(86,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,347):{'3_1':0.0},(86,346):{'3_1':0.0},(86,345):{'3_1':0.0},(86,344):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(86,343):{'3_1':0.03,'5_1':0.0},(86,342):{'3_1':0.0},(86,341):{'3_1':0.0,'5_2':0.0},(86,340):{'3_1':0.0,'5_1':0.0},(86,337):{'3_1':0.03,'4_1':0.0},(86,336):{'3_1':0.0},(86,335):{'3_1':0.03,'4_1':0.0},(86,334):{'3_1':0.0},(86,333):{'3_1':0.0},(86,331):{'3_1':0.03},(86,330):{'3_1':0.0},(86,329):{'3_1':0.0},(86,328):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,327):{'3_1':0.0},(86,326):{'3_1':0.0},(86,325):{'3_1':0.0},(86,324):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,322):{'3_1':0.0,'4_1':0.0},(86,321):{'4_1':0.0,'3_1':0.0},(86,320):{'3_1':0.0},(86,319):{'3_1':0.0},(86,318):{'3_1':0.0},(86,317):{'3_1':0.0},(86,315):{'3_1':0.0,'4_1':0.0},(86,314):{'3_1':0.0},(86,313):{'3_1':0.0},(86,312):{'4_1':0.0},(86,310):{'3_1':0.0},(86,308):{'3_1':0.0},(86,307):{'3_1':0.03},(86,306):{'3_1':0.0,'7_1':0.0},(86,305):{'3_1':0.0},(86,304):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(86,303):{'3_1':0.0,'4_1':0.0},(86,302):{'4_1':0.0},(86,301):{'3_1':0.0},(86,300):{'3_1':0.0},(86,299):{'3_1':0.0,'4_1':0.0},(86,298):{'3_1':0.0},(86,297):{'3_1':0.0},(86,296):{'3_1':0.0},(86,295):{'3_1':0.0,'4_1':0.0},(86,293):{'3_1':0.0,'5_1':0.0},(86,292):{'3_1':0.0},(86,291):{'3_1':0.0},(86,290):{'3_1':0.0},(86,289):{'3_1':0.0,'5_1':0.0},(86,288):{'3_1':0.0},(86,286):{'3_1':0.0},(86,285):{'3_1':0.0},(86,284):{'3_1':0.0},(86,283):{'3_1':0.03},(86,282):{'3_1':0.0},(86,281):{'3_1':0.0},(86,280):{'3_1':0.0,'5_2':0.0},(86,279):{'3_1':0.03,'4_1':0.0},(86,278):{'3_1':0.03},(86,277):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(86,276):{'3_1':0.0},(86,275):{'3_1':0.0},(86,274):{'3_1':0.03},(86,273):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,272):{'3_1':0.0,'4_1':0.0},(86,271):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(86,270):{'3_1':0.0,'4_1':0.0},(86,269):{'3_1':0.0},(86,268):{'3_1':0.0},(86,267):{'3_1':0.03,'6_2':0.0},(86,266):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(86,265):{'3_1':0.03},(86,264):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(86,263):{'3_1':0.0,'4_1':0.0},(86,262):{'3_1':0.0},(86,261):{'3_1':0.0},(86,260):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(86,259):{'3_1':0.0},(86,258):{'3_1':0.0},(86,257):{'3_1':0.0},(86,256):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,255):{'3_1':0.0},(86,254):{'3_1':0.0,'4_1':0.0},(86,253):{'4_1':0.0},(86,251):{'3_1':0.0},(86,250):{'3_1':0.0,'4_1':0.0},(86,248):{'3_1':0.0,'4_1':0.0},(86,247):{'3_1':0.0},(86,246):{'4_1':0.0},(86,243):{'4_1':0.0},(86,242):{'4_1':0.0,'3_1':0.0},(86,241):{'3_1':0.03,'4_1':0.0},(86,240):{'4_1':0.03},(86,239):{'3_1':0.03,'4_1':0.0},(86,238):{'3_1':0.0,'4_1':0.0},(86,237):{'3_1':0.0},(86,236):{'3_1':0.0},(86,235):{'3_1':0.0,'4_1':0.0},(86,234):{'3_1':0.0},(86,233):{'3_1':0.0,'4_1':0.0},(86,232):{'3_1':0.0},(86,231):{'3_1':0.03},(86,230):{'3_1':0.0,'4_1':0.0},(86,229):{'3_1':0.0,'5_2':0.0},(86,228):{'5_1':0.0,'3_1':0.0},(86,227):{'3_1':0.0},(86,226):{'3_1':0.0},(86,225):{'4_1':0.0},(86,224):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(86,223):{'3_1':0.0},(86,222):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,221):{'3_1':0.0,'4_1':0.0},(86,220):{'3_1':0.0},(86,218):{'3_1':0.0},(86,216):{'3_1':0.03},(86,215):{'3_1':0.0},(86,214):{'3_1':0.0},(86,213):{'3_1':0.0,'4_1':0.0},(86,212):{'3_1':0.0},(86,211):{'3_1':0.0},(86,210):{'3_1':0.0},(86,209):{'4_1':0.0},(86,208):{'4_1':0.0},(86,207):{'3_1':0.0},(86,205):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(86,204):{'4_1':0.0},(86,203):{'3_1':0.0},(86,202):{'3_1':0.0},(86,200):{'3_1':0.0},(86,199):{'3_1':0.0},(86,198):{'3_1':0.0},(86,196):{'3_1':0.0},(86,195):{'3_1':0.03},(86,194):{'3_1':0.0,'4_1':0.0},(86,193):{'3_1':0.0},(86,192):{'3_1':0.0},(86,191):{'3_1':0.0},(86,189):{'3_1':0.0},(86,187):{'3_1':0.0},(86,185):{'5_2':0.0},(86,183):{'3_1':0.0},(86,182):{'3_1':0.0},(86,181):{'3_1':0.0},(86,180):{'3_1':0.0},(86,179):{'4_1':0.0},(86,178):{'4_1':0.0},(86,177):{'3_1':0.0},(86,176):{'3_1':0.0,'5_2':0.0},(86,173):{'3_1':0.0},(86,171):{'3_1':0.0},(86,170):{'3_1':0.0},(86,168):{'3_1':0.0},(86,167):{'3_1':0.0,'4_1':0.0},(86,166):{'3_1':0.03},(86,165):{'3_1':0.0},(86,164):{'3_1':0.06},(86,163):{'3_1':0.0},(86,162):{'3_1':0.03},(86,161):{'3_1':0.0},(86,160):{'3_1':0.03},(86,159):{'3_1':0.03},(86,158):{'3_1':0.0},(86,157):{'3_1':0.06},(86,156):{'3_1':0.0},(86,155):{'3_1':0.0},(86,154):{'3_1':0.03},(86,153):{'3_1':0.0},(86,152):{'3_1':0.03},(86,151):{'3_1':0.0},(86,150):{'3_1':0.0},(86,149):{'3_1':0.0},(86,148):{'3_1':0.03},(86,147):{'3_1':0.0},(86,146):{'3_1':0.0},(86,145):{'4_1':0.0},(86,143):{'3_1':0.0},(86,142):{'3_1':0.03},(86,141):{'3_1':0.0},(86,140):{'3_1':0.0},(86,137):{'3_1':0.0,'5_1':0.0},(86,136):{'3_1':0.0},(86,135):{'3_1':0.0},(86,133):{'3_1':0.0},(86,131):{'3_1':0.0,'7_1':0.0},(86,130):{'3_1':0.0},(86,129):{'3_1':0.0},(86,128):{'3_1':0.0},(86,127):{'3_1':0.0,'5_1':0.0},(86,126):{'3_1':0.0},(86,124):{'3_1':0.0},(86,123):{'3_1':0.0},(86,122):{'3_1':0.0,'5_1':0.0},(86,121):{'3_1':0.0},(86,120):{'3_1':0.0},(86,119):{'3_1':0.0},(87,727):{'5_2':0.72,'7_5':0.03,'-3':0.03,'7_2':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(87,726):{'5_2':0.57,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(87,725):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.0,'7_6':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(87,724):{'5_2':0.51,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(87,723):{'5_2':0.63,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(87,722):{'5_2':0.54,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0},(87,721):{'5_2':0.51,'-3':0.06,'7_4':0.06,'7_2':0.06,'3_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(87,720):{'5_2':0.48,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(87,719):{'5_2':0.54,'7_4':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(87,718):{'5_2':0.48,'-3':0.09,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0,'8_14':0.0,'8_15':0.0},(87,717):{'5_2':0.45,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_10':0.0,'2':-0.03},(87,716):{'5_2':0.51,'7_2':0.09,'7_3':0.03,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(87,715):{'5_2':0.45,'-3':0.09,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0,'3_1#5_2':0.0},(87,714):{'5_2':0.48,'-3':0.09,'7_2':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(87,713):{'5_2':0.48,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_14':0.0},(87,712):{'5_2':0.36,'-3':0.09,'7_4':0.06,'7_5':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(87,711):{'5_2':0.36,'-3':0.12,'7_2':0.06,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'8_10':0.0,'8_14':0.0,'1':-0.03},(87,710):{'5_2':0.36,'-3':0.15,'7_5':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0,'8_4':0.0},(87,709):{'5_2':0.42,'-3':0.21,'7_2':0.06,'7_5':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(87,708):{'5_2':0.45,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(87,707):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_6':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'8_4':0.0},(87,706):{'5_2':0.48,'-3':0.12,'7_4':0.03,'7_2':0.03,'3_1':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(87,705):{'5_2':0.36,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(87,704):{'5_2':0.48,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(87,703):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(87,702):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_6':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_3':0.0,'3_1#5_1':0.0},(87,701):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(87,700):{'5_2':0.39,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'4':-0.03},(87,699):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_6':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(87,698):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(87,697):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'6_1':0.0,'3_1#5_2':0.0,'8_11':0.0},(87,696):{'5_2':0.42,'-3':0.18,'3_1':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(87,695):{'5_2':0.39,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(87,694):{'5_2':0.3,'-3':0.15,'7_3':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'3_1#5_2':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0},(87,693):{'5_2':0.27,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'1':-0.03},(87,692):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(87,691):{'5_2':0.36,'3_1':0.21,'-3':0.12,'7_2':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(87,690):{'5_2':0.33,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'8_11':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(87,689):{'5_2':0.33,'3_1':0.12,'7_4':0.06,'6_1':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(87,688):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(87,687):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,686):{'5_2':0.24,'3_1':0.21,'-3':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(87,685):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(87,684):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'-3':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0},(87,683):{'5_2':0.27,'3_1':0.24,'7_4':0.09,'-3':0.06,'7_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,682):{'5_2':0.33,'3_1':0.3,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_2':0.0,'8_14':0.0},(87,681):{'5_2':0.36,'3_1':0.3,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(87,680):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(87,679):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0},(87,678):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,677):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(87,676):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0},(87,675):{'3_1':0.45,'5_2':0.21,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(87,674):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0},(87,673):{'3_1':0.42,'5_2':0.06,'7_4':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(87,672):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0},(87,671):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,670):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(87,669):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(87,668):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(87,667):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(87,666):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(87,665):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,664):{'3_1':0.54,'5_2':0.12,'4_1':0.06,'7_4':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(87,663):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(87,662):{'3_1':0.54,'5_2':0.12,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(87,661):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0},(87,660):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(87,659):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(87,658):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'5_1':0.03,'6_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(87,657):{'3_1':0.45,'5_2':0.15,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(87,656):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(87,655):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_16':0.0,'9_1':0.0,'3_1#5_2':0.0,'-3':0.0},(87,654):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0},(87,653):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(87,652):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.03,'8_21|3_1#4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(87,651):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(87,650):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(87,649):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(87,648):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(87,647):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0},(87,646):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0},(87,645):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'7_4':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'-3':0.0},(87,644):{'3_1':0.33,'5_2':0.15,'5_1':0.12,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(87,643):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(87,642):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(87,641):{'3_1':0.21,'5_2':0.18,'5_1':0.09,'7_1':0.03,'8_19':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(87,640):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'8_16':0.0,'3_1#5_1':0.0,'-3':0.0},(87,639):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(87,638):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(87,637):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(87,636):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(87,635):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,634):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(87,633):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_16':0.0},(87,632):{'3_1':0.15,'5_2':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(87,631):{'3_1':0.21,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(87,630):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0},(87,629):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(87,628):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_16':0.0},(87,627):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(87,626):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(87,625):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(87,624):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(87,623):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(87,622):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(87,621):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(87,620):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(87,619):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(87,618):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0,'-3':0.0},(87,617):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(87,616):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03},(87,615):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'-3':0.0,'7_6':0.0,'3_1#5_1':0.0},(87,614):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(87,613):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(87,612):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'8_19':0.0,'-3':0.0},(87,611):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(87,610):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(87,609):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'6_3':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(87,608):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(87,607):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(87,606):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(87,605):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(87,604):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(87,603):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(87,602):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(87,601):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(87,600):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'9_1':0.0},(87,599):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(87,598):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(87,597):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_3':0.0},(87,596):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(87,595):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(87,594):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(87,593):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(87,592):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(87,591):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(87,590):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(87,589):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(87,588):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(87,587):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(87,586):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(87,585):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(87,584):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(87,583):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(87,582):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(87,581):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_7':0.0},(87,580):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(87,579):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(87,578):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(87,577):{'3_1':0.12,'4_1':0.09,'8_19':0.0,'5_1':0.0},(87,576):{'4_1':0.12,'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0},(87,575):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(87,574):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(87,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_3':0.0},(87,572):{'3_1':0.12,'4_1':0.09,'7_6':0.0},(87,571):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(87,570):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(87,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(87,568):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(87,567):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(87,566):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0},(87,565):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(87,564):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(87,563):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(87,562):{'3_1':0.06,'4_1':0.03,'7_6':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(87,561):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(87,560):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0},(87,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_7':0.0},(87,558):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(87,557):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(87,556):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(87,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(87,554):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(87,553):{'3_1':0.18,'5_2':0.0},(87,552):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(87,551):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(87,550):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(87,549):{'3_1':0.24,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(87,548):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_2':0.0},(87,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(87,546):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(87,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(87,544):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(87,543):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(87,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,541):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(87,540):{'3_1':0.18,'7_3':0.0},(87,539):{'3_1':0.18,'7_1':0.0},(87,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(87,537):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(87,536):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_1':0.0},(87,535):{'3_1':0.15,'5_1':0.0},(87,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(87,532):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(87,531):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(87,530):{'3_1':0.12},(87,529):{'3_1':0.15,'5_1':0.0},(87,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,527):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(87,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(87,524):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0},(87,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(87,522):{'3_1':0.09,'4_1':0.0},(87,521):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(87,520):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(87,519):{'3_1':0.06,'5_2':0.0},(87,518):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(87,517):{'3_1':0.12,'4_1':0.0},(87,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(87,515):{'3_1':0.03,'4_1':0.0},(87,514):{'3_1':0.0,'5_2':0.0},(87,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(87,512):{'3_1':0.03,'4_1':0.0},(87,511):{'3_1':0.09},(87,510):{'3_1':0.06},(87,509):{'3_1':0.03,'5_1':0.0},(87,508):{'3_1':0.03,'4_1':0.0},(87,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(87,506):{'3_1':0.03,'5_1':0.0},(87,505):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(87,504):{'3_1':0.0,'4_1':0.0},(87,503):{'3_1':0.03,'4_1':0.0},(87,502):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(87,501):{'3_1':0.09,'4_1':0.0},(87,500):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(87,499):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(87,498):{'3_1':0.03,'5_1':0.0},(87,497):{'3_1':0.03,'5_1':0.0},(87,496):{'3_1':0.06,'4_1':0.0},(87,495):{'3_1':0.03,'5_1':0.0},(87,494):{'3_1':0.06,'4_1':0.0},(87,493):{'3_1':0.09,'5_2':0.0},(87,492):{'3_1':0.09,'4_1':0.0},(87,491):{'3_1':0.06},(87,490):{'3_1':0.06},(87,489):{'3_1':0.06,'5_2':0.0},(87,488):{'3_1':0.03,'4_1':0.0},(87,487):{'3_1':0.06,'5_1':0.0},(87,486):{'3_1':0.09,'5_2':0.0},(87,485):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(87,484):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(87,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(87,482):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(87,481):{'3_1':0.09,'4_1':0.0},(87,480):{'3_1':0.09,'5_2':0.0},(87,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(87,478):{'3_1':0.15,'5_1':0.0},(87,477):{'3_1':0.09,'5_2':0.0},(87,476):{'3_1':0.12,'4_1':0.0},(87,475):{'3_1':0.09,'5_1':0.0},(87,474):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(87,473):{'3_1':0.09,'4_1':0.0},(87,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(87,471):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(87,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(87,469):{'3_1':0.03,'5_1':0.0},(87,468):{'3_1':0.0},(87,467):{'3_1':0.0,'4_1':0.0},(87,466):{'3_1':0.0},(87,465):{'3_1':0.0,'5_1':0.0},(87,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,463):{'3_1':0.06,'5_1':0.0},(87,462):{'3_1':0.03},(87,461):{'3_1':0.06},(87,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,459):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(87,458):{'3_1':0.0,'6_2':0.0},(87,457):{'3_1':0.03},(87,456):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,455):{'3_1':0.06},(87,454):{'3_1':0.06,'4_1':0.0},(87,453):{'3_1':0.03,'5_2':0.0},(87,452):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(87,451):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0},(87,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(87,449):{'3_1':0.03,'4_1':0.0},(87,448):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(87,447):{'3_1':0.06,'4_1':0.0},(87,446):{'3_1':0.0},(87,445):{'3_1':0.0,'4_1':0.0},(87,444):{'3_1':0.03,'6_3':0.0},(87,443):{'3_1':0.03,'4_1':0.0},(87,442):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0},(87,441):{'3_1':0.09},(87,440):{'3_1':0.0,'6_2':0.0},(87,439):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,438):{'3_1':0.0},(87,437):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(87,436):{'3_1':0.09},(87,435):{'3_1':0.03,'4_1':0.0},(87,434):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(87,433):{'3_1':0.06},(87,432):{'3_1':0.03,'4_1':0.0},(87,431):{'3_1':0.03},(87,430):{'3_1':0.03,'8_1':0.0},(87,429):{'3_1':0.06},(87,428):{'3_1':0.0,'4_1':0.0},(87,427):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0},(87,426):{'3_1':0.09,'4_1':0.0},(87,425):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(87,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,423):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(87,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(87,421):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(87,420):{'3_1':0.0,'4_1':0.0},(87,419):{'4_1':0.0,'3_1':0.0},(87,418):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(87,417):{'3_1':0.03,'4_1':0.0},(87,416):{'3_1':0.03,'4_1':0.0},(87,415):{'3_1':0.0},(87,414):{'3_1':0.0,'4_1':0.0},(87,413):{'3_1':0.0,'5_1':0.0},(87,412):{'3_1':0.03},(87,411):{'3_1':0.0,'8_21|3_1#4_1':0.0},(87,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(87,409):{'3_1':0.0,'5_2':0.0},(87,406):{'3_1':0.0},(87,405):{'3_1':0.03},(87,404):{'3_1':0.0,'5_1':0.0},(87,402):{'3_1':0.0},(87,401):{'3_1':0.0,'4_1':0.0},(87,400):{'4_1':0.0},(87,399):{'3_1':0.0,'5_1':0.0},(87,398):{'3_1':0.0,'4_1':0.0},(87,397):{'3_1':0.03,'6_2':0.0},(87,396):{'3_1':0.03},(87,395):{'3_1':0.0,'4_1':0.0},(87,394):{'3_1':0.0,'5_1':0.0},(87,393):{'3_1':0.03},(87,392):{'4_1':0.0},(87,391):{'3_1':0.0,'4_1':0.0},(87,390):{'3_1':0.0},(87,389):{'3_1':0.0,'4_1':0.0},(87,388):{'3_1':0.0,'6_2':0.0},(87,387):{'3_1':0.0},(87,386):{'3_1':0.0,'4_1':0.0},(87,385):{'3_1':0.0},(87,384):{'3_1':0.03,'4_1':0.0},(87,383):{'3_1':0.03,'6_3':0.0},(87,382):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(87,381):{'3_1':0.03},(87,380):{'3_1':0.0},(87,379):{'3_1':0.03,'4_1':0.0},(87,378):{'3_1':0.03},(87,377):{'3_1':0.03},(87,376):{'3_1':0.03,'5_1':0.0},(87,375):{'3_1':0.0},(87,374):{'3_1':0.0,'5_1':0.0},(87,373):{'3_1':0.03,'5_1':0.0},(87,372):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(87,371):{'3_1':0.03,'4_1':0.0},(87,370):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(87,369):{'3_1':0.03},(87,368):{'3_1':0.03},(87,367):{'3_1':0.03,'4_1':0.0},(87,366):{'3_1':0.0},(87,365):{'3_1':0.0,'5_1':0.0},(87,364):{'3_1':0.03,'4_1':0.0},(87,363):{'3_1':0.06},(87,362):{'3_1':0.0},(87,361):{'3_1':0.0},(87,360):{'3_1':0.0,'5_1':0.0},(87,359):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(87,358):{'3_1':0.03},(87,357):{'3_1':0.03},(87,356):{'3_1':0.06,'4_1':0.0},(87,355):{'3_1':0.03,'4_1':0.0},(87,354):{'3_1':0.0},(87,353):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(87,352):{'3_1':0.0},(87,351):{'3_1':0.03},(87,350):{'3_1':0.0},(87,349):{'3_1':0.0},(87,348):{'3_1':0.03},(87,347):{'3_1':0.0},(87,346):{'3_1':0.0},(87,344):{'3_1':0.0},(87,343):{'3_1':0.0,'4_1':0.0},(87,342):{'3_1':0.0},(87,341):{'3_1':0.0},(87,340):{'3_1':0.0,'6_1':0.0},(87,339):{'3_1':0.0},(87,338):{'3_1':0.0},(87,337):{'3_1':0.0},(87,336):{'3_1':0.0,'5_1':0.0},(87,335):{'3_1':0.03},(87,334):{'3_1':0.0},(87,333):{'3_1':0.0},(87,332):{'3_1':0.0},(87,331):{'3_1':0.0},(87,330):{'3_1':0.0,'5_1':0.0},(87,329):{'3_1':0.0},(87,328):{'3_1':0.0,'-3':0.0},(87,327):{'3_1':0.0},(87,326):{'3_1':0.0,'8_20|3_1#3_1':0.0},(87,325):{'3_1':0.0},(87,324):{'3_1':0.0,'4_1':0.0},(87,322):{'3_1':0.0},(87,320):{'3_1':0.0},(87,319):{'3_1':0.0},(87,318):{'3_1':0.0},(87,316):{'3_1':0.0},(87,315):{'3_1':0.0},(87,312):{'3_1':0.0,'4_1':0.0},(87,311):{'3_1':0.0},(87,310):{'3_1':0.0},(87,309):{'3_1':0.0},(87,308):{'3_1':0.0,'4_1':0.0},(87,307):{'3_1':0.03,'4_1':0.0},(87,305):{'3_1':0.03},(87,304):{'3_1':0.0,'7_3':0.0},(87,303):{'3_1':0.03},(87,301):{'3_1':0.03,'4_1':0.0},(87,300):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(87,299):{'4_1':0.0},(87,298):{'3_1':0.0},(87,297):{'3_1':0.0},(87,296):{'3_1':0.0,'5_1':0.0},(87,295):{'3_1':0.0},(87,294):{'3_1':0.0},(87,293):{'3_1':0.0},(87,291):{'3_1':0.0,'4_1':0.0},(87,290):{'3_1':0.03,'5_2':0.0},(87,289):{'3_1':0.0},(87,288):{'3_1':0.0},(87,287):{'3_1':0.03},(87,286):{'3_1':0.0},(87,285):{'3_1':0.0},(87,284):{'3_1':0.0},(87,283):{'3_1':0.0},(87,282):{'3_1':0.03},(87,281):{'3_1':0.03,'4_1':0.0},(87,280):{'3_1':0.03},(87,279):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(87,278):{'3_1':0.0},(87,277):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(87,276):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,275):{'3_1':0.0},(87,274):{'3_1':0.03,'4_1':0.0},(87,273):{'3_1':0.0,'5_1':0.0},(87,272):{'3_1':0.03,'4_1':0.0},(87,271):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(87,270):{'3_1':0.0,'4_1':0.0},(87,269):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(87,268):{'3_1':0.0,'6_1':0.0},(87,267):{'3_1':0.03,'4_1':0.0},(87,266):{'3_1':0.0,'4_1':0.0},(87,265):{'3_1':0.0},(87,264):{'4_1':0.0,'3_1':0.0},(87,263):{'5_2':0.0},(87,262):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,261):{'6_2':0.0},(87,260):{'3_1':0.0,'6_1':0.0},(87,259):{'3_1':0.0,'4_1':0.0},(87,258):{'3_1':0.0},(87,257):{'4_1':0.0},(87,255):{'4_1':0.0,'3_1':0.0},(87,254):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(87,253):{'4_1':0.0},(87,252):{'3_1':0.0},(87,251):{'3_1':0.0,'5_2':0.0},(87,249):{'4_1':0.0,'3_1':0.0},(87,248):{'4_1':0.0},(87,247):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(87,246):{'3_1':0.03},(87,244):{'4_1':0.0,'3_1':0.0},(87,243):{'4_1':0.0},(87,242):{'3_1':0.0},(87,241):{'4_1':0.0},(87,240):{'4_1':0.0},(87,239):{'3_1':0.0,'4_1':0.0},(87,238):{'4_1':0.0,'3_1':0.0},(87,237):{'3_1':0.0,'4_1':0.0},(87,236):{'3_1':0.0},(87,235):{'4_1':0.0,'3_1':0.0},(87,234):{'3_1':0.0,'4_1':0.0},(87,233):{'3_1':0.0,'4_1':0.0},(87,232):{'4_1':0.0,'3_1':0.0},(87,231):{'3_1':0.0,'4_1':0.0},(87,230):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(87,229):{'3_1':0.0,'5_2':0.0},(87,227):{'3_1':0.0},(87,226):{'4_1':0.0},(87,225):{'3_1':0.0},(87,223):{'3_1':0.0},(87,222):{'3_1':0.0,'4_1':0.0},(87,221):{'3_1':0.0},(87,220):{'3_1':0.0,'5_2':0.0},(87,218):{'3_1':0.0},(87,216):{'3_1':0.0},(87,214):{'3_1':0.0},(87,213):{'3_1':0.0,'7_2':0.0},(87,212):{'3_1':0.0},(87,211):{'3_1':0.0},(87,209):{'3_1':0.0},(87,208):{'3_1':0.0,'4_1':0.0},(87,207):{'3_1':0.0},(87,205):{'3_1':0.03},(87,204):{'3_1':0.0},(87,203):{'3_1':0.0},(87,202):{'3_1':0.0},(87,201):{'3_1':0.0,'5_2':0.0},(87,200):{'3_1':0.0},(87,198):{'3_1':0.0},(87,196):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,195):{'3_1':0.03},(87,194):{'3_1':0.0},(87,193):{'3_1':0.03},(87,192):{'3_1':0.0},(87,191):{'3_1':0.03},(87,190):{'3_1':0.0},(87,189):{'3_1':0.0,'4_1':0.0},(87,187):{'4_1':0.0},(87,186):{'3_1':0.0},(87,181):{'3_1':0.0,'5_2':0.0},(87,180):{'3_1':0.0,'5_2':0.0},(87,179):{'3_1':0.0,'4_1':0.0},(87,178):{'3_1':0.0},(87,177):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(87,176):{'3_1':0.0},(87,175):{'4_1':0.0},(87,173):{'3_1':0.0},(87,171):{'3_1':0.03},(87,170):{'3_1':0.0,'4_1':0.0},(87,169):{'3_1':0.0},(87,168):{'3_1':0.03},(87,167):{'3_1':0.0,'6_2':0.0},(87,166):{'3_1':0.0},(87,165):{'3_1':0.0},(87,164):{'3_1':0.0},(87,163):{'3_1':0.03,'5_2':0.0},(87,162):{'3_1':0.03},(87,161):{'3_1':0.03,'5_2':0.0},(87,160):{'3_1':0.0,'7_2':0.0},(87,159):{'3_1':0.03,'4_1':0.0},(87,158):{'3_1':0.03},(87,157):{'3_1':0.06,'5_2':0.0},(87,156):{'3_1':0.0},(87,155):{'3_1':0.03},(87,154):{'3_1':0.0},(87,153):{'3_1':0.03},(87,152):{'3_1':0.03},(87,151):{'3_1':0.0},(87,150):{'3_1':0.03},(87,149):{'3_1':0.0},(87,148):{'3_1':0.03},(87,147):{'3_1':0.0},(87,146):{'3_1':0.0},(87,145):{'5_2':0.0},(87,144):{'3_1':0.0},(87,142):{'3_1':0.0},(87,141):{'3_1':0.0},(87,140):{'3_1':0.0},(87,139):{'3_1':0.0},(87,138):{'3_1':0.0},(87,137):{'3_1':0.0,'7_1':0.0},(87,136):{'3_1':0.0},(87,133):{'3_1':0.0,'5_1':0.0},(87,132):{'3_1':0.0},(87,131):{'3_1':0.0},(87,130):{'3_1':0.0},(87,129):{'3_1':0.0},(87,127):{'3_1':0.0,'5_1':0.0},(87,126):{'3_1':0.0},(87,124):{'3_1':0.0},(87,123):{'3_1':0.0},(87,122):{'3_1':0.0},(87,121):{'3_1':0.0,'4_1':0.0},(87,120):{'3_1':0.0},(87,119):{'3_1':0.0},(87,117):{'3_1':0.0},(87,115):{'3_1':0.0},(87,114):{'3_1':0.0},(87,103):{'3_1':0.0},(88,727):{'5_2':0.57,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(88,726):{'5_2':0.63,'7_5':0.03,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0},(88,725):{'5_2':0.39,'-3':0.15,'7_5':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'1':-0.03},(88,724):{'5_2':0.48,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(88,723):{'5_2':0.54,'7_4':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'7_3':0.0,'8_8':0.0},(88,722):{'5_2':0.48,'-3':0.09,'6_1':0.03,'7_5':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0},(88,721):{'5_2':0.39,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(88,720):{'5_2':0.54,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_2':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_1':0.0},(88,719):{'5_2':0.57,'-3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(88,718):{'5_2':0.45,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'3_1':0.0,'7_5':0.0,'8_11':0.0,'6_2':0.0,'7_6':0.0},(88,717):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(88,716):{'5_2':0.42,'7_4':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(88,715):{'5_2':0.45,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'8_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(88,714):{'5_2':0.45,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(88,713):{'5_2':0.39,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_2':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(88,712):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_5':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0},(88,711):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_5':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(88,710):{'5_2':0.36,'-3':0.12,'7_2':0.09,'3_1':0.03,'7_6':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'8_19':0.0},(88,709):{'5_2':0.39,'-3':0.21,'7_5':0.09,'5_1':0.03,'7_2':0.03,'7_6':0.0,'3_1':0.0,'6_1':0.0,'1':-0.03},(88,708):{'5_2':0.45,'-3':0.09,'5_1':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(88,707):{'5_2':0.48,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(88,706):{'5_2':0.45,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(88,705):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_4':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(88,704):{'5_2':0.51,'-3':0.12,'7_5':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0},(88,703):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_3':0.03,'6_1':0.03,'7_6':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(88,702):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(88,701):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(88,700):{'5_2':0.36,'-3':0.15,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(88,699):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(88,698):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_6':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0},(88,697):{'5_2':0.33,'3_1':0.15,'-3':0.15,'7_3':0.06,'7_4':0.03,'6_1':0.03,'7_2':0.03,'3_1#5_2':0.03,'7_6':0.0,'8_11':0.0,'8_14':0.0},(88,696):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_5':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(88,695):{'5_2':0.3,'-3':0.15,'3_1':0.09,'6_1':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0},(88,694):{'5_2':0.36,'-3':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(88,693):{'5_2':0.3,'-3':0.18,'3_1':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(88,692):{'5_2':0.33,'3_1':0.15,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'8_11':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0},(88,691):{'5_2':0.24,'3_1':0.24,'-3':0.15,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(88,690):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.06,'7_2':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(88,689):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(88,688):{'5_2':0.33,'3_1':0.21,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(88,687):{'5_2':0.24,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'2':-0.03},(88,686):{'5_2':0.3,'3_1':0.18,'7_3':0.06,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(88,685):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0},(88,684):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0},(88,683):{'3_1':0.24,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(88,682):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0},(88,681):{'3_1':0.45,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0},(88,680):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(88,679):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(88,678):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'7_3':0.03,'7_7':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(88,677):{'3_1':0.3,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(88,676):{'3_1':0.39,'5_2':0.21,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0},(88,675):{'3_1':0.45,'5_2':0.12,'6_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(88,674):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'4_1':0.06,'7_3':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(88,673):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(88,672):{'3_1':0.45,'5_2':0.12,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_16':0.0},(88,671):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(88,670):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(88,669):{'3_1':0.48,'5_2':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(88,668):{'3_1':0.42,'5_2':0.18,'7_4':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(88,667):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(88,666):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(88,665):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(88,664):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(88,663):{'3_1':0.45,'5_2':0.24,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(88,662):{'3_1':0.3,'5_2':0.18,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(88,661):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.03,'8_19':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(88,660):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(88,659):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(88,658):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(88,657):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'7_2':0.0,'7_6':0.0,'8_9':0.0},(88,656):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(88,655):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(88,654):{'3_1':0.42,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(88,653):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'7_3':0.03,'4_1':0.03,'7_7':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(88,652):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(88,651):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,650):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(88,649):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(88,648):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'8_19':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(88,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'-3':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0},(88,646):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,645):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(88,644):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(88,643):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_3':0.03,'7_4':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(88,642):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_2':0.0},(88,641):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(88,640):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(88,639):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(88,638):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(88,637):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_1':0.0,'-3':0.0},(88,636):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(88,635):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_16':0.0},(88,634):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(88,633):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03},(88,632):{'3_1':0.21,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(88,631):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0},(88,630):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(88,629):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(88,628):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0},(88,627):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(88,626):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(88,625):{'3_1':0.21,'5_2':0.12,'7_1':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(88,624):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0},(88,623):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0},(88,622):{'3_1':0.21,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(88,621):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'8_19':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(88,620):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(88,619):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_5':0.0},(88,618):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(88,617):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(88,616):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(88,615):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(88,614):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,613):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(88,612):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(88,611):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_4':0.0,'7_7':0.0,'6_3':0.0},(88,610):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(88,609):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_16':0.0,'-3':0.0},(88,608):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,607):{'3_1':0.3,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(88,606):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(88,605):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(88,604):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(88,603):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'8_7':0.0},(88,602):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(88,601):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(88,600):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0},(88,599):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03},(88,598):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(88,597):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'-3':0.0},(88,596):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(88,595):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'-3':0.0},(88,594):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(88,593):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(88,592):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(88,591):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_3':0.0,'7_6':0.0},(88,590):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(88,589):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'7_6':0.0,'8_6':0.0,'8_19':0.0},(88,588):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0},(88,587):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(88,586):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(88,585):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_4':0.0,'6_3':0.0},(88,584):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(88,583):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0,'7_6':0.0,'5_1':0.0},(88,582):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0},(88,581):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(88,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(88,579):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(88,578):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(88,577):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(88,576):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(88,575):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(88,574):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_3':0.0},(88,573):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0},(88,572):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(88,571):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'7_2':0.0},(88,570):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(88,569):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(88,568):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_8':0.0},(88,567):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(88,566):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(88,565):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(88,564):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(88,563):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(88,562):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(88,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(88,560):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_7':0.0},(88,559):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(88,558):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_7':0.0},(88,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(88,556):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0},(88,555):{'3_1':0.12,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(88,554):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(88,553):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(88,552):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(88,551):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_3':0.0},(88,550):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(88,549):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(88,548):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(88,547):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(88,546):{'3_1':0.21,'5_2':0.0},(88,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(88,544):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(88,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(88,542):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0},(88,541):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(88,540):{'3_1':0.18,'5_2':0.0},(88,539):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(88,538):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(88,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(88,536):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(88,535):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(88,534):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(88,533):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(88,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(88,531):{'3_1':0.15,'4_1':0.0},(88,530):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(88,529):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(88,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(88,527):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'9_1':0.0},(88,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(88,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(88,524):{'3_1':0.15,'4_1':0.0},(88,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(88,522):{'3_1':0.03,'5_1':0.0},(88,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(88,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(88,519):{'3_1':0.12,'4_1':0.0},(88,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(88,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(88,515):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(88,514):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(88,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(88,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(88,511):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,510):{'3_1':0.03},(88,509):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(88,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,507):{'3_1':0.06},(88,506):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(88,505):{'3_1':0.06,'7_2':0.0},(88,504):{'3_1':0.03,'4_1':0.03},(88,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(88,502):{'3_1':0.03,'8_20|3_1#3_1':0.0},(88,501):{'3_1':0.03,'4_1':0.0},(88,500):{'3_1':0.06,'5_2':0.0},(88,499):{'3_1':0.03,'5_2':0.0},(88,498):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(88,497):{'3_1':0.12},(88,496):{'3_1':0.06,'4_1':0.0},(88,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(88,494):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(88,493):{'3_1':0.06,'7_1':0.0},(88,492):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(88,491):{'3_1':0.09,'5_1':0.0},(88,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(88,488):{'3_1':0.12},(88,487):{'3_1':0.06,'4_1':0.0},(88,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(88,485):{'3_1':0.06,'5_2':0.0},(88,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,483):{'3_1':0.09,'5_1':0.0},(88,482):{'3_1':0.06,'5_1':0.0,'-3':0.0},(88,481):{'3_1':0.09},(88,480):{'3_1':0.06,'5_1':0.0},(88,479):{'3_1':0.15},(88,478):{'3_1':0.09,'4_1':0.0},(88,477):{'3_1':0.12,'4_1':0.0},(88,476):{'3_1':0.06,'5_1':0.0},(88,475):{'3_1':0.03,'5_2':0.0},(88,474):{'3_1':0.09,'5_1':0.0},(88,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,472):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(88,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,470):{'3_1':0.03,'4_1':0.0},(88,469):{'3_1':0.06},(88,468):{'3_1':0.03},(88,467):{'3_1':0.03,'6_2':0.0},(88,466):{'3_1':0.06},(88,465):{'3_1':0.0,'4_1':0.0},(88,464):{'3_1':0.03,'5_1':0.0},(88,463):{'3_1':0.03,'4_1':0.0},(88,462):{'3_1':0.06},(88,461):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(88,460):{'3_1':0.06},(88,459):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(88,458):{'3_1':0.0},(88,457):{'3_1':0.03},(88,456):{'3_1':0.03,'5_1':0.0},(88,455):{'3_1':0.06},(88,454):{'3_1':0.03,'4_1':0.0},(88,453):{'3_1':0.03},(88,452):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(88,451):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0},(88,450):{'3_1':0.0,'4_1':0.0},(88,449):{'3_1':0.03},(88,448):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(88,447):{'3_1':0.0},(88,446):{'3_1':0.03,'4_1':0.0},(88,445):{'3_1':0.06,'5_1':0.0},(88,444):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(88,443):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(88,442):{'3_1':0.03},(88,441):{'3_1':0.06,'6_1':0.0},(88,440):{'3_1':0.0,'6_2':0.0},(88,439):{'3_1':0.0,'4_1':0.0},(88,438):{'3_1':0.0,'5_1':0.0},(88,437):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(88,436):{'3_1':0.0},(88,435):{'3_1':0.03},(88,434):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(88,433):{'4_1':0.03,'3_1':0.03},(88,432):{'3_1':0.03,'4_1':0.03},(88,431):{'3_1':0.03},(88,430):{'3_1':0.0,'6_1':0.0},(88,429):{'3_1':0.03,'5_1':0.0},(88,428):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(88,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,426):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(88,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,424):{'3_1':0.03,'4_1':0.03},(88,423):{'3_1':0.06,'5_2':0.0},(88,422):{'6_2':0.0},(88,421):{'3_1':0.03},(88,420):{'3_1':0.06,'5_1':0.0},(88,419):{'3_1':0.0,'4_1':0.0},(88,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,417):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(88,416):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(88,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(88,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(88,413):{'3_1':0.0,'4_1':0.0,'-3':0.0},(88,412):{'4_1':0.0,'3_1':0.0},(88,411):{'3_1':0.0,'4_1':0.0},(88,410):{'3_1':0.0},(88,409):{'3_1':0.0,'4_1':0.0},(88,408):{'3_1':0.0},(88,407):{'3_1':0.0,'4_1':0.0},(88,406):{'3_1':0.03},(88,405):{'3_1':0.03,'4_1':0.0},(88,404):{'3_1':0.03,'4_1':0.0},(88,403):{'3_1':0.0},(88,402):{'3_1':0.03},(88,401):{'3_1':0.0},(88,399):{'3_1':0.0,'4_1':0.0},(88,398):{'3_1':0.0,'8_1':0.0},(88,397):{'3_1':0.0,'5_1':0.0},(88,396):{'3_1':0.0},(88,395):{'3_1':0.0},(88,394):{'3_1':0.06,'4_1':0.0},(88,392):{'3_1':0.0,'4_1':0.0},(88,391):{'3_1':0.0},(88,388):{'3_1':0.0},(88,387):{'3_1':0.0,'6_2':0.0},(88,386):{'3_1':0.0},(88,385):{'3_1':0.0},(88,384):{'3_1':0.0,'4_1':0.0},(88,383):{'3_1':0.0,'4_1':0.0},(88,382):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(88,381):{'3_1':0.0},(88,380):{'3_1':0.0},(88,379):{'3_1':0.0,'5_1':0.0},(88,378):{'3_1':0.0},(88,377):{'3_1':0.03,'5_2':0.0},(88,376):{'3_1':0.03},(88,375):{'3_1':0.03},(88,374):{'3_1':0.06,'5_1':0.0},(88,373):{'3_1':0.06,'4_1':0.0},(88,372):{'3_1':0.0},(88,371):{'3_1':0.03,'5_1':0.0},(88,370):{'3_1':0.03},(88,369):{'3_1':0.03},(88,368):{'3_1':0.0,'4_1':0.0},(88,367):{'3_1':0.03,'5_1':0.0},(88,366):{'3_1':0.0,'4_1':0.0},(88,365):{'3_1':0.0,'4_1':0.0},(88,364):{'3_1':0.03},(88,363):{'3_1':0.03,'4_1':0.0},(88,362):{'3_1':0.03},(88,361):{'3_1':0.0},(88,360):{'3_1':0.0},(88,359):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(88,358):{'3_1':0.03,'4_1':0.0},(88,357):{'3_1':0.0},(88,356):{'3_1':0.03,'4_1':0.0},(88,355):{'3_1':0.03},(88,354):{'3_1':0.03},(88,353):{'3_1':0.0},(88,352):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(88,351):{'3_1':0.0,'4_1':0.0},(88,350):{'3_1':0.0},(88,349):{'3_1':0.03,'4_1':0.0},(88,348):{'3_1':0.0},(88,347):{'3_1':0.0},(88,346):{'3_1':0.03,'5_2':0.0},(88,345):{'3_1':0.0,'5_1':0.0},(88,344):{'3_1':0.0},(88,343):{'3_1':0.03,'4_1':0.0},(88,342):{'3_1':0.03,'5_1':0.0},(88,341):{'3_1':0.03},(88,340):{'3_1':0.0},(88,339):{'3_1':0.03},(88,338):{'3_1':0.03},(88,337):{'3_1':0.0},(88,336):{'3_1':0.0},(88,335):{'3_1':0.0,'7_1':0.0},(88,334):{'3_1':0.0},(88,331):{'3_1':0.0},(88,330):{'3_1':0.03,'4_1':0.0},(88,329):{'3_1':0.0},(88,328):{'3_1':0.0},(88,327):{'3_1':0.06},(88,326):{'3_1':0.0,'5_1':0.0},(88,325):{'3_1':0.0},(88,324):{'3_1':0.0},(88,323):{'3_1':0.0,'5_1':0.0},(88,322):{'3_1':0.0,'4_1':0.0},(88,321):{'3_1':0.0},(88,320):{'3_1':0.0},(88,319):{'3_1':0.0},(88,318):{'3_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(88,317):{'3_1':0.0},(88,316):{'3_1':0.0},(88,315):{'3_1':0.0},(88,314):{'3_1':0.0,'4_1':0.0},(88,313):{'3_1':0.0},(88,311):{'3_1':0.0},(88,309):{'3_1':0.0},(88,308):{'3_1':0.03,'4_1':0.0},(88,307):{'3_1':0.0},(88,306):{'3_1':0.0,'5_2':0.0},(88,305):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,304):{'3_1':0.0},(88,303):{'3_1':0.0},(88,302):{'3_1':0.03},(88,301):{'3_1':0.0},(88,300):{'3_1':0.03},(88,299):{'3_1':0.0},(88,298):{'3_1':0.0},(88,297):{'3_1':0.0},(88,295):{'3_1':0.0},(88,293):{'3_1':0.0},(88,292):{'3_1':0.0,'4_1':0.0},(88,291):{'3_1':0.0},(88,290):{'3_1':0.0},(88,289):{'3_1':0.0,'5_1':0.0},(88,288):{'3_1':0.0},(88,287):{'3_1':0.0,'4_1':0.0},(88,286):{'3_1':0.0},(88,285):{'3_1':0.03,'4_1':0.0},(88,283):{'3_1':0.0,'4_1':0.0},(88,282):{'3_1':0.03,'4_1':0.0},(88,281):{'3_1':0.0},(88,280):{'3_1':0.0},(88,279):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(88,278):{'3_1':0.0,'5_2':0.0},(88,277):{'3_1':0.0,'5_1':0.0},(88,276):{'3_1':0.03},(88,275):{'3_1':0.0},(88,274):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(88,273):{'3_1':0.06},(88,272):{'3_1':0.03},(88,271):{'3_1':0.0,'4_1':0.0},(88,270):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(88,269):{'3_1':0.03},(88,268):{'3_1':0.03,'8_20|3_1#3_1':0.0},(88,267):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(88,266):{'4_1':0.0,'3_1':0.0},(88,265):{'3_1':0.03},(88,264):{'5_2':0.0},(88,263):{'3_1':0.0},(88,262):{'3_1':0.0},(88,261):{'3_1':0.0,'4_1':0.0},(88,260):{'3_1':0.0,'4_1':0.0},(88,259):{'3_1':0.0},(88,258):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(88,257):{'3_1':0.0},(88,256):{'3_1':0.0},(88,255):{'3_1':0.0,'5_1':0.0},(88,254):{'3_1':0.0},(88,253):{'3_1':0.0,'4_1':0.0},(88,252):{'3_1':0.0},(88,251):{'3_1':0.0},(88,250):{'3_1':0.0},(88,249):{'3_1':0.0},(88,246):{'3_1':0.0,'5_1':0.0},(88,245):{'3_1':0.0,'4_1':0.0},(88,244):{'3_1':0.0,'4_1':0.0},(88,243):{'3_1':0.0},(88,242):{'4_1':0.0,'3_1':0.0},(88,241):{'4_1':0.0,'3_1':0.0},(88,240):{'3_1':0.0,'4_1':0.0},(88,239):{'4_1':0.0},(88,238):{'3_1':0.03},(88,237):{'4_1':0.03,'3_1':0.0},(88,236):{'3_1':0.0},(88,235):{'3_1':0.0},(88,234):{'3_1':0.0,'4_1':0.0},(88,233):{'3_1':0.0},(88,232):{'3_1':0.0,'4_1':0.0},(88,230):{'3_1':0.0},(88,229):{'3_1':0.0},(88,228):{'3_1':0.0},(88,227):{'3_1':0.0,'4_1':0.0},(88,226):{'3_1':0.0,'5_1':0.0},(88,225):{'3_1':0.03},(88,224):{'3_1':0.0},(88,222):{'3_1':0.0,'7_1':0.0},(88,221):{'3_1':0.0,'4_1':0.0},(88,220):{'3_1':0.0},(88,217):{'3_1':0.0,'4_1':0.0},(88,216):{'3_1':0.0},(88,214):{'3_1':0.03,'4_1':0.0},(88,213):{'3_1':0.0,'5_1':0.0},(88,212):{'3_1':0.0},(88,211):{'3_1':0.0},(88,210):{'3_1':0.0},(88,209):{'3_1':0.0,'4_1':0.0},(88,208):{'3_1':0.0},(88,207):{'3_1':0.0},(88,206):{'3_1':0.0,'5_2':0.0},(88,205):{'5_1':0.0},(88,204):{'3_1':0.0},(88,203):{'3_1':0.0,'4_1':0.0},(88,201):{'3_1':0.0},(88,199):{'3_1':0.0,'5_2':0.0},(88,198):{'3_1':0.0},(88,196):{'3_1':0.0},(88,195):{'3_1':0.03,'4_1':0.0},(88,194):{'3_1':0.0},(88,192):{'3_1':0.0},(88,191):{'3_1':0.0},(88,190):{'3_1':0.0,'4_1':0.0},(88,189):{'3_1':0.0,'4_1':0.0},(88,188):{'3_1':0.0},(88,187):{'3_1':0.0},(88,186):{'3_1':0.0},(88,185):{'3_1':0.0},(88,183):{'3_1':0.0},(88,181):{'5_2':0.0},(88,180):{'3_1':0.0},(88,179):{'3_1':0.0,'6_2':0.0},(88,177):{'4_1':0.0},(88,175):{'3_1':0.0},(88,174):{'3_1':0.0},(88,173):{'3_1':0.0,'4_1':0.0},(88,171):{'4_1':0.0,'5_2':0.0},(88,170):{'3_1':0.03},(88,169):{'3_1':0.03},(88,168):{'3_1':0.03},(88,167):{'3_1':0.0},(88,166):{'3_1':0.03},(88,165):{'3_1':0.0},(88,164):{'3_1':0.0},(88,163):{'3_1':0.0},(88,162):{'3_1':0.0},(88,161):{'3_1':0.03},(88,160):{'3_1':0.0},(88,159):{'3_1':0.06},(88,158):{'5_2':0.0},(88,157):{'3_1':0.03},(88,156):{'3_1':0.0},(88,155):{'3_1':0.03},(88,154):{'3_1':0.06},(88,153):{'3_1':0.03},(88,152):{'3_1':0.0},(88,151):{'3_1':0.03},(88,150):{'3_1':0.03},(88,149):{'3_1':0.03},(88,148):{'3_1':0.0},(88,147):{'3_1':0.03},(88,146):{'3_1':0.0},(88,145):{'5_2':0.0},(88,144):{'3_1':0.0},(88,143):{'3_1':0.0},(88,142):{'3_1':0.0,'4_1':0.0},(88,140):{'3_1':0.0},(88,139):{'3_1':0.0,'5_2':0.0},(88,136):{'3_1':0.0},(88,135):{'3_1':0.0,'5_1':0.0},(88,134):{'3_1':0.0},(88,133):{'4_1':0.0},(88,129):{'3_1':0.0},(88,128):{'3_1':0.0,'5_1':0.0},(88,127):{'3_1':0.0},(88,126):{'3_1':0.0},(88,125):{'3_1':0.0},(88,124):{'3_1':0.0,'5_1':0.0},(88,123):{'3_1':0.03},(88,122):{'3_1':0.0},(88,121):{'3_1':0.0},(88,120):{'3_1':0.0},(88,119):{'3_1':0.0},(88,118):{'3_1':0.0},(88,117):{'3_1':0.0},(88,116):{'3_1':0.0},(88,113):{'3_1':0.0},(88,101):{'3_1':0.0},(89,727):{'5_2':0.51,'7_2':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_8':0.0,'1':-0.03},(89,726):{'5_2':0.6,'7_4':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(89,725):{'5_2':0.45,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(89,724):{'5_2':0.54,'6_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(89,723):{'5_2':0.54,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'3_1':0.0,'8_11':0.0,'1':-0.03},(89,722):{'5_2':0.48,'-3':0.09,'7_5':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(89,721):{'5_2':0.45,'-3':0.06,'7_4':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'1':-0.03},(89,720):{'5_2':0.48,'7_2':0.06,'-3':0.06,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(89,719):{'5_2':0.51,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(89,718):{'5_2':0.48,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0},(89,717):{'5_2':0.48,'7_2':0.09,'6_1':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.0,'7_3':0.0,'8_10':0.0},(89,716):{'5_2':0.45,'-3':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(89,715):{'5_2':0.45,'-3':0.12,'7_5':0.06,'7_2':0.06,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(89,714):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_6':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'3_1#5_2':0.0},(89,713):{'5_2':0.42,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(89,712):{'5_2':0.54,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(89,711):{'5_2':0.36,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(89,710):{'5_2':0.42,'-3':0.09,'7_5':0.09,'7_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'2':-0.03},(89,709):{'5_2':0.42,'-3':0.18,'7_5':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(89,708):{'5_2':0.57,'3_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(89,707):{'5_2':0.39,'-3':0.06,'7_2':0.06,'7_4':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0,'1':-0.03},(89,706):{'5_2':0.45,'3_1':0.09,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_6':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(89,705):{'5_2':0.48,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.03,'7_6':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(89,704):{'5_2':0.39,'-3':0.12,'7_2':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.03,'3_1#5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(89,703):{'5_2':0.39,'3_1':0.09,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(89,702):{'5_2':0.42,'-3':0.15,'3_1':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'1':-0.03},(89,701):{'5_2':0.27,'-3':0.15,'3_1':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_13':0.0,'4_1':0.0,'8_14':0.0},(89,700):{'5_2':0.33,'-3':0.09,'7_4':0.09,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'8_14':0.0,'5_1':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_2':0.0},(89,699):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(89,698):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'2':-0.03},(89,697):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_2':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(89,696):{'5_2':0.24,'-3':0.09,'3_1':0.09,'7_5':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0,'1':-0.03},(89,695):{'5_2':0.33,'-3':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(89,694):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0},(89,693):{'5_2':0.33,'3_1':0.12,'7_3':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(89,692):{'5_2':0.33,'-3':0.15,'3_1':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(89,691):{'5_2':0.3,'3_1':0.21,'-3':0.12,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(89,690):{'5_2':0.27,'3_1':0.15,'7_3':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_15':0.0},(89,689):{'5_2':0.3,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(89,688):{'5_2':0.27,'3_1':0.21,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(89,687):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(89,686):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'1':-0.03},(89,685):{'5_2':0.27,'3_1':0.24,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(89,684):{'3_1':0.27,'5_2':0.21,'7_3':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(89,683):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'-3':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(89,682):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(89,681):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(89,680):{'3_1':0.33,'5_2':0.24,'7_4':0.12,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(89,679):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'6_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(89,678):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(89,677):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0},(89,676):{'3_1':0.42,'5_2':0.18,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(89,675):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0},(89,674):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0},(89,673):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(89,672):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0},(89,671):{'3_1':0.42,'5_2':0.18,'7_4':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,670):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(89,669):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0,'8_13':0.0,'8_19':0.0},(89,668):{'3_1':0.48,'5_2':0.12,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(89,667):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'7_7':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(89,666):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(89,665):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(89,664):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(89,663):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(89,662):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(89,661):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(89,660):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(89,659):{'3_1':0.27,'5_2':0.27,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(89,658):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(89,657):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,656):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(89,655):{'3_1':0.45,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(89,654):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(89,653):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(89,652):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(89,651):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(89,650):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(89,649):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,648):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(89,647):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(89,646):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0},(89,645):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(89,644):{'3_1':0.36,'5_2':0.12,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(89,643):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(89,642):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(89,641):{'3_1':0.3,'5_2':0.12,'7_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,640):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(89,639):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_7':0.0,'-3':0.0},(89,638):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(89,637):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(89,636):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(89,635):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(89,634):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(89,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(89,632):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'8_16':0.0},(89,631):{'3_1':0.15,'5_2':0.03,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0},(89,630):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(89,629):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(89,628):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(89,627):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(89,626):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0},(89,625):{'5_2':0.15,'3_1':0.12,'5_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0},(89,624):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0},(89,623):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0},(89,622):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(89,621):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(89,620):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(89,619):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(89,618):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(89,617):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0},(89,616):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.03,'-3':0.0},(89,615):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_6':0.0},(89,614):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(89,613):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0},(89,612):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(89,611):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(89,610):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(89,609):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(89,608):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(89,607):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_15':0.0},(89,606):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(89,605):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(89,604):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(89,603):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(89,602):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(89,601):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(89,600):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(89,599):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'7_3':0.0},(89,598):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(89,597):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0},(89,596):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(89,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(89,594):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'7_6':0.0},(89,593):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(89,592):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.0,'7_1':0.0},(89,591):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'6_2':0.0,'8_14':0.0},(89,590):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_4':0.0},(89,589):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_19':0.0},(89,588):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(89,587):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(89,586):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(89,585):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(89,584):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(89,583):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(89,582):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(89,581):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(89,580):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(89,579):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(89,578):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(89,577):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(89,576):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(89,575):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(89,574):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(89,573):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0},(89,572):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(89,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(89,570):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(89,569):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(89,568):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'7_7':0.0,'5_1':0.0},(89,567):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(89,566):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(89,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(89,564):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(89,563):{'3_1':0.15,'4_1':0.09,'7_2':0.0,'7_6':0.0},(89,562):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(89,561):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(89,560):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(89,559):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(89,558):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(89,557):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(89,556):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0},(89,555):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(89,554):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(89,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(89,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_7':0.0},(89,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(89,550):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(89,549):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(89,548):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(89,547):{'3_1':0.18,'4_1':0.0},(89,546):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(89,545):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(89,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(89,543):{'3_1':0.24,'5_1':0.0,'4_1':0.0},(89,542):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(89,541):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'6_3':0.0},(89,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(89,539):{'3_1':0.12,'5_1':0.03,'7_5':0.0,'4_1':0.0,'5_2':0.0},(89,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(89,537):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,536):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'4_1':0.0},(89,535):{'3_1':0.18,'5_1':0.0,'7_2':0.0},(89,534):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(89,533):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(89,532):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(89,531):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(89,530):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(89,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(89,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(89,526):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(89,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(89,524):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(89,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(89,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(89,521):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(89,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(89,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,517):{'3_1':0.0,'5_2':0.0},(89,516):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(89,515):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(89,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,513):{'3_1':0.03,'5_2':0.0},(89,512):{'3_1':0.03,'4_1':0.0},(89,511):{'3_1':0.06,'4_1':0.0},(89,510):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(89,509):{'3_1':0.03,'4_1':0.0},(89,508):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(89,507):{'3_1':0.0,'4_1':0.0},(89,506):{'3_1':0.0,'5_1':0.0},(89,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,504):{'3_1':0.0,'4_1':0.0},(89,503):{'3_1':0.03,'5_2':0.0},(89,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,501):{'3_1':0.09,'5_2':0.0},(89,500):{'3_1':0.03,'4_1':0.0},(89,499):{'3_1':0.06},(89,498):{'3_1':0.0},(89,497):{'3_1':0.06},(89,496):{'3_1':0.06,'4_1':0.0},(89,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(89,493):{'3_1':0.0},(89,492):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(89,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,490):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(89,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(89,488):{'3_1':0.06},(89,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(89,486):{'3_1':0.06,'5_2':0.0},(89,485):{'3_1':0.09},(89,484):{'3_1':0.06},(89,483):{'3_1':0.03},(89,482):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(89,481):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(89,480):{'3_1':0.06},(89,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,478):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(89,477):{'3_1':0.09,'7_1':0.0},(89,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(89,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(89,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,473):{'3_1':0.03,'4_1':0.0},(89,472):{'3_1':0.12,'4_1':0.0},(89,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(89,470):{'3_1':0.06},(89,469):{'3_1':0.03},(89,468):{'3_1':0.06},(89,467):{'3_1':0.03,'4_1':0.0},(89,466):{'3_1':0.06,'4_1':0.0},(89,465):{'3_1':0.03},(89,464):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,463):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(89,462):{'3_1':0.03},(89,461):{'3_1':0.03,'4_1':0.0},(89,460):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(89,459):{'4_1':0.0,'5_1':0.0},(89,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,457):{'3_1':0.03},(89,456):{'3_1':0.0,'5_1':0.0},(89,455):{'3_1':0.06,'5_2':0.0},(89,454):{'3_1':0.03,'4_1':0.0},(89,453):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(89,452):{'3_1':0.03,'6_2':0.0},(89,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(89,450):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(89,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(89,448):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,447):{'3_1':0.0},(89,446):{'3_1':0.0,'4_1':0.0},(89,445):{'3_1':0.03,'4_1':0.0},(89,444):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(89,443):{'3_1':0.03,'4_1':0.0},(89,442):{'3_1':0.03,'4_1':0.0},(89,441):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(89,440):{'3_1':0.03,'4_1':0.0},(89,439):{'3_1':0.0,'5_1':0.0},(89,438):{'3_1':0.0},(89,437):{'3_1':0.03,'5_1':0.0},(89,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,434):{'3_1':0.03},(89,433):{'3_1':0.03,'6_2':0.0},(89,432):{'3_1':0.0,'5_2':0.0},(89,431):{'3_1':0.03},(89,430):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(89,429):{'3_1':0.09},(89,428):{'3_1':0.0},(89,427):{'3_1':0.06},(89,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(89,425):{'3_1':0.03,'4_1':0.0},(89,424):{'3_1':0.03,'4_1':0.0},(89,423):{'3_1':0.03,'5_2':0.0},(89,422):{'3_1':0.06,'4_1':0.0},(89,421):{'3_1':0.03},(89,420):{'3_1':0.0},(89,419):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(89,418):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,417):{'3_1':0.0},(89,416):{'3_1':0.0,'6_1':0.0},(89,415):{'3_1':0.0,'4_1':0.0},(89,413):{'3_1':0.0,'4_1':0.0},(89,412):{'3_1':0.0,'4_1':0.0},(89,410):{'3_1':0.03},(89,409):{'3_1':0.0,'4_1':0.0},(89,408):{'3_1':0.0},(89,406):{'6_3':0.0},(89,405):{'3_1':0.0},(89,404):{'3_1':0.03},(89,403):{'3_1':0.0},(89,402):{'3_1':0.0},(89,401):{'3_1':0.0},(89,400):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(89,399):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(89,398):{'3_1':0.0,'4_1':0.0},(89,397):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(89,396):{'3_1':0.03},(89,395):{'3_1':0.03,'4_1':0.0},(89,394):{'3_1':0.0,'6_2':0.0},(89,393):{'4_1':0.0},(89,392):{'3_1':0.03,'4_1':0.0},(89,391):{'3_1':0.0,'4_1':0.0},(89,390):{'3_1':0.03,'4_1':0.0},(89,389):{'3_1':0.0},(89,388):{'4_1':0.0,'5_1':0.0},(89,386):{'3_1':0.0},(89,385):{'3_1':0.0},(89,384):{'3_1':0.0},(89,383):{'3_1':0.0},(89,382):{'3_1':0.0,'4_1':0.0},(89,381):{'3_1':0.03},(89,380):{'3_1':0.0,'4_1':0.0},(89,379):{'3_1':0.03},(89,378):{'3_1':0.03},(89,377):{'3_1':0.03},(89,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,375):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(89,374):{'3_1':0.0},(89,373):{'3_1':0.0,'7_1':0.0},(89,372):{'3_1':0.0},(89,371):{'3_1':0.03,'5_1':0.0},(89,370):{'3_1':0.03},(89,369):{'3_1':0.0,'4_1':0.0},(89,368):{'3_1':0.03},(89,367):{'3_1':0.0,'4_1':0.0},(89,366):{'3_1':0.0,'4_1':0.0},(89,365):{'3_1':0.0},(89,364):{'3_1':0.03},(89,363):{'3_1':0.03},(89,362):{'3_1':0.0},(89,361):{'4_1':0.0},(89,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(89,359):{'3_1':0.0},(89,358):{'3_1':0.03},(89,357):{'5_1':0.0},(89,356):{'3_1':0.0,'4_1':0.0},(89,355):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(89,354):{'3_1':0.03},(89,353):{'3_1':0.0},(89,352):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(89,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(89,350):{'3_1':0.03,'4_1':0.0},(89,349):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(89,348):{'3_1':0.0},(89,347):{'3_1':0.03},(89,346):{'3_1':0.03},(89,345):{'3_1':0.0,'8_1':0.0},(89,344):{'3_1':0.03},(89,343):{'3_1':0.0},(89,342):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(89,341):{'5_1':0.0},(89,340):{'3_1':0.03},(89,339):{'3_1':0.0},(89,338):{'3_1':0.0,'4_1':0.0},(89,337):{'3_1':0.0},(89,336):{'3_1':0.0},(89,335):{'3_1':0.0},(89,332):{'3_1':0.0},(89,331):{'3_1':0.0},(89,330):{'3_1':0.0},(89,329):{'3_1':0.03},(89,328):{'3_1':0.0},(89,327):{'3_1':0.03},(89,326):{'3_1':0.0},(89,325):{'3_1':0.0},(89,324):{'3_1':0.0,'5_1':0.0},(89,322):{'3_1':0.0},(89,321):{'5_1':0.0},(89,320):{'3_1':0.0,'4_1':0.0},(89,319):{'3_1':0.0,'4_1':0.0},(89,318):{'3_1':0.0,'4_1':0.0},(89,317):{'3_1':0.03},(89,316):{'3_1':0.0},(89,315):{'3_1':0.03},(89,314):{'3_1':0.0},(89,312):{'4_1':0.0},(89,311):{'3_1':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(89,310):{'3_1':0.0},(89,309):{'3_1':0.03},(89,308):{'3_1':0.0,'4_1':0.0},(89,306):{'3_1':0.0,'5_2':0.0},(89,305):{'3_1':0.0},(89,304):{'3_1':0.0,'5_1':0.0},(89,303):{'4_1':0.0,'3_1':0.0},(89,302):{'3_1':0.0},(89,301):{'3_1':0.0},(89,300):{'3_1':0.0},(89,299):{'3_1':0.0,'4_1':0.0},(89,298):{'3_1':0.0,'4_1':0.0},(89,297):{'3_1':0.0},(89,296):{'3_1':0.03},(89,295):{'3_1':0.0,'5_1':0.0},(89,294):{'3_1':0.0},(89,293):{'3_1':0.0,'4_1':0.0},(89,292):{'3_1':0.0},(89,291):{'3_1':0.0},(89,290):{'3_1':0.0},(89,289):{'3_1':0.0,'5_1':0.0},(89,288):{'4_1':0.0},(89,287):{'3_1':0.03},(89,286):{'3_1':0.03},(89,285):{'3_1':0.0},(89,284):{'3_1':0.0},(89,283):{'3_1':0.0},(89,282):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,281):{'3_1':0.0},(89,280):{'3_1':0.06},(89,279):{'4_1':0.0},(89,278):{'3_1':0.0,'4_1':0.0},(89,277):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(89,276):{'3_1':0.0},(89,275):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(89,274):{'3_1':0.03,'7_5':0.0},(89,273):{'3_1':0.0},(89,272):{'3_1':0.0,'4_1':0.0},(89,271):{'3_1':0.0},(89,270):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(89,269):{'3_1':0.03,'5_2':0.0},(89,268):{'3_1':0.03,'6_1':0.0},(89,267):{'3_1':0.0},(89,266):{'3_1':0.0},(89,265):{'3_1':0.0},(89,264):{'3_1':0.0},(89,263):{'3_1':0.0},(89,262):{'3_1':0.0,'5_1':0.0},(89,261):{'3_1':0.0,'5_1':0.0},(89,260):{'3_1':0.0,'4_1':0.0},(89,259):{'3_1':0.03},(89,258):{'3_1':0.0,'4_1':0.0},(89,257):{'3_1':0.0,'4_1':0.0},(89,256):{'3_1':0.03,'4_1':0.0},(89,255):{'3_1':0.0},(89,254):{'3_1':0.0,'4_1':0.0},(89,253):{'4_1':0.0,'5_2':0.0},(89,252):{'3_1':0.0,'4_1':0.0},(89,251):{'3_1':0.0},(89,250):{'3_1':0.0,'4_1':0.0},(89,249):{'3_1':0.0},(89,248):{'4_1':0.0,'3_1':0.0},(89,247):{'3_1':0.0},(89,246):{'3_1':0.0,'5_1':0.0},(89,245):{'3_1':0.0,'4_1':0.0},(89,244):{'3_1':0.0,'4_1':0.0},(89,243):{'3_1':0.0,'4_1':0.0},(89,242):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(89,241):{'4_1':0.03,'3_1':0.03},(89,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,239):{'3_1':0.0},(89,238):{'3_1':0.0,'4_1':0.0},(89,237):{'3_1':0.03,'4_1':0.0},(89,236):{'3_1':0.0},(89,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(89,234):{'3_1':0.0,'4_1':0.0},(89,233):{'4_1':0.0,'5_2':0.0},(89,232):{'3_1':0.0,'4_1':0.0},(89,231):{'3_1':0.0,'4_1':0.0},(89,230):{'4_1':0.0},(89,229):{'3_1':0.0},(89,228):{'3_1':0.0},(89,227):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(89,226):{'4_1':0.0,'3_1':0.0},(89,225):{'3_1':0.0},(89,224):{'3_1':0.0,'4_1':0.0},(89,223):{'3_1':0.03},(89,222):{'7_1':0.0},(89,219):{'4_1':0.0},(89,217):{'4_1':0.0},(89,216):{'3_1':0.0,'4_1':0.0},(89,214):{'3_1':0.0},(89,213):{'3_1':0.03,'4_1':0.0},(89,212):{'3_1':0.0,'4_1':0.0},(89,210):{'3_1':0.0,'4_1':0.0},(89,209):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(89,208):{'3_1':0.03},(89,207):{'3_1':0.0,'4_1':0.0},(89,206):{'3_1':0.0,'4_1':0.0},(89,205):{'3_1':0.03,'4_1':0.0},(89,204):{'3_1':0.0},(89,203):{'3_1':0.03,'4_1':0.0},(89,201):{'3_1':0.03},(89,200):{'3_1':0.0},(89,199):{'3_1':0.0,'5_1':0.0},(89,198):{'3_1':0.0,'7_2':0.0},(89,196):{'3_1':0.0},(89,195):{'5_2':0.0},(89,194):{'3_1':0.0},(89,193):{'3_1':0.0,'5_1':0.0},(89,192):{'3_1':0.0},(89,191):{'3_1':0.0},(89,190):{'3_1':0.0},(89,189):{'3_1':0.0},(89,185):{'3_1':0.0},(89,184):{'4_1':0.0},(89,183):{'5_2':0.0},(89,180):{'3_1':0.0},(89,177):{'-3':0.0},(89,171):{'3_1':0.0},(89,170):{'3_1':0.0},(89,169):{'3_1':0.0},(89,167):{'3_1':0.03},(89,166):{'3_1':0.03,'5_2':0.0},(89,165):{'3_1':0.03},(89,164):{'3_1':0.0},(89,163):{'3_1':0.0},(89,162):{'3_1':0.03},(89,161):{'3_1':0.03},(89,160):{'3_1':0.03,'4_1':0.0},(89,159):{'3_1':0.03},(89,158):{'3_1':0.03},(89,157):{'3_1':0.03},(89,156):{'3_1':0.0},(89,154):{'3_1':0.03},(89,153):{'3_1':0.06},(89,152):{'3_1':0.0},(89,149):{'3_1':0.03},(89,148):{'3_1':0.0},(89,147):{'3_1':0.0},(89,146):{'3_1':0.0},(89,145):{'3_1':0.0},(89,144):{'3_1':0.0},(89,143):{'3_1':0.0},(89,142):{'3_1':0.0},(89,141):{'3_1':0.0},(89,140):{'3_1':0.0},(89,139):{'3_1':0.0},(89,138):{'5_2':0.0},(89,136):{'3_1':0.0},(89,135):{'3_1':0.0},(89,134):{'3_1':0.0},(89,131):{'3_1':0.0},(89,129):{'3_1':0.0},(89,126):{'3_1':0.0},(89,125):{'3_1':0.0},(89,123):{'3_1':0.0,'5_2':0.0},(89,122):{'3_1':0.03},(89,119):{'3_1':0.0},(89,116):{'3_1':0.0},(89,115):{'3_1':0.0},(90,727):{'5_2':0.54,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(90,726):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(90,725):{'5_2':0.48,'-3':0.09,'7_2':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(90,724):{'5_2':0.54,'-3':0.12,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'3_1':0.0,'7_6':0.0,'8_14':0.0},(90,723):{'5_2':0.54,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(90,722):{'5_2':0.48,'7_5':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'1':-0.03},(90,721):{'5_2':0.45,'7_2':0.06,'-3':0.06,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0},(90,720):{'5_2':0.54,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(90,719):{'5_2':0.48,'-3':0.09,'7_2':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0},(90,718):{'5_2':0.54,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'1':-0.03},(90,717):{'5_2':0.45,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(90,716):{'5_2':0.51,'7_2':0.06,'7_4':0.06,'6_1':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(90,715):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(90,714):{'5_2':0.48,'7_4':0.06,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'8_15':0.0},(90,713):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(90,712):{'5_2':0.42,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0},(90,711):{'5_2':0.33,'3_1':0.09,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_5':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(90,710):{'5_2':0.42,'-3':0.12,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(90,709):{'5_2':0.39,'-3':0.12,'7_5':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.03,'4_1':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'8_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(90,708):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(90,707):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(90,706):{'5_2':0.51,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(90,705):{'5_2':0.39,'3_1':0.12,'7_3':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_1':0.0,'8_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(90,704):{'5_2':0.36,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_6':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0,'2':-0.03},(90,703):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0},(90,702):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(90,701):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(90,700):{'5_2':0.39,'3_1':0.09,'7_2':0.03,'7_6':0.03,'3_1#5_2':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'5_1':0.0,'8_15':0.0,'2':-0.03},(90,699):{'5_2':0.3,'3_1':0.15,'-3':0.12,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_13':0.0},(90,698):{'5_2':0.39,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'8_11':0.0,'3_1#5_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0},(90,697):{'5_2':0.3,'3_1':0.12,'-3':0.12,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'5_1':0.0},(90,696):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(90,695):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(90,694):{'5_2':0.33,'-3':0.15,'3_1':0.12,'7_3':0.06,'6_1':0.03,'7_6':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_1':0.0},(90,693):{'5_2':0.36,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_2':0.06,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'8_14':0.0},(90,692):{'5_2':0.27,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_6':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(90,691):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_2':0.06,'6_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'7_5':0.0},(90,690):{'5_2':0.24,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_6':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(90,689):{'5_2':0.27,'3_1':0.21,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(90,688):{'5_2':0.39,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(90,687):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'8_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(90,686):{'5_2':0.3,'3_1':0.21,'7_4':0.09,'5_1':0.06,'7_3':0.03,'-3':0.03,'7_7':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(90,685):{'3_1':0.3,'5_2':0.24,'7_3':0.12,'7_4':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(90,684):{'3_1':0.3,'5_2':0.3,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(90,683):{'5_2':0.33,'3_1':0.3,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'1':-0.03},(90,682):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'8_11':0.0},(90,681):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(90,680):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0,'-3':0.0},(90,679):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(90,678):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(90,677):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0},(90,676):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_7':0.0,'8_15':0.0},(90,675):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(90,674):{'3_1':0.45,'7_4':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(90,673):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(90,672):{'3_1':0.42,'5_2':0.18,'7_3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(90,671):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_7':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(90,670):{'3_1':0.54,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(90,669):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(90,668):{'3_1':0.57,'5_2':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(90,667):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(90,666):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(90,665):{'3_1':0.39,'5_2':0.3,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(90,664):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(90,663):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0},(90,662):{'3_1':0.45,'5_2':0.18,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'8_15':0.0},(90,661):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(90,660):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(90,659):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(90,658):{'3_1':0.33,'5_2':0.27,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(90,657):{'3_1':0.51,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0},(90,656):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(90,655):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(90,654):{'3_1':0.42,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(90,653):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(90,652):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'8_16':0.0},(90,651):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_19':0.0},(90,650):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(90,649):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_4':0.0,'8_19':0.0,'4_1':0.0,'6_3':0.0,'8_16':0.0},(90,648):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(90,647):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0},(90,646):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(90,645):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0},(90,644):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'4_1':0.06,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(90,643):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(90,642):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(90,641):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_3':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(90,640):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(90,639):{'5_2':0.21,'3_1':0.18,'5_1':0.03,'7_5':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(90,638):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(90,637):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(90,636):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'-3':0.0},(90,635):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0,'-3':0.0},(90,634):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(90,633):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0},(90,632):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0},(90,631):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_11':0.0,'8_19':0.0},(90,630):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'-3':0.0},(90,629):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0},(90,628):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(90,627):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(90,626):{'3_1':0.21,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(90,625):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(90,624):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(90,623):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0},(90,622):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(90,621):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(90,620):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(90,619):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(90,618):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(90,617):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0},(90,616):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(90,615):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(90,614):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'8_10':0.0,'8_16':0.0,'8_19':0.0},(90,613):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(90,612):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(90,611):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(90,610):{'3_1':0.3,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(90,609):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0},(90,608):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(90,607):{'3_1':0.36,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0},(90,606):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(90,605):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(90,604):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(90,603):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03},(90,602):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(90,601):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(90,600):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(90,599):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0},(90,598):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(90,597):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(90,596):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'6_3':0.0},(90,595):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(90,594):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,593):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0},(90,592):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'8_19':0.0},(90,591):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0},(90,590):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(90,589):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.03},(90,588):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(90,587):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(90,586):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(90,585):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(90,584):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(90,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(90,582):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(90,581):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(90,580):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(90,579):{'3_1':0.09,'4_1':0.09,'7_5':0.0,'5_1':0.0,'5_2':0.0},(90,578):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(90,577):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_7':0.0},(90,576):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(90,575):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(90,574):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(90,573):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(90,572):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(90,571):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(90,570):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,569):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_4':0.0},(90,568):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_3':0.0},(90,567):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,566):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(90,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(90,564):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0},(90,563):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_7':0.0},(90,562):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(90,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(90,560):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_7':0.0,'7_2':0.0},(90,559):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(90,558):{'3_1':0.15,'5_2':0.0,'7_2':0.0,'7_3':0.0},(90,557):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(90,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(90,555):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(90,554):{'3_1':0.12,'5_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0},(90,553):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0},(90,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(90,551):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(90,550):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_3':0.0},(90,549):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0},(90,548):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(90,547):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(90,546):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(90,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(90,544):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(90,543):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(90,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(90,541):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_2':0.0},(90,540):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(90,539):{'3_1':0.24},(90,538):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(90,537):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(90,536):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(90,535):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(90,534):{'3_1':0.18,'5_1':0.0},(90,533):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(90,532):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(90,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(90,530):{'3_1':0.15},(90,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(90,528):{'3_1':0.18,'5_1':0.0},(90,527):{'3_1':0.09,'4_1':0.0},(90,526):{'3_1':0.06,'5_1':0.0},(90,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(90,524):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0},(90,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(90,522):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(90,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(90,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(90,519):{'3_1':0.06,'5_1':0.0},(90,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(90,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(90,516):{'3_1':0.06,'4_1':0.0},(90,515):{'3_1':0.09,'4_1':0.0},(90,514):{'3_1':0.03,'4_1':0.0},(90,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(90,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(90,511):{'3_1':0.03},(90,510):{'3_1':0.0,'4_1':0.0},(90,509):{'3_1':0.03,'5_1':0.0},(90,508):{'3_1':0.0,'4_1':0.0},(90,507):{'3_1':0.03,'5_2':0.0},(90,506):{'3_1':0.0,'4_1':0.0},(90,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(90,504):{'3_1':0.0,'6_1':0.0},(90,503):{'3_1':0.06},(90,502):{'3_1':0.03,'4_1':0.0},(90,501):{'3_1':0.03},(90,500):{'3_1':0.06,'4_1':0.0},(90,499):{'3_1':0.0,'5_2':0.0},(90,498):{'3_1':0.03},(90,497):{'3_1':0.03,'4_1':0.0},(90,496):{'3_1':0.03,'4_1':0.0},(90,495):{'3_1':0.03,'5_2':0.0},(90,494):{'3_1':0.03},(90,493):{'3_1':0.06,'4_1':0.0},(90,492):{'3_1':0.06,'5_1':0.0},(90,491):{'3_1':0.06,'5_1':0.0},(90,490):{'3_1':0.03,'4_1':0.0},(90,489):{'3_1':0.09,'4_1':0.0},(90,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(90,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(90,486):{'3_1':0.06},(90,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(90,484):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(90,483):{'3_1':0.12,'4_1':0.0},(90,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(90,481):{'3_1':0.09,'5_1':0.0},(90,480):{'3_1':0.12},(90,479):{'3_1':0.06,'4_1':0.0},(90,478):{'3_1':0.18,'4_1':0.0},(90,477):{'3_1':0.12,'5_2':0.0},(90,476):{'3_1':0.12},(90,475):{'3_1':0.09,'4_1':0.0},(90,474):{'3_1':0.0,'5_2':0.0},(90,473):{'3_1':0.03,'5_1':0.0},(90,472):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(90,471):{'3_1':0.09,'5_2':0.0},(90,470):{'3_1':0.06,'5_1':0.0},(90,469):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,468):{'3_1':0.03,'4_1':0.0},(90,467):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(90,466):{'3_1':0.0,'4_1':0.0},(90,465):{'3_1':0.03,'5_1':0.0},(90,464):{'3_1':0.0,'4_1':0.0},(90,463):{'3_1':0.0,'4_1':0.0},(90,462):{'3_1':0.03,'4_1':0.0},(90,461):{'3_1':0.0,'4_1':0.0},(90,460):{'3_1':0.03},(90,459):{'3_1':0.03,'4_1':0.0},(90,458):{'3_1':0.0,'4_1':0.0},(90,457):{'3_1':0.0},(90,456):{'3_1':0.03,'4_1':0.0},(90,455):{'4_1':0.03,'3_1':0.0},(90,454):{'3_1':0.06,'4_1':0.0},(90,453):{'3_1':0.0,'4_1':0.0},(90,452):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(90,451):{'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(90,450):{'3_1':0.0,'7_6':0.0},(90,449):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(90,448):{'3_1':0.03,'4_1':0.0},(90,447):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(90,446):{'3_1':0.0},(90,445):{'3_1':0.0,'7_3':0.0},(90,444):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(90,443):{'3_1':0.0},(90,442):{'3_1':0.03},(90,441):{'3_1':0.03},(90,440):{'3_1':0.0,'6_1':0.0},(90,439):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(90,438):{'3_1':0.06},(90,437):{'3_1':0.0,'5_1':0.0},(90,436):{'3_1':0.03,'6_2':0.0},(90,435):{'3_1':0.03},(90,434):{'3_1':0.03,'6_2':0.0},(90,433):{'3_1':0.06,'4_1':0.0},(90,432):{'3_1':0.06,'4_1':0.0},(90,431):{'3_1':0.03,'6_2':0.0},(90,430):{'3_1':0.0,'4_1':0.0},(90,429):{'3_1':0.0,'4_1':0.0},(90,428):{'3_1':0.03,'4_1':0.0},(90,427):{'3_1':0.06,'4_1':0.0},(90,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(90,425):{'3_1':0.03,'4_1':0.0},(90,424):{'3_1':0.03,'4_1':0.0},(90,423):{'3_1':0.0,'4_1':0.0},(90,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(90,421):{'3_1':0.0,'4_1':0.0},(90,420):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(90,419):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(90,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,417):{'3_1':0.06,'4_1':0.0},(90,416):{'3_1':0.0,'4_1':0.0},(90,415):{'3_1':0.0,'4_1':0.0},(90,414):{'3_1':0.0,'4_1':0.0},(90,413):{'4_1':0.0,'9_1':0.0},(90,412):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(90,411):{'3_1':0.0,'5_1':0.0},(90,410):{'3_1':0.0,'6_1':0.0},(90,409):{'3_1':0.0},(90,408):{'4_1':0.0},(90,407):{'3_1':0.0},(90,406):{'3_1':0.0},(90,405):{'3_1':0.03,'4_1':0.0},(90,403):{'3_1':0.0},(90,402):{'3_1':0.0},(90,401):{'3_1':0.0,'4_1':0.0},(90,400):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(90,399):{'3_1':0.0,'5_2':0.0},(90,398):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(90,397):{'3_1':0.0},(90,396):{'3_1':0.03,'6_1':0.0},(90,395):{'3_1':0.03,'4_1':0.0},(90,394):{'3_1':0.0,'4_1':0.0},(90,393):{'3_1':0.0},(90,392):{'3_1':0.0},(90,391):{'3_1':0.0},(90,390):{'3_1':0.0},(90,389):{'3_1':0.0},(90,388):{'3_1':0.0},(90,386):{'3_1':0.0},(90,385):{'3_1':0.03},(90,384):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(90,383):{'3_1':0.0,'4_1':0.0},(90,382):{'3_1':0.0,'4_1':0.0},(90,381):{'3_1':0.06,'5_2':0.0},(90,380):{'3_1':0.0},(90,379):{'3_1':0.0,'4_1':0.0},(90,378):{'3_1':0.03},(90,377):{'3_1':0.03},(90,376):{'3_1':0.0,'5_1':0.0},(90,375):{'3_1':0.03,'4_1':0.0},(90,374):{'3_1':0.0},(90,373):{'3_1':0.03,'5_1':0.0},(90,372):{'3_1':0.0,'4_1':0.0},(90,371):{'3_1':0.03},(90,370):{'3_1':0.03},(90,369):{'3_1':0.0,'4_1':0.0},(90,368):{'3_1':0.0},(90,367):{'3_1':0.0,'4_1':0.0},(90,366):{'3_1':0.03,'5_1':0.0},(90,365):{'3_1':0.0,'4_1':0.0},(90,364):{'3_1':0.03},(90,363):{'3_1':0.03,'4_1':0.0},(90,362):{'3_1':0.0},(90,361):{'3_1':0.0,'9_1':0.0,'4_1':0.0},(90,360):{'3_1':0.03,'5_1':0.0},(90,359):{'3_1':0.0},(90,358):{'3_1':0.0,'4_1':0.0},(90,357):{'3_1':0.0},(90,356):{'3_1':0.0},(90,355):{'3_1':0.0,'5_1':0.0},(90,354):{'3_1':0.0},(90,353):{'3_1':0.09},(90,352):{'3_1':0.0,'7_1':0.0},(90,351):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,350):{'3_1':0.0},(90,349):{'3_1':0.0},(90,348):{'3_1':0.0},(90,347):{'3_1':0.03},(90,346):{'3_1':0.03},(90,345):{'3_1':0.0,'5_1':0.0},(90,344):{'3_1':0.03},(90,343):{'3_1':0.0},(90,342):{'3_1':0.03,'5_1':0.0},(90,341):{'3_1':0.0,'6_1':0.0},(90,340):{'3_1':0.03,'5_1':0.0},(90,338):{'3_1':0.0},(90,337):{'3_1':0.0},(90,336):{'3_1':0.0},(90,335):{'3_1':0.0,'4_1':0.0},(90,334):{'9_1':0.0},(90,333):{'3_1':0.0},(90,332):{'3_1':0.0,'4_1':0.0},(90,330):{'3_1':0.0},(90,329):{'3_1':0.0,'4_1':0.0},(90,328):{'3_1':0.0,'5_1':0.0},(90,327):{'3_1':0.0},(90,326):{'3_1':0.0,'4_1':0.0},(90,325):{'3_1':0.0},(90,324):{'3_1':0.0},(90,323):{'3_1':0.0},(90,322):{'3_1':0.0},(90,321):{'3_1':0.0,'7_1':0.0},(90,319):{'3_1':0.0,'4_1':0.0},(90,318):{'3_1':0.0},(90,317):{'3_1':0.0},(90,316):{'3_1':0.0},(90,315):{'3_1':0.0},(90,314):{'3_1':0.0},(90,313):{'3_1':0.0,'4_1':0.0},(90,312):{'3_1':0.0,'4_1':0.0},(90,311):{'3_1':0.0},(90,310):{'3_1':0.0,'4_1':0.0},(90,309):{'3_1':0.0},(90,308):{'3_1':0.03,'4_1':0.0},(90,307):{'3_1':0.0,'4_1':0.0},(90,306):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,305):{'3_1':0.03},(90,304):{'3_1':0.0,'4_1':0.0},(90,303):{'3_1':0.0,'5_1':0.0},(90,302):{'3_1':0.0},(90,300):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,299):{'3_1':0.0},(90,298):{'3_1':0.0,'4_1':0.0},(90,297):{'3_1':0.0},(90,296):{'3_1':0.0},(90,295):{'3_1':0.0},(90,294):{'4_1':0.0},(90,291):{'3_1':0.03},(90,289):{'3_1':0.0,'5_1':0.0},(90,288):{'3_1':0.0,'4_1':0.0},(90,287):{'3_1':0.0},(90,286):{'3_1':0.0},(90,285):{'3_1':0.0},(90,283):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,282):{'3_1':0.0},(90,281):{'3_1':0.0},(90,280):{'3_1':0.0},(90,278):{'3_1':0.0},(90,277):{'3_1':0.03,'6_1':0.0},(90,276):{'3_1':0.0,'4_1':0.0},(90,275):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,274):{'3_1':0.0},(90,273):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(90,272):{'3_1':0.03},(90,271):{'3_1':0.0,'5_1':0.0},(90,270):{'3_1':0.0},(90,269):{'3_1':0.0},(90,268):{'3_1':0.0,'4_1':0.0},(90,267):{'3_1':0.03,'6_1':0.0},(90,266):{'3_1':0.0},(90,265):{'3_1':0.03,'4_1':0.0},(90,264):{'4_1':0.0},(90,263):{'3_1':0.0,'4_1':0.0},(90,262):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,261):{'3_1':0.0,'4_1':0.0},(90,260):{'3_1':0.03},(90,259):{'3_1':0.0},(90,258):{'3_1':0.0,'4_1':0.0},(90,257):{'4_1':0.0},(90,256):{'3_1':0.0},(90,255):{'4_1':0.0,'5_2':0.0},(90,254):{'3_1':0.0},(90,253):{'3_1':0.0,'4_1':0.0},(90,251):{'3_1':0.0},(90,250):{'4_1':0.0,'5_1':0.0},(90,249):{'3_1':0.03,'4_1':0.0},(90,248):{'3_1':0.0},(90,247):{'3_1':0.0},(90,245):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(90,244):{'3_1':0.0},(90,243):{'3_1':0.0,'5_1':0.0},(90,242):{'3_1':0.0},(90,241):{'3_1':0.0,'4_1':0.0},(90,240):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(90,238):{'3_1':0.0},(90,237):{'4_1':0.0},(90,235):{'4_1':0.0},(90,234):{'3_1':0.0,'4_1':0.0},(90,233):{'3_1':0.0},(90,232):{'3_1':0.0},(90,230):{'3_1':0.0,'4_1':0.0},(90,229):{'3_1':0.03},(90,228):{'3_1':0.0,'4_1':0.0},(90,227):{'3_1':0.0,'4_1':0.0},(90,226):{'4_1':0.0},(90,225):{'3_1':0.0,'4_1':0.0},(90,224):{'3_1':0.0},(90,223):{'3_1':0.0},(90,222):{'3_1':0.0},(90,220):{'3_1':0.0},(90,219):{'4_1':0.0},(90,218):{'3_1':0.0},(90,216):{'4_1':0.0},(90,215):{'3_1':0.0},(90,214):{'3_1':0.0},(90,213):{'3_1':0.0},(90,212):{'3_1':0.0},(90,211):{'3_1':0.0},(90,209):{'3_1':0.0},(90,208):{'3_1':0.03},(90,207):{'3_1':0.0},(90,205):{'3_1':0.0},(90,204):{'5_2':0.0},(90,203):{'3_1':0.0},(90,202):{'3_1':0.0},(90,201):{'3_1':0.0},(90,199):{'3_1':0.0,'5_1':0.0},(90,198):{'3_1':0.0},(90,196):{'3_1':0.0},(90,195):{'3_1':0.0},(90,194):{'3_1':0.0},(90,192):{'3_1':0.0},(90,191):{'3_1':0.0},(90,190):{'3_1':0.0},(90,189):{'3_1':0.0},(90,186):{'3_1':0.0},(90,181):{'3_1':0.0},(90,180):{'3_1':0.0},(90,179):{'4_1':0.0},(90,177):{'3_1':0.0},(90,176):{'3_1':0.0},(90,175):{'4_1':0.0},(90,173):{'3_1':0.0},(90,171):{'3_1':0.0},(90,170):{'3_1':0.0},(90,169):{'3_1':0.0},(90,168):{'3_1':0.0},(90,167):{'3_1':0.0},(90,166):{'3_1':0.0,'5_2':0.0},(90,165):{'3_1':0.03},(90,164):{'3_1':0.0},(90,163):{'3_1':0.0},(90,162):{'3_1':0.0},(90,161):{'3_1':0.06},(90,160):{'3_1':0.03},(90,159):{'3_1':0.0},(90,158):{'3_1':0.0},(90,157):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(90,156):{'3_1':0.03},(90,155):{'3_1':0.0},(90,154):{'3_1':0.0},(90,153):{'3_1':0.0,'5_2':0.0},(90,152):{'3_1':0.0},(90,151):{'3_1':0.03},(90,150):{'3_1':0.0},(90,149):{'3_1':0.0},(90,148):{'3_1':0.0},(90,146):{'3_1':0.0},(90,144):{'3_1':0.0},(90,142):{'3_1':0.0},(90,141):{'5_1':0.0},(90,140):{'3_1':0.0},(90,138):{'3_1':0.0},(90,137):{'3_1':0.0,'5_2':0.0},(90,135):{'3_1':0.0},(90,133):{'3_1':0.0},(90,132):{'3_1':0.0},(90,131):{'5_1':0.0},(90,129):{'3_1':0.0},(90,126):{'3_1':0.0},(90,125):{'3_1':0.0},(90,124):{'3_1':0.0},(90,123):{'3_1':0.0},(90,122):{'3_1':0.0},(90,120):{'3_1':0.0},(90,119):{'3_1':0.0},(90,116):{'3_1':0.0},(90,115):{'3_1':0.0},(90,103):{'3_1':0.0},(91,727):{'5_2':0.51,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0},(91,726):{'5_2':0.45,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'3_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(91,725):{'5_2':0.51,'7_2':0.12,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(91,724):{'5_2':0.48,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(91,723):{'5_2':0.51,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0},(91,722):{'5_2':0.42,'7_4':0.06,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0},(91,721):{'5_2':0.45,'-3':0.06,'7_3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'3_1':0.0,'3_1#5_2':0.0},(91,720):{'5_2':0.48,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(91,719):{'5_2':0.45,'7_2':0.09,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(91,718):{'5_2':0.45,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'3_1':0.0,'7_4':0.0,'8_1':0.0},(91,717):{'5_2':0.36,'3_1':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(91,716):{'5_2':0.48,'-3':0.06,'7_3':0.06,'6_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0},(91,715):{'5_2':0.45,'7_2':0.09,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(91,714):{'5_2':0.57,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(91,713):{'5_2':0.33,'7_2':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'8_8':0.0},(91,712):{'5_2':0.48,'7_5':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(91,711):{'5_2':0.36,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0},(91,710):{'5_2':0.24,'7_5':0.09,'-3':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(91,709):{'5_2':0.33,'-3':0.18,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(91,708):{'5_2':0.45,'-3':0.09,'7_2':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_13':0.0,'1':-0.03},(91,707):{'5_2':0.42,'7_5':0.09,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'8_14':0.0},(91,706):{'5_2':0.36,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(91,705):{'5_2':0.45,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'3_1#5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(91,704):{'5_2':0.3,'3_1':0.09,'7_6':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(91,703):{'5_2':0.33,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(91,702):{'5_2':0.33,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(91,701):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(91,700):{'5_2':0.27,'-3':0.09,'3_1':0.09,'6_1':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_11':0.0},(91,699):{'5_2':0.42,'3_1':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(91,698):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_2':0.09,'7_3':0.03,'6_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(91,697):{'5_2':0.3,'-3':0.15,'3_1':0.06,'7_6':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(91,696):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(91,695):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'2':-0.03},(91,694):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(91,693):{'5_2':0.33,'3_1':0.12,'-3':0.12,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(91,692):{'5_2':0.3,'3_1':0.12,'-3':0.09,'6_1':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(91,691):{'5_2':0.3,'3_1':0.21,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0,'2':-0.03},(91,690):{'5_2':0.36,'3_1':0.15,'7_3':0.09,'-3':0.06,'7_4':0.03,'7_2':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(91,689):{'5_2':0.24,'3_1':0.15,'-3':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'8_6':0.0,'8_15':0.0,'1':-0.03},(91,688):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(91,687):{'5_2':0.18,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(91,686):{'5_2':0.3,'3_1':0.12,'-3':0.09,'4_1':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(91,685):{'5_2':0.24,'3_1':0.21,'7_4':0.09,'7_2':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_3':0.0},(91,684):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(91,683):{'3_1':0.27,'5_2':0.27,'7_4':0.09,'-3':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(91,682):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(91,681):{'3_1':0.36,'5_2':0.18,'7_3':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0},(91,680):{'3_1':0.27,'5_2':0.18,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(91,679):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(91,678):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(91,677):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'-3':0.03,'7_3':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(91,676):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(91,675):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(91,674):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(91,673):{'3_1':0.3,'5_2':0.21,'7_3':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(91,672):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_16':0.0,'-3':0.0},(91,671):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(91,670):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'7_4':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(91,669):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(91,668):{'3_1':0.48,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(91,667):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(91,666):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(91,665):{'3_1':0.45,'5_2':0.18,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(91,664):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(91,663):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(91,662):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(91,661):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(91,660):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(91,659):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(91,658):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(91,657):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.06,'-3':0.03,'6_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_13':0.0,'8_19':0.0},(91,656):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(91,655):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(91,654):{'3_1':0.48,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_4':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(91,653):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(91,652):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(91,651):{'3_1':0.42,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_4':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(91,650):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_9':0.0},(91,649):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(91,648):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'8_16':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(91,647):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(91,646):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(91,645):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(91,644):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(91,643):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(91,642):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(91,641):{'3_1':0.21,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(91,640):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(91,639):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(91,638):{'5_2':0.21,'3_1':0.21,'5_1':0.12,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0},(91,637):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(91,636):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(91,635):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(91,634):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(91,633):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(91,632):{'3_1':0.27,'5_2':0.12,'5_1':0.0,'7_3':0.0,'-3':0.0},(91,631):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(91,630):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'7_1':0.0,'6_3':0.0,'7_3':0.0},(91,629):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.03},(91,628):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_3':0.0,'8_19':0.0},(91,627):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(91,626):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(91,625):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(91,624):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(91,623):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(91,622):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(91,621):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_3':0.0,'8_19':0.0},(91,620):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(91,619):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(91,618):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_10':0.0},(91,617):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(91,616):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(91,615):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'3_1#5_1':0.0,'-3':0.0,'6_1':0.0},(91,614):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(91,613):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(91,612):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(91,611):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_16':0.0},(91,610):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(91,609):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'1':-0.03},(91,608):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(91,607):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(91,606):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(91,605):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(91,604):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03},(91,603):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(91,602):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,601):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0},(91,600):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(91,599):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(91,598):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(91,597):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'8_19':0.0},(91,596):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(91,595):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(91,594):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(91,593):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(91,592):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(91,591):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_1':0.0,'8_7':0.0},(91,590):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0},(91,589):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'8_19':0.0},(91,588):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(91,587):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(91,586):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_3':0.0},(91,585):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(91,584):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(91,583):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(91,582):{'3_1':0.12,'4_1':0.06},(91,581):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(91,580):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_2':0.0},(91,579):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(91,578):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(91,577):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(91,576):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(91,575):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0},(91,574):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0},(91,573):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(91,572):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(91,571):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(91,570):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(91,569):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(91,568):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(91,567):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(91,566):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0},(91,565):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(91,564):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(91,563):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(91,562):{'3_1':0.18,'4_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(91,561):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(91,560):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(91,559):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_2':0.0},(91,558):{'3_1':0.12,'4_1':0.0},(91,557):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(91,556):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_3':0.0},(91,555):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_7':0.0},(91,554):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(91,553):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(91,552):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(91,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(91,550):{'3_1':0.18,'5_1':0.0},(91,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(91,548):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(91,547):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(91,546):{'3_1':0.15,'5_2':0.03},(91,545):{'3_1':0.21,'5_1':0.0,'7_3':0.0},(91,544):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_3':0.0},(91,543):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(91,542):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(91,541):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(91,540):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0},(91,539):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0},(91,538):{'3_1':0.24,'5_1':0.0,'4_1':0.0},(91,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_1':0.0},(91,536):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(91,535):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(91,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(91,533):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(91,532):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(91,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,530):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(91,529):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(91,528):{'3_1':0.12,'4_1':0.0},(91,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(91,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(91,525):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(91,524):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(91,523):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(91,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,521):{'3_1':0.09,'4_1':0.0},(91,520):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(91,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(91,518):{'3_1':0.09,'5_2':0.0},(91,517):{'3_1':0.09,'5_2':0.0},(91,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,515):{'3_1':0.03,'5_1':0.0},(91,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(91,513):{'3_1':0.03,'5_1':0.0,'8_9':0.0},(91,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(91,511):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_9':0.0},(91,510):{'3_1':0.12,'6_3':0.0},(91,509):{'3_1':0.03,'5_2':0.0},(91,508):{'3_1':0.06},(91,507):{'3_1':0.06,'4_1':0.0},(91,506):{'3_1':0.09},(91,505):{'3_1':0.06},(91,504):{'3_1':0.0},(91,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,502):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,501):{'3_1':0.03,'6_3':0.0},(91,500):{'3_1':0.0},(91,499):{'3_1':0.0},(91,498):{'3_1':0.03,'4_1':0.0},(91,497):{'3_1':0.06,'5_2':0.0},(91,496):{'3_1':0.03,'5_2':0.0},(91,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,494):{'3_1':0.0,'4_1':0.0},(91,493):{'3_1':0.06,'5_1':0.0},(91,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(91,491):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(91,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(91,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,488):{'3_1':0.06},(91,487):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(91,486):{'3_1':0.06,'5_2':0.0},(91,485):{'3_1':0.06},(91,484):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(91,483):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(91,482):{'3_1':0.09},(91,481):{'3_1':0.12,'5_2':0.0,'9_1':0.0},(91,480):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(91,479):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(91,478):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(91,477):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(91,476):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(91,475):{'3_1':0.09,'5_2':0.0},(91,474):{'3_1':0.09,'4_1':0.0},(91,473):{'3_1':0.06},(91,472):{'3_1':0.03},(91,471):{'3_1':0.03,'4_1':0.0},(91,470):{'3_1':0.06},(91,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(91,468):{'3_1':0.03,'5_2':0.0},(91,467):{'3_1':0.03,'5_1':0.0},(91,466):{'3_1':0.03,'4_1':0.0},(91,465):{'3_1':0.03},(91,464):{'3_1':0.06,'4_1':0.0},(91,463):{'3_1':0.03,'4_1':0.0},(91,462):{'3_1':0.0,'5_1':0.0},(91,461):{'3_1':0.03,'4_1':0.0},(91,460):{'3_1':0.0,'5_1':0.0},(91,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,458):{'3_1':0.0,'4_1':0.0},(91,457):{'3_1':0.03},(91,456):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,455):{'3_1':0.03,'5_2':0.0},(91,454):{'3_1':0.06,'5_2':0.0},(91,453):{'3_1':0.03,'4_1':0.0},(91,452):{'3_1':0.06,'4_1':0.0},(91,451):{'3_1':0.06},(91,450):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(91,449):{'3_1':0.03,'4_1':0.0},(91,448):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(91,447):{'3_1':0.0},(91,446):{'3_1':0.03},(91,445):{'3_1':0.0},(91,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(91,443):{'3_1':0.03,'4_1':0.0},(91,442):{'3_1':0.06},(91,441):{'3_1':0.0},(91,440):{'3_1':0.0},(91,439):{'3_1':0.0,'4_1':0.0},(91,438):{'3_1':0.0},(91,437):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(91,436):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(91,435):{'3_1':0.03,'5_1':0.0},(91,434):{'3_1':0.03,'4_1':0.0},(91,433):{'3_1':0.0,'4_1':0.0},(91,432):{'3_1':0.03},(91,431):{'3_1':0.0,'4_1':0.0},(91,430):{'3_1':0.03,'4_1':0.0},(91,429):{'3_1':0.06,'4_1':0.0},(91,428):{'3_1':0.03,'4_1':0.0},(91,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(91,426):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(91,425):{'3_1':0.06},(91,424):{'3_1':0.0,'5_2':0.0},(91,423):{'3_1':0.0},(91,422):{'3_1':0.06,'4_1':0.03},(91,421):{'3_1':0.0},(91,420):{'3_1':0.0,'4_1':0.0},(91,419):{'3_1':0.0,'5_1':0.0},(91,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,417):{'3_1':0.0,'4_1':0.0},(91,416):{'3_1':0.03},(91,415):{'4_1':0.0},(91,414):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,413):{'4_1':0.0},(91,412):{'3_1':0.0,'4_1':0.0},(91,411):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(91,410):{'3_1':0.0},(91,409):{'3_1':0.03},(91,408):{'3_1':0.0},(91,407):{'3_1':0.06,'5_2':0.0},(91,406):{'3_1':0.0},(91,405):{'3_1':0.0},(91,404):{'4_1':0.0},(91,402):{'3_1':0.0,'4_1':0.0},(91,401):{'3_1':0.0},(91,400):{'3_1':0.03},(91,399):{'3_1':0.0,'6_2':0.0},(91,398):{'3_1':0.0},(91,397):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(91,396):{'4_1':0.0},(91,395):{'3_1':0.0},(91,394):{'3_1':0.0,'4_1':0.0},(91,393):{'6_1':0.0},(91,392):{'3_1':0.0},(91,389):{'3_1':0.0},(91,388):{'4_1':0.0},(91,387):{'3_1':0.0},(91,386):{'3_1':0.03},(91,385):{'3_1':0.0},(91,384):{'3_1':0.0,'5_1':0.0},(91,383):{'3_1':0.0},(91,382):{'3_1':0.0},(91,381):{'3_1':0.03},(91,380):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,379):{'3_1':0.0},(91,378):{'3_1':0.0},(91,377):{'3_1':0.03,'4_1':0.0},(91,376):{'3_1':0.03},(91,375):{'3_1':0.0,'5_1':0.0},(91,374):{'3_1':0.0},(91,373):{'3_1':0.06},(91,372):{'3_1':0.03},(91,371):{'3_1':0.0},(91,370):{'3_1':0.03,'9_1':0.0},(91,369):{'3_1':0.06},(91,367):{'3_1':0.03},(91,366):{'3_1':0.0,'5_1':0.0},(91,365):{'3_1':0.0},(91,364):{'3_1':0.03},(91,363):{'3_1':0.0},(91,362):{'3_1':0.0,'9_1':0.0},(91,361):{'3_1':0.03,'4_1':0.0},(91,360):{'3_1':0.03},(91,359):{'3_1':0.0,'4_1':0.0},(91,358):{'3_1':0.0},(91,357):{'3_1':0.03},(91,356):{'3_1':0.0,'5_1':0.0},(91,355):{'3_1':0.0,'4_1':0.0},(91,353):{'5_1':0.0},(91,352):{'3_1':0.0,'4_1':0.0},(91,351):{'3_1':0.0,'5_1':0.0},(91,350):{'3_1':0.0,'4_1':0.0},(91,349):{'3_1':0.03},(91,348):{'3_1':0.03},(91,347):{'3_1':0.0},(91,346):{'3_1':0.0},(91,345):{'3_1':0.0},(91,344):{'3_1':0.0},(91,343):{'3_1':0.0},(91,342):{'3_1':0.0},(91,341):{'3_1':0.0,'4_1':0.0},(91,340):{'3_1':0.03},(91,339):{'3_1':0.0,'4_1':0.0},(91,338):{'3_1':0.0},(91,336):{'3_1':0.03},(91,335):{'3_1':0.03},(91,334):{'3_1':0.0},(91,332):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(91,331):{'3_1':0.0},(91,330):{'3_1':0.0},(91,329):{'3_1':0.0},(91,328):{'3_1':0.0,'9_1':0.0,'4_1':0.0},(91,327):{'3_1':0.03},(91,326):{'3_1':0.0},(91,325):{'4_1':0.0,'3_1':0.0},(91,324):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,323):{'3_1':0.0},(91,321):{'3_1':0.0,'5_1':0.0},(91,320):{'3_1':0.0},(91,319):{'3_1':0.0},(91,318):{'3_1':0.0},(91,317):{'3_1':0.0,'4_1':0.0},(91,316):{'3_1':0.03},(91,315):{'3_1':0.03},(91,314):{'3_1':0.0},(91,313):{'3_1':0.0},(91,312):{'3_1':0.03,'6_2':0.0},(91,311):{'3_1':0.0,'4_1':0.0},(91,309):{'3_1':0.0},(91,308):{'3_1':0.0,'4_1':0.0},(91,307):{'5_2':0.0,'4_1':0.0},(91,306):{'3_1':0.0},(91,305):{'3_1':0.03},(91,304):{'3_1':0.0},(91,303):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,302):{'3_1':0.03},(91,301):{'3_1':0.0},(91,300):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(91,299):{'3_1':0.03},(91,298):{'3_1':0.03},(91,297):{'3_1':0.0,'5_1':0.0},(91,296):{'3_1':0.0},(91,295):{'3_1':0.0},(91,294):{'3_1':0.0},(91,293):{'3_1':0.03},(91,292):{'3_1':0.0},(91,291):{'3_1':0.0,'4_1':0.0},(91,290):{'3_1':0.0},(91,289):{'3_1':0.0,'5_1':0.0},(91,288):{'3_1':0.0,'5_1':0.0},(91,287):{'3_1':0.0},(91,286):{'3_1':0.0},(91,284):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(91,283):{'3_1':0.03,'4_1':0.0},(91,282):{'3_1':0.0},(91,281):{'3_1':0.0,'4_1':0.0},(91,280):{'3_1':0.0},(91,279):{'3_1':0.0,'4_1':0.0},(91,278):{'3_1':0.0},(91,277):{'3_1':0.0},(91,276):{'3_1':0.03,'5_2':0.0},(91,275):{'3_1':0.03,'4_1':0.0},(91,274):{'3_1':0.0,'5_1':0.0},(91,273):{'3_1':0.0},(91,272):{'3_1':0.03,'4_1':0.0},(91,271):{'3_1':0.0},(91,270):{'3_1':0.0,'5_2':0.0},(91,269):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,268):{'3_1':0.03},(91,267):{'3_1':0.0,'6_2':0.0},(91,266):{'3_1':0.0},(91,265):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(91,263):{'3_1':0.0},(91,262):{'3_1':0.0,'4_1':0.0},(91,261):{'3_1':0.0,'4_1':0.0},(91,260):{'3_1':0.03},(91,259):{'3_1':0.0},(91,258):{'3_1':0.0,'4_1':0.0},(91,257):{'3_1':0.0},(91,256):{'7_1':0.0,'3_1':0.0,'4_1':0.0},(91,255):{'7_1':0.0},(91,254):{'3_1':0.0,'4_1':0.0},(91,253):{'3_1':0.0},(91,252):{'3_1':0.0},(91,251):{'3_1':0.0},(91,249):{'3_1':0.03},(91,248):{'3_1':0.0},(91,247):{'3_1':0.0},(91,246):{'3_1':0.0},(91,245):{'3_1':0.0},(91,244):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,243):{'3_1':0.0},(91,242):{'3_1':0.0},(91,241):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,240):{'3_1':0.0,'4_1':0.0},(91,239):{'3_1':0.0},(91,238):{'4_1':0.0,'9_1':0.0},(91,237):{'3_1':0.0},(91,236):{'3_1':0.0},(91,235):{'3_1':0.0,'4_1':0.0},(91,234):{'3_1':0.03,'4_1':0.0},(91,233):{'3_1':0.03},(91,232):{'4_1':0.0},(91,231):{'3_1':0.0},(91,228):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,227):{'3_1':0.0},(91,226):{'3_1':0.0,'4_1':0.0},(91,225):{'3_1':0.0,'4_1':0.0},(91,224):{'3_1':0.0},(91,223):{'3_1':0.0},(91,220):{'4_1':0.0},(91,219):{'3_1':0.0},(91,218):{'3_1':0.0,'4_1':0.0},(91,217):{'3_1':0.0},(91,216):{'3_1':0.0},(91,215):{'3_1':0.0},(91,214):{'5_1':0.0},(91,212):{'3_1':0.0},(91,211):{'3_1':0.0},(91,210):{'3_1':0.0},(91,209):{'3_1':0.0},(91,208):{'3_1':0.0,'5_2':0.0},(91,207):{'3_1':0.0},(91,206):{'3_1':0.03},(91,205):{'3_1':0.0},(91,204):{'3_1':0.0},(91,203):{'3_1':0.0},(91,202):{'3_1':0.0},(91,201):{'3_1':0.0},(91,200):{'3_1':0.0},(91,199):{'3_1':0.0},(91,198):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(91,191):{'3_1':0.0},(91,189):{'3_1':0.0},(91,188):{'3_1':0.0},(91,187):{'3_1':0.0},(91,186):{'3_1':0.0},(91,185):{'3_1':0.0},(91,184):{'3_1':0.0},(91,183):{'3_1':0.0},(91,171):{'3_1':0.03},(91,170):{'3_1':0.0,'4_1':0.0},(91,169):{'3_1':0.0,'5_2':0.0},(91,168):{'3_1':0.0},(91,167):{'3_1':0.03},(91,166):{'3_1':0.0},(91,165):{'3_1':0.0},(91,164):{'3_1':0.0},(91,163):{'3_1':0.0},(91,162):{'3_1':0.03,'5_2':0.0},(91,161):{'3_1':0.03},(91,160):{'3_1':0.03},(91,159):{'3_1':0.0,'5_1':0.0},(91,158):{'3_1':0.0},(91,157):{'3_1':0.0},(91,156):{'3_1':0.03},(91,155):{'3_1':0.0},(91,154):{'3_1':0.03},(91,153):{'3_1':0.0},(91,152):{'3_1':0.0,'5_2':0.0},(91,151):{'3_1':0.03},(91,150):{'3_1':0.0},(91,149):{'3_1':0.0},(91,148):{'3_1':0.0},(91,147):{'3_1':0.0},(91,146):{'3_1':0.0},(91,145):{'3_1':0.0},(91,143):{'3_1':0.0},(91,142):{'3_1':0.0},(91,141):{'3_1':0.0},(91,140):{'3_1':0.0},(91,138):{'3_1':0.0},(91,135):{'3_1':0.0},(91,132):{'3_1':0.0,'5_1':0.0},(91,131):{'3_1':0.0},(91,130):{'3_1':0.0},(91,129):{'5_1':0.0},(91,128):{'3_1':0.0},(91,127):{'3_1':0.0,'5_1':0.0},(91,126):{'3_1':0.0},(91,124):{'5_1':0.0},(91,123):{'3_1':0.0,'5_1':0.0},(91,120):{'3_1':0.0},(91,119):{'3_1':0.0},(91,118):{'3_1':0.0},(91,117):{'3_1':0.0},(91,116):{'3_1':0.0},(91,115):{'3_1':0.0},(91,114):{'3_1':0.0},(91,113):{'3_1':0.0},(91,102):{'3_1':0.0},(91,101):{'5_2':0.0},(92,727):{'5_2':0.51,'7_2':0.06,'6_1':0.06,'-3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_8':0.0},(92,726):{'5_2':0.54,'-3':0.03,'7_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0},(92,725):{'5_2':0.36,'-3':0.06,'6_1':0.06,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(92,724):{'5_2':0.51,'7_5':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(92,723):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'2':-0.03},(92,722):{'5_2':0.42,'7_5':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'3_1#5_2':0.0},(92,721):{'5_2':0.42,'-3':0.06,'6_1':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(92,720):{'5_2':0.42,'6_1':0.09,'-3':0.09,'7_5':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_11':0.0},(92,719):{'5_2':0.48,'6_1':0.06,'7_5':0.06,'7_2':0.03,'7_6':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'1':-0.03},(92,718):{'5_2':0.39,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(92,717):{'5_2':0.48,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'8_8':0.0},(92,716):{'5_2':0.42,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(92,715):{'5_2':0.51,'6_1':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'1':-0.03},(92,714):{'5_2':0.42,'6_1':0.06,'7_2':0.06,'7_3':0.06,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(92,713):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0},(92,712):{'5_2':0.45,'3_1':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'4_1':0.0,'8_13':0.0},(92,711):{'5_2':0.33,'7_5':0.09,'7_2':0.06,'3_1':0.06,'7_3':0.06,'-3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(92,710):{'5_2':0.27,'-3':0.18,'7_5':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'2':-0.03},(92,709):{'5_2':0.36,'-3':0.18,'7_2':0.06,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'9_1':0.0,'2':-0.03},(92,708):{'5_2':0.33,'-3':0.09,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'9_1':0.0,'4_1':0.0},(92,707):{'5_2':0.45,'3_1':0.06,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(92,706):{'5_2':0.45,'3_1':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(92,705):{'5_2':0.36,'7_2':0.09,'7_3':0.06,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_4':0.03,'7_6':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(92,704):{'5_2':0.27,'6_1':0.12,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'8_11':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(92,703):{'5_2':0.33,'-3':0.12,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(92,702):{'5_2':0.39,'-3':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(92,701):{'5_2':0.36,'-3':0.09,'7_2':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'7_1':0.0},(92,700):{'5_2':0.33,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(92,699):{'5_2':0.42,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(92,698):{'5_2':0.36,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(92,697):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(92,696):{'5_2':0.33,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(92,695):{'5_2':0.42,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(92,694):{'5_2':0.33,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'2':-0.03},(92,693):{'5_2':0.24,'-3':0.18,'3_1':0.15,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0},(92,692):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_5':0.0},(92,691):{'5_2':0.36,'3_1':0.12,'-3':0.12,'6_1':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(92,690):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(92,689):{'5_2':0.27,'3_1':0.18,'7_3':0.06,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'8_2':0.0,'9_1':0.0},(92,688):{'5_2':0.3,'3_1':0.18,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0},(92,687):{'5_2':0.27,'3_1':0.18,'-3':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(92,686):{'5_2':0.36,'3_1':0.15,'7_4':0.06,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(92,685):{'5_2':0.27,'3_1':0.24,'7_3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(92,684):{'5_2':0.33,'3_1':0.24,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(92,683):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(92,682):{'3_1':0.27,'5_2':0.27,'7_4':0.09,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(92,681):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0},(92,680):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0,'-3':0.0},(92,679):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(92,678):{'3_1':0.39,'5_2':0.18,'7_4':0.12,'-3':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_12':0.0},(92,677):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(92,676):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'6_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(92,675):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(92,674):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'7_4':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(92,673):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(92,672):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0,'-3':0.0},(92,671):{'3_1':0.39,'5_2':0.06,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(92,670):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(92,669):{'3_1':0.42,'5_2':0.15,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,668):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,667):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,666):{'3_1':0.39,'5_2':0.24,'4_1':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(92,665):{'3_1':0.39,'5_2':0.18,'4_1':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'-3':0.0},(92,664):{'3_1':0.48,'5_2':0.12,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,663):{'3_1':0.36,'5_2':0.24,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(92,662):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(92,661):{'3_1':0.42,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,660):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_13':0.0},(92,659):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,658):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,657):{'3_1':0.36,'5_2':0.24,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(92,656):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(92,655):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(92,654):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(92,653):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,652):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,651):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(92,650):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,649):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(92,648):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_16':0.0},(92,647):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(92,646):{'3_1':0.24,'5_2':0.12,'5_1':0.12,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_13':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(92,645):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0},(92,644):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'7_4':0.03,'-3':0.0,'6_2':0.0,'8_19':0.0},(92,643):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'9_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(92,642):{'3_1':0.18,'5_2':0.12,'5_1':0.12,'4_1':0.03,'7_1':0.03,'-3':0.0,'8_19':0.0},(92,641):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'8_19':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(92,640):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,639):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(92,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(92,637):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_12':0.0},(92,636):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'6_2':0.0},(92,635):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0,'8_13':0.0},(92,634):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_16':0.0,'6_1':0.0,'3_1#5_2':0.0},(92,633):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(92,632):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,631):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0},(92,630):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,629):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0,'1':-0.03},(92,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(92,627):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_16':0.0,'8_19':0.0},(92,626):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(92,625):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(92,624):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0},(92,623):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'8_19':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(92,622):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,621):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,620):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'8_2':0.0,'8_19':0.0},(92,619):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(92,618):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_16':0.0,'8_19':0.0,'9_1':0.0},(92,617):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(92,616):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_7':0.0,'3_1#5_1':0.0,'-3':0.0},(92,615):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(92,614):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0},(92,613):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(92,612):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(92,611):{'3_1':0.21,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(92,610):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0},(92,609):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(92,608):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(92,607):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(92,606):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(92,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(92,604):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(92,603):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0},(92,602):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(92,601):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(92,600):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(92,599):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(92,598):{'3_1':0.18,'5_1':0.06,'4_1':0.06,'5_2':0.0,'7_6':0.0},(92,597):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(92,596):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(92,595):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(92,594):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(92,593):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(92,592):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0},(92,591):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03},(92,590):{'3_1':0.18,'4_1':0.15,'5_1':0.03,'5_2':0.0,'7_1':0.0},(92,589):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(92,588):{'3_1':0.15,'4_1':0.12,'7_3':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(92,587):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(92,586):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0},(92,585):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(92,584):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(92,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(92,582):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(92,581):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(92,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(92,579):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(92,578):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_5':0.0},(92,577):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_13':0.0},(92,576):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(92,575):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(92,574):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(92,573):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_7':0.0},(92,572):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(92,571):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(92,570):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0},(92,569):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(92,568):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(92,567):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(92,566):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(92,565):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(92,564):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(92,563):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(92,562):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(92,561):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(92,560):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0,'5_1':0.0,'8_7':0.0,'-3':0.0},(92,559):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_6':0.0},(92,558):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(92,557):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(92,556):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(92,555):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(92,554):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_7':0.0},(92,553):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(92,552):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(92,551):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_6':0.0},(92,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(92,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(92,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,547):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(92,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(92,545):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(92,544):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(92,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(92,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(92,541):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(92,540):{'3_1':0.15,'7_3':0.0,'5_2':0.0},(92,539):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_7':0.0},(92,538):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,537):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(92,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(92,535):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(92,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(92,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_3':0.0},(92,532):{'3_1':0.15,'5_2':0.0},(92,531):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(92,530):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(92,529):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(92,528):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(92,527):{'3_1':0.09},(92,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(92,524):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(92,523):{'3_1':0.15,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(92,522):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(92,521):{'3_1':0.06,'5_1':0.0},(92,520):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0},(92,519):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(92,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(92,517):{'3_1':0.06,'5_1':0.0},(92,516):{'3_1':0.06,'4_1':0.0},(92,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(92,514):{'3_1':0.03},(92,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(92,512):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(92,511):{'3_1':0.0,'5_1':0.0},(92,510):{'3_1':0.06,'7_1':0.0},(92,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(92,508):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(92,507):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(92,506):{'3_1':0.03},(92,505):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(92,504):{'3_1':0.03,'7_5':0.0,'7_7':0.0},(92,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(92,502):{'3_1':0.06,'5_2':0.0},(92,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(92,500):{'3_1':0.09,'4_1':0.0},(92,499):{'3_1':0.06,'4_1':0.0},(92,498):{'3_1':0.06},(92,497):{'3_1':0.03,'4_1':0.0},(92,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(92,495):{'3_1':0.0,'4_1':0.0},(92,494):{'3_1':0.03,'5_1':0.0},(92,493):{'3_1':0.12},(92,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(92,491):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,490):{'3_1':0.12},(92,489):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,488):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(92,487):{'3_1':0.06,'5_2':0.0},(92,486):{'3_1':0.06,'4_1':0.0},(92,485):{'3_1':0.06},(92,484):{'3_1':0.09,'4_1':0.0},(92,483):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(92,482):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0},(92,481):{'3_1':0.15,'4_1':0.0},(92,480):{'3_1':0.06},(92,479):{'3_1':0.09},(92,478):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(92,477):{'3_1':0.09,'4_1':0.0},(92,476):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(92,475):{'3_1':0.12},(92,474):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(92,473):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(92,472):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(92,471):{'3_1':0.06,'4_1':0.0},(92,470):{'3_1':0.03},(92,469):{'3_1':0.03},(92,468):{'3_1':0.09,'4_1':0.0},(92,467):{'3_1':0.03},(92,466):{'3_1':0.03},(92,465):{'3_1':0.03,'4_1':0.0},(92,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,463):{'3_1':0.06,'4_1':0.0},(92,462):{'3_1':0.03},(92,461):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(92,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,459):{'3_1':0.03},(92,458):{'3_1':0.06,'4_1':0.0},(92,457):{'3_1':0.03,'6_3':0.0},(92,456):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(92,455):{'3_1':0.03},(92,454):{'3_1':0.12},(92,453):{'3_1':0.06,'4_1':0.0},(92,452):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(92,451):{'3_1':0.03},(92,450):{'3_1':0.03,'4_1':0.0},(92,449):{'3_1':0.06,'4_1':0.0},(92,448):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(92,447):{'3_1':0.0,'4_1':0.0},(92,446):{'3_1':0.06},(92,445):{'3_1':0.06},(92,444):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(92,443):{'3_1':0.09},(92,442):{'3_1':0.03},(92,441):{'3_1':0.06,'4_1':0.0},(92,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(92,439):{'3_1':0.03,'6_2':0.0},(92,438):{'3_1':0.0,'3_1#5_1':0.0},(92,437):{'3_1':0.0,'4_1':0.0},(92,436):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,435):{'3_1':0.09,'6_1':0.0},(92,434):{'3_1':0.03,'5_1':0.0},(92,433):{'3_1':0.03,'4_1':0.0},(92,432):{'3_1':0.03,'4_1':0.0},(92,431):{'3_1':0.03,'4_1':0.0},(92,430):{'3_1':0.0,'4_1':0.0},(92,429):{'3_1':0.06,'5_2':0.0},(92,428):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(92,427):{'3_1':0.06,'4_1':0.03},(92,426):{'3_1':0.06,'4_1':0.0},(92,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(92,424):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0},(92,423):{'3_1':0.0,'4_1':0.0},(92,422):{'3_1':0.06,'4_1':0.0},(92,421):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(92,420):{'3_1':0.06,'4_1':0.0},(92,419):{'3_1':0.03,'4_1':0.0},(92,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(92,417):{'3_1':0.03},(92,416):{'3_1':0.0,'4_1':0.0},(92,415):{'3_1':0.0,'4_1':0.0},(92,414):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(92,413):{'4_1':0.0,'9_1':0.0},(92,412):{'3_1':0.03},(92,411):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(92,410):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(92,409):{'3_1':0.03,'4_1':0.0},(92,408):{'3_1':0.0,'8_1':0.0},(92,407):{'3_1':0.0},(92,406):{'3_1':0.0},(92,405):{'3_1':0.03},(92,404):{'3_1':0.0,'4_1':0.0},(92,403):{'3_1':0.0},(92,402):{'3_1':0.03},(92,401):{'3_1':0.0,'4_1':0.0},(92,400):{'3_1':0.03,'4_1':0.0},(92,399):{'3_1':0.0},(92,398):{'3_1':0.0,'5_1':0.0},(92,397):{'3_1':0.03,'4_1':0.0},(92,396):{'3_1':0.03},(92,395):{'3_1':0.0},(92,394):{'3_1':0.0},(92,393):{'3_1':0.03},(92,392):{'3_1':0.0},(92,391):{'3_1':0.0},(92,389):{'3_1':0.0,'6_1':0.0},(92,388):{'3_1':0.0},(92,387):{'4_1':0.0,'5_1':0.0},(92,386):{'3_1':0.0,'4_1':0.0},(92,385):{'3_1':0.0,'4_1':0.0},(92,384):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(92,383):{'3_1':0.0,'4_1':0.0},(92,382):{'3_1':0.0},(92,381):{'3_1':0.0},(92,380):{'3_1':0.0,'5_2':0.0},(92,379):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(92,378):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(92,377):{'3_1':0.03},(92,376):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(92,375):{'3_1':0.0},(92,374):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(92,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,372):{'3_1':0.06,'4_1':0.0},(92,371):{'3_1':0.0},(92,370):{'3_1':0.03},(92,369):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(92,368):{'3_1':0.0},(92,367):{'3_1':0.03,'4_1':0.0},(92,366):{'3_1':0.0},(92,365):{'3_1':0.03,'5_1':0.0},(92,364):{'3_1':0.0,'5_1':0.0},(92,363):{'3_1':0.03,'5_2':0.0},(92,362):{'3_1':0.0},(92,361):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(92,360):{'3_1':0.03,'5_2':0.0},(92,359):{'3_1':0.03},(92,358):{'3_1':0.0},(92,357):{'3_1':0.03},(92,356):{'3_1':0.0},(92,355):{'3_1':0.03},(92,354):{'3_1':0.0},(92,353):{'3_1':0.0,'4_1':0.0},(92,352):{'3_1':0.0,'4_1':0.0},(92,351):{'3_1':0.0},(92,350):{'3_1':0.0},(92,349):{'3_1':0.0,'4_1':0.0},(92,347):{'3_1':0.0},(92,346):{'3_1':0.03,'4_1':0.0},(92,345):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(92,343):{'3_1':0.0,'5_1':0.0},(92,342):{'3_1':0.06},(92,341):{'3_1':0.0},(92,340):{'3_1':0.0},(92,339):{'3_1':0.03,'4_1':0.0},(92,338):{'3_1':0.0},(92,337):{'3_1':0.0},(92,336):{'3_1':0.0},(92,335):{'3_1':0.0,'4_1':0.0},(92,334):{'3_1':0.0},(92,333):{'3_1':0.03},(92,332):{'5_1':0.0},(92,331):{'3_1':0.0},(92,330):{'3_1':0.0},(92,328):{'4_1':0.0,'3_1':0.0},(92,327):{'3_1':0.03},(92,326):{'3_1':0.0},(92,325):{'3_1':0.03},(92,324):{'3_1':0.06},(92,323):{'3_1':0.0},(92,322):{'3_1':0.0},(92,320):{'3_1':0.0,'4_1':0.0},(92,319):{'3_1':0.0,'5_1':0.0},(92,318):{'3_1':0.0},(92,317):{'3_1':0.0},(92,316):{'3_1':0.0},(92,315):{'3_1':0.0},(92,314):{'3_1':0.0},(92,313):{'3_1':0.0},(92,312):{'3_1':0.0},(92,311):{'3_1':0.03},(92,310):{'3_1':0.0},(92,309):{'3_1':0.0},(92,308):{'3_1':0.0},(92,307):{'3_1':0.0},(92,306):{'3_1':0.03},(92,305):{'3_1':0.0},(92,304):{'3_1':0.0,'5_1':0.0},(92,303):{'3_1':0.03,'5_2':0.0},(92,302):{'3_1':0.0},(92,301):{'3_1':0.0},(92,300):{'3_1':0.0,'4_1':0.0},(92,299):{'3_1':0.0,'4_1':0.0},(92,298):{'3_1':0.0},(92,297):{'3_1':0.0},(92,296):{'3_1':0.0,'5_1':0.0},(92,295):{'3_1':0.0},(92,294):{'3_1':0.0},(92,293):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,292):{'3_1':0.0},(92,291):{'3_1':0.03},(92,290):{'3_1':0.0},(92,289):{'3_1':0.0,'4_1':0.0},(92,288):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,287):{'3_1':0.03},(92,286):{'3_1':0.03},(92,285):{'3_1':0.0,'4_1':0.0},(92,284):{'3_1':0.0},(92,283):{'3_1':0.03,'4_1':0.0},(92,282):{'3_1':0.03,'5_1':0.0},(92,281):{'3_1':0.03,'4_1':0.0},(92,280):{'3_1':0.03,'4_1':0.0},(92,278):{'3_1':0.0},(92,277):{'3_1':0.0},(92,276):{'3_1':0.09},(92,275):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(92,274):{'3_1':0.0,'4_1':0.0},(92,273):{'3_1':0.0,'4_1':0.0},(92,272):{'3_1':0.03,'4_1':0.0},(92,271):{'3_1':0.06,'4_1':0.0},(92,270):{'3_1':0.03},(92,269):{'6_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(92,268):{'3_1':0.03,'4_1':0.0},(92,267):{'4_1':0.0,'3_1':0.0},(92,266):{'3_1':0.0,'5_1':0.0},(92,265):{'3_1':0.0},(92,264):{'3_1':0.0,'4_1':0.0},(92,263):{'3_1':0.0},(92,262):{'3_1':0.0,'4_1':0.0},(92,261):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,260):{'3_1':0.0},(92,259):{'3_1':0.03},(92,258):{'3_1':0.0},(92,257):{'3_1':0.0,'5_1':0.0},(92,256):{'3_1':0.0},(92,255):{'3_1':0.0,'4_1':0.0},(92,254):{'3_1':0.0,'5_1':0.0},(92,253):{'3_1':0.0},(92,251):{'3_1':0.0},(92,250):{'3_1':0.03,'4_1':0.0},(92,249):{'3_1':0.0},(92,247):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(92,245):{'3_1':0.0,'4_1':0.0},(92,244):{'4_1':0.0,'3_1':0.0},(92,243):{'3_1':0.0},(92,242):{'5_1':0.0},(92,241):{'3_1':0.0,'4_1':0.0},(92,240):{'3_1':0.0,'4_1':0.0},(92,239):{'3_1':0.0,'4_1':0.0},(92,238):{'3_1':0.0},(92,237):{'3_1':0.0},(92,236):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,235):{'3_1':0.0,'4_1':0.0},(92,234):{'3_1':0.0,'4_1':0.0},(92,233):{'3_1':0.0,'4_1':0.0},(92,231):{'3_1':0.0},(92,230):{'4_1':0.0},(92,229):{'3_1':0.03,'4_1':0.0},(92,228):{'3_1':0.03,'4_1':0.0},(92,227):{'3_1':0.0,'5_2':0.0},(92,226):{'4_1':0.0,'3_1':0.0},(92,225):{'3_1':0.0,'4_1':0.0},(92,223):{'3_1':0.0},(92,221):{'3_1':0.0},(92,220):{'3_1':0.0,'4_1':0.0},(92,219):{'4_1':0.0,'5_1':0.0},(92,218):{'3_1':0.0},(92,216):{'3_1':0.0,'4_1':0.0},(92,213):{'3_1':0.0,'4_1':0.0},(92,212):{'3_1':0.0},(92,211):{'3_1':0.0,'4_1':0.0},(92,210):{'3_1':0.0},(92,209):{'3_1':0.0},(92,208):{'3_1':0.0},(92,207):{'3_1':0.03,'7_2':0.0},(92,206):{'3_1':0.0,'5_1':0.0},(92,205):{'3_1':0.0,'4_1':0.0},(92,204):{'3_1':0.0,'4_1':0.0},(92,202):{'3_1':0.0},(92,201):{'3_1':0.03},(92,200):{'3_1':0.0},(92,199):{'3_1':0.0},(92,198):{'3_1':0.0,'5_2':0.0},(92,197):{'3_1':0.0},(92,196):{'3_1':0.0},(92,195):{'3_1':0.0},(92,192):{'3_1':0.0,'4_1':0.0},(92,191):{'3_1':0.0},(92,190):{'3_1':0.0},(92,189):{'3_1':0.03},(92,188):{'3_1':0.0},(92,187):{'3_1':0.0},(92,186):{'4_1':0.0},(92,185):{'3_1':0.0},(92,184):{'3_1':0.0},(92,183):{'3_1':0.0},(92,182):{'3_1':0.0},(92,180):{'3_1':0.0},(92,179):{'3_1':0.0,'4_1':0.0},(92,178):{'3_1':0.0},(92,177):{'3_1':0.0},(92,175):{'3_1':0.03},(92,173):{'3_1':0.0},(92,172):{'3_1':0.0},(92,171):{'3_1':0.0,'5_1':0.0},(92,170):{'3_1':0.0},(92,169):{'3_1':0.0},(92,168):{'3_1':0.0},(92,167):{'3_1':0.03,'5_2':0.0},(92,166):{'3_1':0.03},(92,165):{'3_1':0.03},(92,164):{'3_1':0.03},(92,163):{'3_1':0.0},(92,162):{'3_1':0.0},(92,161):{'3_1':0.03},(92,160):{'3_1':0.03},(92,159):{'3_1':0.06},(92,158):{'3_1':0.03},(92,157):{'3_1':0.03},(92,156):{'3_1':0.06},(92,155):{'3_1':0.0},(92,154):{'3_1':0.0},(92,153):{'3_1':0.03},(92,152):{'3_1':0.0},(92,151):{'3_1':0.03},(92,150):{'3_1':0.0},(92,149):{'3_1':0.03},(92,148):{'3_1':0.0},(92,146):{'3_1':0.0},(92,145):{'3_1':0.0},(92,139):{'3_1':0.0},(92,134):{'5_1':0.0},(92,133):{'3_1':0.0},(92,129):{'3_1':0.0},(92,128):{'7_3':0.0},(92,127):{'3_1':0.0},(92,125):{'3_1':0.0,'5_1':0.0},(92,124):{'3_1':0.0},(92,123):{'3_1':0.03},(92,122):{'3_1':0.0},(92,120):{'3_1':0.0},(92,119):{'3_1':0.0},(92,117):{'6_1':0.0},(92,115):{'3_1':0.0},(92,114):{'3_1':0.0},(92,104):{'3_1':0.0},(92,99):{'3_1':0.0},(93,727):{'5_2':0.57,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(93,726):{'5_2':0.48,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0,'2':-0.03},(93,725):{'5_2':0.42,'-3':0.09,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'3_1':0.0,'8_8':0.0,'1':-0.03},(93,724):{'5_2':0.48,'-3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(93,723):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_6':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(93,722):{'5_2':0.45,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(93,721):{'5_2':0.42,'7_2':0.09,'7_5':0.09,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(93,720):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_10':0.0,'8_11':0.0},(93,719):{'5_2':0.42,'-3':0.15,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(93,718):{'5_2':0.45,'-3':0.09,'7_2':0.09,'6_1':0.06,'7_5':0.06,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0},(93,717):{'5_2':0.36,'7_4':0.06,'3_1':0.06,'7_3':0.06,'7_5':0.06,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_14':0.0},(93,716):{'5_2':0.36,'7_2':0.06,'7_3':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(93,715):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_3':0.0},(93,714):{'5_2':0.39,'-3':0.09,'6_1':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_1':0.0},(93,713):{'5_2':0.51,'3_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(93,712):{'5_2':0.33,'7_3':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'8_6':0.0,'4_1':0.0,'8_11':0.0},(93,711):{'5_2':0.3,'7_2':0.12,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0,'6_2':0.0,'3':-0.03},(93,710):{'5_2':0.3,'-3':0.09,'7_2':0.09,'7_5':0.09,'7_3':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(93,709):{'5_2':0.36,'-3':0.12,'7_2':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(93,708):{'5_2':0.33,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'8_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(93,707):{'5_2':0.48,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(93,706):{'5_2':0.36,'7_4':0.09,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0},(93,705):{'5_2':0.36,'3_1':0.09,'7_3':0.09,'-3':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(93,704):{'5_2':0.39,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'4_1':0.0,'1':-0.03},(93,703):{'5_2':0.36,'-3':0.09,'7_3':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'3_1#5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_15':0.0},(93,702):{'5_2':0.42,'-3':0.12,'7_6':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0},(93,701):{'5_2':0.39,'7_2':0.09,'3_1':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_6':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(93,700):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_3':0.06,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(93,699):{'5_2':0.39,'7_3':0.06,'-3':0.06,'7_2':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(93,698):{'5_2':0.3,'-3':0.12,'3_1':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_5':0.03,'7_2':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(93,697):{'5_2':0.27,'-3':0.15,'3_1':0.09,'7_3':0.06,'7_2':0.03,'7_6':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(93,696):{'5_2':0.39,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(93,695):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(93,694):{'5_2':0.24,'7_3':0.12,'-3':0.12,'3_1':0.09,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(93,693):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(93,692):{'5_2':0.27,'3_1':0.12,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'8_11':0.0,'7_6':0.0,'3_1#5_2':0.0},(93,691):{'5_2':0.3,'3_1':0.15,'-3':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(93,690):{'5_2':0.33,'3_1':0.15,'7_3':0.06,'-3':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(93,689):{'5_2':0.24,'3_1':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'7_6':0.0,'3_1#5_2':0.0},(93,688):{'5_2':0.33,'3_1':0.21,'-3':0.12,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(93,687):{'5_2':0.3,'3_1':0.15,'7_4':0.09,'-3':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'3_1#5_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0},(93,686):{'5_2':0.27,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'8_13':0.0},(93,685):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(93,684):{'5_2':0.33,'3_1':0.24,'7_3':0.06,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(93,683):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(93,682):{'3_1':0.33,'5_2':0.24,'-3':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(93,681):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(93,680):{'3_1':0.36,'5_2':0.21,'7_3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,679):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_1':0.0},(93,678):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'6_1':0.0,'8_15':0.0},(93,677):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(93,676):{'3_1':0.3,'5_2':0.18,'7_4':0.09,'7_1':0.03,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(93,675):{'3_1':0.51,'5_2':0.06,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(93,674):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(93,673):{'3_1':0.45,'5_2':0.24,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_11':0.0},(93,672):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,671):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(93,670):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(93,669):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(93,668):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(93,667):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'-3':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(93,666):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(93,665):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0},(93,664):{'3_1':0.42,'5_2':0.15,'4_1':0.09,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(93,663):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(93,662):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(93,661):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(93,660):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,659):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(93,658):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(93,657):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(93,656):{'3_1':0.42,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(93,655):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(93,654):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.03,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(93,653):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(93,652):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(93,651):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(93,650):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(93,649):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(93,648):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(93,647):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0},(93,646):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0},(93,645):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(93,644):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(93,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(93,642):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0},(93,641):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(93,640):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,639):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'3_1#5_1':0.0},(93,638):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,637):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(93,636):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(93,635):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(93,634):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(93,633):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_7':0.0},(93,632):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(93,631):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'6_2':0.03,'4_1':0.0,'7_1':0.0},(93,630):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.03},(93,629):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(93,628):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(93,627):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0},(93,626):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,625):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(93,624):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(93,623):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(93,622):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(93,621):{'3_1':0.15,'5_2':0.09,'-3':0.0,'5_1':0.0,'7_3':0.0},(93,620):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0},(93,619):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(93,618):{'3_1':0.24,'5_1':0.06,'4_1':0.06,'5_2':0.03,'-3':0.0,'6_3':0.0,'8_7':0.0,'8_16':0.0},(93,617):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'8_21|3_1#4_1':0.0},(93,616):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0},(93,615):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(93,614):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0},(93,613):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(93,612):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0},(93,611):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0},(93,610):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(93,609):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(93,608):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_19':0.0},(93,607):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(93,606):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03},(93,605):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0},(93,604):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_7':0.0},(93,603):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(93,602):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03},(93,601):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(93,600):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(93,599):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(93,598):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(93,597):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0},(93,596):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_6':0.0},(93,595):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_4':0.0},(93,594):{'3_1':0.15,'4_1':0.06,'7_6':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(93,593):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(93,592):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(93,591):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(93,590):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(93,589):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(93,588):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0},(93,587):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(93,586):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0},(93,585):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(93,584):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(93,583):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0},(93,582):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_3':0.0,'7_5':0.0},(93,581):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(93,580):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(93,579):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(93,578):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(93,577):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0},(93,576):{'3_1':0.15,'4_1':0.12,'5_1':0.0},(93,575):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(93,574):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0},(93,573):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0},(93,572):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_6':0.0},(93,571):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0},(93,570):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(93,569):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(93,568):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(93,567):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(93,566):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(93,565):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0},(93,564):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(93,563):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(93,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_7':0.0},(93,561):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(93,560):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(93,559):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_6':0.0},(93,558):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(93,557):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(93,556):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(93,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,554):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(93,553):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(93,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(93,551):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(93,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,549):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(93,548):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(93,547):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(93,546):{'3_1':0.15,'5_2':0.0},(93,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(93,544):{'3_1':0.21,'5_2':0.0,'6_2':0.0,'8_2':0.0},(93,543):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0},(93,542):{'3_1':0.21,'5_1':0.0,'7_1':0.0},(93,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(93,540):{'3_1':0.21,'6_3':0.0},(93,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(93,538):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(93,537):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(93,536):{'3_1':0.24,'5_2':0.0,'7_3':0.0},(93,535):{'3_1':0.21},(93,534):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(93,533):{'3_1':0.21,'5_2':0.0,'6_3':0.0,'7_1':0.0},(93,532):{'3_1':0.18,'5_1':0.06,'4_1':0.0},(93,531):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(93,530):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'9_1':0.0},(93,529):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(93,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(93,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(93,525):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(93,524):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(93,523):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(93,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(93,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(93,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,519):{'3_1':0.06,'4_1':0.0},(93,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(93,517):{'3_1':0.06},(93,516):{'3_1':0.09,'5_2':0.0},(93,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,514):{'3_1':0.06,'4_1':0.0},(93,513):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(93,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,511):{'3_1':0.06,'4_1':0.0},(93,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,509):{'3_1':0.06,'5_2':0.0},(93,508):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(93,507):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_3':0.0},(93,506):{'3_1':0.03},(93,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,504):{'3_1':0.03,'4_1':0.0},(93,503):{'3_1':0.06,'4_1':0.0},(93,502):{'3_1':0.06,'8_7':0.0},(93,501):{'3_1':0.09},(93,500):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(93,499):{'3_1':0.06,'4_1':0.0},(93,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(93,497):{'3_1':0.03},(93,496):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(93,495):{'3_1':0.03},(93,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,493):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(93,492):{'3_1':0.03,'5_2':0.0},(93,491):{'3_1':0.03},(93,490):{'3_1':0.06,'4_1':0.0,'8_9':0.0},(93,489):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,487):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(93,486):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(93,485):{'3_1':0.06},(93,484):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(93,483):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'9_1':0.0},(93,482):{'3_1':0.06,'5_1':0.0},(93,481):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(93,480):{'3_1':0.06,'4_1':0.0},(93,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,478):{'3_1':0.03,'7_1':0.0},(93,477):{'3_1':0.12},(93,476):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(93,475):{'3_1':0.09},(93,474):{'3_1':0.09,'4_1':0.0},(93,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,472):{'3_1':0.12,'5_2':0.0},(93,471):{'3_1':0.03,'4_1':0.0},(93,470):{'3_1':0.03},(93,469):{'3_1':0.03,'4_1':0.0},(93,468):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(93,467):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,466):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(93,465):{'3_1':0.03,'4_1':0.0},(93,464):{'3_1':0.12,'4_1':0.0},(93,463):{'3_1':0.03},(93,462):{'3_1':0.0,'4_1':0.0},(93,461):{'3_1':0.03,'6_2':0.0},(93,460):{'3_1':0.03},(93,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,458):{'3_1':0.0,'4_1':0.0},(93,457):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(93,456):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,455):{'3_1':0.06},(93,454):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(93,453):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,452):{'3_1':0.06},(93,451):{'3_1':0.03,'4_1':0.0},(93,450):{'3_1':0.0},(93,449):{'3_1':0.03,'6_2':0.0,'6_3':0.0},(93,448):{'3_1':0.0,'4_1':0.0},(93,447):{'3_1':0.0},(93,446):{'3_1':0.06,'4_1':0.0},(93,445):{'3_1':0.03,'4_1':0.0},(93,444):{'3_1':0.03},(93,443):{'3_1':0.0,'4_1':0.0},(93,442):{'3_1':0.0,'4_1':0.0},(93,441):{'3_1':0.03},(93,440):{'3_1':0.03,'4_1':0.0},(93,439):{'3_1':0.03,'4_1':0.0},(93,438):{'3_1':0.0,'5_1':0.0},(93,437):{'3_1':0.0,'5_1':0.0},(93,436):{'3_1':0.03},(93,435):{'3_1':0.06},(93,434):{'3_1':0.0},(93,433):{'3_1':0.0,'4_1':0.0},(93,432):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(93,431):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(93,430):{'3_1':0.0,'4_1':0.0},(93,429):{'3_1':0.03,'4_1':0.0},(93,428):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(93,427):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(93,426):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(93,425):{'4_1':0.03,'3_1':0.0},(93,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,423):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,422):{'3_1':0.0,'4_1':0.0},(93,421):{'3_1':0.0,'5_2':0.0},(93,420):{'3_1':0.0,'4_1':0.0},(93,419):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(93,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(93,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,416):{'3_1':0.03,'4_1':0.0},(93,415):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(93,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,413):{'4_1':0.03,'3_1':0.0},(93,412):{'3_1':0.0,'4_1':0.0},(93,411):{'3_1':0.0},(93,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(93,409):{'3_1':0.03},(93,408):{'4_1':0.0},(93,407):{'3_1':0.0,'4_1':0.0},(93,406):{'3_1':0.0},(93,405):{'3_1':0.0,'4_1':0.0},(93,404):{'3_1':0.03,'5_2':0.0},(93,403):{'4_1':0.0},(93,402):{'3_1':0.0,'4_1':0.0},(93,401):{'3_1':0.03},(93,400):{'3_1':0.0,'5_1':0.0},(93,399):{'3_1':0.0},(93,398):{'3_1':0.03},(93,397):{'3_1':0.03,'5_2':0.0},(93,396):{'3_1':0.0},(93,395):{'3_1':0.03},(93,394):{'3_1':0.03},(93,393):{'4_1':0.0,'6_1':0.0},(93,392):{'4_1':0.0,'3_1':0.0},(93,390):{'3_1':0.0,'4_1':0.0},(93,389):{'3_1':0.0,'6_2':0.0},(93,388):{'3_1':0.0,'4_1':0.0},(93,387):{'3_1':0.0},(93,386):{'3_1':0.03},(93,385):{'3_1':0.03},(93,384):{'3_1':0.03},(93,383):{'3_1':0.0,'4_1':0.0},(93,382):{'3_1':0.03},(93,381):{'3_1':0.0,'4_1':0.0},(93,380):{'3_1':0.03,'5_2':0.0},(93,379):{'3_1':0.0},(93,378):{'3_1':0.03},(93,377):{'3_1':0.03},(93,376):{'3_1':0.0,'5_2':0.0},(93,375):{'3_1':0.0,'5_1':0.0},(93,374):{'3_1':0.0,'4_1':0.0},(93,373):{'3_1':0.06},(93,372):{'3_1':0.03,'4_1':0.0},(93,371):{'3_1':0.0,'5_1':0.0},(93,370):{'3_1':0.0,'4_1':0.0},(93,369):{'3_1':0.0,'4_1':0.0},(93,367):{'3_1':0.0},(93,366):{'3_1':0.0,'4_1':0.0},(93,365):{'3_1':0.0},(93,364):{'3_1':0.03},(93,363):{'3_1':0.0},(93,362):{'3_1':0.0},(93,361):{'3_1':0.0},(93,360):{'3_1':0.0,'5_1':0.0},(93,359):{'3_1':0.03,'4_1':0.0},(93,358):{'3_1':0.03},(93,357):{'3_1':0.03},(93,356):{'3_1':0.0,'5_1':0.0},(93,355):{'3_1':0.0},(93,354):{'3_1':0.0,'5_1':0.0},(93,353):{'3_1':0.03,'4_1':0.0},(93,352):{'3_1':0.0,'5_1':0.0},(93,351):{'3_1':0.06,'4_1':0.0},(93,350):{'3_1':0.03},(93,349):{'4_1':0.0},(93,348):{'3_1':0.0},(93,347):{'3_1':0.03},(93,346):{'3_1':0.0},(93,345):{'3_1':0.0},(93,344):{'3_1':0.0,'4_1':0.0},(93,343):{'3_1':0.0},(93,342):{'3_1':0.0,'5_1':0.0},(93,341):{'3_1':0.0,'4_1':0.0},(93,340):{'3_1':0.0},(93,339):{'3_1':0.0,'4_1':0.0},(93,338):{'3_1':0.0,'5_1':0.0},(93,337):{'4_1':0.0},(93,336):{'3_1':0.0},(93,335):{'3_1':0.0,'4_1':0.0},(93,334):{'3_1':0.0},(93,333):{'3_1':0.0,'4_1':0.0},(93,332):{'3_1':0.0},(93,331):{'3_1':0.0,'5_1':0.0},(93,329):{'3_1':0.03,'4_1':0.0},(93,327):{'3_1':0.03},(93,326):{'3_1':0.0},(93,325):{'3_1':0.0,'4_1':0.0},(93,324):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(93,323):{'3_1':0.0},(93,322):{'3_1':0.0},(93,321):{'3_1':0.0,'4_1':0.0},(93,320):{'3_1':0.0},(93,319):{'3_1':0.0},(93,318):{'3_1':0.03,'5_2':0.0},(93,317):{'3_1':0.0},(93,316):{'3_1':0.03},(93,314):{'3_1':0.0},(93,313):{'3_1':0.03},(93,312):{'3_1':0.0},(93,311):{'3_1':0.0,'5_1':0.0},(93,310):{'3_1':0.0},(93,309):{'3_1':0.0},(93,308):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,307):{'3_1':0.0},(93,306):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(93,305):{'3_1':0.0},(93,304):{'3_1':0.0,'4_1':0.0},(93,303):{'3_1':0.03},(93,302):{'3_1':0.0,'4_1':0.0},(93,301):{'3_1':0.03},(93,300):{'3_1':0.06},(93,299):{'3_1':0.0},(93,298):{'3_1':0.0,'4_1':0.0},(93,297):{'3_1':0.0},(93,296):{'3_1':0.0},(93,294):{'3_1':0.0,'5_1':0.0},(93,293):{'3_1':0.0,'4_1':0.0},(93,292):{'3_1':0.0},(93,291):{'4_1':0.0},(93,290):{'3_1':0.0},(93,289):{'3_1':0.0},(93,288):{'4_1':0.0},(93,287):{'3_1':0.0,'4_1':0.0},(93,286):{'3_1':0.0},(93,285):{'3_1':0.0},(93,283):{'3_1':0.0},(93,282):{'4_1':0.0},(93,281):{'3_1':0.0},(93,280):{'3_1':0.0},(93,279):{'3_1':0.0},(93,278):{'3_1':0.0,'4_1':0.0},(93,277):{'3_1':0.03},(93,276):{'3_1':0.0,'5_1':0.0},(93,275):{'3_1':0.0},(93,274):{'3_1':0.03,'5_2':0.0},(93,272):{'3_1':0.0,'4_1':0.0},(93,271):{'3_1':0.0},(93,270):{'3_1':0.0,'5_2':0.0},(93,269):{'3_1':0.0},(93,268):{'4_1':0.0,'3_1':0.0},(93,267):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,266):{'3_1':0.0},(93,265):{'3_1':0.0,'5_2':0.0},(93,264):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,263):{'3_1':0.03},(93,262):{'3_1':0.0,'5_1':0.0},(93,261):{'3_1':0.0,'4_1':0.0},(93,260):{'3_1':0.03},(93,258):{'3_1':0.03},(93,257):{'3_1':0.0,'4_1':0.0},(93,256):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(93,254):{'4_1':0.0},(93,253):{'3_1':0.03},(93,252):{'3_1':0.0},(93,251):{'3_1':0.0,'4_1':0.0},(93,250):{'3_1':0.0},(93,249):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(93,248):{'3_1':0.0},(93,247):{'3_1':0.0,'4_1':0.0},(93,246):{'4_1':0.0},(93,245):{'3_1':0.0,'5_1':0.0},(93,244):{'4_1':0.0},(93,243):{'3_1':0.0,'4_1':0.0},(93,242):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(93,241):{'3_1':0.03,'4_1':0.0},(93,240):{'3_1':0.0,'4_1':0.0},(93,239):{'3_1':0.03,'4_1':0.0},(93,238):{'3_1':0.0,'4_1':0.0},(93,237):{'3_1':0.0},(93,236):{'3_1':0.0},(93,235):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(93,234):{'3_1':0.0},(93,233):{'3_1':0.03,'4_1':0.0},(93,230):{'3_1':0.0},(93,229):{'3_1':0.0},(93,228):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(93,227):{'3_1':0.0},(93,226):{'3_1':0.0,'5_1':0.0},(93,225):{'3_1':0.0},(93,223):{'4_1':0.0},(93,221):{'4_1':0.0,'3_1':0.0},(93,220):{'3_1':0.0},(93,219):{'5_1':0.0},(93,218):{'4_1':0.0},(93,217):{'3_1':0.0,'4_1':0.0},(93,216):{'3_1':0.0,'4_1':0.0},(93,215):{'3_1':0.0},(93,214):{'3_1':0.0},(93,213):{'3_1':0.0,'4_1':0.0},(93,212):{'3_1':0.0},(93,211):{'3_1':0.0},(93,210):{'5_2':0.0},(93,209):{'3_1':0.0,'5_1':0.0},(93,208):{'3_1':0.03},(93,207):{'4_1':0.0},(93,206):{'3_1':0.0,'4_1':0.0},(93,205):{'3_1':0.03,'5_1':0.0},(93,202):{'3_1':0.0},(93,201):{'3_1':0.0},(93,200):{'3_1':0.03},(93,199):{'3_1':0.0},(93,198):{'3_1':0.03},(93,197):{'3_1':0.0},(93,196):{'3_1':0.0},(93,195):{'3_1':0.0},(93,194):{'3_1':0.0},(93,193):{'5_1':0.0,'3_1':0.0},(93,192):{'3_1':0.0},(93,191):{'3_1':0.0},(93,190):{'3_1':0.0},(93,189):{'3_1':0.0,'4_1':0.0},(93,188):{'3_1':0.0,'5_2':0.0},(93,187):{'3_1':0.0,'5_2':0.0},(93,185):{'3_1':0.0},(93,183):{'3_1':0.0},(93,182):{'3_1':0.0},(93,181):{'3_1':0.0},(93,180):{'3_1':0.0},(93,179):{'3_1':0.0},(93,178):{'3_1':0.0},(93,176):{'3_1':0.0},(93,175):{'3_1':0.0},(93,173):{'3_1':0.0},(93,171):{'3_1':0.0},(93,170):{'3_1':0.0},(93,169):{'3_1':0.0},(93,168):{'3_1':0.0},(93,167):{'3_1':0.03,'5_2':0.0},(93,166):{'3_1':0.0},(93,165):{'3_1':0.0},(93,164):{'3_1':0.0},(93,163):{'3_1':0.03,'5_2':0.0},(93,162):{'3_1':0.0},(93,161):{'3_1':0.09},(93,160):{'3_1':0.03},(93,159):{'3_1':0.03},(93,158):{'3_1':0.03,'5_2':0.0},(93,157):{'3_1':0.0,'5_2':0.0},(93,156):{'3_1':0.0},(93,155):{'3_1':0.03},(93,154):{'3_1':0.0},(93,153):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(93,150):{'3_1':0.03},(93,149):{'3_1':0.0},(93,147):{'3_1':0.0},(93,146):{'3_1':0.0},(93,145):{'3_1':0.0},(93,143):{'3_1':0.0,'5_2':0.0},(93,142):{'5_2':0.0},(93,140):{'3_1':0.0,'5_1':0.0},(93,138):{'3_1':0.0},(93,133):{'3_1':0.0},(93,131):{'3_1':0.0},(93,130):{'5_1':0.0},(93,128):{'5_1':0.0},(93,127):{'3_1':0.0,'5_1':0.0},(93,126):{'3_1':0.0},(93,125):{'3_1':0.0},(93,124):{'3_1':0.0,'5_1':0.0},(93,122):{'3_1':0.0},(93,121):{'3_1':0.0},(93,120):{'3_1':0.0},(93,119):{'3_1':0.0},(93,118):{'3_1':0.0},(93,115):{'3_1':0.0},(93,106):{'3_1':0.0},(93,98):{'3_1':0.0},(94,727):{'5_2':0.51,'6_1':0.09,'7_2':0.06,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_8':0.0,'8_15':0.0,'-3':0.0},(94,726):{'5_2':0.51,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'8_15':0.0,'2':-0.03},(94,725):{'5_2':0.45,'-3':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0},(94,724):{'5_2':0.45,'7_2':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(94,723):{'5_2':0.39,'7_2':0.12,'-3':0.09,'6_1':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0},(94,722):{'5_2':0.45,'7_2':0.09,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'9_1':0.0},(94,721):{'5_2':0.36,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'8_8':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(94,720):{'5_2':0.45,'-3':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(94,719):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_5':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0},(94,718):{'5_2':0.48,'7_2':0.06,'-3':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(94,717):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(94,716):{'5_2':0.57,'7_2':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(94,715):{'5_2':0.45,'-3':0.09,'7_2':0.09,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(94,714):{'5_2':0.51,'6_1':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0},(94,713):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'3_1':0.0,'8_13':0.0,'8_14':0.0},(94,712):{'5_2':0.39,'7_2':0.09,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(94,711):{'5_2':0.48,'7_2':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(94,710):{'5_2':0.27,'-3':0.15,'7_2':0.09,'7_5':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_6':0.0,'7_3':0.0,'8_11':0.0},(94,709):{'5_2':0.48,'-3':0.12,'7_2':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_6':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0},(94,708):{'5_2':0.39,'7_2':0.09,'7_5':0.09,'-3':0.09,'7_3':0.03,'6_1':0.03,'5_1':0.0,'8_14':0.0,'7_6':0.0},(94,707):{'5_2':0.42,'7_2':0.06,'3_1':0.06,'-3':0.03,'7_6':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0},(94,706):{'5_2':0.39,'-3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(94,705):{'5_2':0.45,'-3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(94,704):{'5_2':0.39,'-3':0.09,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(94,703):{'5_2':0.39,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(94,702):{'5_2':0.3,'7_5':0.06,'7_2':0.06,'7_3':0.06,'6_1':0.03,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'5_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(94,701):{'5_2':0.36,'7_3':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0},(94,700):{'5_2':0.42,'-3':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(94,699):{'5_2':0.36,'-3':0.09,'7_2':0.06,'6_1':0.03,'3_1#5_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_14':0.0},(94,698):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(94,697):{'5_2':0.36,'-3':0.15,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(94,696):{'5_2':0.3,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0},(94,695):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_11':0.0},(94,694):{'5_2':0.33,'-3':0.15,'3_1':0.09,'7_2':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'8_11':0.0,'1':-0.03},(94,693):{'5_2':0.3,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_6':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(94,692):{'5_2':0.33,'3_1':0.12,'-3':0.06,'6_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(94,691):{'5_2':0.27,'3_1':0.18,'-3':0.15,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(94,690):{'5_2':0.27,'3_1':0.12,'-3':0.09,'6_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(94,689):{'5_2':0.33,'3_1':0.24,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(94,688):{'3_1':0.21,'5_2':0.21,'7_4':0.09,'7_2':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(94,687):{'5_2':0.24,'3_1':0.24,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_1':0.0,'8_14':0.0},(94,686):{'3_1':0.24,'5_2':0.24,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(94,685):{'5_2':0.27,'3_1':0.18,'7_3':0.06,'-3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(94,684):{'3_1':0.27,'5_2':0.21,'7_3':0.06,'4_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(94,683):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'5_1':0.03,'7_3':0.03,'7_5':0.03,'7_1':0.0,'7_2':0.0,'-3':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(94,682):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(94,681):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(94,680):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_13':0.0},(94,679):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(94,678):{'3_1':0.36,'5_2':0.18,'7_3':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0,'8_13':0.0},(94,677):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'7_4':0.06,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(94,676):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(94,675):{'3_1':0.45,'5_2':0.18,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_13':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(94,674):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(94,673):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(94,672):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0},(94,671):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(94,670):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(94,669):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'7_4':0.03,'7_3':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(94,668):{'3_1':0.48,'5_2':0.21,'4_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(94,667):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'8_13':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(94,666):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(94,665):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(94,664):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(94,663):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(94,662):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(94,661):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(94,660):{'3_1':0.36,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(94,659):{'5_2':0.27,'3_1':0.27,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(94,658):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(94,657):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(94,656):{'3_1':0.39,'5_2':0.18,'5_1':0.09,'6_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_9':0.0,'3_1#5_2':0.0,'-3':0.0},(94,655):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(94,654):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(94,653):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'6_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(94,652):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(94,651):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(94,650):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(94,649):{'3_1':0.3,'5_2':0.18,'5_1':0.15,'4_1':0.03,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(94,648):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(94,647):{'3_1':0.24,'5_2':0.18,'5_1':0.12,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_1':0.0},(94,646):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'3_1#5_2':0.0},(94,645):{'3_1':0.39,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0},(94,644):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(94,643):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(94,642):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_19':0.0,'9_1':0.0},(94,641):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_4':0.0,'8_7':0.0},(94,640):{'5_2':0.21,'3_1':0.21,'5_1':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0,'8_2':0.0},(94,639):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'7_1':0.0,'3_1#5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(94,638):{'3_1':0.18,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0},(94,637):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0},(94,636):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(94,635):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(94,634):{'3_1':0.15,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(94,633):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(94,632):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_3':0.0},(94,631):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0},(94,630):{'5_2':0.18,'3_1':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0},(94,629):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0},(94,628):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0},(94,627):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_7':0.0},(94,626):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(94,625):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(94,624):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(94,623):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(94,622):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(94,621):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(94,620):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(94,619):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(94,618):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(94,617):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(94,616):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_16':0.0,'-3':0.0},(94,615):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(94,614):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(94,613):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(94,612):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0},(94,611):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'8_19':0.0},(94,610):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(94,609):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(94,608):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(94,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0,'7_5':0.0},(94,606):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(94,605):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0},(94,604):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0},(94,603):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(94,602):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(94,601):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(94,600):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_7':0.0},(94,599):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_2':0.0},(94,598):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(94,597):{'3_1':0.18,'4_1':0.12,'-3':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'8_5':0.0},(94,596):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(94,595):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(94,594):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_1':0.0,'-3':0.0},(94,593):{'3_1':0.18,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(94,592):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(94,591):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(94,590):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0},(94,589):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(94,588):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_1':0.0},(94,587):{'3_1':0.18,'4_1':0.06,'5_2':0.03},(94,586):{'3_1':0.15,'4_1':0.09,'7_4':0.0,'8_19':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_14':0.0},(94,585):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(94,584):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(94,583):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0},(94,582):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(94,581):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_4':0.0},(94,580):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0},(94,579):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(94,578):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(94,577):{'3_1':0.15,'4_1':0.06,'5_1':0.03},(94,576):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0},(94,575):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(94,574):{'3_1':0.15,'4_1':0.03},(94,573):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(94,572):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(94,571):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_2':0.0},(94,570):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(94,569):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(94,568):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(94,567):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(94,566):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'6_2':0.0},(94,565):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(94,564):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(94,563):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(94,562):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(94,561):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_6':0.0},(94,560):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_7':0.0,'-3':0.0},(94,559):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(94,558):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(94,557):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(94,556):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'7_5':0.0},(94,555):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(94,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(94,553):{'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_4':0.0},(94,552):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(94,551):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(94,550):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_2':0.0},(94,549):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(94,548):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(94,547):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(94,546):{'3_1':0.18,'5_2':0.03},(94,545):{'3_1':0.18,'4_1':0.0},(94,544):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(94,543):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(94,542):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(94,541):{'3_1':0.27,'5_2':0.0,'7_3':0.0},(94,540):{'3_1':0.12,'4_1':0.0},(94,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(94,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(94,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(94,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,535):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(94,534):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_1':0.0},(94,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(94,532):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(94,531):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0},(94,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(94,528):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(94,527):{'3_1':0.21,'4_1':0.0},(94,526):{'3_1':0.15,'4_1':0.0},(94,525):{'3_1':0.09,'8_2':0.0},(94,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(94,523):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(94,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(94,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(94,519):{'3_1':0.09,'4_1':0.0},(94,518):{'3_1':0.03,'4_1':0.0},(94,517):{'3_1':0.09,'4_1':0.0},(94,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(94,515):{'3_1':0.06},(94,514):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,513):{'3_1':0.06,'4_1':0.0},(94,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,511):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(94,510):{'3_1':0.03},(94,509):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(94,508):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,507):{'3_1':0.06},(94,506):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(94,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,504):{'3_1':0.03},(94,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(94,502):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,501):{'3_1':0.0,'4_1':0.0},(94,500):{'3_1':0.06},(94,499):{'3_1':0.03,'5_1':0.0},(94,498):{'3_1':0.03},(94,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(94,496):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(94,495):{'3_1':0.06,'4_1':0.03},(94,494):{'3_1':0.06},(94,493):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(94,492):{'3_1':0.06,'4_1':0.0},(94,491):{'3_1':0.06,'5_1':0.0},(94,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(94,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,488):{'3_1':0.12},(94,487):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(94,486):{'3_1':0.03,'5_2':0.0},(94,485):{'3_1':0.12,'4_1':0.0},(94,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(94,483):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(94,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(94,481):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(94,479):{'3_1':0.12,'5_1':0.0},(94,478):{'3_1':0.12},(94,477):{'3_1':0.06,'5_2':0.0},(94,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(94,475):{'3_1':0.12},(94,474):{'3_1':0.06,'5_2':0.0},(94,473):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(94,472):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(94,471):{'3_1':0.03},(94,470):{'3_1':0.06,'4_1':0.0},(94,469):{'3_1':0.06,'5_2':0.0},(94,468):{'3_1':0.06,'5_2':0.0},(94,467):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(94,466):{'3_1':0.06},(94,465):{'3_1':0.03,'4_1':0.0},(94,464):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(94,463):{'3_1':0.03},(94,462):{'3_1':0.0,'4_1':0.0},(94,461):{'3_1':0.0},(94,460):{'3_1':0.06},(94,459):{'3_1':0.03,'4_1':0.0},(94,458):{'3_1':0.0,'4_1':0.0},(94,457):{'3_1':0.0},(94,456):{'3_1':0.03},(94,455):{'3_1':0.03},(94,454):{'3_1':0.03,'4_1':0.0},(94,453):{'3_1':0.0,'5_1':0.0},(94,452):{'3_1':0.03,'4_1':0.0},(94,451):{'3_1':0.03,'4_1':0.0},(94,450):{'3_1':0.06,'-3':0.0},(94,449):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(94,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(94,447):{'3_1':0.03},(94,446):{'3_1':0.03},(94,445):{'3_1':0.0,'5_1':0.0},(94,444):{'3_1':0.03,'4_1':0.0},(94,443):{'3_1':0.03,'5_2':0.0},(94,442):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(94,441):{'3_1':0.03,'4_1':0.0},(94,440):{'3_1':0.06,'4_1':0.0},(94,439):{'3_1':0.0},(94,438):{'3_1':0.0,'5_2':0.0},(94,437):{'3_1':0.06,'6_2':0.0},(94,436):{'3_1':0.0},(94,435):{'3_1':0.03,'5_1':0.0},(94,434):{'3_1':0.03,'7_1':0.0},(94,433):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(94,432):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(94,431):{'4_1':0.0,'3_1':0.0},(94,430):{'3_1':0.0},(94,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(94,428):{'3_1':0.03,'4_1':0.0},(94,427):{'4_1':0.03,'3_1':0.0},(94,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(94,425):{'3_1':0.03,'4_1':0.0},(94,424):{'3_1':0.03},(94,423):{'3_1':0.06,'4_1':0.0},(94,422):{'3_1':0.03,'4_1':0.0},(94,421):{'3_1':0.06,'4_1':0.0},(94,420):{'3_1':0.0,'4_1':0.0},(94,419):{'3_1':0.0,'4_1':0.0},(94,418):{'3_1':0.06,'4_1':0.0,'-3':0.0},(94,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,416):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(94,415):{'3_1':0.0},(94,414):{'3_1':0.0,'5_1':0.0},(94,413):{'3_1':0.0},(94,412):{'4_1':0.0},(94,411):{'3_1':0.03,'4_1':0.0},(94,410):{'3_1':0.0,'4_1':0.0},(94,409):{'3_1':0.0,'4_1':0.0},(94,408):{'3_1':0.0,'4_1':0.0},(94,407):{'3_1':0.0},(94,406):{'3_1':0.0},(94,404):{'3_1':0.0},(94,403):{'3_1':0.0},(94,402):{'3_1':0.0},(94,401):{'3_1':0.03},(94,400):{'3_1':0.0},(94,399):{'3_1':0.0,'6_1':0.0},(94,398):{'3_1':0.0},(94,397):{'3_1':0.03},(94,396):{'3_1':0.0},(94,395):{'3_1':0.0},(94,394):{'3_1':0.0},(94,393):{'3_1':0.0},(94,392):{'3_1':0.0},(94,391):{'3_1':0.0},(94,390):{'3_1':0.0},(94,389):{'3_1':0.03},(94,388):{'3_1':0.0,'5_2':0.0},(94,387):{'4_1':0.0,'3_1':0.0},(94,386):{'3_1':0.0,'5_1':0.0},(94,385):{'3_1':0.0},(94,384):{'3_1':0.0},(94,383):{'3_1':0.03,'4_1':0.0},(94,382):{'3_1':0.0},(94,381):{'3_1':0.0},(94,380):{'3_1':0.0,'4_1':0.0},(94,379):{'3_1':0.0,'4_1':0.0},(94,378):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(94,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(94,376):{'3_1':0.03},(94,375):{'3_1':0.03,'4_1':0.0},(94,374):{'3_1':0.03,'5_1':0.0},(94,373):{'3_1':0.03},(94,372):{'3_1':0.03},(94,371):{'3_1':0.03},(94,370):{'3_1':0.03,'4_1':0.0},(94,369):{'3_1':0.03,'5_2':0.0},(94,367):{'3_1':0.06},(94,366):{'3_1':0.03},(94,365):{'3_1':0.0,'5_1':0.0},(94,364):{'3_1':0.0,'4_1':0.0},(94,363):{'3_1':0.0,'4_1':0.0},(94,362):{'3_1':0.03},(94,361):{'3_1':0.0,'9_1':0.0},(94,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(94,359):{'3_1':0.03,'4_1':0.0},(94,358):{'3_1':0.0},(94,357):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(94,356):{'3_1':0.0},(94,355):{'3_1':0.03},(94,354):{'3_1':0.03,'4_1':0.0},(94,353):{'3_1':0.0,'4_1':0.0},(94,351):{'3_1':0.0,'4_1':0.0},(94,350):{'3_1':0.0,'4_1':0.0},(94,349):{'3_1':0.0,'4_1':0.0},(94,348):{'3_1':0.0},(94,347):{'3_1':0.0,'5_1':0.0},(94,344):{'3_1':0.0},(94,343):{'3_1':0.03},(94,342):{'3_1':0.0},(94,341):{'4_1':0.0},(94,340):{'3_1':0.0},(94,339):{'3_1':0.0},(94,338):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(94,337):{'3_1':0.0,'9_1':0.0},(94,336):{'3_1':0.0},(94,335):{'3_1':0.0},(94,334):{'4_1':0.0,'6_1':0.0},(94,333):{'3_1':0.03},(94,332):{'3_1':0.0},(94,331):{'3_1':0.0,'4_1':0.0},(94,330):{'3_1':0.0},(94,329):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(94,328):{'3_1':0.0},(94,327):{'3_1':0.03},(94,326):{'3_1':0.0},(94,325):{'3_1':0.03},(94,324):{'3_1':0.0},(94,323):{'3_1':0.0,'5_1':0.0},(94,322):{'3_1':0.0,'5_1':0.0},(94,321):{'3_1':0.0},(94,320):{'3_1':0.03,'4_1':0.0},(94,319):{'4_1':0.0,'3_1':0.0},(94,318):{'3_1':0.0},(94,317):{'3_1':0.03,'4_1':0.0},(94,316):{'3_1':0.0,'4_1':0.0},(94,315):{'4_1':0.0,'5_2':0.0},(94,314):{'3_1':0.0},(94,313):{'3_1':0.0},(94,311):{'3_1':0.0,'4_1':0.0},(94,310):{'3_1':0.0,'4_1':0.0},(94,309):{'3_1':0.0},(94,308):{'3_1':0.03},(94,307):{'3_1':0.0},(94,306):{'3_1':0.0},(94,305):{'4_1':0.0},(94,304):{'3_1':0.03},(94,303):{'3_1':0.0,'5_2':0.0},(94,302):{'3_1':0.03},(94,301):{'3_1':0.03},(94,300):{'3_1':0.0,'4_1':0.0},(94,299):{'3_1':0.0,'4_1':0.0},(94,298):{'3_1':0.0},(94,297):{'3_1':0.0},(94,296):{'3_1':0.0,'4_1':0.0},(94,295):{'3_1':0.03,'5_1':0.0},(94,294):{'3_1':0.0},(94,293):{'3_1':0.0},(94,292):{'3_1':0.0},(94,291):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(94,290):{'3_1':0.03,'4_1':0.0},(94,289):{'3_1':0.0,'4_1':0.0},(94,288):{'3_1':0.0,'4_1':0.0},(94,287):{'3_1':0.03},(94,285):{'3_1':0.03},(94,283):{'3_1':0.03,'4_1':0.0},(94,282):{'3_1':0.0,'4_1':0.0},(94,281):{'3_1':0.0},(94,280):{'3_1':0.0},(94,278):{'3_1':0.0},(94,277):{'3_1':0.0,'4_1':0.0},(94,276):{'3_1':0.0,'5_1':0.0},(94,275):{'3_1':0.03},(94,274):{'3_1':0.03,'4_1':0.0},(94,273):{'3_1':0.03,'5_1':0.0},(94,272):{'3_1':0.0},(94,271):{'3_1':0.0},(94,270):{'3_1':0.0,'8_20|3_1#3_1':0.0},(94,269):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(94,268):{'3_1':0.0,'5_2':0.0},(94,267):{'3_1':0.0,'4_1':0.0},(94,266):{'3_1':0.0},(94,265):{'3_1':0.0,'4_1':0.0},(94,264):{'3_1':0.0,'4_1':0.0},(94,262):{'3_1':0.0,'4_1':0.0},(94,261):{'3_1':0.0,'4_1':0.0},(94,260):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(94,259):{'3_1':0.0,'4_1':0.0},(94,258):{'3_1':0.0,'4_1':0.0},(94,257):{'3_1':0.0,'4_1':0.0},(94,256):{'3_1':0.0,'4_1':0.0},(94,255):{'3_1':0.0},(94,254):{'3_1':0.0,'5_2':0.0},(94,253):{'3_1':0.0,'4_1':0.0},(94,251):{'3_1':0.0,'5_2':0.0},(94,250):{'3_1':0.0,'4_1':0.0},(94,249):{'3_1':0.0,'4_1':0.0},(94,247):{'3_1':0.0},(94,246):{'5_1':0.0},(94,244):{'3_1':0.0},(94,243):{'4_1':0.0},(94,242):{'4_1':0.0},(94,241):{'3_1':0.0,'4_1':0.0},(94,240):{'3_1':0.0},(94,238):{'3_1':0.0},(94,237):{'4_1':0.0,'3_1':0.0},(94,236):{'3_1':0.0},(94,235):{'3_1':0.0},(94,234):{'3_1':0.0,'5_2':0.0},(94,233):{'4_1':0.0,'5_1':0.0},(94,232):{'3_1':0.0,'4_1':0.0},(94,229):{'3_1':0.03,'4_1':0.0},(94,228):{'3_1':0.0,'4_1':0.0},(94,226):{'3_1':0.0,'4_1':0.0},(94,223):{'3_1':0.0},(94,221):{'4_1':0.0},(94,220):{'4_1':0.0},(94,219):{'3_1':0.0,'4_1':0.0},(94,218):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(94,216):{'3_1':0.0},(94,214):{'3_1':0.0},(94,213):{'4_1':0.0},(94,212):{'3_1':0.0},(94,211):{'3_1':0.0,'4_1':0.0},(94,210):{'3_1':0.0},(94,209):{'3_1':0.0},(94,208):{'3_1':0.0},(94,207):{'3_1':0.0},(94,206):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(94,205):{'3_1':0.0},(94,204):{'3_1':0.0},(94,203):{'3_1':0.0},(94,201):{'3_1':0.03},(94,200):{'3_1':0.0},(94,199):{'3_1':0.0,'5_1':0.0},(94,197):{'3_1':0.0},(94,196):{'3_1':0.0},(94,195):{'3_1':0.0},(94,194):{'3_1':0.03},(94,193):{'3_1':0.0,'5_1':0.0},(94,192):{'3_1':0.0,'4_1':0.0},(94,191):{'3_1':0.0},(94,190):{'3_1':0.0},(94,189):{'3_1':0.0},(94,187):{'3_1':0.0},(94,186):{'3_1':0.0},(94,183):{'3_1':0.0},(94,180):{'3_1':0.0},(94,179):{'3_1':0.0,'4_1':0.0},(94,175):{'3_1':0.0,'5_1':0.0},(94,174):{'3_1':0.0},(94,173):{'3_1':0.0},(94,172):{'3_1':0.0},(94,171):{'3_1':0.0},(94,169):{'3_1':0.0},(94,168):{'3_1':0.0},(94,167):{'3_1':0.0,'5_2':0.0},(94,166):{'3_1':0.0},(94,165):{'3_1':0.0},(94,164):{'3_1':0.0},(94,163):{'3_1':0.0},(94,162):{'3_1':0.0,'7_2':0.0},(94,161):{'3_1':0.06},(94,160):{'3_1':0.0},(94,159):{'3_1':0.03},(94,158):{'3_1':0.0},(94,157):{'3_1':0.0},(94,156):{'3_1':0.0},(94,155):{'3_1':0.0},(94,154):{'3_1':0.0},(94,153):{'3_1':0.0},(94,152):{'3_1':0.0},(94,151):{'3_1':0.0},(94,150):{'3_1':0.03},(94,142):{'3_1':0.0},(94,139):{'3_1':0.0},(94,136):{'3_1':0.0},(94,135):{'3_1':0.0},(94,127):{'3_1':0.0},(94,126):{'3_1':0.0},(94,125):{'3_1':0.0},(94,124):{'3_1':0.0,'5_1':0.0},(94,123):{'3_1':0.0},(94,121):{'3_1':0.0},(94,120):{'3_1':0.0},(94,111):{'3_1':0.0},(94,109):{'3_1':0.0},(94,105):{'3_1':0.0},(94,98):{'3_1':0.0},(95,727):{'5_2':0.39,'7_2':0.09,'7_3':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(95,726):{'5_2':0.48,'6_1':0.06,'7_2':0.03,'7_6':0.03,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(95,725):{'5_2':0.42,'6_1':0.06,'-3':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0},(95,724):{'5_2':0.48,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,723):{'5_2':0.33,'7_2':0.09,'-3':0.09,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(95,722):{'5_2':0.36,'7_2':0.09,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'8_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(95,721):{'5_2':0.45,'7_2':0.09,'6_1':0.06,'-3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'8_3':0.0,'8_6':0.0},(95,720):{'5_2':0.42,'7_2':0.06,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(95,719):{'5_2':0.39,'7_2':0.06,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(95,718):{'5_2':0.42,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(95,717):{'5_2':0.45,'7_4':0.09,'7_5':0.03,'3_1':0.03,'-3':0.03,'7_2':0.0,'8_11':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0},(95,716):{'5_2':0.36,'7_2':0.06,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(95,715):{'5_2':0.39,'7_5':0.09,'-3':0.09,'6_1':0.06,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(95,714):{'5_2':0.42,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(95,713):{'5_2':0.3,'7_5':0.09,'6_1':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,712):{'5_2':0.39,'7_2':0.12,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,711):{'5_2':0.24,'-3':0.15,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(95,710):{'5_2':0.27,'7_5':0.12,'-3':0.09,'6_1':0.06,'7_4':0.06,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'8_11':0.0},(95,709):{'5_2':0.33,'-3':0.12,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(95,708):{'5_2':0.42,'-3':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(95,707):{'5_2':0.45,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_14':0.0},(95,706):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'1':-0.03},(95,705):{'5_2':0.36,'7_5':0.06,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.03,'7_6':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0,'8_15':0.0},(95,704):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_5':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,703):{'5_2':0.33,'6_1':0.09,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(95,702):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_5':0.06,'6_1':0.03,'3_1#5_2':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0},(95,701):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(95,700):{'5_2':0.33,'-3':0.09,'3_1':0.09,'7_5':0.06,'7_4':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0},(95,699):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0},(95,698):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,697):{'5_2':0.39,'-3':0.12,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(95,696):{'5_2':0.33,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(95,695):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(95,694):{'5_2':0.33,'-3':0.18,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(95,693):{'5_2':0.27,'3_1':0.09,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.03,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(95,692):{'5_2':0.3,'3_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(95,691):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(95,690):{'5_2':0.27,'3_1':0.15,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(95,689):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(95,688):{'5_2':0.27,'3_1':0.18,'-3':0.09,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(95,687):{'5_2':0.24,'3_1':0.15,'-3':0.12,'7_3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0,'7_7':0.0,'1':-0.03},(95,686):{'5_2':0.3,'3_1':0.18,'7_3':0.09,'7_4':0.06,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(95,685):{'5_2':0.36,'3_1':0.18,'7_4':0.09,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0},(95,684):{'5_2':0.24,'3_1':0.21,'7_3':0.09,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(95,683):{'5_2':0.24,'3_1':0.18,'7_4':0.12,'6_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(95,682):{'5_2':0.36,'3_1':0.24,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0},(95,681):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(95,680):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(95,679):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(95,678):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(95,677):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_16':0.0},(95,676):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'4_1':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(95,675):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(95,674):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(95,673):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(95,672):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_13':0.0},(95,671):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(95,670):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(95,669):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0},(95,668):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0},(95,667):{'3_1':0.36,'5_2':0.18,'5_1':0.09,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(95,666):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0},(95,665):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(95,664):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(95,663):{'3_1':0.3,'5_2':0.3,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0},(95,662):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_19':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,661):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,660):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(95,659):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(95,658):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(95,657):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(95,656):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(95,655):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,654):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(95,653):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(95,652):{'3_1':0.36,'5_2':0.09,'4_1':0.06,'5_1':0.06,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(95,651):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0},(95,650):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(95,649):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'8_19':0.0,'7_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(95,648):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(95,647):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(95,646):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_10':0.0,'9_1':0.0,'-3':0.0},(95,645):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(95,644):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(95,643):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(95,642):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'7_3':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(95,641):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_7':0.0},(95,640):{'3_1':0.21,'5_2':0.15,'5_1':0.12,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(95,639):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(95,638):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(95,637):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(95,636):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(95,635):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0},(95,634):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(95,633):{'3_1':0.21,'5_2':0.12,'4_1':0.0,'5_1':0.0},(95,632):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0},(95,631):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(95,630):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(95,629):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'6_3':0.0,'7_1':0.0,'7_4':0.0},(95,628):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0},(95,627):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(95,626):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_7':0.0},(95,625):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(95,624):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_1':0.0,'4_1':0.0,'8_7':0.0,'-3':0.0},(95,623):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0},(95,622):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(95,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(95,620):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'8_19':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(95,619):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0},(95,618):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'3_1#5_1':0.0,'1':-0.03},(95,617):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(95,616):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(95,615):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(95,614):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0},(95,613):{'3_1':0.3,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(95,612):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(95,611):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_19':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(95,610):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(95,609):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0},(95,608):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(95,607):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(95,606):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0},(95,605):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(95,604):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_19':0.0},(95,603):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(95,602):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(95,601):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(95,600):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(95,599):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(95,598):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(95,597):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0},(95,596):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03},(95,595):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0},(95,594):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(95,593):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(95,592):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(95,591):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(95,590):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0},(95,589):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(95,588):{'3_1':0.15,'5_2':0.06,'4_1':0.03},(95,587):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(95,586):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(95,585):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(95,584):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(95,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(95,582):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_3':0.0,'7_2':0.0},(95,581):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0},(95,580):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_4':0.0},(95,579):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0},(95,578):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(95,577):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(95,576):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(95,575):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'7_6':0.0},(95,574):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(95,573):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(95,572):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(95,571):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0},(95,570):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0},(95,569):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(95,568):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(95,567):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(95,566):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(95,565):{'3_1':0.21,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(95,564):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(95,563):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(95,562):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(95,561):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(95,560):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0},(95,559):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0},(95,558):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(95,557):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_6':0.0},(95,556):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0},(95,555):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(95,554):{'3_1':0.27,'5_2':0.0,'6_3':0.0},(95,553):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0},(95,552):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(95,551):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(95,550):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(95,549):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0},(95,548):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(95,547):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(95,546):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(95,545):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(95,544):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(95,543):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(95,542):{'3_1':0.24,'5_2':0.0,'8_2':0.0},(95,541):{'3_1':0.27,'5_2':0.0,'4_1':0.0},(95,540):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0},(95,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(95,538):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(95,537):{'3_1':0.3,'5_1':0.03,'5_2':0.0},(95,536):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(95,535):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0},(95,534):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(95,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(95,532):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(95,531):{'3_1':0.18,'5_1':0.0},(95,530):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(95,529):{'3_1':0.18,'5_1':0.03},(95,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(95,527):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0},(95,526):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(95,525):{'3_1':0.06,'4_1':0.0},(95,524):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(95,523):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(95,522):{'3_1':0.06,'4_1':0.0},(95,521):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(95,520):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(95,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(95,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(95,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,516):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(95,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,514):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(95,513):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,512):{'3_1':0.09},(95,511):{'3_1':0.03},(95,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(95,509):{'3_1':0.09,'4_1':0.0},(95,508):{'3_1':0.03,'4_1':0.0},(95,507):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(95,506):{'3_1':0.03,'6_2':0.0},(95,505):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(95,504):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(95,503):{'3_1':0.06},(95,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(95,501):{'3_1':0.03,'4_1':0.0},(95,500):{'3_1':0.06},(95,499):{'3_1':0.06},(95,498):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(95,497):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(95,496):{'3_1':0.06,'4_1':0.0},(95,495):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(95,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,493):{'3_1':0.06,'5_1':0.0},(95,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,491):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(95,490):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(95,489):{'3_1':0.06,'4_1':0.0},(95,488):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(95,487):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(95,486):{'3_1':0.06,'5_1':0.0},(95,485):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(95,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,483):{'3_1':0.06,'9_1':0.0},(95,482):{'3_1':0.12,'4_1':0.0},(95,481):{'3_1':0.09,'4_1':0.0},(95,480):{'3_1':0.06,'5_2':0.0},(95,479):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(95,478):{'3_1':0.06},(95,477):{'3_1':0.09,'5_1':0.0},(95,476):{'3_1':0.06,'4_1':0.0},(95,475):{'3_1':0.06,'5_2':0.0},(95,474):{'3_1':0.03,'5_2':0.0},(95,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,472):{'3_1':0.03},(95,471):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(95,470):{'3_1':0.06},(95,469):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,468):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(95,467):{'3_1':0.03,'4_1':0.0},(95,466):{'3_1':0.0},(95,465):{'3_1':0.03,'4_1':0.0},(95,464):{'3_1':0.03,'4_1':0.0},(95,463):{'3_1':0.06,'4_1':0.0},(95,462):{'3_1':0.0},(95,461):{'3_1':0.0},(95,460):{'3_1':0.03,'5_1':0.0},(95,459):{'3_1':0.0},(95,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,457):{'3_1':0.0,'4_1':0.0},(95,456):{'3_1':0.03,'5_2':0.0},(95,455):{'3_1':0.06,'5_2':0.0},(95,454):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(95,453):{'3_1':0.0,'4_1':0.0},(95,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(95,451):{'3_1':0.09},(95,450):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(95,449):{'3_1':0.03},(95,448):{'3_1':0.03},(95,447):{'3_1':0.0,'5_1':0.0},(95,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(95,445):{'3_1':0.0,'4_1':0.0},(95,444):{'3_1':0.06},(95,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,442):{'3_1':0.0},(95,441):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(95,440):{'3_1':0.0,'5_1':0.0},(95,439):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(95,438):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(95,437):{'3_1':0.0,'4_1':0.0},(95,436):{'3_1':0.0},(95,435):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(95,434):{'3_1':0.03,'5_2':0.0},(95,433):{'3_1':0.03,'6_1':0.0},(95,432):{'3_1':0.03,'4_1':0.0},(95,431):{'3_1':0.09,'4_1':0.0},(95,430):{'3_1':0.06,'4_1':0.0},(95,429):{'4_1':0.03,'3_1':0.0},(95,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(95,427):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(95,426):{'3_1':0.06,'4_1':0.0},(95,425):{'3_1':0.03,'4_1':0.0},(95,424):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(95,423):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(95,422):{'3_1':0.03,'4_1':0.0},(95,421):{'3_1':0.0,'4_1':0.0},(95,420):{'3_1':0.06,'4_1':0.0},(95,419):{'3_1':0.0,'4_1':0.0},(95,418):{'3_1':0.0,'4_1':0.0},(95,417):{'4_1':0.0,'3_1':0.0},(95,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(95,415):{'3_1':0.0,'4_1':0.0},(95,414):{'3_1':0.0},(95,413):{'3_1':0.03,'5_1':0.0},(95,412):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(95,411):{'3_1':0.0,'4_1':0.0},(95,410):{'3_1':0.0,'4_1':0.0},(95,409):{'3_1':0.03,'6_1':0.0},(95,407):{'3_1':0.0},(95,406):{'3_1':0.0,'4_1':0.0},(95,405):{'3_1':0.03},(95,404):{'3_1':0.0},(95,403):{'3_1':0.0,'4_1':0.0},(95,402):{'3_1':0.0},(95,401):{'3_1':0.03},(95,400):{'3_1':0.0,'4_1':0.0},(95,399):{'3_1':0.0,'4_1':0.0},(95,398):{'3_1':0.03},(95,397):{'3_1':0.0},(95,396):{'3_1':0.0},(95,395):{'3_1':0.0},(95,394):{'3_1':0.0,'4_1':0.0},(95,393):{'3_1':0.03},(95,392):{'3_1':0.0},(95,391):{'3_1':0.0},(95,390):{'3_1':0.0,'4_1':0.0},(95,389):{'3_1':0.03,'4_1':0.0},(95,388):{'4_1':0.0},(95,387):{'3_1':0.03},(95,386):{'3_1':0.0},(95,385):{'4_1':0.0},(95,384):{'3_1':0.03},(95,383):{'3_1':0.03},(95,381):{'3_1':0.03},(95,380):{'3_1':0.03},(95,379):{'3_1':0.03},(95,378):{'3_1':0.03},(95,377):{'3_1':0.06},(95,376):{'5_2':0.0,'3_1':0.0},(95,375):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(95,374):{'3_1':0.03},(95,373):{'3_1':0.0},(95,372):{'3_1':0.03},(95,371):{'3_1':0.03,'5_1':0.0},(95,370):{'3_1':0.03},(95,369):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(95,368):{'3_1':0.0,'5_1':0.0},(95,367):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(95,366):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(95,365):{'3_1':0.03},(95,364):{'3_1':0.0,'7_1':0.0},(95,363):{'3_1':0.03},(95,362):{'3_1':0.0,'9_1':0.0},(95,361):{'3_1':0.0},(95,360):{'3_1':0.03},(95,359):{'4_1':0.0},(95,358):{'3_1':0.0},(95,357):{'3_1':0.03},(95,356):{'3_1':0.0},(95,355):{'3_1':0.0,'5_1':0.0},(95,354):{'3_1':0.06},(95,353):{'3_1':0.03,'7_1':0.0},(95,352):{'3_1':0.0,'7_1':0.0},(95,351):{'3_1':0.0,'5_1':0.0},(95,350):{'3_1':0.03},(95,349):{'3_1':0.03},(95,348):{'3_1':0.0,'4_1':0.0},(95,346):{'3_1':0.0},(95,345):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(95,344):{'3_1':0.0},(95,343):{'3_1':0.0},(95,342):{'3_1':0.0,'5_2':0.0},(95,341):{'3_1':0.0},(95,340):{'3_1':0.0},(95,339):{'4_1':0.0,'3_1':0.0},(95,338):{'3_1':0.0},(95,337):{'3_1':0.0,'9_1':0.0},(95,336):{'3_1':0.0},(95,335):{'3_1':0.03,'4_1':0.0},(95,334):{'3_1':0.0},(95,333):{'3_1':0.03},(95,332):{'3_1':0.0},(95,331):{'3_1':0.0,'4_1':0.0},(95,330):{'3_1':0.0},(95,329):{'3_1':0.0},(95,328):{'3_1':0.0},(95,327):{'3_1':0.0,'4_1':0.0},(95,326):{'3_1':0.03},(95,325):{'3_1':0.0},(95,324):{'3_1':0.03},(95,323):{'4_1':0.0},(95,322):{'3_1':0.0},(95,321):{'3_1':0.0},(95,320):{'3_1':0.03,'5_1':0.0},(95,319):{'3_1':0.0},(95,318):{'3_1':0.0,'4_1':0.0},(95,317):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(95,316):{'3_1':0.0},(95,315):{'3_1':0.0},(95,314):{'3_1':0.0},(95,312):{'3_1':0.0},(95,311):{'3_1':0.0,'4_1':0.0},(95,310):{'3_1':0.0},(95,309):{'3_1':0.0},(95,308):{'3_1':0.0,'4_1':0.0},(95,307):{'4_1':0.0},(95,305):{'3_1':0.0},(95,304):{'3_1':0.03},(95,303):{'4_1':0.0},(95,302):{'3_1':0.0},(95,301):{'3_1':0.03,'4_1':0.0},(95,300):{'3_1':0.0},(95,299):{'3_1':0.0},(95,298):{'3_1':0.0},(95,297):{'3_1':0.0},(95,296):{'3_1':0.03},(95,295):{'3_1':0.03},(95,294):{'3_1':0.0,'4_1':0.0},(95,293):{'3_1':0.0,'4_1':0.0},(95,291):{'3_1':0.0},(95,290):{'3_1':0.0,'5_2':0.0},(95,289):{'4_1':0.0,'3_1':0.0},(95,288):{'3_1':0.0},(95,287):{'3_1':0.03,'4_1':0.0},(95,286):{'3_1':0.0,'4_1':0.0},(95,285):{'3_1':0.0},(95,284):{'3_1':0.0},(95,283):{'3_1':0.0},(95,282):{'3_1':0.03,'5_1':0.0},(95,281):{'4_1':0.0,'3_1':0.0},(95,280):{'3_1':0.06,'5_2':0.0},(95,279):{'3_1':0.0},(95,277):{'3_1':0.03},(95,276):{'3_1':0.03},(95,275):{'3_1':0.0},(95,274):{'3_1':0.06,'5_1':0.0},(95,273):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,272):{'3_1':0.03},(95,270):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(95,269):{'3_1':0.03,'4_1':0.0},(95,268):{'3_1':0.0,'5_2':0.0},(95,267):{'3_1':0.0,'5_2':0.0},(95,266):{'3_1':0.03,'5_1':0.0},(95,265):{'3_1':0.0,'4_1':0.0},(95,264):{'3_1':0.0,'4_1':0.0},(95,263):{'3_1':0.0},(95,262):{'3_1':0.0},(95,261):{'3_1':0.03},(95,260):{'3_1':0.0,'6_2':0.0,'5_1':0.0},(95,259):{'3_1':0.0},(95,258):{'3_1':0.0},(95,257):{'3_1':0.0,'6_2':0.0},(95,256):{'4_1':0.0},(95,255):{'3_1':0.0},(95,253):{'3_1':0.0},(95,252):{'3_1':0.03},(95,250):{'4_1':0.0,'5_2':0.0},(95,248):{'3_1':0.0},(95,247):{'3_1':0.0,'4_1':0.0},(95,246):{'3_1':0.0},(95,245):{'3_1':0.03},(95,244):{'3_1':0.0,'3_1#5_1':0.0},(95,243):{'3_1':0.0,'4_1':0.0},(95,242):{'3_1':0.0,'5_1':0.0},(95,241):{'3_1':0.0},(95,240):{'3_1':0.06,'4_1':0.0},(95,239):{'3_1':0.03,'4_1':0.0},(95,237):{'3_1':0.0,'4_1':0.0},(95,236):{'3_1':0.0},(95,235):{'3_1':0.0},(95,234):{'3_1':0.03},(95,233):{'3_1':0.0},(95,232):{'4_1':0.0},(95,231):{'4_1':0.0},(95,230):{'3_1':0.0,'4_1':0.0},(95,229):{'3_1':0.03,'4_1':0.0},(95,228):{'3_1':0.03},(95,227):{'5_1':0.0,'3_1':0.0},(95,226):{'3_1':0.0,'4_1':0.0},(95,225):{'3_1':0.0},(95,224):{'3_1':0.0,'5_1':0.0},(95,223):{'3_1':0.0},(95,221):{'4_1':0.0,'5_1':0.0},(95,220):{'3_1':0.0},(95,219):{'3_1':0.0},(95,218):{'3_1':0.0},(95,217):{'3_1':0.0},(95,216):{'3_1':0.0},(95,215):{'3_1':0.03},(95,214):{'4_1':0.0},(95,213):{'3_1':0.0,'4_1':0.0},(95,212):{'3_1':0.0},(95,211):{'4_1':0.0},(95,210):{'4_1':0.0},(95,209):{'3_1':0.0,'4_1':0.0},(95,208):{'3_1':0.0},(95,207):{'3_1':0.0},(95,206):{'3_1':0.03},(95,205):{'3_1':0.0},(95,204):{'3_1':0.0},(95,203):{'3_1':0.0},(95,202):{'3_1':0.03},(95,198):{'3_1':0.03},(95,195):{'3_1':0.0},(95,194):{'3_1':0.0,'4_1':0.0},(95,192):{'3_1':0.0},(95,190):{'3_1':0.0},(95,188):{'3_1':0.0},(95,185):{'3_1':0.0,'5_2':0.0},(95,184):{'3_1':0.0},(95,181):{'3_1':0.0},(95,179):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(95,176):{'3_1':0.0},(95,175):{'3_1':0.0},(95,174):{'3_1':0.0},(95,173):{'3_1':0.0},(95,172):{'3_1':0.0},(95,171):{'3_1':0.03,'5_2':0.0},(95,170):{'3_1':0.03},(95,169):{'3_1':0.0},(95,168):{'3_1':0.0},(95,167):{'3_1':0.03},(95,166):{'3_1':0.03,'4_1':0.0},(95,164):{'3_1':0.03},(95,163):{'3_1':0.0},(95,162):{'3_1':0.03},(95,161):{'3_1':0.0},(95,160):{'3_1':0.0},(95,159):{'3_1':0.0},(95,158):{'3_1':0.0},(95,157):{'3_1':0.03},(95,156):{'3_1':0.0},(95,155):{'3_1':0.03},(95,154):{'3_1':0.03,'5_2':0.0},(95,153):{'3_1':0.0},(95,152):{'3_1':0.0},(95,150):{'3_1':0.0},(95,149):{'3_1':0.0,'5_1':0.0},(95,145):{'3_1':0.0},(95,142):{'3_1':0.0},(95,141):{'3_1':0.0},(95,140):{'3_1':0.0},(95,136):{'5_2':0.0},(95,131):{'3_1':0.0},(95,127):{'5_1':0.0},(95,126):{'3_1':0.0},(95,125):{'5_1':0.0},(95,124):{'3_1':0.0},(95,123):{'3_1':0.0,'5_1':0.0},(95,122):{'5_1':0.0,'4_1':0.0},(95,121):{'3_1':0.0},(95,120):{'5_1':0.0},(95,119):{'3_1':0.0},(95,117):{'3_1':0.0},(95,116):{'3_1':0.0},(95,115):{'3_1':0.0},(95,113):{'3_1':0.0},(95,103):{'3_1':0.0},(96,727):{'5_2':0.39,'7_5':0.09,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0},(96,726):{'5_2':0.45,'6_1':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.0,'3_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(96,725):{'5_2':0.42,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'3_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(96,724):{'5_2':0.42,'7_2':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,723):{'5_2':0.45,'7_2':0.03,'7_5':0.03,'7_6':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(96,722):{'5_2':0.27,'6_1':0.12,'7_5':0.06,'-3':0.06,'7_2':0.06,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,721):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0,'8_11':0.0},(96,720):{'5_2':0.33,'-3':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'1':-0.03},(96,719):{'5_2':0.3,'7_3':0.06,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(96,718):{'5_2':0.36,'-3':0.12,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0},(96,717):{'5_2':0.39,'7_2':0.09,'6_1':0.09,'-3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(96,716):{'5_2':0.42,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,715):{'5_2':0.45,'7_2':0.06,'7_5':0.06,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(96,714):{'5_2':0.27,'-3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_1':0.0,'8_13':0.0,'2':-0.03},(96,713):{'5_2':0.33,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.03},(96,712):{'5_2':0.3,'3_1':0.12,'6_1':0.06,'7_2':0.03,'-3':0.03,'7_5':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'1':-0.03},(96,711):{'5_2':0.36,'7_5':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0,'1':-0.03},(96,710):{'5_2':0.24,'7_5':0.12,'-3':0.12,'7_2':0.06,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_15':0.0,'1':-0.03},(96,709):{'5_2':0.33,'-3':0.12,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'6_2':0.0},(96,708):{'5_2':0.3,'-3':0.12,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_2':0.0,'8_6':0.0},(96,707):{'5_2':0.33,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'2':-0.03},(96,706):{'5_2':0.24,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(96,705):{'5_2':0.36,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(96,704):{'5_2':0.36,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'2':-0.03},(96,703):{'5_2':0.36,'3_1':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(96,702):{'5_2':0.33,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.03,'7_6':0.03,'3_1#5_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(96,701):{'5_2':0.3,'7_3':0.06,'-3':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_14':0.0,'6_2':0.0,'1':-0.03},(96,700):{'5_2':0.33,'-3':0.12,'7_3':0.09,'3_1':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(96,699):{'5_2':0.3,'3_1':0.12,'-3':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,698):{'5_2':0.33,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_5':0.06,'7_3':0.03,'7_2':0.03,'7_6':0.03,'8_11':0.03,'7_4':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,697):{'5_2':0.27,'3_1':0.09,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(96,696):{'5_2':0.21,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(96,695):{'5_2':0.33,'3_1':0.06,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(96,694):{'5_2':0.27,'-3':0.12,'7_3':0.06,'3_1':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(96,693):{'5_2':0.21,'-3':0.09,'7_3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_8':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'2':-0.03},(96,692):{'5_2':0.24,'-3':0.15,'3_1':0.09,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(96,691):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(96,690):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0},(96,689):{'5_2':0.3,'3_1':0.18,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(96,688):{'5_2':0.27,'3_1':0.12,'7_2':0.09,'-3':0.06,'7_4':0.06,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0},(96,687):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_3':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(96,686):{'5_2':0.33,'3_1':0.15,'5_1':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(96,685):{'5_2':0.24,'3_1':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(96,684):{'3_1':0.24,'5_2':0.24,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(96,683):{'3_1':0.24,'5_2':0.24,'7_2':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0,'1':-0.03},(96,682):{'3_1':0.18,'5_2':0.18,'7_4':0.06,'4_1':0.06,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(96,681):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0},(96,680):{'3_1':0.27,'5_2':0.15,'7_4':0.09,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(96,679):{'3_1':0.27,'5_2':0.24,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0},(96,678):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(96,677):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(96,676):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(96,675):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(96,674):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(96,673):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(96,672):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(96,671):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(96,670):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'1':-0.03},(96,669):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_7':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0},(96,668):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(96,667):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'4_1':0.06,'5_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(96,666):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(96,665):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(96,664):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(96,663):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(96,662):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(96,661):{'3_1':0.45,'5_2':0.12,'4_1':0.09,'6_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(96,660):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(96,659):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(96,658):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(96,657):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'6_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(96,656):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.03,'6_3':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(96,655):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(96,654):{'3_1':0.33,'5_2':0.24,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(96,653):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_2':0.0},(96,652):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0},(96,651):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(96,650):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(96,649):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(96,648):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(96,647):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(96,646):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(96,645):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(96,644):{'3_1':0.33,'5_2':0.15,'5_1':0.12,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(96,643):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.03,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_1':0.0,'-3':0.0},(96,642):{'3_1':0.27,'5_1':0.12,'5_2':0.09,'4_1':0.0,'8_19':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(96,641):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'-3':0.0,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(96,640):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(96,639):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'3_1#5_1':0.0},(96,638):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(96,637):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(96,636):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(96,635):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(96,634):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'8_21|3_1#4_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(96,633):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(96,632):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(96,631):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0},(96,630):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(96,629):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(96,628):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(96,627):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(96,626):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(96,625):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(96,624):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'3_1#5_1':0.0},(96,623):{'3_1':0.15,'5_2':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(96,622):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'7_3':0.0,'4_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(96,621):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_1':0.0,'3_1#5_2':0.0},(96,620):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'3_1#5_1':0.0},(96,619):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(96,618):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(96,617):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_7':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(96,616):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_2':0.0},(96,615):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'3_1#5_1':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(96,614):{'3_1':0.33,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(96,613):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(96,612):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'8_7':0.0},(96,611):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(96,610):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0},(96,609):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0},(96,608):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(96,607):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(96,606):{'3_1':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(96,605):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(96,604):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(96,603):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(96,602):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_3':0.0},(96,601):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0},(96,600):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(96,599):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(96,598):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_19':0.0},(96,597):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(96,596):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(96,595):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(96,594):{'3_1':0.24,'4_1':0.09,'5_1':0.03,'5_2':0.0},(96,593):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(96,592):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0},(96,591):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(96,590):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(96,589):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(96,588):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(96,587):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(96,586):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_19':0.0},(96,585):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(96,584):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_7':0.0},(96,583):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(96,582):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(96,581):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(96,580):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'7_5':0.0},(96,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,578):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(96,577):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(96,576):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_14':0.0},(96,575):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(96,574):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(96,573):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(96,572):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(96,571):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(96,570):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(96,569):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(96,568):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(96,567):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(96,566):{'3_1':0.21,'4_1':0.03,'8_8':0.0},(96,565):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_2':0.0},(96,564):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(96,563):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(96,562):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(96,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_1':0.0},(96,560):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(96,559):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(96,558):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(96,557):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0},(96,556):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(96,555):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,554):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(96,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(96,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(96,551):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(96,550):{'3_1':0.21,'5_2':0.0},(96,549):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(96,548):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_8':0.0},(96,547):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(96,546):{'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(96,545):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(96,544):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(96,543):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(96,542):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(96,541):{'3_1':0.18,'7_5':0.0},(96,540):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_1':0.0},(96,539):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(96,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(96,537):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(96,536):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(96,535):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(96,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(96,533):{'3_1':0.18},(96,532):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(96,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(96,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(96,529):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_5':0.0},(96,528):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(96,527):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,526):{'3_1':0.18,'4_1':0.0},(96,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(96,524):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(96,523):{'3_1':0.09,'4_1':0.0},(96,522):{'3_1':0.12,'4_1':0.0},(96,521):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(96,520):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(96,519):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(96,518):{'3_1':0.12,'4_1':0.0},(96,517):{'3_1':0.12,'4_1':0.0},(96,516):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(96,515):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(96,514):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(96,513):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(96,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(96,511):{'3_1':0.06,'4_1':0.0},(96,510):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0},(96,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(96,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(96,507):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(96,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,505):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(96,504):{'3_1':0.06,'4_1':0.0},(96,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,502):{'3_1':0.03,'4_1':0.0},(96,501):{'3_1':0.06,'5_1':0.0},(96,500):{'3_1':0.03,'4_1':0.0},(96,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,498):{'3_1':0.03,'4_1':0.0},(96,497):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,496):{'3_1':0.03},(96,495):{'3_1':0.06,'5_2':0.0},(96,494):{'3_1':0.03,'4_1':0.0},(96,493):{'3_1':0.06,'4_1':0.0},(96,492):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,491):{'3_1':0.06,'8_20|3_1#3_1':0.0},(96,490):{'3_1':0.06,'5_2':0.0},(96,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,488):{'3_1':0.0,'5_1':0.0},(96,487):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(96,486):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,485):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,484):{'3_1':0.12,'4_1':0.0},(96,483):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(96,482):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(96,481):{'3_1':0.15,'9_1':0.0},(96,480):{'3_1':0.06},(96,479):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(96,478):{'3_1':0.09,'4_1':0.0},(96,477):{'3_1':0.03,'8_20|3_1#3_1':0.0},(96,476):{'3_1':0.06},(96,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(96,474):{'3_1':0.06,'4_1':0.0},(96,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(96,472):{'3_1':0.12,'4_1':0.0},(96,471):{'3_1':0.06,'5_1':0.0},(96,470):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(96,469):{'3_1':0.09,'5_1':0.0},(96,468):{'3_1':0.06,'5_1':0.0},(96,467):{'3_1':0.03,'4_1':0.0},(96,466):{'3_1':0.03},(96,465):{'3_1':0.09},(96,464):{'3_1':0.06,'6_3':0.0},(96,463):{'3_1':0.09},(96,462):{'3_1':0.06,'4_1':0.0},(96,461):{'3_1':0.0,'4_1':0.0},(96,460):{'3_1':0.03,'4_1':0.0},(96,459):{'3_1':0.06,'4_1':0.0},(96,458):{'3_1':0.03,'4_1':0.0},(96,457):{'3_1':0.0,'4_1':0.0},(96,456):{'3_1':0.06,'4_1':0.0},(96,455):{'3_1':0.06},(96,454):{'3_1':0.03,'4_1':0.0},(96,453):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(96,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(96,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(96,450):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(96,449):{'3_1':0.03},(96,448):{'3_1':0.06,'5_1':0.0},(96,447):{'3_1':0.06,'7_5':0.0},(96,446):{'3_1':0.09},(96,445):{'3_1':0.0},(96,443):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,442):{'3_1':0.0,'4_1':0.0},(96,441):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(96,440):{'3_1':0.03,'4_1':0.0},(96,439):{'3_1':0.03},(96,438):{'3_1':0.03},(96,437):{'3_1':0.06},(96,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,435):{'3_1':0.06,'4_1':0.0},(96,434):{'3_1':0.0,'4_1':0.0},(96,433):{'3_1':0.03,'4_1':0.0},(96,432):{'3_1':0.03,'4_1':0.0},(96,431):{'3_1':0.06,'6_1':0.0},(96,430):{'3_1':0.06,'6_1':0.0},(96,429):{'3_1':0.03,'4_1':0.0},(96,428):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(96,427):{'3_1':0.06,'4_1':0.0},(96,426):{'3_1':0.03,'4_1':0.03},(96,425):{'3_1':0.03,'4_1':0.0},(96,424):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(96,423):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(96,421):{'4_1':0.03,'3_1':0.0},(96,420):{'3_1':0.0},(96,419):{'3_1':0.09,'4_1':0.0},(96,418):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(96,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(96,416):{'3_1':0.03,'4_1':0.0},(96,415):{'3_1':0.03,'4_1':0.0},(96,414):{'3_1':0.03},(96,413):{'3_1':0.0,'4_1':0.0},(96,412):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(96,411):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(96,410):{'3_1':0.03},(96,409):{'3_1':0.0},(96,408):{'3_1':0.03},(96,407):{'3_1':0.06,'4_1':0.0},(96,406):{'3_1':0.0},(96,405):{'3_1':0.03},(96,404):{'3_1':0.06,'4_1':0.0},(96,403):{'3_1':0.0,'6_1':0.0},(96,402):{'3_1':0.0},(96,401):{'3_1':0.03,'4_1':0.0},(96,400):{'3_1':0.03,'4_1':0.0},(96,399):{'3_1':0.03},(96,398):{'3_1':0.0},(96,397):{'3_1':0.0},(96,396):{'3_1':0.03,'4_1':0.0},(96,395):{'3_1':0.0},(96,394):{'3_1':0.0},(96,393):{'3_1':0.0},(96,392):{'3_1':0.0},(96,391):{'3_1':0.0},(96,390):{'3_1':0.0},(96,389):{'3_1':0.0},(96,388):{'3_1':0.0},(96,387):{'3_1':0.0},(96,386):{'3_1':0.0},(96,385):{'3_1':0.03},(96,384):{'3_1':0.03},(96,383):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,382):{'3_1':0.03},(96,381):{'3_1':0.03},(96,380):{'3_1':0.03},(96,379):{'3_1':0.0},(96,378):{'3_1':0.03},(96,377):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(96,376):{'3_1':0.03},(96,375):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(96,374):{'3_1':0.06,'4_1':0.0},(96,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,372):{'3_1':0.03},(96,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,370):{'3_1':0.06},(96,369):{'3_1':0.03,'7_1':0.0},(96,368):{'3_1':0.03,'5_1':0.0},(96,367):{'3_1':0.0},(96,366):{'3_1':0.0},(96,365):{'3_1':0.0,'4_1':0.0},(96,364):{'3_1':0.03,'4_1':0.0},(96,363):{'3_1':0.0,'4_1':0.0},(96,362):{'3_1':0.0},(96,361):{'3_1':0.0},(96,360):{'3_1':0.0,'5_1':0.0},(96,359):{'3_1':0.03},(96,357):{'3_1':0.0},(96,356):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(96,355):{'3_1':0.03,'4_1':0.0},(96,353):{'3_1':0.03},(96,352):{'3_1':0.06,'4_1':0.0},(96,351):{'3_1':0.03},(96,350):{'3_1':0.0,'6_2':0.0},(96,349):{'3_1':0.0},(96,348):{'3_1':0.03},(96,347):{'5_1':0.0,'3_1':0.0},(96,346):{'3_1':0.0},(96,345):{'3_1':0.0,'6_2':0.0},(96,344):{'3_1':0.0},(96,343):{'3_1':0.0},(96,342):{'3_1':0.0,'5_1':0.0},(96,341):{'3_1':0.03},(96,340):{'3_1':0.03},(96,339):{'3_1':0.0,'5_1':0.0},(96,338):{'3_1':0.03},(96,337):{'3_1':0.03},(96,336):{'3_1':0.03},(96,335):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(96,334):{'3_1':0.0},(96,333):{'3_1':0.0},(96,332):{'3_1':0.0,'5_1':0.0},(96,331):{'3_1':0.0},(96,330):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(96,328):{'3_1':0.03},(96,327):{'3_1':0.0},(96,326):{'3_1':0.0},(96,325):{'3_1':0.0,'4_1':0.0},(96,324):{'3_1':0.0},(96,323):{'3_1':0.0},(96,322):{'3_1':0.03,'4_1':0.0},(96,321):{'3_1':0.0,'4_1':0.0},(96,320):{'3_1':0.03},(96,319):{'3_1':0.0,'4_1':0.0},(96,318):{'3_1':0.06},(96,317):{'3_1':0.03},(96,316):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(96,315):{'3_1':0.0,'5_1':0.0},(96,314):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(96,313):{'3_1':0.0,'4_1':0.0},(96,312):{'3_1':0.0,'4_1':0.0},(96,311):{'3_1':0.03},(96,310):{'3_1':0.0},(96,309):{'3_1':0.0,'4_1':0.0},(96,308):{'3_1':0.03,'5_2':0.0},(96,307):{'3_1':0.0},(96,306):{'3_1':0.0},(96,305):{'3_1':0.0},(96,304):{'3_1':0.03},(96,303):{'3_1':0.0,'5_1':0.0},(96,302):{'3_1':0.03},(96,301):{'3_1':0.0},(96,300):{'3_1':0.0},(96,299):{'3_1':0.03},(96,298):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,297):{'3_1':0.03},(96,296):{'3_1':0.0},(96,295):{'3_1':0.03},(96,294):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(96,293):{'3_1':0.0,'5_1':0.0},(96,292):{'3_1':0.0},(96,291):{'3_1':0.03},(96,290):{'3_1':0.0,'4_1':0.0},(96,289):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(96,288):{'3_1':0.0},(96,287):{'4_1':0.0},(96,286):{'3_1':0.0},(96,285):{'3_1':0.03,'4_1':0.0},(96,283):{'3_1':0.0,'4_1':0.0},(96,282):{'3_1':0.0,'4_1':0.0},(96,281):{'3_1':0.0,'4_1':0.0},(96,280):{'3_1':0.0},(96,279):{'3_1':0.0,'4_1':0.0},(96,278):{'3_1':0.0,'4_1':0.0},(96,277):{'3_1':0.03,'5_1':0.0},(96,276):{'3_1':0.03},(96,275):{'3_1':0.0},(96,274):{'3_1':0.03},(96,273):{'3_1':0.03},(96,272):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(96,271):{'3_1':0.06,'6_2':0.0},(96,270):{'3_1':0.0,'4_1':0.0},(96,269):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(96,268):{'3_1':0.0,'4_1':0.0},(96,267):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(96,266):{'3_1':0.03,'4_1':0.0},(96,265):{'6_2':0.0},(96,264):{'3_1':0.06},(96,262):{'3_1':0.0,'4_1':0.0},(96,261):{'3_1':0.03,'4_1':0.0},(96,260):{'3_1':0.0},(96,259):{'3_1':0.0},(96,258):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,257):{'3_1':0.0},(96,256):{'3_1':0.0},(96,255):{'3_1':0.0},(96,254):{'4_1':0.0},(96,253):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(96,252):{'3_1':0.0},(96,251):{'3_1':0.03,'4_1':0.0},(96,250):{'3_1':0.0},(96,249):{'3_1':0.03},(96,248):{'4_1':0.0},(96,247):{'3_1':0.0},(96,246):{'3_1':0.0},(96,245):{'4_1':0.0},(96,244):{'3_1':0.0,'4_1':0.0},(96,243):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(96,242):{'3_1':0.0,'4_1':0.0},(96,241):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(96,240):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(96,239):{'3_1':0.0},(96,238):{'3_1':0.0},(96,237):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(96,236):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(96,235):{'3_1':0.03,'4_1':0.0},(96,234):{'3_1':0.0,'4_1':0.0},(96,233):{'4_1':0.0},(96,232):{'3_1':0.0},(96,231):{'4_1':0.0},(96,230):{'3_1':0.0},(96,229):{'3_1':0.0},(96,228):{'3_1':0.0,'4_1':0.0},(96,227):{'3_1':0.0,'4_1':0.0},(96,226):{'4_1':0.0},(96,225):{'3_1':0.0,'4_1':0.0},(96,224):{'3_1':0.0},(96,223):{'4_1':0.0},(96,221):{'3_1':0.03},(96,220):{'3_1':0.0,'5_1':0.0},(96,219):{'3_1':0.0},(96,218):{'3_1':0.0},(96,217):{'3_1':0.0},(96,216):{'3_1':0.0,'4_1':0.0},(96,215):{'3_1':0.0},(96,201):{'3_1':0.03,'4_1':0.0},(96,200):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(96,199):{'3_1':0.0,'5_1':0.0},(96,198):{'3_1':0.0},(96,197):{'3_1':0.0},(96,194):{'3_1':0.0},(96,193):{'3_1':0.0},(96,192):{'3_1':0.0},(96,191):{'3_1':0.0},(96,190):{'3_1':0.0},(96,189):{'3_1':0.0},(96,188):{'3_1':0.0},(96,187):{'3_1':0.0},(96,186):{'3_1':0.0},(96,185):{'3_1':0.03},(96,184):{'3_1':0.0},(96,183):{'3_1':0.0},(96,182):{'3_1':0.0},(96,180):{'3_1':0.03,'4_1':0.0},(96,179):{'3_1':0.0},(96,177):{'3_1':0.0},(96,176):{'3_1':0.0},(96,175):{'3_1':0.03},(96,174):{'3_1':0.0},(96,173):{'3_1':0.0},(96,172):{'3_1':0.0},(96,171):{'3_1':0.0},(96,170):{'3_1':0.06,'5_1':0.0},(96,169):{'3_1':0.03},(96,168):{'3_1':0.0,'5_2':0.0},(96,167):{'3_1':0.03},(96,166):{'3_1':0.03},(96,165):{'3_1':0.0},(96,164):{'3_1':0.0},(96,163):{'3_1':0.0},(96,162):{'3_1':0.03},(96,161):{'3_1':0.0},(96,160):{'3_1':0.0},(96,159):{'3_1':0.03},(96,158):{'3_1':0.03},(96,157):{'3_1':0.0},(96,156):{'3_1':0.0},(96,155):{'3_1':0.03},(96,154):{'3_1':0.0},(96,152):{'3_1':0.0},(96,151):{'3_1':0.0},(96,150):{'3_1':0.03},(96,149):{'3_1':0.0},(96,147):{'3_1':0.0},(96,146):{'3_1':0.03},(96,144):{'3_1':0.0},(96,142):{'3_1':0.0},(96,140):{'5_1':0.0},(96,139):{'3_1':0.0},(96,132):{'3_1':0.0},(96,128):{'3_1':0.0},(96,126):{'3_1':0.0},(96,124):{'3_1':0.0},(96,122):{'3_1':0.0,'5_1':0.0},(96,107):{'3_1':0.0},(96,104):{'3_1':0.0},(97,727):{'5_2':0.48,'7_2':0.09,'7_5':0.06,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0},(97,726):{'5_2':0.6,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(97,725):{'5_2':0.39,'7_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'1':-0.03},(97,724):{'5_2':0.45,'7_5':0.06,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0},(97,723):{'5_2':0.48,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(97,722):{'5_2':0.42,'6_1':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0},(97,721):{'5_2':0.45,'-3':0.03,'7_3':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'8_1':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(97,720):{'5_2':0.36,'-3':0.06,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0},(97,719):{'5_2':0.42,'6_1':0.09,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(97,718):{'5_2':0.42,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'8_13':0.0},(97,717):{'5_2':0.33,'6_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.03,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(97,716):{'5_2':0.45,'7_5':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(97,715):{'5_2':0.48,'7_2':0.09,'-3':0.09,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'1':-0.03},(97,714):{'5_2':0.39,'7_2':0.06,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(97,713):{'5_2':0.3,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'8_11':0.0,'4_1':0.0,'7_3':0.0,'8_15':0.0,'3_1#5_2':0.0},(97,712):{'5_2':0.45,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_6':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0},(97,711):{'5_2':0.33,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(97,710):{'5_2':0.33,'7_5':0.09,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(97,709):{'5_2':0.21,'-3':0.12,'6_1':0.06,'7_5':0.06,'7_2':0.06,'5_1':0.03,'3_1':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3':-0.03},(97,708):{'5_2':0.36,'-3':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'8_6':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'8_18':0.0,'1':-0.03},(97,707):{'5_2':0.42,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'2':-0.03},(97,706):{'5_2':0.33,'6_1':0.09,'7_4':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(97,705):{'5_2':0.42,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(97,704):{'5_2':0.33,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'8_14':0.0,'8_15':0.0},(97,703):{'5_2':0.33,'3_1':0.09,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'7_5':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(97,702):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(97,701):{'5_2':0.33,'-3':0.09,'7_5':0.06,'7_6':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(97,700):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'7_6':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(97,699):{'5_2':0.33,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(97,698):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0},(97,697):{'5_2':0.3,'-3':0.12,'3_1':0.09,'6_1':0.06,'7_6':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(97,696):{'5_2':0.27,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(97,695):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(97,694):{'5_2':0.33,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'8_14':0.0},(97,693):{'5_2':0.3,'-3':0.12,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(97,692):{'5_2':0.33,'6_1':0.09,'-3':0.09,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0},(97,691):{'5_2':0.27,'-3':0.09,'3_1':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(97,690):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_3':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(97,689):{'5_2':0.27,'3_1':0.15,'7_3':0.09,'7_4':0.09,'-3':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(97,688):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(97,687):{'3_1':0.24,'5_2':0.24,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(97,686):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_4':0.0},(97,685):{'3_1':0.27,'5_2':0.21,'7_4':0.09,'-3':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'1':-0.03},(97,684):{'5_2':0.3,'3_1':0.21,'7_3':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(97,683):{'3_1':0.24,'5_2':0.24,'5_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(97,682):{'3_1':0.3,'5_2':0.24,'7_3':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(97,681):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(97,680):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0,'8_11':0.0},(97,679):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(97,678):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0},(97,677):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(97,676):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'7_2':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(97,675):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(97,674):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_15':0.0},(97,673):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0},(97,672):{'3_1':0.45,'5_2':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'1':-0.03},(97,671):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(97,670):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0},(97,669):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(97,668):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(97,667):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_13':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_5':0.0,'8_15':0.0,'-3':0.0},(97,666):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0,'7_2':0.0},(97,665):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(97,664):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(97,663):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(97,662):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(97,661):{'3_1':0.33,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(97,660):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(97,659):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(97,658):{'3_1':0.39,'5_2':0.24,'7_2':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(97,657):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(97,656):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(97,655):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(97,654):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(97,653):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0},(97,652):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(97,651):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(97,650):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(97,649):{'3_1':0.45,'5_1':0.09,'5_2':0.09,'4_1':0.03,'6_3':0.0,'7_3':0.0,'8_19':0.0},(97,648):{'5_2':0.21,'3_1':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(97,647):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(97,646):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(97,645):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(97,644):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(97,643):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'7_1':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(97,642):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(97,641):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(97,640):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.03,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'1':-0.03},(97,639):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(97,638):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(97,637):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(97,636):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(97,635):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_19':0.0},(97,634):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_7':0.0,'8_16':0.0,'8_19':0.0},(97,633):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(97,632):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'7_3':0.0},(97,631):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(97,630):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0},(97,629):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0},(97,628):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(97,627):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(97,626):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(97,625):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(97,624):{'3_1':0.21,'5_2':0.06,'7_3':0.0,'5_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(97,623):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(97,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(97,621):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(97,620):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_16':0.0,'-3':0.0},(97,619):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_16':0.0,'-3':0.0},(97,618):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(97,617):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'8_7':0.0},(97,616):{'3_1':0.18,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0,'7_3':0.0},(97,615):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(97,614):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'7_3':0.0,'7_5':0.0},(97,613):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(97,612):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(97,611):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(97,610):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(97,609):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_19':0.0},(97,608):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(97,607):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(97,606):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(97,605):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0},(97,604):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0,'8_7':0.0},(97,603):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0},(97,602):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(97,601):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_19':0.0},(97,600):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(97,599):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(97,598):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(97,597):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_19':0.0},(97,596):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(97,595):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'7_1':0.0},(97,594):{'3_1':0.12,'4_1':0.06,'8_1':0.0,'5_1':0.0},(97,593):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(97,592):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(97,591):{'3_1':0.21,'4_1':0.06,'5_1':0.03},(97,590):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(97,589):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0},(97,588):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(97,587):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0},(97,586):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(97,585):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(97,584):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(97,583):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(97,582):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(97,581):{'3_1':0.12,'4_1':0.09,'7_5':0.0},(97,580):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_4':0.0},(97,579):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(97,578):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(97,577):{'3_1':0.12,'4_1':0.06,'5_1':0.03},(97,576):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0},(97,575):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'8_13':0.0},(97,574):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(97,573):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(97,572):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_2':0.0},(97,571):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(97,570):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(97,569):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0},(97,568):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(97,567):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(97,566):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(97,565):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(97,564):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(97,563):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(97,562):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(97,561):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(97,560):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(97,559):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(97,558):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(97,557):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(97,556):{'3_1':0.15,'4_1':0.0},(97,555):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_3':0.0},(97,554):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(97,553):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(97,552):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(97,551):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(97,550):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(97,549):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(97,548):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(97,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(97,546):{'3_1':0.21,'5_1':0.0,'6_2':0.0},(97,545):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(97,544):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(97,543):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(97,542):{'3_1':0.18,'4_1':0.0},(97,541):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(97,540):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(97,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(97,538):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0},(97,537):{'3_1':0.24,'5_2':0.0},(97,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,535):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(97,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(97,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_1':0.0},(97,532):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_2':0.0},(97,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(97,530):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(97,529):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(97,528):{'3_1':0.12,'4_1':0.0},(97,527):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(97,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(97,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(97,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(97,522):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(97,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(97,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(97,519):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(97,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,517):{'3_1':0.06,'4_1':0.0},(97,516):{'3_1':0.12,'4_1':0.0},(97,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(97,514):{'3_1':0.03,'4_1':0.0},(97,513):{'3_1':0.09,'5_1':0.0},(97,512):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(97,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,510):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(97,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,508):{'3_1':0.09,'4_1':0.0},(97,507):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(97,506):{'3_1':0.06,'5_2':0.0,'8_7':0.0},(97,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,504):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(97,503):{'3_1':0.03,'4_1':0.0},(97,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,501):{'3_1':0.03,'5_1':0.0},(97,500):{'3_1':0.03,'4_1':0.0},(97,499):{'3_1':0.03},(97,498):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(97,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,496):{'3_1':0.06,'4_1':0.0},(97,495):{'3_1':0.03,'5_1':0.0},(97,494):{'3_1':0.0},(97,493):{'3_1':0.06,'5_2':0.0},(97,492):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(97,491):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(97,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,489):{'3_1':0.06},(97,488):{'3_1':0.06,'5_2':0.0},(97,487):{'3_1':0.03,'5_2':0.0},(97,486):{'3_1':0.09,'4_1':0.0},(97,485):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(97,484):{'3_1':0.03,'4_1':0.0},(97,483):{'3_1':0.06,'4_1':0.0},(97,482):{'3_1':0.12,'4_1':0.0},(97,481):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'9_1':0.0},(97,480):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(97,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(97,478):{'3_1':0.06,'4_1':0.0},(97,477):{'3_1':0.03,'4_1':0.0},(97,476):{'3_1':0.09,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(97,475):{'3_1':0.09},(97,474):{'3_1':0.06},(97,473):{'3_1':0.03,'4_1':0.0},(97,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(97,471):{'3_1':0.06,'5_1':0.0},(97,470):{'3_1':0.0},(97,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,468):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(97,467):{'3_1':0.03,'4_1':0.0},(97,466):{'3_1':0.03},(97,465):{'3_1':0.03},(97,464):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(97,463):{'3_1':0.06,'5_2':0.0},(97,462):{'3_1':0.03,'4_1':0.0},(97,461):{'3_1':0.03,'4_1':0.0},(97,460):{'3_1':0.06,'5_2':0.0},(97,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(97,458):{'3_1':0.06},(97,457):{'3_1':0.0,'5_2':0.0},(97,456):{'3_1':0.03,'4_1':0.0},(97,455):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(97,454):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(97,453):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(97,452):{'3_1':0.0,'4_1':0.0},(97,451):{'3_1':0.06,'5_2':0.0},(97,450):{'3_1':0.0},(97,449):{'3_1':0.06,'5_1':0.0},(97,448):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(97,447):{'3_1':0.0,'6_2':0.0,'7_6':0.0},(97,446):{'3_1':0.03,'7_6':0.0},(97,445):{'3_1':0.0},(97,444):{'3_1':0.03},(97,443):{'3_1':0.0},(97,442):{'3_1':0.0},(97,440):{'3_1':0.0,'4_1':0.0},(97,439):{'3_1':0.03},(97,438):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,437):{'3_1':0.0,'6_2':0.0},(97,436):{'3_1':0.06},(97,435):{'3_1':0.0,'4_1':0.0},(97,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,432):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(97,431):{'3_1':0.0},(97,430):{'3_1':0.0,'4_1':0.0},(97,429):{'3_1':0.0,'4_1':0.0},(97,428):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(97,427):{'3_1':0.0,'4_1':0.0},(97,426):{'3_1':0.03,'4_1':0.0},(97,425):{'3_1':0.03,'4_1':0.0},(97,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(97,423):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,422):{'3_1':0.03,'4_1':0.0},(97,421):{'3_1':0.0},(97,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,419):{'3_1':0.03,'7_1':0.0},(97,418):{'3_1':0.03},(97,417):{'3_1':0.0,'4_1':0.0},(97,416):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(97,415):{'3_1':0.03,'4_1':0.0},(97,414):{'3_1':0.03,'5_1':0.0},(97,413):{'3_1':0.03,'4_1':0.0},(97,412):{'3_1':0.0,'4_1':0.0},(97,411):{'3_1':0.0,'4_1':0.0},(97,409):{'3_1':0.03},(97,408):{'3_1':0.0,'5_1':0.0},(97,407):{'3_1':0.0,'4_1':0.0},(97,406):{'3_1':0.0},(97,405):{'3_1':0.0},(97,404):{'3_1':0.0,'5_1':0.0},(97,403):{'3_1':0.0},(97,402):{'3_1':0.03},(97,401):{'3_1':0.06},(97,400):{'3_1':0.0},(97,399):{'3_1':0.03,'4_1':0.0},(97,398):{'3_1':0.0,'4_1':0.0},(97,397):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(97,396):{'3_1':0.0},(97,395):{'3_1':0.03,'4_1':0.0},(97,394):{'3_1':0.06,'4_1':0.0},(97,393):{'3_1':0.0},(97,392):{'3_1':0.0},(97,391):{'3_1':0.03},(97,390):{'3_1':0.0,'4_1':0.0},(97,389):{'3_1':0.0,'4_1':0.0},(97,388):{'3_1':0.0},(97,386):{'3_1':0.0},(97,385):{'3_1':0.0},(97,384):{'3_1':0.0,'5_1':0.0},(97,383):{'3_1':0.0},(97,382):{'3_1':0.03},(97,381):{'3_1':0.0,'4_1':0.0},(97,380):{'3_1':0.0},(97,379):{'3_1':0.0,'5_1':0.0},(97,378):{'3_1':0.0},(97,377):{'3_1':0.09,'4_1':0.0},(97,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(97,375):{'3_1':0.0},(97,374):{'3_1':0.0},(97,373):{'3_1':0.0,'5_1':0.0},(97,372):{'3_1':0.03},(97,371):{'3_1':0.03},(97,370):{'3_1':0.03,'5_1':0.0},(97,369):{'3_1':0.0},(97,368):{'3_1':0.03},(97,367):{'3_1':0.03},(97,366):{'3_1':0.03},(97,365):{'3_1':0.0,'4_1':0.0},(97,364):{'3_1':0.0,'5_1':0.0},(97,363):{'3_1':0.0,'4_1':0.0},(97,361):{'3_1':0.0},(97,360):{'3_1':0.03,'5_1':0.0},(97,359):{'3_1':0.03,'4_1':0.0},(97,358):{'3_1':0.0},(97,357):{'3_1':0.03},(97,356):{'3_1':0.03},(97,355):{'3_1':0.0,'5_2':0.0},(97,354):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(97,353):{'3_1':0.06,'4_1':0.0},(97,352):{'3_1':0.0},(97,351):{'3_1':0.0},(97,350):{'3_1':0.0,'5_2':0.0},(97,349):{'3_1':0.0,'6_1':0.0},(97,347):{'3_1':0.0},(97,346):{'3_1':0.03},(97,345):{'3_1':0.03,'5_2':0.0},(97,344):{'3_1':0.0},(97,343):{'3_1':0.03},(97,342):{'3_1':0.06,'4_1':0.0},(97,341):{'3_1':0.0},(97,340):{'3_1':0.03},(97,339):{'3_1':0.03,'4_1':0.0},(97,338):{'3_1':0.0,'4_1':0.0},(97,336):{'3_1':0.03,'4_1':0.0},(97,335):{'3_1':0.03,'7_1':0.0},(97,334):{'3_1':0.0,'4_1':0.0},(97,333):{'3_1':0.0,'4_1':0.0},(97,332):{'3_1':0.0},(97,331):{'3_1':0.0},(97,330):{'3_1':0.0},(97,329):{'3_1':0.0,'5_1':0.0},(97,328):{'3_1':0.0},(97,327):{'5_2':0.0},(97,326):{'4_1':0.0,'3_1':0.0},(97,325):{'3_1':0.0},(97,324):{'3_1':0.0,'8_20|3_1#3_1':0.0},(97,323):{'3_1':0.0,'4_1':0.0},(97,322):{'3_1':0.0},(97,321):{'3_1':0.0,'4_1':0.0},(97,320):{'3_1':0.0,'5_1':0.0},(97,319):{'3_1':0.03},(97,318):{'3_1':0.0,'4_1':0.0},(97,317):{'3_1':0.03},(97,316):{'3_1':0.0},(97,315):{'3_1':0.0},(97,314):{'3_1':0.0},(97,312):{'3_1':0.03},(97,311):{'3_1':0.0},(97,310):{'3_1':0.0},(97,309):{'3_1':0.03},(97,308):{'3_1':0.0},(97,307):{'3_1':0.0},(97,306):{'3_1':0.0,'4_1':0.0},(97,305):{'3_1':0.0},(97,304):{'3_1':0.03,'5_1':0.0},(97,303):{'3_1':0.03,'6_1':0.0},(97,302):{'3_1':0.0},(97,301):{'3_1':0.0},(97,300):{'3_1':0.0},(97,299):{'3_1':0.0,'4_1':0.0},(97,298):{'3_1':0.0,'5_2':0.0},(97,297):{'3_1':0.0},(97,296):{'3_1':0.0,'4_1':0.0},(97,295):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(97,294):{'3_1':0.0},(97,293):{'3_1':0.0},(97,292):{'3_1':0.0},(97,291):{'3_1':0.0,'4_1':0.0},(97,290):{'3_1':0.0},(97,289):{'3_1':0.0},(97,288):{'3_1':0.0},(97,287):{'3_1':0.0},(97,286):{'3_1':0.0,'4_1':0.0},(97,285):{'3_1':0.0},(97,284):{'3_1':0.0,'4_1':0.0},(97,283):{'3_1':0.0},(97,282):{'3_1':0.03,'4_1':0.0},(97,281):{'4_1':0.0},(97,280):{'3_1':0.0,'4_1':0.0},(97,279):{'3_1':0.0},(97,278):{'4_1':0.0},(97,277):{'3_1':0.0},(97,276):{'3_1':0.0},(97,275):{'3_1':0.0,'5_1':0.0},(97,274):{'3_1':0.03},(97,273):{'3_1':0.03,'5_1':0.0},(97,272):{'3_1':0.03,'4_1':0.0},(97,271):{'3_1':0.03},(97,270):{'3_1':0.0,'5_1':0.0},(97,269):{'3_1':0.0,'4_1':0.0},(97,268):{'3_1':0.03,'5_2':0.0},(97,267):{'3_1':0.0,'4_1':0.0},(97,266):{'3_1':0.0},(97,265):{'3_1':0.0},(97,263):{'3_1':0.0,'4_1':0.0},(97,262):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(97,261):{'3_1':0.0,'4_1':0.0},(97,260):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,259):{'3_1':0.0},(97,257):{'3_1':0.0},(97,256):{'4_1':0.0},(97,255):{'3_1':0.0},(97,254):{'3_1':0.0},(97,253):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,252):{'3_1':0.0},(97,251):{'3_1':0.0},(97,250):{'3_1':0.0},(97,249):{'3_1':0.0},(97,248):{'3_1':0.0},(97,247):{'3_1':0.03},(97,245):{'3_1':0.0},(97,244):{'3_1':0.03},(97,243):{'3_1':0.0,'5_1':0.0},(97,242):{'3_1':0.0,'4_1':0.0},(97,240):{'3_1':0.06,'4_1':0.0},(97,239):{'4_1':0.0},(97,237):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(97,236):{'3_1':0.03},(97,235):{'5_1':0.0},(97,234):{'3_1':0.0},(97,233):{'4_1':0.0,'3_1':0.0},(97,232):{'3_1':0.0,'5_1':0.0},(97,231):{'3_1':0.0},(97,230):{'4_1':0.0,'5_2':0.0},(97,229):{'3_1':0.0},(97,228):{'3_1':0.0,'4_1':0.0},(97,227):{'4_1':0.0,'3_1':0.0},(97,226):{'3_1':0.0,'4_1':0.0},(97,224):{'3_1':0.0},(97,223):{'3_1':0.03,'4_1':0.0},(97,222):{'4_1':0.0,'3_1':0.0},(97,221):{'4_1':0.0},(97,220):{'3_1':0.0},(97,219):{'4_1':0.0},(97,218):{'3_1':0.0},(97,217):{'3_1':0.0},(97,216):{'3_1':0.0,'4_1':0.0},(97,215):{'4_1':0.0},(97,206):{'3_1':0.0,'5_1':0.0},(97,205):{'3_1':0.0},(97,204):{'3_1':0.0},(97,203):{'3_1':0.0,'4_1':0.0},(97,202):{'3_1':0.0},(97,201):{'3_1':0.0},(97,200):{'3_1':0.0},(97,198):{'3_1':0.0},(97,197):{'3_1':0.0},(97,195):{'3_1':0.0},(97,194):{'3_1':0.0},(97,193):{'3_1':0.0},(97,192):{'3_1':0.0},(97,191):{'3_1':0.0},(97,190):{'3_1':0.0},(97,187):{'3_1':0.0},(97,186):{'3_1':0.0},(97,185):{'3_1':0.0},(97,184):{'3_1':0.0},(97,182):{'3_1':0.0},(97,180):{'5_2':0.0},(97,179):{'3_1':0.0,'4_1':0.0},(97,178):{'3_1':0.0},(97,177):{'3_1':0.0},(97,176):{'3_1':0.03},(97,175):{'3_1':0.0},(97,174):{'3_1':0.03},(97,173):{'3_1':0.0,'5_2':0.0},(97,172):{'3_1':0.0},(97,171):{'3_1':0.0},(97,170):{'3_1':0.0},(97,169):{'3_1':0.03},(97,167):{'3_1':0.0},(97,166):{'3_1':0.0},(97,165):{'3_1':0.0},(97,164):{'3_1':0.0},(97,162):{'3_1':0.0},(97,161):{'3_1':0.06,'5_2':0.0},(97,160):{'3_1':0.0},(97,159):{'3_1':0.0},(97,158):{'3_1':0.03},(97,157):{'3_1':0.0},(97,155):{'3_1':0.0},(97,154):{'3_1':0.0},(97,153):{'3_1':0.0},(97,148):{'3_1':0.0},(97,147):{'3_1':0.0},(97,146):{'3_1':0.0},(97,144):{'3_1':0.0},(97,142):{'3_1':0.0},(97,135):{'5_2':0.0},(97,133):{'-3':0.0},(97,132):{'5_1':0.0},(97,131):{'3_1':0.0},(97,129):{'3_1':0.0},(97,128):{'3_1':0.0},(97,123):{'3_1':0.0,'5_1':0.0},(97,122):{'3_1':0.0},(97,119):{'3_1':0.0},(97,117):{'3_1':0.0},(97,115):{'3_1':0.0},(98,727):{'5_2':0.45,'6_1':0.06,'-3':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0},(98,726):{'5_2':0.39,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(98,725):{'5_2':0.33,'-3':0.06,'7_2':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0},(98,724):{'5_2':0.45,'7_2':0.06,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(98,723):{'5_2':0.42,'7_2':0.09,'7_6':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(98,722):{'5_2':0.51,'7_2':0.06,'7_5':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(98,721):{'5_2':0.45,'7_2':0.09,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(98,720):{'5_2':0.36,'7_2':0.09,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(98,719):{'5_2':0.45,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(98,718):{'5_2':0.36,'7_4':0.09,'7_2':0.09,'-3':0.06,'7_5':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'1':-0.03},(98,717):{'5_2':0.36,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'2':-0.03},(98,716):{'5_2':0.39,'-3':0.06,'7_2':0.06,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_15':0.0,'1':-0.03},(98,715):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'3_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(98,714):{'5_2':0.45,'6_1':0.06,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(98,713):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'8_11':0.0,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(98,712):{'5_2':0.39,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(98,711):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_5':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(98,710):{'5_2':0.3,'-3':0.12,'7_2':0.09,'7_5':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'2':-0.03},(98,709):{'5_2':0.39,'-3':0.12,'7_2':0.09,'7_5':0.09,'5_1':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0},(98,708):{'5_2':0.42,'7_2':0.09,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_1':0.0,'8_14':0.0,'1':-0.03},(98,707):{'5_2':0.42,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(98,706):{'5_2':0.45,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(98,705):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_2':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'3':-0.03},(98,704):{'5_2':0.33,'-3':0.09,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'1':-0.03},(98,703):{'5_2':0.36,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(98,702):{'5_2':0.36,'-3':0.06,'7_2':0.06,'3_1':0.06,'6_1':0.06,'7_3':0.03,'4_1':0.0,'8_14':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(98,701):{'5_2':0.3,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'3_1#5_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0,'8_11':0.0,'1':-0.03},(98,700):{'5_2':0.21,'3_1':0.09,'7_2':0.06,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(98,699):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_2':0.09,'7_5':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0},(98,698):{'5_2':0.36,'7_3':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_1':0.0,'1':-0.03},(98,697):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0},(98,696):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_3':0.06,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(98,695):{'5_2':0.27,'3_1':0.15,'-3':0.06,'7_6':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'6_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(98,694):{'5_2':0.3,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(98,693):{'5_2':0.36,'-3':0.06,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0},(98,692):{'5_2':0.3,'3_1':0.09,'7_3':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0,'2':-0.03},(98,691):{'5_2':0.24,'-3':0.15,'3_1':0.09,'7_2':0.03,'7_6':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(98,690):{'5_2':0.36,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(98,689):{'5_2':0.3,'3_1':0.15,'7_3':0.06,'7_2':0.06,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(98,688):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0},(98,687):{'5_2':0.3,'3_1':0.18,'7_3':0.06,'7_4':0.06,'-3':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(98,686):{'5_2':0.27,'3_1':0.18,'5_1':0.06,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(98,685):{'3_1':0.27,'5_2':0.21,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_2':0.0},(98,684):{'3_1':0.21,'5_2':0.18,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(98,683):{'5_2':0.24,'3_1':0.15,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0,'2':-0.03},(98,682):{'3_1':0.33,'5_2':0.18,'7_3':0.09,'7_4':0.06,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(98,681):{'3_1':0.24,'5_2':0.24,'7_3':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(98,680):{'3_1':0.24,'5_2':0.18,'-3':0.06,'5_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_2':0.0},(98,679):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(98,678):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(98,677):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(98,676):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_15':0.0},(98,675):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.06,'7_6':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(98,674):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(98,673):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(98,672):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(98,671):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(98,670):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(98,669):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(98,668):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(98,667):{'3_1':0.3,'5_2':0.27,'7_4':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(98,666):{'3_1':0.3,'5_2':0.18,'4_1':0.09,'5_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_14':0.0,'9_1':0.0,'-3':0.0},(98,665):{'3_1':0.33,'5_2':0.27,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(98,664):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(98,663):{'3_1':0.33,'5_2':0.21,'7_3':0.06,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(98,662):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'8_13':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(98,661):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(98,660):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(98,659):{'3_1':0.27,'5_2':0.27,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_13':0.0},(98,658):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(98,657):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(98,656):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(98,655):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(98,654):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(98,653):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_1':0.0,'8_19':0.0,'7_7':0.0},(98,652):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0},(98,651):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_3':0.0,'8_19':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(98,650):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(98,649):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(98,648):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'1':-0.03},(98,647):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(98,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0},(98,645):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(98,644):{'3_1':0.27,'5_2':0.18,'5_1':0.12,'4_1':0.0,'6_2':0.0,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(98,643):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.06,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(98,642):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(98,641):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'7_1':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(98,640):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'8_19':0.0},(98,639):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(98,638):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_7':0.0,'-3':0.0},(98,637):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0},(98,636):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.0,'8_16':0.0,'-3':0.0},(98,635):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'8_19':0.0},(98,634):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(98,633):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0},(98,632):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(98,631):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0},(98,630):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(98,629):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(98,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(98,627):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(98,626):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'6_1':0.0,'8_19':0.0},(98,625):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_16':0.0,'-3':0.0},(98,624):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0},(98,623):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0},(98,622):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(98,621):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_1':0.0,'8_19':0.0},(98,620):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'7_3':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0,'8_16':0.0,'-3':0.0},(98,619):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(98,618):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(98,617):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_6':0.0,'-3':0.0},(98,616):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_7':0.0},(98,615):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(98,614):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(98,613):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0},(98,612):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(98,611):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(98,610):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(98,609):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(98,608):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0},(98,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'9_1':0.0},(98,606):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(98,605):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0},(98,604):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(98,603):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_19':0.0},(98,602):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(98,601):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(98,600):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'8_13':0.0},(98,599):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0},(98,598):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(98,597):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(98,596):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0},(98,595):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(98,594):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(98,593):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0},(98,592):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(98,591):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'7_6':0.0},(98,590):{'3_1':0.24,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_7':0.0},(98,589):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0},(98,588):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(98,587):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(98,586):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(98,585):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(98,584):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(98,583):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(98,582):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(98,581):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(98,580):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(98,579):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(98,578):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(98,577):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(98,576):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(98,575):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(98,574):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(98,573):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(98,572):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_2':0.0},(98,571):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(98,570):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(98,569):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(98,568):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(98,567):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0},(98,566):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(98,565):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(98,564):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0},(98,563):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(98,562):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(98,561):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,560):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(98,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(98,558):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(98,557):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(98,556):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(98,555):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(98,554):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0},(98,553):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(98,552):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(98,551):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(98,550):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_1':0.0},(98,549):{'3_1':0.21,'5_2':0.0,'7_3':0.0},(98,548):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0},(98,547):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(98,546):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(98,545):{'3_1':0.21,'7_3':0.0},(98,544):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(98,543):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(98,542):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'7_3':0.0},(98,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,540):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(98,539):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(98,538):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(98,537):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(98,536):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(98,535):{'3_1':0.27,'5_2':0.03,'4_1':0.0},(98,534):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(98,533):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(98,532):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_5':0.0},(98,531):{'3_1':0.18,'4_1':0.0},(98,530):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_5':0.0},(98,529):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(98,528):{'3_1':0.12,'4_1':0.0},(98,527):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(98,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(98,525):{'3_1':0.18,'5_2':0.0},(98,524):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(98,523):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(98,522):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(98,521):{'3_1':0.09},(98,520):{'3_1':0.06,'5_1':0.0},(98,519):{'3_1':0.09,'4_1':0.0},(98,518):{'3_1':0.12,'4_1':0.0},(98,517):{'3_1':0.09,'5_2':0.0},(98,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,515):{'3_1':0.09},(98,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,512):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(98,511):{'3_1':0.03,'5_2':0.0},(98,510):{'3_1':0.06},(98,509):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_6':0.0},(98,508):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(98,507):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(98,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,505):{'3_1':0.09,'5_1':0.0},(98,504):{'3_1':0.03},(98,503):{'3_1':0.03},(98,502):{'3_1':0.03,'4_1':0.0},(98,501):{'3_1':0.03,'4_1':0.0},(98,500):{'3_1':0.03,'5_2':0.0},(98,499):{'3_1':0.03,'5_1':0.0},(98,498):{'3_1':0.03,'4_1':0.0},(98,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,496):{'3_1':0.0},(98,495):{'3_1':0.06},(98,494):{'3_1':0.03,'5_2':0.0},(98,493):{'3_1':0.12,'5_2':0.0},(98,492):{'3_1':0.06,'5_1':0.0},(98,491):{'3_1':0.06,'5_2':0.0},(98,490):{'3_1':0.06,'4_1':0.0},(98,489):{'3_1':0.09},(98,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,487):{'3_1':0.06,'5_1':0.0},(98,486):{'3_1':0.06,'5_1':0.0},(98,485):{'3_1':0.06,'5_1':0.0},(98,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(98,483):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(98,482):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'8_2':0.0},(98,481):{'3_1':0.12,'9_1':0.0},(98,480):{'3_1':0.12},(98,479):{'3_1':0.03,'4_1':0.0},(98,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(98,477):{'3_1':0.06},(98,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(98,475):{'3_1':0.03,'5_2':0.0},(98,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,473):{'3_1':0.06,'5_2':0.0},(98,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(98,471):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(98,470):{'3_1':0.03,'5_2':0.0},(98,469):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(98,468):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(98,467):{'3_1':0.03,'4_1':0.0},(98,466):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(98,465):{'3_1':0.03},(98,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,463):{'3_1':0.12,'5_2':0.0},(98,462):{'3_1':0.0},(98,461):{'3_1':0.03,'4_1':0.0},(98,460):{'3_1':0.03},(98,459):{'3_1':0.06},(98,458):{'3_1':0.03,'4_1':0.0},(98,457):{'3_1':0.03},(98,456):{'3_1':0.0,'5_2':0.0},(98,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(98,454):{'3_1':0.06,'4_1':0.0},(98,453):{'3_1':0.06},(98,452):{'3_1':0.06},(98,451):{'4_1':0.0,'3_1':0.0},(98,450):{'3_1':0.03,'4_1':0.0},(98,449):{'3_1':0.03},(98,448):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,447):{'3_1':0.03,'4_1':0.0},(98,446):{'3_1':0.0,'4_1':0.0},(98,445):{'3_1':0.06},(98,444):{'3_1':0.09,'4_1':0.0},(98,443):{'3_1':0.03},(98,442):{'3_1':0.03,'5_1':0.0},(98,441):{'3_1':0.03,'5_2':0.0},(98,440):{'3_1':0.03,'4_1':0.0},(98,439):{'3_1':0.03,'4_1':0.0},(98,438):{'3_1':0.03,'4_1':0.0},(98,437):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,436):{'3_1':0.03,'5_2':0.0},(98,435):{'3_1':0.06,'4_1':0.0},(98,434):{'3_1':0.0,'4_1':0.0},(98,433):{'3_1':0.03,'5_2':0.0},(98,432):{'3_1':0.03,'4_1':0.0},(98,431):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(98,430):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(98,429):{'3_1':0.0,'4_1':0.0},(98,428):{'3_1':0.03,'4_1':0.0},(98,427):{'3_1':0.0,'4_1':0.0},(98,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(98,425):{'3_1':0.0,'4_1':0.0},(98,424):{'3_1':0.0,'4_1':0.0},(98,423):{'3_1':0.03,'4_1':0.0},(98,422):{'3_1':0.06,'4_1':0.0},(98,421):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(98,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,419):{'4_1':0.0},(98,418):{'4_1':0.03,'5_2':0.0,'3_1':0.0},(98,417):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(98,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(98,415):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(98,414):{'3_1':0.03,'4_1':0.0},(98,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(98,412):{'3_1':0.0,'4_1':0.0},(98,411):{'4_1':0.0,'9_1':0.0,'3_1':0.0},(98,410):{'3_1':0.0,'5_1':0.0},(98,409):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(98,408):{'3_1':0.03},(98,407):{'3_1':0.0,'4_1':0.0},(98,406):{'3_1':0.0,'4_1':0.0},(98,405):{'4_1':0.0},(98,404):{'3_1':0.0,'8_20|3_1#3_1':0.0},(98,403):{'4_1':0.0},(98,402):{'3_1':0.0},(98,401):{'3_1':0.0,'4_1':0.0},(98,400):{'3_1':0.0,'4_1':0.0},(98,399):{'3_1':0.03},(98,398):{'3_1':0.0},(98,397):{'3_1':0.0},(98,396):{'3_1':0.0},(98,395):{'6_1':0.0},(98,394):{'3_1':0.0},(98,393):{'3_1':0.0},(98,392):{'3_1':0.0},(98,390):{'3_1':0.03},(98,389):{'3_1':0.0},(98,388):{'3_1':0.0},(98,387):{'3_1':0.03},(98,386):{'3_1':0.0},(98,385):{'3_1':0.0},(98,384):{'3_1':0.0},(98,383):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(98,382):{'3_1':0.0,'5_1':0.0},(98,381):{'3_1':0.03,'4_1':0.0},(98,380):{'3_1':0.0,'4_1':0.0},(98,379):{'3_1':0.03},(98,378):{'3_1':0.03,'4_1':0.0},(98,377):{'3_1':0.06},(98,376):{'3_1':0.03,'5_1':0.0},(98,375):{'3_1':0.03},(98,374):{'3_1':0.03,'4_1':0.0},(98,373):{'3_1':0.06,'5_1':0.0},(98,372):{'3_1':0.06},(98,371):{'3_1':0.03,'5_1':0.0},(98,370):{'3_1':0.03,'7_1':0.0},(98,369):{'3_1':0.03,'4_1':0.0},(98,368):{'3_1':0.03},(98,367):{'3_1':0.03,'4_1':0.0},(98,366):{'3_1':0.06},(98,365):{'3_1':0.03},(98,364):{'3_1':0.0},(98,363):{'3_1':0.0},(98,362):{'3_1':0.0},(98,361):{'3_1':0.03,'4_1':0.0},(98,360):{'3_1':0.0},(98,359):{'3_1':0.03,'5_1':0.0},(98,358):{'3_1':0.0},(98,357):{'3_1':0.0,'4_1':0.0},(98,356):{'3_1':0.03},(98,355):{'3_1':0.0,'5_1':0.0},(98,354):{'3_1':0.03,'5_1':0.0},(98,353):{'3_1':0.0,'5_1':0.0},(98,352):{'3_1':0.0,'4_1':0.0},(98,351):{'3_1':0.0},(98,350):{'3_1':0.0},(98,349):{'3_1':0.06},(98,348):{'3_1':0.0},(98,347):{'3_1':0.0},(98,346):{'3_1':0.0},(98,345):{'3_1':0.03,'4_1':0.0},(98,344):{'3_1':0.03},(98,343):{'3_1':0.0,'4_1':0.0},(98,342):{'3_1':0.0},(98,341):{'3_1':0.03,'4_1':0.0},(98,340):{'3_1':0.03},(98,339):{'3_1':0.0,'7_1':0.0},(98,338):{'3_1':0.0,'4_1':0.0},(98,337):{'3_1':0.0},(98,336):{'3_1':0.0},(98,335):{'3_1':0.0},(98,334):{'3_1':0.0},(98,333):{'3_1':0.0},(98,332):{'3_1':0.0},(98,331):{'3_1':0.0,'4_1':0.0},(98,330):{'3_1':0.03},(98,329):{'4_1':0.0},(98,328):{'3_1':0.0,'4_1':0.0},(98,327):{'3_1':0.0,'4_1':0.0},(98,326):{'3_1':0.0},(98,325):{'3_1':0.0},(98,324):{'3_1':0.0},(98,323):{'3_1':0.0,'4_1':0.0},(98,322):{'3_1':0.03},(98,321):{'3_1':0.0,'4_1':0.0},(98,320):{'3_1':0.0},(98,319):{'3_1':0.03,'4_1':0.0},(98,318):{'3_1':0.0,'4_1':0.0},(98,317):{'3_1':0.0},(98,316):{'3_1':0.0,'4_1':0.0},(98,315):{'3_1':0.0},(98,314):{'3_1':0.0},(98,313):{'3_1':0.0,'4_1':0.0},(98,312):{'3_1':0.0,'4_1':0.0},(98,311):{'3_1':0.0,'8_19':0.0},(98,310):{'3_1':0.03,'4_1':0.0},(98,309):{'4_1':0.0},(98,308):{'3_1':0.0},(98,307):{'3_1':0.0},(98,306):{'3_1':0.0},(98,305):{'3_1':0.0,'4_1':0.0},(98,304):{'3_1':0.06},(98,303):{'3_1':0.0,'4_1':0.0},(98,302):{'3_1':0.0},(98,301):{'3_1':0.0,'4_1':0.0},(98,300):{'3_1':0.0},(98,299):{'3_1':0.0},(98,298):{'3_1':0.0},(98,297):{'3_1':0.0,'5_1':0.0},(98,296):{'3_1':0.03},(98,295):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(98,294):{'3_1':0.0},(98,293):{'3_1':0.0},(98,292):{'3_1':0.03},(98,291):{'3_1':0.0,'5_1':0.0},(98,290):{'3_1':0.0},(98,289):{'3_1':0.03,'5_1':0.0},(98,288):{'3_1':0.0},(98,286):{'3_1':0.0,'4_1':0.0},(98,285):{'3_1':0.0},(98,284):{'3_1':0.0},(98,283):{'3_1':0.03,'4_1':0.0},(98,282):{'7_3':0.0},(98,281):{'3_1':0.0},(98,280):{'3_1':0.0},(98,279):{'3_1':0.0},(98,278):{'5_1':0.0},(98,277):{'3_1':0.03,'4_1':0.0},(98,276):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(98,275):{'3_1':0.03,'5_1':0.0},(98,274):{'3_1':0.0,'5_1':0.0},(98,273):{'3_1':0.0},(98,272):{'3_1':0.0,'5_2':0.0},(98,271):{'3_1':0.03},(98,270):{'3_1':0.0},(98,269):{'3_1':0.03},(98,268):{'3_1':0.0},(98,267):{'3_1':0.0},(98,266):{'3_1':0.0},(98,265):{'3_1':0.0,'4_1':0.0},(98,264):{'3_1':0.03},(98,263):{'3_1':0.0},(98,262):{'3_1':0.03},(98,259):{'4_1':0.0},(98,258):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(98,256):{'3_1':0.0},(98,255):{'4_1':0.0},(98,254):{'3_1':0.0,'4_1':0.0},(98,253):{'3_1':0.03,'4_1':0.0},(98,251):{'3_1':0.0},(98,250):{'3_1':0.0,'4_1':0.0},(98,249):{'3_1':0.0},(98,248):{'4_1':0.0,'3_1':0.0},(98,247):{'3_1':0.0,'4_1':0.0},(98,246):{'3_1':0.0,'4_1':0.0},(98,245):{'3_1':0.0,'7_1':0.0},(98,244):{'3_1':0.03,'5_1':0.0},(98,243):{'3_1':0.0,'4_1':0.0},(98,242):{'4_1':0.0,'3_1':0.0},(98,241):{'3_1':0.0},(98,240):{'3_1':0.0},(98,239):{'3_1':0.0},(98,238):{'3_1':0.0},(98,237):{'3_1':0.03,'5_1':0.0},(98,236):{'3_1':0.0},(98,234):{'3_1':0.0},(98,233):{'3_1':0.0,'4_1':0.0},(98,232):{'3_1':0.0,'4_1':0.0},(98,231):{'3_1':0.0},(98,229):{'3_1':0.0},(98,228):{'3_1':0.0,'4_1':0.0},(98,227):{'3_1':0.0,'5_2':0.0},(98,226):{'3_1':0.0},(98,225):{'3_1':0.0,'4_1':0.0},(98,224):{'3_1':0.0},(98,222):{'3_1':0.0},(98,221):{'3_1':0.0},(98,219):{'4_1':0.0},(98,217):{'4_1':0.0},(98,215):{'3_1':0.0,'4_1':0.0},(98,214):{'3_1':0.0},(98,213):{'3_1':0.0},(98,206):{'3_1':0.0},(98,205):{'5_1':0.0},(98,204):{'3_1':0.0},(98,201):{'3_1':0.0},(98,200):{'3_1':0.0},(98,198):{'3_1':0.0,'4_1':0.0},(98,197):{'3_1':0.0},(98,196):{'3_1':0.0},(98,195):{'3_1':0.0},(98,194):{'3_1':0.0},(98,193):{'3_1':0.0},(98,192):{'3_1':0.0},(98,191):{'3_1':0.0,'4_1':0.0},(98,190):{'3_1':0.0},(98,189):{'3_1':0.0},(98,186):{'3_1':0.0},(98,185):{'3_1':0.0},(98,184):{'3_1':0.03},(98,182):{'3_1':0.0},(98,181):{'3_1':0.0},(98,180):{'3_1':0.0},(98,179):{'3_1':0.0},(98,178):{'3_1':0.0},(98,177):{'3_1':0.0},(98,176):{'3_1':0.0},(98,174):{'3_1':0.0},(98,172):{'3_1':0.0},(98,171):{'3_1':0.0},(98,170):{'3_1':0.03},(98,169):{'3_1':0.0},(98,168):{'3_1':0.0,'5_2':0.0},(98,167):{'3_1':0.0},(98,166):{'3_1':0.03},(98,165):{'3_1':0.0},(98,164):{'3_1':0.0},(98,163):{'3_1':0.0},(98,162):{'3_1':0.0},(98,161):{'3_1':0.03},(98,159):{'3_1':0.0},(98,158):{'3_1':0.0,'4_1':0.0},(98,157):{'3_1':0.0},(98,156):{'3_1':0.0},(98,155):{'3_1':0.0},(98,154):{'3_1':0.0},(98,152):{'3_1':0.03},(98,151):{'3_1':0.0},(98,150):{'3_1':0.0},(98,148):{'5_1':0.0},(98,147):{'3_1':0.0},(98,146):{'3_1':0.0},(98,144):{'3_1':0.0},(98,143):{'3_1':0.0},(98,138):{'5_1':0.0},(98,129):{'3_1':0.0},(98,123):{'3_1':0.0},(98,116):{'3_1':0.0},(98,107):{'3_1':0.0},(98,105):{'3_1':0.0},(98,103):{'3_1':0.0},(99,727):{'5_2':0.48,'7_2':0.09,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(99,726):{'5_2':0.39,'7_2':0.12,'7_5':0.06,'6_1':0.06,'7_4':0.03,'3_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(99,725):{'5_2':0.42,'7_2':0.15,'7_5':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'8_15':0.0},(99,724):{'5_2':0.33,'7_2':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'3_1':0.0,'7_6':0.0,'7_4':0.0,'7_3':0.0},(99,723):{'5_2':0.33,'7_2':0.12,'7_5':0.06,'6_1':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(99,722):{'5_2':0.36,'-3':0.06,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(99,721):{'5_2':0.36,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_4':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(99,720):{'5_2':0.36,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(99,719):{'5_2':0.42,'7_2':0.09,'7_4':0.06,'7_5':0.06,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_3':0.0},(99,718):{'5_2':0.3,'-3':0.09,'7_2':0.06,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(99,717):{'5_2':0.42,'7_2':0.09,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(99,716):{'5_2':0.33,'7_2':0.09,'-3':0.06,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0,'8_13':0.0},(99,715):{'5_2':0.39,'7_2':0.06,'7_5':0.06,'6_1':0.06,'-3':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(99,714):{'5_2':0.3,'6_1':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(99,713):{'5_2':0.24,'7_5':0.09,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(99,712):{'5_2':0.27,'-3':0.09,'7_3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'3':-0.03},(99,711):{'5_2':0.3,'7_2':0.09,'-3':0.09,'7_5':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(99,710):{'5_2':0.3,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_4':0.0,'2':-0.03},(99,709):{'5_2':0.3,'-3':0.18,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.03,'5_1':0.0,'1':-0.03},(99,708):{'5_2':0.36,'-3':0.09,'7_2':0.06,'5_1':0.06,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'3_1#5_2':0.0},(99,707):{'5_2':0.36,'7_2':0.12,'-3':0.09,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'1':-0.03},(99,706):{'5_2':0.27,'7_5':0.06,'-3':0.06,'7_6':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(99,705):{'5_2':0.39,'7_2':0.06,'3_1':0.03,'-3':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_13':0.0},(99,704):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(99,703):{'5_2':0.3,'-3':0.15,'7_2':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0,'8_11':0.0},(99,702):{'5_2':0.33,'-3':0.06,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'8_1':0.0},(99,701):{'5_2':0.33,'-3':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(99,700):{'5_2':0.3,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'7_2':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(99,699):{'5_2':0.36,'3_1':0.09,'-3':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(99,698):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(99,697):{'5_2':0.33,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_11':0.0,'6_1':0.0,'8_1':0.0,'8_14':0.0},(99,696):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_2':0.06,'7_5':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'8_11':0.0,'6_3':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(99,695):{'5_2':0.27,'7_3':0.06,'3_1':0.06,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(99,694):{'5_2':0.33,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_6':0.03,'3_1#5_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'6_1':0.0,'6_2':0.0,'1':-0.03},(99,693):{'5_2':0.21,'-3':0.09,'3_1':0.09,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'3_1#5_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_4':0.0},(99,692):{'5_2':0.36,'3_1':0.18,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(99,691):{'5_2':0.27,'3_1':0.09,'-3':0.09,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'5_1':0.0,'8_14':0.0},(99,690):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(99,689):{'5_2':0.27,'3_1':0.15,'-3':0.06,'7_4':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_6':0.0},(99,688):{'5_2':0.36,'3_1':0.12,'7_3':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(99,687):{'5_2':0.3,'3_1':0.15,'-3':0.12,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(99,686):{'5_2':0.3,'3_1':0.21,'7_2':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(99,685):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0},(99,684):{'5_2':0.24,'3_1':0.21,'7_2':0.03,'7_5':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(99,683):{'3_1':0.24,'5_2':0.24,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(99,682):{'3_1':0.27,'5_2':0.18,'7_4':0.09,'7_3':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'8_13':0.0,'7_2':0.0,'7_5':0.0},(99,681):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'7_3':0.06,'7_5':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(99,680):{'5_2':0.27,'3_1':0.21,'7_4':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0},(99,679):{'3_1':0.27,'5_2':0.21,'7_4':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0},(99,678):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0},(99,677):{'5_2':0.27,'3_1':0.24,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(99,676):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(99,675):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(99,674):{'3_1':0.27,'5_2':0.24,'7_4':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0},(99,673):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(99,672):{'3_1':0.36,'5_2':0.21,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0},(99,671):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(99,670):{'3_1':0.33,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(99,669):{'3_1':0.3,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(99,668):{'3_1':0.42,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'1':-0.03},(99,667):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0},(99,666):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'8_1':0.0,'8_19':0.0},(99,665):{'3_1':0.45,'5_2':0.18,'4_1':0.06,'7_3':0.03,'5_1':0.03,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(99,664):{'3_1':0.3,'5_2':0.24,'7_3':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(99,663):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(99,662):{'5_2':0.27,'3_1':0.24,'5_1':0.06,'7_3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(99,661):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(99,660):{'3_1':0.27,'5_2':0.21,'5_1':0.09,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(99,659):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(99,658):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(99,657):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(99,656):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(99,655):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(99,654):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(99,653):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(99,652):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(99,651):{'3_1':0.21,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(99,650):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(99,649):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(99,648):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(99,647):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(99,646):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_16':0.0},(99,645):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(99,644):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'4_1':0.03,'-3':0.03,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(99,643):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(99,642):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_3':0.03,'4_1':0.03,'7_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(99,641):{'3_1':0.27,'5_1':0.15,'5_2':0.12,'4_1':0.0,'8_19':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(99,640):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(99,639):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(99,638):{'3_1':0.3,'5_1':0.12,'5_2':0.09,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_10':0.0},(99,637):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(99,636):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0},(99,635):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(99,634):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(99,633):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'8_19':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(99,632):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(99,631):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'8_19':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(99,630):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(99,629):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(99,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0},(99,627):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(99,626):{'3_1':0.27,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(99,625):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'8_7':0.0,'8_11':0.0},(99,624):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0},(99,623):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_7':0.0,'8_16':0.0},(99,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(99,621):{'3_1':0.33,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(99,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'6_3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(99,619):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(99,618):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(99,617):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_7':0.0},(99,616):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(99,615):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(99,614):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0},(99,613):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_7':0.0,'8_19':0.0,'-3':0.0},(99,612):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03},(99,611):{'3_1':0.15,'5_1':0.03,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(99,610):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'6_2':0.0},(99,609):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(99,608):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0},(99,607):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(99,606):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_3':0.0,'-3':0.0},(99,605):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(99,604):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(99,603):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_13':0.0},(99,602):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(99,601):{'3_1':0.24,'4_1':0.0,'7_4':0.0,'5_1':0.0,'5_2':0.0},(99,600):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(99,599):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(99,598):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0},(99,597):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0},(99,596):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(99,595):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(99,594):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(99,593):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(99,592):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(99,591):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0},(99,590):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0},(99,589):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(99,588):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(99,587):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0},(99,586):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(99,585):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(99,584):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(99,583):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(99,582):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(99,581):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0},(99,580):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_4':0.0},(99,579):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(99,578):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(99,577):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(99,576):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(99,575):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(99,574):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(99,573):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(99,572):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(99,571):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(99,570):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(99,569):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(99,568):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(99,567):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_6':0.0},(99,566):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0},(99,565):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(99,564):{'3_1':0.24,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(99,563):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(99,562):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(99,561):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(99,560):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(99,559):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(99,558):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(99,557):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(99,556):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'3_1#5_1':0.0},(99,555):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(99,554):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(99,553):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(99,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_10':0.0},(99,551):{'3_1':0.15,'4_1':0.0},(99,550):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(99,549):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_2':0.0},(99,548):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(99,547):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(99,546):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0},(99,545):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(99,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,543):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(99,542):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(99,541):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(99,540):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(99,539):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,537):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(99,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(99,534):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(99,533):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0},(99,532):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(99,531):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(99,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(99,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,528):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(99,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(99,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(99,523):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(99,522):{'3_1':0.09,'5_2':0.0},(99,521):{'3_1':0.06,'4_1':0.0},(99,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(99,519):{'3_1':0.09,'4_1':0.0},(99,518):{'3_1':0.06,'4_1':0.0},(99,517):{'3_1':0.06},(99,516):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(99,515):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(99,514):{'3_1':0.03,'5_2':0.0},(99,513):{'3_1':0.12,'4_1':0.0},(99,512):{'3_1':0.03,'4_1':0.0},(99,511):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(99,510):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(99,509):{'3_1':0.06,'6_2':0.0},(99,508):{'3_1':0.06,'4_1':0.0},(99,507):{'3_1':0.03,'4_1':0.0},(99,506):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(99,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,504):{'3_1':0.06,'6_2':0.0},(99,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(99,502):{'3_1':0.0},(99,501):{'3_1':0.0,'4_1':0.0},(99,500):{'3_1':0.06,'4_1':0.0},(99,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(99,498):{'3_1':0.06,'5_2':0.0},(99,497):{'3_1':0.03,'4_1':0.0},(99,496):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(99,495):{'3_1':0.03,'5_1':0.0},(99,494):{'3_1':0.09},(99,493):{'3_1':0.06,'4_1':0.0},(99,492):{'3_1':0.06,'4_1':0.0},(99,491):{'3_1':0.03,'5_1':0.0},(99,490):{'3_1':0.06,'4_1':0.0},(99,489):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(99,488):{'3_1':0.06,'4_1':0.0},(99,487):{'3_1':0.06,'5_2':0.0},(99,486):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(99,485):{'3_1':0.15,'5_1':0.0},(99,484):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(99,483):{'3_1':0.06,'5_2':0.0},(99,482):{'3_1':0.09,'7_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,481):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(99,480):{'3_1':0.12},(99,479):{'3_1':0.09,'5_2':0.0},(99,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(99,477):{'3_1':0.06,'4_1':0.0,'9_1':0.0},(99,476):{'3_1':0.06},(99,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(99,474):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(99,473):{'3_1':0.0,'4_1':0.0},(99,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(99,471):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(99,470):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(99,469):{'3_1':0.03,'5_1':0.0},(99,468):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(99,467):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(99,466):{'3_1':0.03,'4_1':0.0},(99,465):{'3_1':0.03},(99,464):{'3_1':0.06},(99,463):{'3_1':0.06,'5_1':0.0},(99,462):{'3_1':0.0,'4_1':0.0},(99,461):{'3_1':0.0},(99,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,459):{'3_1':0.06,'4_1':0.0},(99,458):{'3_1':0.03},(99,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,456):{'3_1':0.03,'4_1':0.0},(99,455):{'3_1':0.0,'5_1':0.0},(99,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(99,453):{'3_1':0.0,'4_1':0.0},(99,452):{'3_1':0.06,'4_1':0.0},(99,451):{'3_1':0.06,'4_1':0.0},(99,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,449):{'3_1':0.0},(99,448):{'3_1':0.03,'5_1':0.0},(99,447):{'3_1':0.03},(99,446):{'3_1':0.0,'5_2':0.0},(99,445):{'3_1':0.0},(99,444):{'3_1':0.0,'5_2':0.0},(99,443):{'3_1':0.03,'4_1':0.0},(99,442):{'3_1':0.0,'5_1':0.0},(99,441):{'3_1':0.03},(99,440):{'3_1':0.0,'4_1':0.0},(99,439):{'3_1':0.03,'4_1':0.0},(99,438):{'3_1':0.0,'7_1':0.0},(99,437):{'3_1':0.03},(99,436):{'3_1':0.03,'5_1':0.0},(99,435):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(99,434):{'3_1':0.03,'4_1':0.0},(99,433):{'3_1':0.03},(99,432):{'3_1':0.0},(99,431):{'3_1':0.0,'4_1':0.0},(99,430):{'3_1':0.03},(99,429):{'3_1':0.03,'4_1':0.0},(99,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(99,427):{'3_1':0.0,'4_1':0.0},(99,426):{'3_1':0.06,'4_1':0.0},(99,425):{'3_1':0.06,'4_1':0.03},(99,424):{'3_1':0.03,'4_1':0.0},(99,423):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,422):{'3_1':0.03,'4_1':0.0},(99,421):{'3_1':0.0,'4_1':0.0},(99,420):{'3_1':0.03},(99,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(99,417):{'3_1':0.03,'4_1':0.0},(99,416):{'3_1':0.0,'4_1':0.0},(99,415):{'3_1':0.03,'4_1':0.0},(99,414):{'4_1':0.03,'5_1':0.0,'3_1':0.0},(99,413):{'3_1':0.0},(99,412):{'3_1':0.03,'4_1':0.0},(99,411):{'4_1':0.0,'3_1':0.0},(99,410):{'3_1':0.0,'4_1':0.0},(99,409):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(99,408):{'3_1':0.0,'4_1':0.0},(99,407):{'3_1':0.0,'4_1':0.0},(99,406):{'3_1':0.0},(99,405):{'3_1':0.0},(99,404):{'3_1':0.0},(99,403):{'3_1':0.0,'4_1':0.0},(99,402):{'3_1':0.03},(99,401):{'3_1':0.0},(99,400):{'3_1':0.0,'4_1':0.0},(99,399):{'3_1':0.03},(99,397):{'3_1':0.0,'6_1':0.0},(99,396):{'3_1':0.0},(99,395):{'4_1':0.0},(99,394):{'3_1':0.0},(99,393):{'3_1':0.0},(99,392):{'3_1':0.0},(99,391):{'3_1':0.0},(99,390):{'3_1':0.03,'5_1':0.0},(99,389):{'3_1':0.0},(99,388):{'3_1':0.0},(99,387):{'3_1':0.0},(99,386):{'3_1':0.0},(99,385):{'3_1':0.0},(99,384):{'3_1':0.0,'4_1':0.0},(99,383):{'3_1':0.03},(99,382):{'3_1':0.03},(99,381):{'3_1':0.06,'4_1':0.0},(99,380):{'3_1':0.03,'4_1':0.0},(99,379):{'3_1':0.06},(99,378):{'3_1':0.0},(99,377):{'3_1':0.03},(99,376):{'3_1':0.03,'5_1':0.0},(99,375):{'3_1':0.0,'5_1':0.0},(99,374):{'3_1':0.06},(99,373):{'3_1':0.06,'7_1':0.0},(99,372):{'3_1':0.0},(99,371):{'3_1':0.03,'5_1':0.0},(99,370):{'3_1':0.03},(99,368):{'3_1':0.0,'5_1':0.0},(99,367):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(99,366):{'3_1':0.0},(99,365):{'3_1':0.06},(99,364):{'3_1':0.0,'4_1':0.0},(99,363):{'3_1':0.03,'5_2':0.0},(99,362):{'3_1':0.03},(99,361):{'3_1':0.06},(99,360):{'3_1':0.03},(99,359):{'3_1':0.03},(99,358):{'3_1':0.0},(99,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(99,356):{'3_1':0.0,'5_1':0.0},(99,355):{'3_1':0.0,'5_1':0.0},(99,354):{'3_1':0.0},(99,353):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(99,352):{'3_1':0.0},(99,351):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(99,350):{'3_1':0.03},(99,349):{'3_1':0.0,'4_1':0.0},(99,348):{'3_1':0.03},(99,347):{'3_1':0.0,'4_1':0.0},(99,346):{'3_1':0.0},(99,345):{'3_1':0.0},(99,344):{'3_1':0.03,'4_1':0.0},(99,343):{'3_1':0.06},(99,342):{'3_1':0.03,'6_1':0.0},(99,341):{'3_1':0.03},(99,340):{'3_1':0.0},(99,339):{'3_1':0.03,'5_1':0.0},(99,338):{'3_1':0.06},(99,337):{'3_1':0.0},(99,336):{'3_1':0.0},(99,335):{'3_1':0.03},(99,334):{'3_1':0.03},(99,333):{'3_1':0.0},(99,332):{'3_1':0.0},(99,331):{'3_1':0.03},(99,330):{'3_1':0.0},(99,329):{'3_1':0.03},(99,328):{'3_1':0.0,'9_1':0.0},(99,327):{'3_1':0.03},(99,326):{'3_1':0.0},(99,325):{'3_1':0.0},(99,324):{'3_1':0.0},(99,323):{'3_1':0.0},(99,322):{'3_1':0.03,'4_1':0.0},(99,321):{'3_1':0.0},(99,320):{'3_1':0.03},(99,318):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(99,317):{'3_1':0.03},(99,316):{'3_1':0.0},(99,315):{'3_1':0.0,'4_1':0.0},(99,314):{'4_1':0.0,'3_1':0.0},(99,313):{'3_1':0.06,'5_1':0.0},(99,312):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(99,311):{'3_1':0.0,'4_1':0.0},(99,310):{'3_1':0.0},(99,309):{'3_1':0.0,'6_2':0.0},(99,308):{'3_1':0.0},(99,307):{'3_1':0.03},(99,306):{'3_1':0.0,'5_1':0.0},(99,305):{'3_1':0.03,'4_1':0.0},(99,304):{'3_1':0.03,'5_1':0.0},(99,303):{'3_1':0.03},(99,302):{'3_1':0.03},(99,301):{'3_1':0.0},(99,300):{'3_1':0.0,'4_1':0.0},(99,299):{'3_1':0.0,'4_1':0.0},(99,297):{'3_1':0.0,'5_2':0.0},(99,296):{'3_1':0.0},(99,295):{'3_1':0.0},(99,294):{'3_1':0.0},(99,293):{'3_1':0.0},(99,291):{'3_1':0.0,'4_1':0.0},(99,290):{'3_1':0.0},(99,289):{'3_1':0.0,'4_1':0.0},(99,288):{'3_1':0.03},(99,287):{'3_1':0.0},(99,286):{'3_1':0.0},(99,285):{'3_1':0.0},(99,284):{'3_1':0.0},(99,283):{'3_1':0.03,'5_2':0.0},(99,282):{'3_1':0.0},(99,281):{'3_1':0.0,'4_1':0.0},(99,280):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(99,279):{'3_1':0.0},(99,278):{'3_1':0.0},(99,277):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(99,276):{'3_1':0.0},(99,275):{'3_1':0.03,'5_2':0.0},(99,274):{'3_1':0.0,'4_1':0.0},(99,273):{'3_1':0.0,'4_1':0.0},(99,272):{'3_1':0.0,'4_1':0.0},(99,271):{'3_1':0.0},(99,270):{'3_1':0.03,'5_1':0.0},(99,269):{'3_1':0.03},(99,268):{'3_1':0.0,'4_1':0.0},(99,267):{'3_1':0.0,'6_2':0.0},(99,265):{'3_1':0.0,'4_1':0.0},(99,264):{'3_1':0.0,'4_1':0.0},(99,263):{'3_1':0.0,'4_1':0.0},(99,262):{'3_1':0.0},(99,261):{'3_1':0.0,'4_1':0.0},(99,260):{'3_1':0.03},(99,259):{'3_1':0.03},(99,258):{'3_1':0.0},(99,257):{'3_1':0.0,'5_2':0.0},(99,256):{'3_1':0.0},(99,255):{'3_1':0.0},(99,254):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(99,253):{'4_1':0.0,'3_1':0.0},(99,250):{'3_1':0.0,'4_1':0.0},(99,249):{'4_1':0.0},(99,248):{'3_1':0.03},(99,247):{'3_1':0.0,'4_1':0.0},(99,245):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(99,244):{'3_1':0.06},(99,243):{'3_1':0.0},(99,242):{'3_1':0.0,'4_1':0.0},(99,241):{'3_1':0.03},(99,240):{'3_1':0.03},(99,238):{'3_1':0.0},(99,237):{'3_1':0.03,'4_1':0.0},(99,236):{'3_1':0.0},(99,235):{'3_1':0.03,'4_1':0.0},(99,234):{'3_1':0.0},(99,233):{'4_1':0.0},(99,232):{'3_1':0.0,'5_1':0.0},(99,231):{'3_1':0.0},(99,229):{'3_1':0.0},(99,227):{'3_1':0.0},(99,226):{'3_1':0.0,'4_1':0.0},(99,225):{'4_1':0.0},(99,224):{'3_1':0.0},(99,223):{'3_1':0.0},(99,221):{'3_1':0.0},(99,220):{'3_1':0.0},(99,219):{'3_1':0.0,'4_1':0.0},(99,217):{'3_1':0.0},(99,216):{'3_1':0.0},(99,215):{'3_1':0.0,'6_2':0.0},(99,213):{'3_1':0.0},(99,206):{'3_1':0.0},(99,204):{'3_1':0.0,'4_1':0.0},(99,202):{'4_1':0.0,'3_1':0.0},(99,201):{'3_1':0.0},(99,199):{'3_1':0.0},(99,196):{'3_1':0.0,'4_1':0.0},(99,194):{'3_1':0.03},(99,193):{'3_1':0.0},(99,192):{'3_1':0.0},(99,191):{'3_1':0.0},(99,190):{'3_1':0.0},(99,189):{'3_1':0.0},(99,188):{'3_1':0.0},(99,186):{'3_1':0.0,'4_1':0.0},(99,185):{'3_1':0.0},(99,184):{'3_1':0.0},(99,183):{'3_1':0.0},(99,182):{'3_1':0.0},(99,181):{'3_1':0.0,'5_2':0.0},(99,180):{'3_1':0.0},(99,178):{'3_1':0.0},(99,177):{'3_1':0.0,'5_2':0.0},(99,176):{'3_1':0.0,'5_1':0.0},(99,175):{'3_1':0.0},(99,173):{'3_1':0.0},(99,172):{'3_1':0.0},(99,171):{'3_1':0.0},(99,170):{'3_1':0.06,'5_1':0.0},(99,169):{'3_1':0.0,'5_2':0.0},(99,168):{'3_1':0.0},(99,167):{'3_1':0.03},(99,166):{'3_1':0.0},(99,165):{'3_1':0.03},(99,164):{'3_1':0.0},(99,163):{'3_1':0.0},(99,162):{'3_1':0.0},(99,161):{'3_1':0.0},(99,160):{'3_1':0.0},(99,159):{'3_1':0.03},(99,158):{'3_1':0.0},(99,157):{'3_1':0.03},(99,156):{'3_1':0.0,'4_1':0.0},(99,155):{'3_1':0.0},(99,154):{'3_1':0.0},(99,152):{'3_1':0.0},(99,151):{'3_1':0.0,'5_2':0.0},(99,150):{'3_1':0.0},(99,147):{'3_1':0.0},(99,143):{'3_1':0.0},(99,141):{'3_1':0.0},(99,140):{'5_2':0.0},(99,138):{'5_1':0.0},(99,133):{'3_1':0.0},(99,130):{'3_1':0.0},(99,128):{'3_1':0.0},(99,126):{'3_1':0.0,'5_1':0.0},(99,122):{'3_1':0.0},(99,120):{'5_1':0.0},(99,118):{'3_1':0.0},(99,116):{'3_1':0.0},(99,111):{'3_1':0.0},(99,107):{'5_1':0.0},(99,106):{'3_1':0.0},(99,104):{'3_1':0.03},(99,103):{'3_1':0.0},(100,727):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0},(100,726):{'5_2':0.45,'7_3':0.09,'6_1':0.03,'7_2':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'3_1':0.0,'7_4':0.0,'8_8':0.0},(100,725):{'5_2':0.36,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0},(100,724):{'5_2':0.45,'7_2':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'-3':0.0,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(100,723):{'5_2':0.42,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(100,722):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_6':0.0,'8_11':0.0},(100,721):{'5_2':0.33,'-3':0.09,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_14':0.0},(100,720):{'5_2':0.3,'7_2':0.09,'-3':0.06,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(100,719):{'5_2':0.39,'7_2':0.06,'7_3':0.06,'3_1':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(100,718):{'5_2':0.33,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(100,717):{'5_2':0.3,'7_3':0.06,'6_1':0.06,'7_2':0.06,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(100,716):{'5_2':0.3,'-3':0.09,'7_2':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(100,715):{'5_2':0.3,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_3':0.06,'7_6':0.06,'7_5':0.03,'6_1':0.0,'3_1':0.0},(100,714):{'5_2':0.33,'7_2':0.09,'-3':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(100,713):{'5_2':0.36,'-3':0.09,'7_4':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(100,712):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(100,711):{'5_2':0.24,'-3':0.12,'7_4':0.09,'7_5':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(100,710):{'5_2':0.33,'-3':0.12,'7_5':0.09,'7_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(100,709):{'5_2':0.3,'-3':0.15,'7_5':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(100,708):{'5_2':0.3,'-3':0.09,'7_5':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(100,707):{'5_2':0.39,'-3':0.09,'7_5':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(100,706):{'5_2':0.33,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(100,705):{'5_2':0.33,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(100,704):{'5_2':0.27,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.03,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'9_1':0.0},(100,703):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'8_15':0.0},(100,702):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_15':0.0,'1':-0.03},(100,701):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'1':-0.03},(100,700):{'5_2':0.27,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'8_14':0.0,'5_1':0.0,'1':-0.03},(100,699):{'5_2':0.3,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(100,698):{'5_2':0.3,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(100,697):{'5_2':0.24,'-3':0.12,'3_1':0.09,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(100,696):{'5_2':0.3,'3_1':0.09,'-3':0.09,'7_5':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'2':-0.03},(100,695):{'5_2':0.27,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_8':0.0,'8_11':0.0},(100,694):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(100,693):{'5_2':0.33,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(100,692):{'5_2':0.27,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.03,'8_11':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(100,691):{'5_2':0.33,'3_1':0.06,'-3':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_1':0.0},(100,690):{'5_2':0.24,'3_1':0.12,'7_3':0.09,'-3':0.09,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0},(100,689):{'5_2':0.3,'3_1':0.15,'-3':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(100,688):{'5_2':0.18,'3_1':0.18,'7_3':0.06,'5_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(100,687):{'5_2':0.21,'3_1':0.21,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(100,686):{'5_2':0.21,'3_1':0.15,'7_4':0.09,'-3':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(100,685):{'5_2':0.3,'3_1':0.15,'4_1':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(100,684):{'5_2':0.27,'3_1':0.24,'-3':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(100,683):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'8_13':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0},(100,682):{'3_1':0.24,'5_2':0.24,'7_4':0.06,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(100,681):{'5_2':0.27,'3_1':0.24,'-3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(100,680):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(100,679):{'5_2':0.24,'3_1':0.24,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(100,678):{'3_1':0.33,'5_2':0.21,'7_3':0.03,'7_4':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0},(100,677):{'3_1':0.3,'5_2':0.15,'7_3':0.06,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'8_8':0.0},(100,676):{'3_1':0.27,'5_2':0.18,'7_4':0.06,'4_1':0.06,'7_5':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_2':0.0,'-3':0.0},(100,675):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(100,674):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(100,673):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'6_1':0.0,'8_14':0.0},(100,672):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(100,671):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(100,670):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(100,669):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0,'8_19':0.0,'3_1#5_1':0.0},(100,668):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.03,'7_5':0.0,'6_1':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(100,667):{'3_1':0.39,'5_2':0.12,'4_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'8_13':0.0,'8_19':0.0},(100,666):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(100,665):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(100,664):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,663):{'3_1':0.3,'5_2':0.21,'5_1':0.09,'4_1':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(100,662):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,661):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0},(100,660):{'3_1':0.39,'5_2':0.18,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(100,659):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_2':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(100,658):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(100,657):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(100,656):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(100,655):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'8_20|3_1#3_1':0.03,'7_3':0.0,'-3':0.0},(100,654):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(100,653):{'3_1':0.33,'5_2':0.24,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(100,652):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(100,651):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(100,650):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(100,649):{'3_1':0.45,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(100,648):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(100,647):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(100,646):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(100,645):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'7_4':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,644):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(100,643):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(100,642):{'3_1':0.33,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,641):{'3_1':0.39,'5_1':0.06,'5_2':0.03,'8_19':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(100,640):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_3':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(100,639):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(100,638):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(100,637):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(100,636):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(100,635):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(100,634):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(100,633):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(100,632):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(100,631):{'3_1':0.21,'5_2':0.09,'5_1':0.03},(100,630):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(100,629):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(100,627):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'3_1#5_2':0.0},(100,626):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,625):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(100,624):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(100,623):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_7':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,621):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(100,620):{'3_1':0.21,'5_2':0.06,'-3':0.0,'6_3':0.0,'7_5':0.0},(100,619):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(100,618):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(100,617):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_7':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(100,616):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'8_7':0.0},(100,615):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(100,614):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(100,613):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0},(100,612):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(100,611):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(100,610):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(100,609):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(100,608):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(100,607):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(100,606):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0},(100,605):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(100,604):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(100,603):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0},(100,602):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(100,601):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,600):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(100,599):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0},(100,598):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_2':0.0},(100,597):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_2':0.0,'8_7':0.0},(100,596):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(100,595):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(100,594):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(100,593):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_19':0.0},(100,592):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(100,591):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(100,590):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_2':0.0},(100,589):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(100,588):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0,'8_7':0.0},(100,587):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_3':0.0},(100,586):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(100,585):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(100,584):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_13':0.0,'-3':0.0},(100,583):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(100,582):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(100,581):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(100,580):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(100,579):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(100,578):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'8_13':0.0},(100,577):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(100,576):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_7':0.0},(100,575):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(100,574):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_2':0.0},(100,573):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(100,572):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(100,571):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0},(100,570):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(100,569):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(100,568):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(100,567):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(100,566):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(100,565):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(100,564):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'6_1':0.0},(100,563):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_6':0.0},(100,562):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(100,561):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(100,560):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,559):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(100,558):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(100,557):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_4':0.0},(100,556):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(100,555):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(100,554):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(100,553):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(100,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(100,551):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(100,550):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(100,549):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0},(100,548):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(100,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(100,546):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(100,545):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(100,544):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(100,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(100,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(100,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(100,540):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(100,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(100,538):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(100,537):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(100,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(100,535):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,534):{'3_1':0.18,'5_2':0.0},(100,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(100,532):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(100,531):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(100,530):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(100,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(100,528):{'3_1':0.12,'5_1':0.0},(100,527):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(100,526):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(100,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(100,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(100,523):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(100,522):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(100,521):{'3_1':0.06,'5_1':0.0},(100,520):{'3_1':0.12,'5_2':0.0},(100,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(100,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(100,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,516):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(100,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(100,514):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(100,513):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(100,512):{'3_1':0.09,'4_1':0.0},(100,511):{'3_1':0.09},(100,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(100,509):{'3_1':0.09,'5_1':0.0},(100,508):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(100,507):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(100,506):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(100,505):{'3_1':0.12,'4_1':0.0},(100,504):{'3_1':0.0,'5_2':0.0},(100,503):{'3_1':0.06},(100,502):{'3_1':0.06},(100,501):{'3_1':0.03,'4_1':0.0},(100,500):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(100,498):{'3_1':0.03,'5_1':0.0},(100,497):{'3_1':0.0,'4_1':0.0},(100,496):{'3_1':0.06,'5_1':0.0},(100,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(100,494):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(100,493):{'3_1':0.06,'4_1':0.0},(100,492):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(100,491):{'3_1':0.03,'5_1':0.03},(100,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(100,489):{'3_1':0.06,'4_1':0.0},(100,488):{'3_1':0.03,'5_2':0.0},(100,487):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(100,486):{'3_1':0.12},(100,485):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(100,484):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(100,483):{'3_1':0.12,'7_1':0.0},(100,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(100,481):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(100,480):{'3_1':0.03,'5_1':0.0},(100,479):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(100,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(100,477):{'3_1':0.03,'4_1':0.0},(100,476):{'3_1':0.06},(100,475):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(100,474):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(100,473):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(100,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(100,471):{'3_1':0.06},(100,470):{'3_1':0.0,'4_1':0.0},(100,469):{'3_1':0.06,'4_1':0.0},(100,468):{'3_1':0.03,'4_1':0.0},(100,467):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(100,466):{'3_1':0.03,'4_1':0.0},(100,465):{'3_1':0.0},(100,464):{'3_1':0.03,'7_1':0.0},(100,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,462):{'3_1':0.03},(100,461):{'3_1':0.03,'4_1':0.0},(100,460):{'3_1':0.0,'4_1':0.0},(100,459):{'3_1':0.03},(100,458):{'3_1':0.03,'4_1':0.0},(100,457):{'3_1':0.0,'5_2':0.0,'6_3':0.0},(100,456):{'3_1':0.0,'4_1':0.0},(100,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,454):{'3_1':0.06,'4_1':0.0},(100,453):{'3_1':0.03,'4_1':0.0},(100,452):{'3_1':0.03},(100,451):{'3_1':0.06,'4_1':0.0},(100,450):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(100,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,448):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(100,447):{'3_1':0.03},(100,446):{'3_1':0.0,'4_1':0.0},(100,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(100,444):{'3_1':0.0},(100,443):{'3_1':0.03},(100,442):{'3_1':0.0},(100,441):{'3_1':0.06,'6_1':0.0},(100,440):{'3_1':0.0},(100,439):{'3_1':0.0,'4_1':0.0},(100,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,437):{'4_1':0.03,'3_1':0.0},(100,436):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(100,435):{'3_1':0.03,'4_1':0.0},(100,434):{'3_1':0.03},(100,433):{'3_1':0.0,'4_1':0.0},(100,432):{'3_1':0.03,'4_1':0.0},(100,431):{'4_1':0.0,'3_1':0.0},(100,430):{'3_1':0.03,'4_1':0.0},(100,429):{'3_1':0.0,'4_1':0.0},(100,428):{'4_1':0.03,'3_1':0.03},(100,427):{'3_1':0.0,'4_1':0.0},(100,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(100,425):{'3_1':0.03,'4_1':0.0},(100,424):{'3_1':0.0,'4_1':0.0},(100,423):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(100,422):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(100,421):{'3_1':0.03,'4_1':0.0},(100,420):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(100,419):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(100,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,417):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(100,416):{'3_1':0.0,'4_1':0.0},(100,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,414):{'3_1':0.03,'4_1':0.0},(100,413):{'4_1':0.0,'3_1':0.0},(100,412):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(100,411):{'4_1':0.0,'3_1':0.0},(100,410):{'3_1':0.0},(100,409):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(100,407):{'3_1':0.0,'4_1':0.0},(100,406):{'3_1':0.0},(100,405):{'3_1':0.0},(100,404):{'3_1':0.0},(100,403):{'3_1':0.0},(100,402):{'3_1':0.0},(100,401):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(100,400):{'3_1':0.03},(100,399):{'3_1':0.03},(100,398):{'4_1':0.0,'3_1':0.0},(100,397):{'3_1':0.0},(100,396):{'3_1':0.0},(100,395):{'3_1':0.03,'6_1':0.0},(100,394):{'3_1':0.0},(100,393):{'3_1':0.0,'4_1':0.0},(100,392):{'3_1':0.0,'4_1':0.0},(100,391):{'3_1':0.0},(100,390):{'3_1':0.03},(100,389):{'3_1':0.03,'4_1':0.0},(100,388):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(100,387):{'3_1':0.03},(100,386):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,385):{'3_1':0.0},(100,384):{'3_1':0.0},(100,383):{'3_1':0.0,'4_1':0.0},(100,382):{'3_1':0.0},(100,381):{'3_1':0.03,'5_1':0.0},(100,380):{'3_1':0.0},(100,379):{'3_1':0.0,'5_1':0.0},(100,378):{'3_1':0.03,'5_1':0.0},(100,377):{'3_1':0.06},(100,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(100,375):{'3_1':0.03},(100,374):{'3_1':0.0,'5_2':0.0},(100,373):{'3_1':0.03},(100,372):{'3_1':0.03},(100,371):{'3_1':0.0,'5_2':0.0},(100,370):{'3_1':0.03},(100,369):{'3_1':0.0},(100,368):{'3_1':0.03},(100,367):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(100,366):{'3_1':0.06},(100,365):{'3_1':0.03},(100,364):{'3_1':0.03},(100,363):{'3_1':0.03},(100,362):{'3_1':0.03,'5_1':0.0},(100,361):{'3_1':0.03},(100,360):{'3_1':0.0},(100,359):{'3_1':0.0,'5_1':0.0},(100,358):{'3_1':0.0},(100,357):{'3_1':0.03},(100,356):{'3_1':0.0,'5_1':0.0},(100,355):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(100,354):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(100,353):{'3_1':0.03,'5_1':0.0},(100,352):{'3_1':0.0},(100,351):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(100,350):{'3_1':0.03},(100,349):{'3_1':0.03,'4_1':0.0},(100,348):{'3_1':0.0,'5_1':0.0},(100,347):{'3_1':0.0},(100,346):{'3_1':0.0,'4_1':0.0},(100,345):{'3_1':0.03,'5_2':0.0},(100,344):{'3_1':0.0},(100,343):{'3_1':0.0},(100,342):{'3_1':0.0},(100,341):{'3_1':0.0},(100,340):{'3_1':0.0},(100,339):{'3_1':0.0,'7_3':0.0},(100,338):{'3_1':0.09,'7_1':0.0},(100,337):{'3_1':0.03},(100,336):{'3_1':0.0},(100,335):{'3_1':0.0},(100,334):{'3_1':0.0},(100,333):{'3_1':0.03},(100,332):{'3_1':0.03},(100,331):{'3_1':0.03,'5_1':0.0},(100,330):{'3_1':0.03},(100,329):{'3_1':0.0},(100,328):{'3_1':0.0,'4_1':0.0},(100,327):{'3_1':0.0},(100,326):{'3_1':0.03,'5_1':0.0},(100,325):{'3_1':0.03,'5_1':0.0},(100,324):{'3_1':0.03,'4_1':0.0},(100,323):{'3_1':0.0},(100,322):{'3_1':0.0},(100,321):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,320):{'3_1':0.0},(100,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,318):{'3_1':0.0},(100,317):{'3_1':0.03,'4_1':0.0},(100,316):{'3_1':0.03},(100,315):{'3_1':0.03},(100,314):{'3_1':0.03,'4_1':0.0},(100,313):{'3_1':0.0},(100,312):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(100,311):{'3_1':0.03,'4_1':0.0},(100,310):{'3_1':0.0},(100,309):{'3_1':0.0},(100,308):{'3_1':0.03},(100,307):{'3_1':0.06},(100,306):{'3_1':0.03},(100,305):{'3_1':0.03,'4_1':0.0},(100,304):{'3_1':0.03},(100,303):{'3_1':0.0,'5_1':0.0},(100,302):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(100,301):{'3_1':0.0},(100,300):{'3_1':0.0},(100,299):{'3_1':0.0},(100,298):{'3_1':0.0,'4_1':0.0},(100,297):{'3_1':0.0},(100,296):{'3_1':0.03},(100,295):{'3_1':0.03,'7_1':0.0},(100,294):{'3_1':0.0,'4_1':0.0},(100,293):{'3_1':0.0},(100,292):{'3_1':0.0},(100,291):{'3_1':0.0},(100,290):{'3_1':0.03},(100,289):{'3_1':0.0},(100,288):{'3_1':0.0,'4_1':0.0},(100,287):{'3_1':0.0},(100,286):{'3_1':0.0,'4_1':0.0},(100,284):{'4_1':0.0},(100,283):{'3_1':0.03},(100,282):{'3_1':0.03,'4_1':0.0},(100,281):{'3_1':0.0,'5_2':0.0},(100,280):{'3_1':0.03},(100,279):{'3_1':0.0,'4_1':0.0},(100,278):{'4_1':0.0},(100,277):{'3_1':0.03,'5_2':0.0},(100,276):{'3_1':0.0,'4_1':0.0},(100,275):{'3_1':0.0},(100,274):{'3_1':0.03,'5_2':0.0},(100,273):{'3_1':0.03,'4_1':0.0},(100,272):{'3_1':0.03},(100,271):{'3_1':0.03},(100,270):{'3_1':0.0},(100,269):{'5_1':0.0},(100,268):{'3_1':0.0,'4_1':0.0},(100,267):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(100,265):{'3_1':0.0},(100,264):{'3_1':0.0},(100,263):{'3_1':0.0},(100,261):{'3_1':0.0,'4_1':0.0},(100,260):{'3_1':0.03,'5_2':0.0},(100,259):{'3_1':0.0,'4_1':0.0},(100,258):{'3_1':0.03,'4_1':0.0},(100,257):{'3_1':0.0},(100,256):{'3_1':0.0,'7_1':0.0},(100,255):{'3_1':0.0},(100,254):{'3_1':0.0},(100,253):{'3_1':0.03,'4_1':0.0},(100,252):{'3_1':0.0,'4_1':0.0},(100,251):{'3_1':0.03},(100,250):{'3_1':0.03},(100,248):{'3_1':0.0},(100,247):{'3_1':0.0,'4_1':0.0},(100,246):{'4_1':0.0,'5_1':0.0},(100,245):{'3_1':0.03},(100,244):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(100,243):{'3_1':0.0,'5_1':0.0},(100,242):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,241):{'4_1':0.0,'3_1':0.0},(100,240):{'3_1':0.03},(100,239):{'4_1':0.0,'3_1':0.0},(100,238):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(100,237):{'3_1':0.03,'4_1':0.0},(100,236):{'3_1':0.0},(100,235):{'3_1':0.0,'4_1':0.0},(100,234):{'3_1':0.0},(100,233):{'3_1':0.0},(100,232):{'3_1':0.0,'5_1':0.0},(100,231):{'3_1':0.03,'4_1':0.0},(100,230):{'3_1':0.0},(100,229):{'3_1':0.0,'4_1':0.0},(100,228):{'3_1':0.0},(100,227):{'4_1':0.0},(100,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(100,225):{'3_1':0.0},(100,224):{'3_1':0.0},(100,223):{'3_1':0.0},(100,222):{'3_1':0.0,'7_1':0.0},(100,221):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,220):{'3_1':0.0,'5_2':0.0},(100,219):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(100,217):{'4_1':0.0},(100,216):{'3_1':0.03},(100,215):{'3_1':0.0},(100,213):{'3_1':0.0},(100,206):{'3_1':0.0},(100,205):{'3_1':0.0,'4_1':0.0},(100,204):{'3_1':0.0},(100,203):{'4_1':0.0},(100,202):{'3_1':0.0},(100,201):{'3_1':0.0},(100,200):{'3_1':0.0},(100,199):{'3_1':0.0},(100,198):{'3_1':0.0},(100,197):{'3_1':0.0},(100,196):{'3_1':0.0},(100,195):{'3_1':0.0},(100,194):{'3_1':0.0},(100,193):{'3_1':0.0,'5_1':0.0},(100,192):{'3_1':0.0},(100,191):{'3_1':0.0},(100,190):{'3_1':0.03},(100,189):{'3_1':0.0},(100,188):{'3_1':0.0},(100,187):{'3_1':0.0},(100,186):{'3_1':0.0},(100,184):{'3_1':0.0},(100,183):{'3_1':0.0,'5_2':0.0},(100,182):{'3_1':0.0},(100,181):{'3_1':0.0},(100,180):{'3_1':0.0},(100,179):{'3_1':0.0},(100,178):{'3_1':0.0},(100,176):{'3_1':0.03},(100,175):{'3_1':0.0},(100,174):{'3_1':0.0},(100,173):{'3_1':0.03},(100,172):{'3_1':0.0},(100,171):{'3_1':0.0},(100,170):{'3_1':0.0},(100,169):{'3_1':0.0},(100,167):{'3_1':0.03},(100,166):{'3_1':0.03},(100,165):{'3_1':0.0},(100,164):{'3_1':0.0},(100,163):{'3_1':0.0},(100,162):{'3_1':0.03},(100,161):{'3_1':0.03},(100,160):{'3_1':0.0},(100,159):{'3_1':0.0},(100,158):{'3_1':0.0,'5_1':0.0},(100,157):{'3_1':0.0},(100,156):{'3_1':0.0},(100,155):{'3_1':0.0},(100,154):{'3_1':0.0},(100,153):{'3_1':0.0},(100,151):{'3_1':0.0},(100,150):{'3_1':0.0},(100,149):{'3_1':0.03},(100,147):{'3_1':0.0},(100,146):{'3_1':0.0},(100,143):{'5_2':0.0},(100,122):{'3_1':0.0},(100,121):{'3_1':0.0},(100,119):{'3_1':0.0},(100,118):{'3_1':0.0},(100,116):{'3_1':0.0},(100,107):{'3_1':0.0},(101,727):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(101,726):{'5_2':0.39,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(101,725):{'5_2':0.39,'7_3':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0},(101,724):{'5_2':0.39,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'7_1':0.0,'8_3':0.0,'8_13':0.0},(101,723):{'5_2':0.39,'7_5':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(101,722):{'5_2':0.33,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(101,721):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'1':-0.03},(101,720):{'5_2':0.33,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'3_1#5_2':0.0,'8_8':0.0,'1':-0.03},(101,719):{'5_2':0.45,'-3':0.09,'7_5':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(101,718):{'5_2':0.48,'7_5':0.06,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0},(101,717):{'5_2':0.39,'-3':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'8_14':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(101,716):{'5_2':0.51,'7_2':0.09,'-3':0.09,'7_3':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(101,715):{'5_2':0.45,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0},(101,714):{'5_2':0.33,'-3':0.06,'7_3':0.06,'7_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(101,713):{'5_2':0.42,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(101,712):{'5_2':0.3,'7_5':0.09,'-3':0.06,'7_3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'8_14':0.0},(101,711):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(101,710):{'5_2':0.24,'-3':0.15,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(101,709):{'5_2':0.39,'-3':0.12,'7_3':0.06,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(101,708):{'5_2':0.45,'-3':0.12,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_2':0.0},(101,707):{'5_2':0.36,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(101,706):{'5_2':0.36,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_5':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_14':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(101,705):{'5_2':0.45,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0},(101,704):{'5_2':0.33,'7_3':0.06,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.0,'7_5':0.0,'7_4':0.0},(101,703):{'5_2':0.27,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.03,'7_4':0.03,'3_1#5_2':0.0,'7_5':0.0,'6_1':0.0,'8_6':0.0,'8_14':0.0},(101,702):{'5_2':0.39,'7_3':0.06,'-3':0.03,'6_1':0.03,'7_6':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0},(101,701):{'5_2':0.3,'-3':0.09,'7_3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0},(101,700):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0},(101,699):{'5_2':0.33,'3_1':0.09,'7_5':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(101,698):{'5_2':0.27,'-3':0.09,'7_3':0.09,'7_6':0.03,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(101,697):{'5_2':0.33,'-3':0.09,'3_1':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(101,696):{'5_2':0.27,'-3':0.15,'3_1':0.12,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(101,695):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(101,694):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'8_11':0.0},(101,693):{'5_2':0.3,'-3':0.15,'3_1':0.09,'7_3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0},(101,692):{'5_2':0.3,'3_1':0.09,'-3':0.09,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(101,691):{'5_2':0.36,'3_1':0.12,'5_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(101,690):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(101,689):{'5_2':0.21,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(101,688):{'5_2':0.27,'3_1':0.09,'7_2':0.09,'7_3':0.09,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(101,687):{'5_2':0.3,'3_1':0.12,'7_2':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(101,686):{'5_2':0.33,'3_1':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'8_11':0.0,'7_5':0.0},(101,685):{'5_2':0.24,'3_1':0.24,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(101,684):{'5_2':0.24,'3_1':0.18,'5_1':0.06,'7_5':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_2':0.0},(101,683):{'3_1':0.24,'5_2':0.24,'7_3':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(101,682):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(101,681):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(101,680):{'3_1':0.3,'5_2':0.18,'7_3':0.06,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(101,679):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(101,678):{'3_1':0.33,'5_2':0.18,'7_4':0.15,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(101,677):{'5_2':0.24,'3_1':0.18,'4_1':0.06,'5_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(101,676):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(101,675):{'3_1':0.36,'5_2':0.21,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(101,674):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(101,673):{'3_1':0.33,'5_2':0.15,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(101,672):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(101,671):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(101,670):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,669):{'3_1':0.39,'5_2':0.15,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_13':0.0,'-3':0.0},(101,668):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(101,667):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(101,666):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(101,665):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(101,664):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(101,663):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(101,662):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(101,661):{'3_1':0.33,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(101,660):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(101,659):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(101,658):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,657):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(101,656):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,655):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(101,654):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(101,653):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'8_19':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(101,652):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(101,651):{'3_1':0.3,'5_2':0.15,'5_1':0.12,'4_1':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(101,650):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(101,649):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'8_19':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(101,648):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0},(101,647):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_19':0.0,'-3':0.0,'6_3':0.0,'3_1#5_1':0.0},(101,646):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0},(101,645):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(101,644):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(101,643):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(101,642):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_19':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(101,641):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(101,640):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(101,639):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(101,638):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(101,637):{'3_1':0.15,'5_2':0.09,'5_1':0.09,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(101,636):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0},(101,635):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(101,634):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0},(101,633):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_7':0.0,'3_1#5_2':0.0},(101,632):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(101,631):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(101,630):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(101,629):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(101,628):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(101,627):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(101,626):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(101,625):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'7_1':0.0},(101,624):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_7':0.0,'-3':0.0},(101,623):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_7':0.0},(101,622):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(101,621):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(101,620):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(101,619):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(101,618):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(101,617):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_16':0.0},(101,616):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_3':0.0,'8_7':0.0},(101,615):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(101,614):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(101,613):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_10':0.0},(101,612):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'8_19':0.0},(101,611):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(101,610):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0,'8_19':0.0,'7_3':0.0,'7_7':0.0},(101,609):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(101,608):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0},(101,607):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(101,606):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(101,605):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0},(101,604):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(101,603):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(101,602):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(101,601):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(101,600):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0},(101,599):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(101,598):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(101,597):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0},(101,596):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(101,595):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(101,594):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_3':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(101,593):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(101,592):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(101,591):{'3_1':0.24,'4_1':0.06,'5_1':0.06,'7_4':0.0,'5_2':0.0,'-3':0.0},(101,590):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(101,589):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(101,588):{'3_1':0.18,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0},(101,587):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(101,586):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(101,585):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(101,584):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(101,583):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(101,582):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(101,581):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(101,580):{'4_1':0.09,'3_1':0.09,'8_21|3_1#4_1':0.0,'5_2':0.0,'-3':0.0},(101,579):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(101,578):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0},(101,577):{'3_1':0.15,'4_1':0.03,'8_19':0.0,'5_2':0.0,'3_1#5_1':0.0},(101,576):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'-3':0.0},(101,575):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(101,574):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(101,573):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(101,572):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(101,571):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(101,570):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0},(101,569):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(101,568):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(101,567):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(101,566):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(101,565):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(101,564):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0},(101,563):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(101,562):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(101,561):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(101,560):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0},(101,559):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_8':0.0},(101,558):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'7_3':0.0},(101,557):{'3_1':0.18,'5_2':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(101,556):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0},(101,555):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,554):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(101,553):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(101,552):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(101,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(101,550):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(101,549):{'3_1':0.18,'5_2':0.03},(101,548):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(101,547):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(101,546):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(101,545):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,544):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(101,543):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(101,542):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(101,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(101,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(101,539):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(101,538):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0},(101,537):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(101,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(101,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0},(101,534):{'3_1':0.18,'5_2':0.0,'7_3':0.0},(101,533):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(101,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,531):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(101,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(101,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(101,528):{'3_1':0.18,'5_2':0.0},(101,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(101,526):{'3_1':0.15,'7_3':0.0,'8_20|3_1#3_1':0.0},(101,525):{'3_1':0.15,'4_1':0.0,'7_1':0.0,'7_3':0.0},(101,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(101,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(101,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(101,521):{'3_1':0.15,'4_1':0.0},(101,520):{'3_1':0.06,'5_2':0.0},(101,519):{'3_1':0.06,'5_2':0.0},(101,518):{'3_1':0.06,'5_2':0.0},(101,517):{'3_1':0.06,'5_2':0.0},(101,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(101,515):{'3_1':0.06,'5_2':0.0},(101,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(101,513):{'3_1':0.03,'4_1':0.0,'8_6':0.0},(101,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,511):{'4_1':0.03,'3_1':0.03,'-3':0.0},(101,510):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(101,509):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(101,508):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(101,507):{'3_1':0.03,'4_1':0.0},(101,506):{'3_1':0.06,'4_1':0.0},(101,505):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(101,504):{'3_1':0.03},(101,503):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(101,502):{'3_1':0.03,'4_1':0.0},(101,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,500):{'3_1':0.03,'5_2':0.0},(101,499):{'3_1':0.03},(101,498):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(101,497):{'3_1':0.06,'5_2':0.0},(101,496):{'3_1':0.06,'4_1':0.0},(101,495):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(101,494):{'3_1':0.03},(101,493):{'3_1':0.06,'5_2':0.0},(101,492):{'3_1':0.06},(101,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(101,490):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(101,489):{'3_1':0.12,'4_1':0.0},(101,488):{'3_1':0.06,'5_1':0.0},(101,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,486):{'3_1':0.06,'5_1':0.0},(101,485):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(101,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(101,483):{'3_1':0.09,'5_1':0.0},(101,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(101,481):{'3_1':0.06,'4_1':0.0},(101,480):{'3_1':0.06},(101,479):{'3_1':0.12,'7_1':0.0},(101,478):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(101,477):{'3_1':0.03,'5_1':0.0},(101,476):{'3_1':0.03,'4_1':0.0},(101,475):{'3_1':0.06,'5_2':0.0},(101,474):{'3_1':0.06,'4_1':0.0},(101,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(101,472):{'3_1':0.09},(101,471):{'3_1':0.06,'4_1':0.0},(101,470):{'3_1':0.09,'5_1':0.0},(101,469):{'3_1':0.06},(101,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,467):{'3_1':0.09},(101,466):{'3_1':0.03},(101,465):{'3_1':0.0},(101,464):{'3_1':0.03,'4_1':0.0},(101,463):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(101,462):{'3_1':0.03},(101,461):{'3_1':0.03},(101,460):{'3_1':0.03,'4_1':0.0},(101,459):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(101,458):{'3_1':0.03},(101,457):{'3_1':0.09,'4_1':0.0},(101,456):{'3_1':0.0,'4_1':0.0},(101,455):{'3_1':0.03},(101,454):{'3_1':0.03,'4_1':0.0},(101,453):{'3_1':0.0,'4_1':0.0},(101,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(101,451):{'3_1':0.03,'4_1':0.0},(101,450):{'3_1':0.0},(101,449):{'3_1':0.03,'4_1':0.0},(101,448):{'3_1':0.03},(101,447):{'3_1':0.03,'4_1':0.0},(101,446):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(101,445):{'3_1':0.0,'6_1':0.0},(101,444):{'3_1':0.03,'4_1':0.0},(101,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(101,442):{'3_1':0.03,'4_1':0.0},(101,441):{'3_1':0.0},(101,440):{'3_1':0.0},(101,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,438):{'3_1':0.0,'5_1':0.0},(101,437):{'3_1':0.03,'4_1':0.0},(101,436):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(101,435):{'3_1':0.06,'4_1':0.0},(101,434):{'3_1':0.0},(101,433):{'3_1':0.03,'4_1':0.0},(101,432):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(101,431):{'3_1':0.0,'4_1':0.0},(101,430):{'3_1':0.0,'4_1':0.0},(101,429):{'3_1':0.0,'4_1':0.0},(101,428):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(101,427):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(101,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(101,425):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(101,424):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(101,423):{'3_1':0.06,'4_1':0.03},(101,422):{'3_1':0.03,'4_1':0.03},(101,421):{'3_1':0.0,'4_1':0.0},(101,420):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(101,419):{'3_1':0.0,'4_1':0.0},(101,418):{'3_1':0.06,'4_1':0.0},(101,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,416):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(101,415):{'3_1':0.0},(101,414):{'4_1':0.0,'3_1':0.0},(101,413):{'3_1':0.0,'4_1':0.0},(101,412):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(101,411):{'3_1':0.0,'4_1':0.0},(101,410):{'3_1':0.0,'4_1':0.0},(101,409):{'3_1':0.0},(101,408):{'3_1':0.0,'5_1':0.0},(101,407):{'3_1':0.03},(101,406):{'3_1':0.0,'5_2':0.0},(101,405):{'3_1':0.0},(101,404):{'3_1':0.0},(101,403):{'3_1':0.0},(101,402):{'3_1':0.0},(101,401):{'3_1':0.03},(101,400):{'3_1':0.03},(101,399):{'3_1':0.0},(101,398):{'4_1':0.0,'3_1':0.0},(101,397):{'3_1':0.0,'6_1':0.0},(101,396):{'3_1':0.03},(101,395):{'3_1':0.03},(101,394):{'3_1':0.0,'8_1':0.0},(101,393):{'3_1':0.03},(101,392):{'3_1':0.0},(101,391):{'3_1':0.0},(101,390):{'3_1':0.0,'5_1':0.0},(101,389):{'3_1':0.0},(101,388):{'3_1':0.0,'4_1':0.0},(101,387):{'3_1':0.03},(101,386):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,384):{'3_1':0.0,'5_2':0.0},(101,383):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(101,382):{'3_1':0.0},(101,381):{'3_1':0.03,'5_1':0.0},(101,380):{'3_1':0.03},(101,379):{'3_1':0.06},(101,378):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(101,377):{'3_1':0.0,'4_1':0.0},(101,375):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(101,374):{'3_1':0.03,'5_1':0.0},(101,373):{'3_1':0.0},(101,372):{'3_1':0.0},(101,371):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(101,370):{'3_1':0.06,'5_2':0.0},(101,369):{'3_1':0.03,'7_1':0.0},(101,368):{'3_1':0.0,'5_1':0.0},(101,367):{'3_1':0.03},(101,366):{'3_1':0.03},(101,365):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,364):{'3_1':0.03},(101,363):{'3_1':0.03},(101,362):{'3_1':0.0},(101,361):{'3_1':0.06,'4_1':0.0},(101,360):{'3_1':0.03,'5_1':0.0},(101,359):{'3_1':0.03},(101,358):{'3_1':0.03},(101,357):{'3_1':0.0},(101,356):{'3_1':0.03},(101,355):{'4_1':0.0},(101,354):{'3_1':0.03,'4_1':0.0},(101,353):{'3_1':0.03,'6_1':0.0},(101,352):{'3_1':0.03,'5_1':0.0},(101,351):{'3_1':0.03},(101,350):{'3_1':0.03},(101,349):{'3_1':0.03},(101,348):{'3_1':0.0},(101,347):{'3_1':0.0},(101,346):{'3_1':0.0},(101,345):{'3_1':0.03},(101,344):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(101,343):{'3_1':0.03},(101,342):{'3_1':0.0,'5_1':0.0},(101,341):{'3_1':0.0,'5_1':0.0},(101,340):{'3_1':0.0},(101,338):{'3_1':0.0,'9_1':0.0},(101,337):{'3_1':0.0},(101,336):{'3_1':0.0},(101,335):{'3_1':0.0},(101,334):{'3_1':0.03},(101,333):{'3_1':0.0},(101,332):{'3_1':0.0},(101,331):{'3_1':0.0},(101,330):{'3_1':0.0},(101,329):{'4_1':0.0},(101,328):{'3_1':0.0,'4_1':0.0},(101,327):{'3_1':0.0},(101,326):{'3_1':0.03,'4_1':0.0},(101,325):{'3_1':0.0,'4_1':0.0},(101,324):{'3_1':0.0},(101,323):{'5_1':0.0},(101,322):{'3_1':0.06},(101,321):{'3_1':0.0,'4_1':0.0},(101,320):{'3_1':0.03},(101,319):{'3_1':0.03,'4_1':0.0},(101,318):{'3_1':0.03,'4_1':0.0},(101,317):{'3_1':0.0},(101,316):{'3_1':0.03},(101,315):{'3_1':0.0},(101,314):{'3_1':0.0,'3_1#5_2':0.0},(101,312):{'3_1':0.03},(101,310):{'3_1':0.0},(101,309):{'3_1':0.0},(101,308):{'3_1':0.06},(101,307):{'3_1':0.03},(101,306):{'3_1':0.0},(101,305):{'3_1':0.0},(101,304):{'3_1':0.03},(101,303):{'3_1':0.0,'5_1':0.0},(101,302):{'3_1':0.06},(101,301):{'3_1':0.03},(101,300):{'3_1':0.03},(101,299):{'3_1':0.0,'4_1':0.0},(101,298):{'3_1':0.0,'4_1':0.0},(101,297):{'3_1':0.0,'4_1':0.0},(101,295):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(101,294):{'3_1':0.0},(101,293):{'3_1':0.0},(101,292):{'3_1':0.0},(101,291):{'3_1':0.03,'4_1':0.0},(101,290):{'3_1':0.0},(101,289):{'3_1':0.06},(101,288):{'4_1':0.0},(101,287):{'3_1':0.0},(101,286):{'3_1':0.0},(101,285):{'3_1':0.0,'6_2':0.0},(101,284):{'3_1':0.03},(101,283):{'3_1':0.0},(101,282):{'3_1':0.03,'5_1':0.0},(101,281):{'3_1':0.0},(101,280):{'3_1':0.0},(101,279):{'3_1':0.03},(101,278):{'3_1':0.03},(101,277):{'3_1':0.03,'4_1':0.0},(101,276):{'3_1':0.03,'5_1':0.0},(101,275):{'3_1':0.0,'4_1':0.0},(101,274):{'3_1':0.03,'5_2':0.0},(101,273):{'3_1':0.03},(101,272):{'3_1':0.0,'4_1':0.0},(101,271):{'3_1':0.0},(101,270):{'3_1':0.0},(101,269):{'3_1':0.03},(101,268):{'3_1':0.0,'5_2':0.0},(101,267):{'3_1':0.0},(101,266):{'3_1':0.0},(101,265):{'3_1':0.03},(101,264):{'3_1':0.0,'4_1':0.0},(101,263):{'3_1':0.03},(101,262):{'3_1':0.03},(101,261):{'3_1':0.0,'4_1':0.0},(101,260):{'3_1':0.0,'5_1':0.0},(101,259):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(101,258):{'3_1':0.0,'4_1':0.0},(101,257):{'5_2':0.0},(101,256):{'4_1':0.0},(101,254):{'3_1':0.0,'4_1':0.0},(101,253):{'3_1':0.0},(101,252):{'3_1':0.0},(101,250):{'4_1':0.0},(101,249):{'3_1':0.0},(101,248):{'3_1':0.0},(101,247):{'3_1':0.0},(101,246):{'3_1':0.0},(101,245):{'5_1':0.0},(101,244):{'3_1':0.0,'4_1':0.0},(101,243):{'4_1':0.0},(101,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(101,240):{'3_1':0.0},(101,239):{'3_1':0.0,'4_1':0.0},(101,238):{'3_1':0.0,'4_1':0.0},(101,237):{'3_1':0.03,'4_1':0.03,'7_1':0.0},(101,236):{'3_1':0.0,'5_2':0.0},(101,235):{'3_1':0.0},(101,234):{'3_1':0.0,'4_1':0.0},(101,233):{'4_1':0.0},(101,232):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,230):{'3_1':0.0,'4_1':0.0},(101,229):{'3_1':0.0},(101,228):{'5_1':0.0},(101,227):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(101,226):{'3_1':0.03},(101,225):{'3_1':0.0},(101,224):{'3_1':0.0},(101,223):{'3_1':0.0},(101,222):{'4_1':0.0},(101,221):{'3_1':0.0,'4_1':0.0},(101,220):{'3_1':0.0},(101,219):{'3_1':0.0},(101,217):{'3_1':0.0},(101,216):{'3_1':0.0},(101,215):{'4_1':0.0},(101,214):{'4_1':0.0,'3_1':0.0},(101,203):{'3_1':0.0},(101,202):{'3_1':0.0},(101,201):{'3_1':0.0,'4_1':0.0},(101,200):{'3_1':0.0,'4_1':0.0},(101,199):{'3_1':0.0},(101,196):{'3_1':0.0},(101,195):{'3_1':0.0},(101,194):{'3_1':0.0},(101,193):{'3_1':0.0,'5_1':0.0},(101,192):{'3_1':0.0},(101,191):{'3_1':0.0},(101,190):{'3_1':0.0},(101,189):{'3_1':0.0},(101,188):{'3_1':0.0},(101,187):{'3_1':0.0},(101,186):{'3_1':0.0},(101,183):{'3_1':0.0},(101,182):{'3_1':0.0},(101,181):{'5_2':0.0},(101,180):{'3_1':0.0,'5_2':0.0},(101,175):{'3_1':0.0},(101,173):{'3_1':0.0},(101,172):{'3_1':0.0},(101,171):{'3_1':0.0},(101,170):{'3_1':0.0},(101,169):{'3_1':0.0},(101,168):{'3_1':0.0,'5_2':0.0},(101,167):{'3_1':0.0},(101,166):{'3_1':0.0},(101,165):{'3_1':0.0},(101,164):{'3_1':0.0},(101,163):{'3_1':0.0},(101,162):{'3_1':0.0},(101,161):{'3_1':0.03},(101,160):{'3_1':0.0},(101,159):{'3_1':0.0},(101,158):{'3_1':0.0},(101,157):{'3_1':0.0},(101,156):{'3_1':0.0},(101,155):{'3_1':0.0},(101,154):{'3_1':0.0},(101,153):{'3_1':0.0},(101,152):{'3_1':0.0},(101,151):{'3_1':0.0},(101,150):{'3_1':0.0},(101,149):{'3_1':0.0},(101,148):{'3_1':0.0},(101,120):{'3_1':0.0},(101,119):{'3_1':0.0},(101,117):{'3_1':0.0},(101,115):{'3_1':0.0},(102,727):{'5_2':0.48,'7_2':0.06,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(102,726):{'5_2':0.42,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(102,725):{'5_2':0.33,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(102,724):{'5_2':0.36,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(102,723):{'5_2':0.36,'7_2':0.06,'-3':0.06,'7_6':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(102,722):{'5_2':0.39,'-3':0.09,'7_5':0.06,'7_6':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0},(102,721):{'5_2':0.45,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'3_1':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(102,720):{'5_2':0.42,'7_5':0.06,'-3':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0},(102,719):{'5_2':0.48,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'8_11':0.0,'8_13':0.0},(102,718):{'5_2':0.42,'7_3':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0,'8_1':0.0},(102,717):{'5_2':0.33,'6_1':0.09,'7_5':0.06,'-3':0.06,'7_2':0.06,'7_3':0.06,'3_1':0.03,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0},(102,716):{'5_2':0.39,'7_2':0.09,'6_1':0.06,'7_3':0.03,'-3':0.03,'3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(102,715):{'5_2':0.27,'-3':0.06,'7_4':0.06,'6_1':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(102,714):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(102,713):{'5_2':0.39,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(102,712):{'5_2':0.36,'-3':0.09,'7_3':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_6':0.0,'4_1':0.0,'8_11':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(102,711):{'5_2':0.3,'-3':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(102,710):{'5_2':0.36,'-3':0.12,'7_5':0.06,'3_1':0.06,'7_2':0.03,'7_6':0.0,'8_6':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_3':0.0,'8_15':0.0,'3_1#5_2':0.0},(102,709):{'5_2':0.24,'-3':0.24,'7_5':0.09,'7_2':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(102,708):{'5_2':0.36,'-3':0.06,'7_5':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0},(102,707):{'5_2':0.45,'-3':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(102,706):{'5_2':0.24,'3_1':0.12,'7_5':0.09,'7_2':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(102,705):{'5_2':0.33,'7_2':0.09,'7_5':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(102,704):{'5_2':0.33,'7_3':0.09,'7_2':0.06,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(102,703):{'5_2':0.39,'7_3':0.06,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(102,702):{'5_2':0.33,'7_3':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_8':0.0,'3_1#5_2':0.0},(102,701):{'5_2':0.36,'-3':0.15,'3_1':0.03,'7_5':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0},(102,700):{'5_2':0.27,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_5':0.0,'8_11':0.0,'6_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(102,699):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'7_3':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_6':0.0},(102,698):{'5_2':0.3,'-3':0.09,'7_2':0.06,'7_6':0.06,'7_3':0.03,'3_1':0.03,'8_11':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(102,697):{'5_2':0.3,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(102,696):{'5_2':0.18,'7_3':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.06,'7_5':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(102,695):{'5_2':0.33,'3_1':0.12,'7_2':0.09,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0,'8_8':0.0},(102,694):{'5_2':0.36,'-3':0.15,'7_3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'1':-0.03},(102,693):{'5_2':0.33,'3_1':0.15,'-3':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(102,692):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(102,691):{'5_2':0.24,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'8_13':0.0},(102,690):{'5_2':0.36,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(102,689):{'5_2':0.21,'3_1':0.18,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(102,688):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'8_14':0.0},(102,687):{'5_2':0.24,'3_1':0.15,'7_2':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0},(102,686):{'5_2':0.27,'3_1':0.18,'7_3':0.06,'-3':0.03,'6_1':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(102,685):{'5_2':0.27,'3_1':0.24,'7_4':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0},(102,684):{'5_2':0.27,'3_1':0.24,'7_3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0},(102,683):{'5_2':0.24,'3_1':0.18,'7_3':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(102,682):{'5_2':0.18,'3_1':0.18,'4_1':0.06,'7_3':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(102,681):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(102,680):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'-3':0.0},(102,679):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'6_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(102,678):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(102,677):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(102,676):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(102,675):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(102,674):{'3_1':0.27,'5_2':0.21,'7_4':0.09,'5_1':0.09,'7_6':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'8_11':0.0},(102,673):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(102,672):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(102,671):{'3_1':0.39,'5_2':0.18,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(102,670):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0},(102,669):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(102,668):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,667):{'3_1':0.24,'5_2':0.21,'7_4':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(102,666):{'3_1':0.33,'5_2':0.27,'5_1':0.03,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'8_1':0.0},(102,665):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'8_13':0.0,'7_2':0.0,'7_7':0.0},(102,664):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(102,663):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(102,662):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(102,661):{'3_1':0.3,'5_2':0.24,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(102,660):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(102,659):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,658):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(102,657):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(102,656):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0},(102,655):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(102,654):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,653):{'3_1':0.36,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0},(102,652):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_5':0.0,'6_3':0.0},(102,651):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_7':0.0},(102,650):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_7':0.0},(102,649):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_4':0.0,'8_16':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(102,648):{'3_1':0.27,'5_2':0.18,'5_1':0.09,'4_1':0.0,'6_3':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(102,647):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'7_3':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(102,646):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(102,645):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(102,644):{'3_1':0.21,'5_1':0.12,'5_2':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(102,643):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(102,642):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(102,641):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'8_19':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,640):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(102,639):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_7':0.0,'8_16':0.0},(102,638):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(102,637):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_7':0.0},(102,636):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(102,635):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_4':0.0,'8_19':0.0},(102,634):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(102,633):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_11':0.0},(102,632):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'8_16':0.0},(102,631):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(102,630):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(102,629):{'3_1':0.21,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(102,628):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(102,627):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,626):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_1':0.0},(102,625):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_7':0.0},(102,624):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(102,623):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'6_3':0.0},(102,622):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_10':0.0,'8_16':0.0,'-3':0.0},(102,621):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_7':0.0,'-3':0.0,'8_7':0.0,'8_19':0.0},(102,620):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(102,619):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(102,618):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_7':0.0,'-3':0.0},(102,617):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(102,616):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(102,615):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_1':0.0,'-3':0.0},(102,614):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0},(102,613):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_19':0.0,'-3':0.0},(102,612):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(102,611):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'8_19':0.0,'4_1':0.0,'7_6':0.0,'8_10':0.0},(102,610):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_3':0.0},(102,609):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(102,608):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(102,607):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0},(102,606):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_7':0.0,'-3':0.0},(102,605):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(102,604):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(102,603):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0},(102,602):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(102,601):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(102,600):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(102,599):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(102,598):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_19':0.0},(102,597):{'3_1':0.18,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(102,596):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(102,595):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(102,594):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(102,593):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0},(102,592):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(102,591):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(102,590):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(102,589):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(102,588):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(102,587):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(102,586):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(102,585):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0},(102,584):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'6_2':0.0,'7_3':0.0},(102,583):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0},(102,582):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_5':0.0},(102,581):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0},(102,580):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(102,579):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'7_4':0.0},(102,578):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(102,577):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(102,576):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(102,575):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'7_5':0.0,'-3':0.0},(102,574):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(102,573):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(102,572):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_3':0.0},(102,571):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(102,570):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(102,569):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0},(102,568):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(102,567):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(102,566):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(102,565):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(102,564):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(102,563):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(102,562):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(102,561):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(102,560):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(102,559):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(102,558):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(102,557):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(102,556):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(102,555):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'8_4':0.0},(102,554):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(102,553):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(102,552):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(102,551):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(102,550):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(102,549):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(102,548):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(102,547):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(102,546):{'3_1':0.24,'5_2':0.03},(102,545):{'3_1':0.3,'5_2':0.0,'4_1':0.0,'5_1':0.0},(102,544):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(102,543):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(102,542):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(102,541):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(102,540):{'3_1':0.27,'4_1':0.03,'5_2':0.0},(102,539):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(102,538):{'3_1':0.24,'5_1':0.0,'8_20|3_1#3_1':0.0},(102,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(102,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(102,535):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(102,534):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(102,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(102,532):{'3_1':0.21,'5_1':0.0,'7_5':0.0,'4_1':0.0},(102,531):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(102,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(102,529):{'3_1':0.09,'5_2':0.03,'6_1':0.0},(102,528):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(102,527):{'3_1':0.12,'5_2':0.0},(102,526):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,524):{'3_1':0.12,'5_2':0.0},(102,523):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(102,522):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(102,521):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(102,520):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(102,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(102,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(102,517):{'3_1':0.12,'4_1':0.0},(102,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(102,515):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(102,514):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(102,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(102,512):{'3_1':0.09,'5_1':0.0},(102,511):{'3_1':0.03},(102,510):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(102,509):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(102,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,507):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(102,506):{'3_1':0.03,'5_2':0.0},(102,505):{'3_1':0.03,'5_1':0.0},(102,504):{'3_1':0.03,'4_1':0.0},(102,503):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(102,502):{'3_1':0.06,'7_5':0.0},(102,501):{'3_1':0.0,'5_2':0.0},(102,500):{'3_1':0.03,'4_1':0.0},(102,499):{'3_1':0.0,'4_1':0.0},(102,498):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(102,497):{'3_1':0.03,'5_2':0.0},(102,496):{'3_1':0.03,'4_1':0.0},(102,495):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(102,494):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(102,493):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(102,492):{'3_1':0.06,'5_1':0.0},(102,491):{'3_1':0.03},(102,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,489):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(102,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,487):{'3_1':0.06,'5_2':0.0},(102,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(102,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(102,484):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(102,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(102,482):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(102,481):{'3_1':0.03,'5_2':0.0},(102,480):{'3_1':0.06},(102,479):{'3_1':0.12,'5_2':0.0},(102,478):{'3_1':0.09,'5_2':0.0},(102,477):{'3_1':0.09,'5_2':0.0},(102,476):{'3_1':0.09},(102,475):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(102,474):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(102,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(102,472):{'3_1':0.06,'5_1':0.0},(102,471):{'3_1':0.09},(102,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(102,469):{'3_1':0.06,'5_1':0.0},(102,468):{'3_1':0.09},(102,467):{'3_1':0.06,'5_2':0.0},(102,466):{'3_1':0.03,'4_1':0.0},(102,465):{'3_1':0.06,'4_1':0.0},(102,464):{'3_1':0.06},(102,463):{'3_1':0.03,'4_1':0.0},(102,462):{'3_1':0.0,'5_1':0.0},(102,461):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(102,460):{'3_1':0.06,'5_2':0.0},(102,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(102,458):{'3_1':0.06,'4_1':0.0},(102,457):{'3_1':0.06},(102,456):{'3_1':0.0,'4_1':0.0},(102,455):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(102,454):{'3_1':0.0,'4_1':0.0},(102,453):{'3_1':0.0},(102,452):{'3_1':0.06,'4_1':0.0},(102,451):{'3_1':0.0,'4_1':0.0},(102,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(102,449):{'4_1':0.0,'3_1':0.0},(102,448):{'3_1':0.03,'4_1':0.0},(102,447):{'4_1':0.0,'6_3':0.0},(102,446):{'4_1':0.0,'3_1':0.0,'7_5':0.0},(102,445):{'3_1':0.0},(102,444):{'3_1':0.06,'4_1':0.0},(102,443):{'3_1':0.0,'4_1':0.0},(102,442):{'3_1':0.0},(102,440):{'3_1':0.03,'6_1':0.0},(102,439):{'3_1':0.0},(102,438):{'3_1':0.03,'4_1':0.0},(102,437):{'3_1':0.03,'4_1':0.0},(102,436):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(102,435):{'3_1':0.0},(102,434):{'3_1':0.0,'7_1':0.0},(102,433):{'3_1':0.03},(102,432):{'3_1':0.03,'4_1':0.0},(102,431):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(102,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0},(102,429):{'3_1':0.0,'4_1':0.0},(102,428):{'3_1':0.06,'4_1':0.0},(102,427):{'4_1':0.0,'5_2':0.0,'6_1':0.0},(102,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(102,425):{'3_1':0.03,'4_1':0.0},(102,424):{'4_1':0.0,'3_1':0.0},(102,423):{'3_1':0.06,'4_1':0.03},(102,422):{'4_1':0.03,'3_1':0.0},(102,421):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(102,420):{'3_1':0.03,'4_1':0.0},(102,419):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(102,418):{'3_1':0.03},(102,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(102,416):{'4_1':0.03,'3_1':0.03},(102,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(102,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(102,413):{'3_1':0.03,'4_1':0.0},(102,412):{'3_1':0.0,'4_1':0.0},(102,411):{'3_1':0.0,'4_1':0.0},(102,410):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(102,409):{'3_1':0.03,'4_1':0.0},(102,408):{'3_1':0.0,'4_1':0.0},(102,407):{'4_1':0.0,'3_1':0.0},(102,406):{'3_1':0.0},(102,405):{'3_1':0.0},(102,404):{'3_1':0.0},(102,403):{'3_1':0.0},(102,402):{'3_1':0.03,'4_1':0.0},(102,401):{'3_1':0.0},(102,400):{'3_1':0.0,'4_1':0.0},(102,399):{'3_1':0.0,'4_1':0.0},(102,398):{'3_1':0.0,'4_1':0.0},(102,397):{'3_1':0.0},(102,396):{'3_1':0.0},(102,394):{'3_1':0.03},(102,393):{'4_1':0.0,'5_1':0.0},(102,392):{'3_1':0.0},(102,391):{'3_1':0.0},(102,389):{'4_1':0.0},(102,388):{'3_1':0.0},(102,387):{'3_1':0.0},(102,386):{'3_1':0.0,'4_1':0.0},(102,385):{'3_1':0.0,'5_1':0.0},(102,384):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(102,383):{'3_1':0.0,'4_1':0.0},(102,382):{'3_1':0.0},(102,381):{'3_1':0.03},(102,380):{'3_1':0.0},(102,379):{'3_1':0.0},(102,378):{'3_1':0.03,'5_1':0.0},(102,377):{'3_1':0.03},(102,376):{'3_1':0.0},(102,375):{'3_1':0.03,'5_1':0.0},(102,374):{'3_1':0.0,'5_1':0.0},(102,373):{'3_1':0.03},(102,372):{'3_1':0.06,'4_1':0.0},(102,371):{'3_1':0.03,'5_1':0.0},(102,370):{'3_1':0.06,'7_1':0.0},(102,369):{'3_1':0.0},(102,368):{'3_1':0.0,'5_1':0.0},(102,367):{'5_1':0.0},(102,366):{'3_1':0.06},(102,365):{'3_1':0.06,'5_1':0.0},(102,364):{'3_1':0.03,'5_1':0.0},(102,363):{'3_1':0.03},(102,362):{'3_1':0.03},(102,361):{'3_1':0.0},(102,360):{'3_1':0.06,'5_1':0.0},(102,359):{'3_1':0.03},(102,358):{'3_1':0.0},(102,357):{'3_1':0.0,'4_1':0.0},(102,356):{'3_1':0.0,'5_1':0.0},(102,355):{'3_1':0.0,'5_1':0.0},(102,354):{'3_1':0.0,'4_1':0.0},(102,353):{'3_1':0.0},(102,352):{'3_1':0.0,'5_1':0.0},(102,351):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(102,350):{'3_1':0.0},(102,349):{'3_1':0.0},(102,348):{'3_1':0.0},(102,347):{'3_1':0.03,'4_1':0.0},(102,346):{'3_1':0.0},(102,345):{'3_1':0.0},(102,344):{'3_1':0.0},(102,343):{'3_1':0.0},(102,342):{'3_1':0.03},(102,341):{'3_1':0.0},(102,340):{'3_1':0.03},(102,339):{'3_1':0.0},(102,338):{'3_1':0.03},(102,337):{'3_1':0.03,'5_1':0.0},(102,336):{'3_1':0.03},(102,335):{'3_1':0.03},(102,334):{'3_1':0.03},(102,333):{'3_1':0.0},(102,332):{'3_1':0.0},(102,331):{'3_1':0.03,'5_1':0.0},(102,330):{'3_1':0.0},(102,329):{'3_1':0.03},(102,328):{'3_1':0.03,'9_1':0.0},(102,327):{'3_1':0.0,'5_1':0.0},(102,326):{'3_1':0.03},(102,325):{'3_1':0.09},(102,324):{'3_1':0.03,'4_1':0.0},(102,323):{'3_1':0.0,'4_1':0.0},(102,322):{'3_1':0.0},(102,321):{'7_1':0.0,'3_1':0.0},(102,320):{'3_1':0.0},(102,319):{'3_1':0.0,'4_1':0.0},(102,318):{'3_1':0.03},(102,317):{'3_1':0.03},(102,316):{'3_1':0.0},(102,315):{'3_1':0.03},(102,314):{'3_1':0.03},(102,313):{'3_1':0.03},(102,312):{'3_1':0.0},(102,310):{'3_1':0.0},(102,309):{'3_1':0.0,'4_1':0.0},(102,308):{'3_1':0.03},(102,307):{'5_2':0.0},(102,306):{'3_1':0.0,'4_1':0.0},(102,305):{'3_1':0.03},(102,304):{'3_1':0.0},(102,303):{'3_1':0.06},(102,302):{'3_1':0.0},(102,301):{'3_1':0.03},(102,300):{'3_1':0.0},(102,299):{'3_1':0.0},(102,298):{'3_1':0.03},(102,297):{'3_1':0.0},(102,296):{'4_1':0.0},(102,295):{'3_1':0.0},(102,294):{'3_1':0.0},(102,292):{'3_1':0.03,'4_1':0.0},(102,291):{'3_1':0.03},(102,289):{'3_1':0.0},(102,288):{'3_1':0.0},(102,287):{'3_1':0.03},(102,286):{'3_1':0.0},(102,285):{'3_1':0.0},(102,284):{'3_1':0.0},(102,283):{'3_1':0.06},(102,282):{'3_1':0.03},(102,280):{'3_1':0.0,'4_1':0.0},(102,279):{'3_1':0.03,'5_1':0.0},(102,278):{'3_1':0.03,'5_2':0.0},(102,277):{'3_1':0.0},(102,276):{'3_1':0.03,'4_1':0.0},(102,275):{'3_1':0.0,'4_1':0.0},(102,274):{'3_1':0.03},(102,273):{'3_1':0.0},(102,272):{'3_1':0.06,'5_1':0.0},(102,271):{'3_1':0.0,'8_20|3_1#3_1':0.0},(102,269):{'3_1':0.03},(102,268):{'3_1':0.0},(102,267):{'3_1':0.0},(102,266):{'3_1':0.0},(102,265):{'3_1':0.03},(102,264):{'3_1':0.03,'5_2':0.0},(102,263):{'3_1':0.0},(102,262):{'3_1':0.0},(102,261):{'3_1':0.03,'4_1':0.0},(102,260):{'3_1':0.0},(102,258):{'3_1':0.0},(102,257):{'3_1':0.0},(102,255):{'3_1':0.0,'5_2':0.0},(102,254):{'3_1':0.0},(102,253):{'3_1':0.0},(102,252):{'3_1':0.0,'4_1':0.0},(102,250):{'4_1':0.0},(102,249):{'4_1':0.0},(102,247):{'3_1':0.0},(102,246):{'3_1':0.0,'4_1':0.0},(102,245):{'3_1':0.0,'4_1':0.0},(102,243):{'3_1':0.0,'5_1':0.0},(102,242):{'3_1':0.0},(102,241):{'3_1':0.06,'4_1':0.0},(102,240):{'3_1':0.03},(102,239):{'3_1':0.0},(102,238):{'3_1':0.0},(102,237):{'3_1':0.0,'4_1':0.0},(102,235):{'3_1':0.0},(102,234):{'3_1':0.03},(102,233):{'3_1':0.0},(102,232):{'3_1':0.0},(102,231):{'7_1':0.0},(102,230):{'3_1':0.0},(102,229):{'3_1':0.0},(102,228):{'3_1':0.03},(102,227):{'3_1':0.0},(102,226):{'3_1':0.0},(102,224):{'3_1':0.0},(102,223):{'3_1':0.0,'4_1':0.0},(102,222):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(102,220):{'3_1':0.0},(102,218):{'4_1':0.0},(102,215):{'3_1':0.0},(102,211):{'3_1':0.0},(102,208):{'3_1':0.03},(102,207):{'3_1':0.0},(102,205):{'3_1':0.0,'5_1':0.0},(102,204):{'3_1':0.0},(102,203):{'3_1':0.0},(102,202):{'3_1':0.0},(102,201):{'3_1':0.0},(102,200):{'3_1':0.0},(102,199):{'3_1':0.0},(102,198):{'3_1':0.0},(102,197):{'3_1':0.0},(102,196):{'3_1':0.0},(102,195):{'3_1':0.0},(102,194):{'3_1':0.0},(102,193):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(102,192):{'3_1':0.0},(102,190):{'3_1':0.0,'4_1':0.0},(102,189):{'3_1':0.0},(102,187):{'3_1':0.0},(102,186):{'4_1':0.0},(102,185):{'3_1':0.0},(102,183):{'3_1':0.03,'5_2':0.0},(102,182):{'3_1':0.0},(102,181):{'3_1':0.0},(102,180):{'3_1':0.0},(102,179):{'3_1':0.0},(102,178):{'3_1':0.0},(102,176):{'3_1':0.0},(102,175):{'3_1':0.0,'5_1':0.0},(102,174):{'3_1':0.0},(102,173):{'3_1':0.0,'5_1':0.0},(102,171):{'3_1':0.0},(102,170):{'3_1':0.0,'8_3':0.0},(102,169):{'3_1':0.0},(102,168):{'3_1':0.0},(102,167):{'3_1':0.0},(102,166):{'3_1':0.03},(102,165):{'3_1':0.0},(102,164):{'3_1':0.03},(102,163):{'3_1':0.0},(102,162):{'3_1':0.0},(102,160):{'3_1':0.0},(102,159):{'3_1':0.0},(102,157):{'3_1':0.0},(102,156):{'3_1':0.0},(102,155):{'3_1':0.0},(102,154):{'3_1':0.03},(102,152):{'3_1':0.0},(102,150):{'3_1':0.0},(102,147):{'3_1':0.0},(102,146):{'3_1':0.0},(102,145):{'3_1':0.0},(102,120):{'3_1':0.0},(103,727):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(103,726):{'5_2':0.39,'-3':0.09,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(103,725):{'5_2':0.36,'7_5':0.06,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'8_11':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(103,724):{'5_2':0.39,'-3':0.06,'7_5':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(103,723):{'5_2':0.3,'6_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.03,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'8_14':0.0},(103,722):{'5_2':0.3,'7_2':0.06,'7_3':0.06,'7_6':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(103,721):{'5_2':0.33,'7_3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'8_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0},(103,720):{'5_2':0.39,'7_2':0.09,'7_3':0.06,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(103,719):{'5_2':0.27,'7_2':0.12,'-3':0.09,'7_5':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0},(103,718):{'5_2':0.27,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'4_1':0.0,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(103,717):{'5_2':0.24,'7_2':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(103,716):{'5_2':0.42,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_15':0.0},(103,715):{'5_2':0.36,'6_1':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'-3':0.0,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_1':0.0},(103,714):{'5_2':0.36,'7_2':0.09,'7_3':0.06,'7_5':0.03,'7_6':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'3_1':0.0,'6_2':0.0,'8_1':0.0,'8_8':0.0,'8_10':0.0,'3_1#5_2':0.0},(103,713):{'5_2':0.36,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'1':-0.03},(103,712):{'5_2':0.3,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_5':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(103,711):{'5_2':0.24,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'8_11':0.0},(103,710):{'5_2':0.24,'-3':0.15,'7_2':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_18':0.0,'8_19':0.0,'3_1#5_2':0.0},(103,709):{'5_2':0.3,'-3':0.12,'7_5':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(103,708):{'5_2':0.3,'-3':0.15,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'1':-0.03},(103,707):{'5_2':0.3,'-3':0.12,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0,'8_14':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(103,706):{'5_2':0.3,'3_1':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(103,705):{'5_2':0.3,'-3':0.06,'7_2':0.06,'7_3':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(103,704):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(103,703):{'5_2':0.21,'-3':0.15,'7_3':0.06,'7_6':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(103,702):{'5_2':0.27,'-3':0.09,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.03,'7_2':0.03,'7_5':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_4':0.0},(103,701):{'5_2':0.3,'-3':0.12,'7_2':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(103,700):{'5_2':0.27,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_11':0.0},(103,699):{'5_2':0.24,'3_1':0.09,'7_2':0.09,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0},(103,698):{'5_2':0.27,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(103,697):{'5_2':0.24,'3_1':0.12,'-3':0.09,'7_5':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(103,696):{'5_2':0.36,'3_1':0.09,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(103,695):{'5_2':0.27,'-3':0.09,'7_2':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(103,694):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_5':0.03,'3_1':0.03,'7_6':0.03,'8_14':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(103,693):{'5_2':0.21,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(103,692):{'5_2':0.3,'3_1':0.03,'-3':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(103,691):{'5_2':0.24,'3_1':0.12,'-3':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0,'3_1#5_2':0.0,'1':-0.03},(103,690):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_4':0.06,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'4_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(103,689):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(103,688):{'5_2':0.3,'3_1':0.09,'5_1':0.06,'7_4':0.06,'-3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(103,687):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'7_3':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(103,686):{'3_1':0.27,'5_2':0.24,'7_2':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(103,685):{'5_2':0.24,'3_1':0.18,'7_3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(103,684):{'5_2':0.24,'3_1':0.21,'7_3':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'8_13':0.0,'7_7':0.0,'3_1#5_2':0.0},(103,683):{'5_2':0.3,'3_1':0.27,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'8_13':0.0},(103,682):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(103,681):{'5_2':0.3,'3_1':0.18,'-3':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(103,680):{'5_2':0.21,'3_1':0.21,'7_4':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(103,679):{'3_1':0.24,'5_2':0.21,'5_1':0.09,'7_4':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(103,678):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_3':0.06,'7_4':0.0,'-3':0.0,'7_5':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_13':0.0},(103,677):{'3_1':0.21,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(103,676):{'3_1':0.33,'5_2':0.15,'7_3':0.03,'6_3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(103,675):{'3_1':0.27,'5_2':0.12,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0,'4_1':0.0},(103,674):{'3_1':0.36,'5_2':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(103,673):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(103,672):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'8_11':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(103,671):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'8_1':0.0,'8_6':0.0},(103,670):{'3_1':0.33,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'8_19':0.0,'-3':0.0},(103,669):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(103,668):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(103,667):{'3_1':0.27,'5_2':0.24,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(103,666):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0},(103,665):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'4_1':0.0},(103,664):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,663):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,662):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(103,661):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(103,660):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_16':0.0},(103,659):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(103,658):{'3_1':0.27,'5_2':0.24,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(103,657):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(103,656):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(103,655):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(103,654):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(103,653):{'3_1':0.36,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_6':0.0,'8_19':0.0},(103,652):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(103,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(103,650):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.03,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_5':0.0},(103,649):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(103,648):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(103,647):{'3_1':0.24,'5_2':0.21,'5_1':0.09,'-3':0.0,'6_3':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(103,646):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(103,645):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(103,644):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'-3':0.0,'7_7':0.0,'8_19':0.0,'6_3':0.0,'7_4':0.0},(103,643):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0},(103,642):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'6_3':0.0,'7_1':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(103,641):{'3_1':0.21,'5_2':0.15,'5_1':0.12,'4_1':0.0,'7_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(103,640):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,639):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'8_19':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,638):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(103,637):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(103,636):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(103,635):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(103,634):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,633):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(103,632):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(103,631):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(103,630):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(103,629):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,628):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(103,627):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(103,626):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(103,625):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(103,624):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(103,623):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(103,622):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(103,621):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(103,620):{'3_1':0.21,'4_1':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(103,619):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(103,618):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,617):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_7':0.0,'-3':0.0},(103,616):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(103,615):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(103,614):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(103,613):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(103,612):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(103,611):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(103,610):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(103,609):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0},(103,608):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(103,607):{'3_1':0.24,'7_4':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(103,606):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(103,605):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(103,604):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_19':0.0,'6_2':0.0,'7_5':0.0},(103,603):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_7':0.0},(103,602):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(103,601):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(103,600):{'3_1':0.21,'4_1':0.03},(103,599):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(103,598):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'6_1':0.0,'8_8':0.0},(103,597):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(103,596):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'7_4':0.0,'5_2':0.0,'6_3':0.0},(103,595):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(103,594):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(103,593):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0},(103,592):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(103,591):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(103,590):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(103,589):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(103,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_3':0.0},(103,587):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_7':0.0},(103,586):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(103,585):{'3_1':0.15,'4_1':0.06,'6_3':0.0,'5_2':0.0,'6_2':0.0},(103,584):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_7':0.0,'-3':0.0},(103,583):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(103,582):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(103,581):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(103,580):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(103,579):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(103,578):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(103,577):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(103,576):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(103,575):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(103,574):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(103,573):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(103,572):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(103,571):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(103,570):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(103,569):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(103,568):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(103,567):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(103,566):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(103,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(103,564):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(103,563):{'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(103,562):{'3_1':0.18,'5_2':0.03,'6_1':0.0,'4_1':0.0},(103,561):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_6':0.0,'3_1#5_1':0.0},(103,560):{'3_1':0.21,'4_1':0.0},(103,559):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'8_4':0.0},(103,558):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(103,557):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(103,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(103,555):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(103,554):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(103,553):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(103,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(103,551):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_6':0.0},(103,550):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(103,549):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(103,548):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(103,547):{'3_1':0.27,'5_1':0.0,'4_1':0.0},(103,546):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(103,545):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(103,544):{'3_1':0.21,'5_2':0.0,'6_2':0.0},(103,543):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(103,542):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(103,541):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_5':0.0},(103,540):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(103,539):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(103,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'3_1#5_1':0.0},(103,537):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(103,536):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(103,535):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(103,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(103,533):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(103,532):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(103,531):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(103,530):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(103,529):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_1':0.0},(103,528):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(103,527):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(103,526):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,525):{'3_1':0.18,'5_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0},(103,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(103,523):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(103,521):{'3_1':0.09},(103,520):{'3_1':0.09},(103,519):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(103,518):{'3_1':0.06,'4_1':0.0},(103,517):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(103,516):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(103,515):{'3_1':0.09,'5_1':0.0},(103,514):{'3_1':0.03},(103,513):{'3_1':0.03},(103,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(103,511):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,510):{'3_1':0.09,'4_1':0.0},(103,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,508):{'3_1':0.06},(103,507):{'3_1':0.09,'5_1':0.0},(103,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_6':0.0},(103,505):{'3_1':0.03,'4_1':0.0},(103,504):{'3_1':0.03,'4_1':0.0},(103,503):{'3_1':0.06,'4_1':0.0},(103,502):{'3_1':0.06,'5_1':0.0},(103,501):{'3_1':0.03,'5_1':0.0},(103,500):{'3_1':0.06,'4_1':0.0},(103,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(103,498):{'3_1':0.03,'5_1':0.0},(103,497):{'3_1':0.03,'5_1':0.0},(103,496):{'3_1':0.0,'5_2':0.0},(103,495):{'3_1':0.06},(103,494):{'3_1':0.03,'4_1':0.0},(103,493):{'3_1':0.06,'6_2':0.0,'5_1':0.0},(103,492):{'3_1':0.03,'5_2':0.0},(103,491):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(103,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,489):{'3_1':0.06,'5_1':0.0},(103,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,487):{'3_1':0.06,'5_2':0.0},(103,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,485):{'3_1':0.12},(103,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(103,483):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,482):{'3_1':0.09,'5_2':0.0},(103,481):{'3_1':0.12,'3_1#5_1':0.0},(103,480):{'3_1':0.12,'5_1':0.0},(103,479):{'3_1':0.09},(103,478):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(103,477):{'3_1':0.06,'5_2':0.0},(103,476):{'3_1':0.18,'5_1':0.0},(103,475):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(103,474):{'3_1':0.06,'5_2':0.0},(103,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(103,472):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,471):{'3_1':0.09,'5_2':0.0},(103,470):{'3_1':0.06},(103,469):{'3_1':0.06},(103,468):{'3_1':0.09},(103,467):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(103,466):{'3_1':0.03},(103,465):{'3_1':0.06},(103,464):{'3_1':0.03,'4_1':0.0},(103,463):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(103,462):{'3_1':0.06,'4_1':0.0},(103,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(103,460):{'3_1':0.0,'4_1':0.0},(103,459):{'3_1':0.06},(103,458):{'3_1':0.03},(103,457):{'3_1':0.06},(103,456):{'3_1':0.0},(103,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(103,454):{'3_1':0.06,'4_1':0.0},(103,453):{'3_1':0.03,'8_20|3_1#3_1':0.0},(103,452):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(103,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(103,450):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(103,449):{'3_1':0.03,'4_1':0.0},(103,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,447):{'3_1':0.0,'5_1':0.0},(103,446):{'3_1':0.0},(103,445):{'3_1':0.0,'5_1':0.0},(103,444):{'3_1':0.03},(103,443):{'3_1':0.03,'4_1':0.0},(103,442):{'3_1':0.03,'5_2':0.0},(103,441):{'3_1':0.0,'4_1':0.0},(103,440):{'3_1':0.0,'4_1':0.0},(103,439):{'3_1':0.0,'4_1':0.0},(103,438):{'4_1':0.0,'3_1':0.0},(103,437):{'3_1':0.03},(103,436):{'3_1':0.03,'5_2':0.0},(103,435):{'3_1':0.03},(103,434):{'3_1':0.0,'4_1':0.0},(103,433):{'3_1':0.0,'4_1':0.0},(103,432):{'4_1':0.0,'3_1':0.0},(103,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,430):{'3_1':0.03,'4_1':0.0},(103,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(103,428):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(103,427):{'3_1':0.03,'4_1':0.0},(103,426):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(103,425):{'3_1':0.0,'4_1':0.0},(103,424):{'3_1':0.0,'4_1':0.0},(103,423):{'3_1':0.09,'4_1':0.03},(103,422):{'4_1':0.03,'3_1':0.0},(103,421):{'3_1':0.0,'4_1':0.0},(103,420):{'3_1':0.03,'4_1':0.0},(103,419):{'3_1':0.03,'4_1':0.0},(103,418):{'4_1':0.0,'3_1':0.0},(103,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,416):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(103,415):{'3_1':0.0,'4_1':0.0},(103,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,413):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(103,412):{'3_1':0.0},(103,411):{'3_1':0.0,'6_1':0.0,'9_1':0.0},(103,410):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,409):{'3_1':0.03},(103,408):{'3_1':0.0,'4_1':0.0},(103,407):{'4_1':0.0},(103,406):{'3_1':0.03,'4_1':0.0},(103,405):{'3_1':0.0},(103,404):{'3_1':0.0},(103,403):{'3_1':0.0,'4_1':0.0},(103,402):{'3_1':0.03,'4_1':0.0},(103,401):{'3_1':0.03},(103,400):{'3_1':0.0},(103,399):{'3_1':0.0},(103,398):{'3_1':0.0},(103,397):{'3_1':0.03},(103,396):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,395):{'3_1':0.0},(103,394):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(103,392):{'3_1':0.0},(103,391):{'3_1':0.06,'6_2':0.0},(103,390):{'3_1':0.0,'5_1':0.0},(103,389):{'3_1':0.0},(103,388):{'3_1':0.0},(103,387):{'3_1':0.0},(103,386):{'3_1':0.0},(103,385):{'3_1':0.0},(103,384):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(103,383):{'3_1':0.03,'4_1':0.0},(103,382):{'3_1':0.06,'5_2':0.0},(103,381):{'3_1':0.0,'5_1':0.0},(103,380):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(103,379):{'3_1':0.03,'5_1':0.0},(103,378):{'3_1':0.03,'5_2':0.0},(103,377):{'3_1':0.06},(103,376):{'3_1':0.0},(103,375):{'3_1':0.06},(103,374):{'3_1':0.03,'5_1':0.0},(103,373):{'3_1':0.03},(103,372):{'3_1':0.0},(103,371):{'3_1':0.0,'5_1':0.0},(103,370):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(103,369):{'3_1':0.06},(103,368):{'3_1':0.0},(103,367):{'3_1':0.03},(103,366):{'3_1':0.03},(103,365):{'3_1':0.03,'5_1':0.0},(103,364):{'3_1':0.03,'7_1':0.0},(103,363):{'3_1':0.0},(103,362):{'3_1':0.0},(103,361):{'3_1':0.06,'5_1':0.0,'9_1':0.0},(103,360):{'3_1':0.0},(103,359):{'3_1':0.0},(103,358):{'3_1':0.0},(103,357):{'5_1':0.0,'3_1':0.0},(103,356):{'3_1':0.0,'7_1':0.0},(103,355):{'3_1':0.03},(103,354):{'3_1':0.0},(103,353):{'3_1':0.0},(103,352):{'3_1':0.03,'4_1':0.0},(103,351):{'3_1':0.03},(103,350):{'3_1':0.03},(103,349):{'3_1':0.0},(103,348):{'3_1':0.03},(103,347):{'3_1':0.03,'4_1':0.0},(103,346):{'3_1':0.03},(103,345):{'3_1':0.0},(103,344):{'3_1':0.03},(103,343):{'3_1':0.03},(103,342):{'3_1':0.03},(103,341):{'3_1':0.03,'4_1':0.0},(103,340):{'3_1':0.0,'5_1':0.0},(103,339):{'3_1':0.0,'5_1':0.0},(103,338):{'3_1':0.0},(103,337):{'3_1':0.03},(103,336):{'3_1':0.03},(103,335):{'3_1':0.03},(103,334):{'3_1':0.06},(103,333):{'3_1':0.0},(103,332):{'3_1':0.03},(103,331):{'3_1':0.0},(103,330):{'3_1':0.0,'5_1':0.0},(103,329):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,328):{'4_1':0.0,'6_1':0.0},(103,327):{'3_1':0.03},(103,326):{'3_1':0.03},(103,325):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(103,324):{'3_1':0.03},(103,323):{'3_1':0.03,'5_1':0.0},(103,322):{'3_1':0.0},(103,321):{'3_1':0.0},(103,319):{'3_1':0.0},(103,318):{'5_1':0.0},(103,317):{'3_1':0.0},(103,316):{'3_1':0.0,'4_1':0.0},(103,315):{'3_1':0.0},(103,314):{'3_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(103,313):{'3_1':0.0,'4_1':0.0},(103,312):{'4_1':0.0,'3_1':0.0},(103,311):{'3_1':0.0,'4_1':0.0,'8_19':0.0},(103,310):{'3_1':0.0,'4_1':0.0},(103,309):{'3_1':0.03},(103,308):{'3_1':0.03},(103,307):{'3_1':0.0,'4_1':0.0},(103,306):{'3_1':0.0},(103,305):{'3_1':0.03},(103,304):{'3_1':0.03,'4_1':0.0},(103,303):{'3_1':0.06},(103,302):{'3_1':0.03},(103,301):{'3_1':0.0},(103,300):{'3_1':0.03},(103,299):{'3_1':0.0,'4_1':0.0},(103,298):{'3_1':0.0,'7_3':0.0},(103,297):{'3_1':0.0},(103,296):{'3_1':0.0},(103,295):{'3_1':0.0},(103,294):{'3_1':0.03,'4_1':0.0},(103,293):{'5_1':0.0},(103,292):{'3_1':0.03},(103,291):{'3_1':0.0},(103,290):{'3_1':0.0},(103,289):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,288):{'3_1':0.03},(103,287):{'3_1':0.0},(103,286):{'3_1':0.0},(103,285):{'3_1':0.0},(103,283):{'3_1':0.03},(103,282):{'3_1':0.0},(103,281):{'3_1':0.03,'4_1':0.0},(103,280):{'3_1':0.0},(103,279):{'3_1':0.06,'5_1':0.0},(103,278):{'3_1':0.0},(103,277):{'3_1':0.0,'4_1':0.0},(103,276):{'3_1':0.03},(103,275):{'3_1':0.03},(103,274):{'3_1':0.0},(103,273):{'3_1':0.0},(103,272):{'3_1':0.0},(103,271):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(103,270):{'3_1':0.03},(103,269):{'3_1':0.0},(103,268):{'3_1':0.0},(103,267):{'3_1':0.03,'4_1':0.0},(103,266):{'3_1':0.0},(103,265):{'3_1':0.0,'5_2':0.0},(103,264):{'3_1':0.03},(103,263):{'3_1':0.0},(103,262):{'3_1':0.0},(103,261):{'3_1':0.0,'4_1':0.0},(103,260):{'3_1':0.0},(103,259):{'3_1':0.0},(103,258):{'3_1':0.0},(103,257):{'3_1':0.0},(103,256):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(103,255):{'3_1':0.0},(103,254):{'3_1':0.03},(103,252):{'3_1':0.0},(103,251):{'3_1':0.0},(103,250):{'3_1':0.03},(103,249):{'3_1':0.0},(103,248):{'3_1':0.0},(103,246):{'3_1':0.0,'4_1':0.0},(103,245):{'3_1':0.0},(103,244):{'3_1':0.0},(103,243):{'4_1':0.0},(103,242):{'4_1':0.0,'3_1':0.0},(103,241):{'3_1':0.0,'5_2':0.0},(103,240):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(103,239):{'3_1':0.0,'4_1':0.0},(103,238):{'3_1':0.0,'4_1':0.0},(103,237):{'3_1':0.0,'4_1':0.0},(103,236):{'3_1':0.0},(103,235):{'3_1':0.0},(103,234):{'3_1':0.0},(103,233):{'3_1':0.03,'4_1':0.0},(103,232):{'3_1':0.03},(103,231):{'3_1':0.0},(103,230):{'4_1':0.0,'3_1':0.0},(103,229):{'3_1':0.0,'4_1':0.0},(103,228):{'3_1':0.0},(103,227):{'3_1':0.0,'4_1':0.0},(103,226):{'3_1':0.0},(103,225):{'3_1':0.0},(103,224):{'3_1':0.03},(103,223):{'3_1':0.0},(103,222):{'3_1':0.0,'4_1':0.0},(103,221):{'3_1':0.0},(103,219):{'3_1':0.0,'4_1':0.0},(103,218):{'3_1':0.0},(103,217):{'3_1':0.0},(103,214):{'3_1':0.0,'4_1':0.0},(103,213):{'3_1':0.0},(103,211):{'3_1':0.0,'4_1':0.0},(103,210):{'3_1':0.0},(103,208):{'4_1':0.0},(103,206):{'3_1':0.0},(103,205):{'3_1':0.03},(103,203):{'3_1':0.0,'5_1':0.0},(103,202):{'3_1':0.0},(103,201):{'3_1':0.03},(103,200):{'3_1':0.03},(103,199):{'3_1':0.0},(103,198):{'3_1':0.0},(103,194):{'3_1':0.0},(103,193):{'4_1':0.0},(103,192):{'3_1':0.0,'4_1':0.0},(103,191):{'3_1':0.0},(103,190):{'3_1':0.0},(103,188):{'3_1':0.0},(103,187):{'3_1':0.0},(103,186):{'3_1':0.0},(103,185):{'3_1':0.0},(103,184):{'3_1':0.0},(103,182):{'3_1':0.0},(103,181):{'3_1':0.0},(103,180):{'3_1':0.0},(103,179):{'3_1':0.03},(103,178):{'5_1':0.0},(103,175):{'3_1':0.0},(103,174):{'3_1':0.0},(103,173):{'3_1':0.03},(103,172):{'3_1':0.0},(103,171):{'3_1':0.0},(103,170):{'3_1':0.0},(103,169):{'3_1':0.0},(103,168):{'3_1':0.0},(103,167):{'3_1':0.06},(103,166):{'3_1':0.0},(103,165):{'3_1':0.0},(103,163):{'3_1':0.0},(103,162):{'3_1':0.03},(103,159):{'3_1':0.0},(103,158):{'3_1':0.0},(103,157):{'3_1':0.0},(103,156):{'3_1':0.0},(103,155):{'3_1':0.0},(103,154):{'3_1':0.0},(103,153):{'3_1':0.0},(103,151):{'3_1':0.0},(103,150):{'3_1':0.0},(103,148):{'3_1':0.0},(103,147):{'3_1':0.0,'5_2':0.0},(103,146):{'3_1':0.0},(103,143):{'3_1':0.0},(103,120):{'3_1':0.0},(103,116):{'3_1':0.0},(104,727):{'5_2':0.33,'7_2':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'-3':0.03,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_8':0.0},(104,726):{'5_2':0.33,'7_3':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_2':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'8_14':0.0},(104,725):{'5_2':0.45,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0},(104,724):{'5_2':0.36,'-3':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(104,723):{'5_2':0.36,'7_3':0.06,'7_2':0.06,'7_5':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0},(104,722):{'5_2':0.24,'7_2':0.09,'-3':0.06,'7_3':0.06,'7_5':0.06,'7_4':0.03,'8_14':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0},(104,721):{'5_2':0.36,'-3':0.09,'7_2':0.09,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(104,720):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'-3':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(104,719):{'5_2':0.36,'7_3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(104,718):{'5_2':0.39,'7_5':0.06,'7_2':0.06,'7_3':0.03,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(104,717):{'5_2':0.33,'7_5':0.09,'3_1':0.06,'7_2':0.03,'6_1':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(104,716):{'5_2':0.3,'7_3':0.09,'7_5':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0},(104,715):{'5_2':0.36,'7_5':0.12,'-3':0.06,'7_2':0.06,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0},(104,714):{'5_2':0.33,'-3':0.06,'7_3':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(104,713):{'5_2':0.27,'-3':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.06,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'9_1':0.0,'3_1#5_2':0.0},(104,712):{'5_2':0.27,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_14':0.0,'1':-0.03},(104,711):{'5_2':0.33,'7_3':0.06,'7_5':0.06,'7_2':0.06,'4_1':0.03,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(104,710):{'5_2':0.21,'-3':0.12,'7_2':0.09,'7_5':0.09,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'1':-0.03},(104,709):{'5_2':0.21,'-3':0.12,'7_5':0.12,'3_1':0.06,'6_1':0.03,'7_6':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(104,708):{'5_2':0.39,'7_5':0.06,'-3':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(104,707):{'5_2':0.3,'7_2':0.12,'7_3':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(104,706):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_5':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'8_3':0.0},(104,705):{'5_2':0.33,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'8_14':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(104,704):{'5_2':0.36,'7_2':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'8_14':0.0},(104,703):{'5_2':0.27,'6_1':0.06,'7_2':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(104,702):{'5_2':0.27,'7_3':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'8_14':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(104,701):{'5_2':0.24,'7_3':0.06,'3_1':0.06,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(104,700):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'7_5':0.06,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'6_3':0.0,'8_3':0.0},(104,699):{'5_2':0.39,'7_3':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0},(104,698):{'5_2':0.3,'3_1':0.12,'7_2':0.06,'7_5':0.06,'-3':0.06,'7_3':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0},(104,697):{'5_2':0.24,'-3':0.12,'7_3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_6':0.0,'1':-0.03},(104,696):{'5_2':0.3,'3_1':0.09,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(104,695):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'-3':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(104,694):{'5_2':0.27,'-3':0.09,'7_3':0.06,'3_1':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0,'8_15':0.0},(104,693):{'5_2':0.24,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_6':0.0,'8_11':0.0,'1':-0.03},(104,692):{'5_2':0.3,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'7_7':0.0,'3_1#5_2':0.0},(104,691):{'5_2':0.33,'3_1':0.12,'-3':0.06,'7_2':0.03,'3_1#5_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(104,690):{'5_2':0.3,'3_1':0.09,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,689):{'5_2':0.27,'3_1':0.12,'7_3':0.06,'7_2':0.06,'-3':0.06,'5_1':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(104,688):{'3_1':0.21,'5_2':0.21,'7_5':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0},(104,687):{'5_2':0.24,'3_1':0.12,'7_3':0.09,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(104,686):{'5_2':0.24,'3_1':0.15,'7_2':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(104,685):{'5_2':0.21,'3_1':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(104,684):{'5_2':0.27,'3_1':0.18,'7_2':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(104,683):{'5_2':0.3,'3_1':0.24,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(104,682):{'3_1':0.18,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(104,681):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(104,680):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0},(104,679):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(104,678):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(104,677):{'3_1':0.24,'5_2':0.24,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(104,676):{'5_2':0.27,'3_1':0.15,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(104,675):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(104,674):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(104,673):{'5_2':0.24,'3_1':0.24,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_16':0.0},(104,672):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(104,671):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(104,670):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'8_13':0.0},(104,669):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(104,668):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(104,667):{'3_1':0.27,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(104,666):{'3_1':0.36,'5_2':0.15,'5_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(104,665):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0,'8_15':0.0},(104,664):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(104,663):{'3_1':0.3,'5_2':0.21,'7_3':0.03,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0},(104,662):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(104,661):{'3_1':0.33,'5_2':0.24,'4_1':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(104,660):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'-3':0.03,'7_7':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(104,659):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(104,658):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(104,657):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(104,656):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'-3':0.0},(104,655):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'6_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0},(104,654):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(104,653):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'4_1':0.0,'8_19':0.0},(104,652):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0},(104,651):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(104,650):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(104,649):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_3':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(104,648):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(104,647):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'7_5':0.0,'8_19':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(104,646):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(104,645):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0,'1':-0.03},(104,644):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0},(104,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'8_16':0.0,'8_19':0.0},(104,642):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(104,641):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(104,640):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_7':0.0,'-3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(104,639):{'3_1':0.15,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_5':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(104,638):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0},(104,637):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(104,636):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(104,635):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_13':0.0,'-3':0.0},(104,634):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(104,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(104,632):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(104,631):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(104,630):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(104,629):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0},(104,628):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_3':0.0,'6_1':0.0},(104,627):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(104,626):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(104,625):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(104,624):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(104,623):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(104,622):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(104,621):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(104,620):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(104,619):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(104,618):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(104,617):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(104,616):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_3':0.0},(104,615):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(104,614):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,613):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(104,612):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0},(104,611):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0},(104,610):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(104,609):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'-3':0.0},(104,608):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0},(104,607):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_16':0.0,'9_1':0.0},(104,606):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0},(104,605):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(104,604):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(104,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(104,602):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(104,601):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(104,600):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(104,599):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(104,598):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(104,597):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(104,596):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(104,595):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_7':0.0,'8_19':0.0},(104,594):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'-3':0.0},(104,593):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0},(104,592):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(104,591):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_13':0.0},(104,590):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(104,589):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(104,588):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(104,587):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(104,586):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(104,585):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(104,584):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_19':0.0},(104,583):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(104,582):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_3':0.0,'-3':0.0},(104,581):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0},(104,580):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(104,579):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(104,578):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(104,577):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(104,576):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(104,575):{'3_1':0.15,'4_1':0.06,'-3':0.0,'5_1':0.0,'5_2':0.0},(104,574):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(104,573):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(104,572):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(104,571):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(104,570):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(104,569):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(104,568):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(104,567):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(104,566):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(104,565):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(104,564):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(104,563):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(104,562):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(104,561):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(104,560):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(104,559):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(104,558):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(104,557):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(104,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(104,555):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(104,554):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(104,553):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(104,552):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(104,551):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(104,550):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(104,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(104,548):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(104,547):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(104,546):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_5':0.0},(104,545):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(104,544):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(104,543):{'3_1':0.24,'5_2':0.0},(104,542):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(104,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(104,540):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(104,539):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(104,538):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(104,537):{'3_1':0.36,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,536):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(104,535):{'3_1':0.15,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(104,534):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(104,533):{'3_1':0.21,'5_2':0.0,'7_3':0.0},(104,532):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(104,531):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(104,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(104,529):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(104,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(104,527):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(104,526):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(104,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(104,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(104,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(104,522):{'3_1':0.06,'4_1':0.0},(104,521):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(104,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(104,519):{'3_1':0.06},(104,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(104,517):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(104,516):{'3_1':0.09,'5_1':0.0},(104,515):{'3_1':0.06,'4_1':0.0},(104,514):{'3_1':0.03,'4_1':0.0},(104,513):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(104,512):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(104,511):{'3_1':0.06},(104,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(104,508):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(104,507):{'3_1':0.06,'7_1':0.0},(104,506):{'3_1':0.03,'5_1':0.0,'7_5':0.0},(104,505):{'3_1':0.09,'5_2':0.0},(104,504):{'3_1':0.03,'4_1':0.0},(104,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,502):{'3_1':0.03,'5_2':0.0},(104,501):{'3_1':0.03,'5_2':0.0},(104,500):{'3_1':0.03},(104,499):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(104,498):{'3_1':0.09,'4_1':0.0},(104,497):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(104,496):{'3_1':0.06},(104,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,494):{'3_1':0.09,'5_2':0.0},(104,493):{'3_1':0.06,'4_1':0.0},(104,492):{'3_1':0.06,'7_1':0.0},(104,491):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(104,490):{'3_1':0.03,'5_2':0.0,'3_1#5_1':0.0},(104,489):{'3_1':0.12,'5_1':0.0},(104,488):{'3_1':0.06},(104,487):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(104,486):{'3_1':0.06,'5_2':0.0},(104,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(104,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(104,483):{'3_1':0.09,'5_1':0.0},(104,482):{'3_1':0.09},(104,481):{'3_1':0.09},(104,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(104,479):{'3_1':0.06},(104,478):{'3_1':0.06,'4_1':0.0},(104,477):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(104,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(104,475):{'3_1':0.09,'4_1':0.0},(104,474):{'3_1':0.12,'5_2':0.0},(104,473):{'3_1':0.12,'5_1':0.0},(104,472):{'3_1':0.12,'5_2':0.0},(104,471):{'3_1':0.03,'4_1':0.0},(104,470):{'3_1':0.12},(104,469):{'3_1':0.0},(104,468):{'3_1':0.09},(104,467):{'3_1':0.03},(104,466):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,465):{'3_1':0.06},(104,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,463):{'3_1':0.03,'5_1':0.0},(104,462):{'3_1':0.06,'5_1':0.0},(104,461):{'3_1':0.03,'4_1':0.0},(104,460):{'3_1':0.06},(104,459):{'3_1':0.06,'4_1':0.0},(104,458):{'3_1':0.0,'4_1':0.0},(104,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,455):{'3_1':0.12},(104,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(104,453):{'3_1':0.03},(104,452):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(104,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(104,450):{'3_1':0.03,'5_2':0.0},(104,449):{'3_1':0.0,'4_1':0.0},(104,448):{'3_1':0.03,'5_1':0.0},(104,447):{'3_1':0.06,'4_1':0.0},(104,446):{'3_1':0.0},(104,445):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(104,444):{'3_1':0.03,'4_1':0.0},(104,443):{'3_1':0.03,'4_1':0.0},(104,442):{'3_1':0.03},(104,441):{'3_1':0.0},(104,440):{'3_1':0.0,'4_1':0.0},(104,439):{'3_1':0.03},(104,438):{'3_1':0.0},(104,437):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(104,436):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(104,435):{'3_1':0.0},(104,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,433):{'3_1':0.03,'4_1':0.0},(104,432):{'3_1':0.03,'4_1':0.0},(104,431):{'3_1':0.03},(104,430):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,429):{'3_1':0.0,'4_1':0.0},(104,428):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(104,427):{'3_1':0.03,'4_1':0.0},(104,426):{'3_1':0.03,'4_1':0.0},(104,425):{'3_1':0.06,'4_1':0.0},(104,424):{'3_1':0.0},(104,423):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(104,422):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(104,421):{'4_1':0.03,'3_1':0.0},(104,420):{'3_1':0.03,'4_1':0.03},(104,419):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(104,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,417):{'4_1':0.0,'3_1':0.0},(104,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(104,415):{'4_1':0.03,'3_1':0.0},(104,414):{'4_1':0.0},(104,413):{'3_1':0.0,'4_1':0.0},(104,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,411):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(104,410):{'3_1':0.0,'4_1':0.0},(104,408):{'5_1':0.0,'3_1':0.0},(104,407):{'3_1':0.0,'4_1':0.0},(104,406):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,405):{'3_1':0.0},(104,404):{'3_1':0.0,'4_1':0.0},(104,403):{'3_1':0.0},(104,401):{'3_1':0.03},(104,400):{'3_1':0.03,'4_1':0.0},(104,399):{'3_1':0.0,'4_1':0.0},(104,398):{'3_1':0.03},(104,397):{'3_1':0.0},(104,396):{'4_1':0.0},(104,395):{'3_1':0.03},(104,394):{'3_1':0.0,'8_1':0.0},(104,392):{'3_1':0.0},(104,391):{'3_1':0.0},(104,389):{'3_1':0.0,'4_1':0.0},(104,388):{'3_1':0.0},(104,387):{'3_1':0.0},(104,386):{'3_1':0.03},(104,385):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,383):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(104,382):{'3_1':0.0},(104,381):{'3_1':0.03},(104,380):{'3_1':0.06},(104,379):{'3_1':0.0},(104,378):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(104,377):{'3_1':0.03},(104,376):{'3_1':0.03},(104,375):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(104,374):{'3_1':0.03},(104,373):{'3_1':0.03},(104,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,371):{'3_1':0.0},(104,370):{'3_1':0.06,'5_1':0.0},(104,369):{'3_1':0.0},(104,368):{'3_1':0.03},(104,367):{'3_1':0.03,'4_1':0.0},(104,366):{'3_1':0.03,'4_1':0.0},(104,365):{'3_1':0.03,'5_1':0.0},(104,364):{'3_1':0.03},(104,363):{'3_1':0.03},(104,362):{'3_1':0.0,'4_1':0.0},(104,361):{'3_1':0.03},(104,360):{'3_1':0.03,'4_1':0.0},(104,359):{'3_1':0.0},(104,358):{'3_1':0.03},(104,357):{'3_1':0.03},(104,356):{'3_1':0.03,'5_1':0.0},(104,355):{'3_1':0.0},(104,354):{'3_1':0.03},(104,352):{'3_1':0.03},(104,351):{'3_1':0.0},(104,350):{'3_1':0.0},(104,349):{'3_1':0.06,'5_2':0.0},(104,348):{'3_1':0.03},(104,347):{'3_1':0.06},(104,346):{'3_1':0.0,'5_1':0.0},(104,345):{'3_1':0.03,'4_1':0.0},(104,344):{'3_1':0.0,'5_1':0.0},(104,343):{'3_1':0.0,'4_1':0.0},(104,342):{'3_1':0.0},(104,341):{'3_1':0.03},(104,340):{'3_1':0.03},(104,339):{'3_1':0.06},(104,338):{'3_1':0.03},(104,337):{'3_1':0.0},(104,336):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(104,335):{'3_1':0.0},(104,334):{'3_1':0.0,'4_1':0.0},(104,333):{'3_1':0.03},(104,332):{'3_1':0.03},(104,331):{'3_1':0.03},(104,330):{'3_1':0.0,'4_1':0.0},(104,329):{'3_1':0.0},(104,328):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(104,327):{'3_1':0.03},(104,326):{'3_1':0.0},(104,325):{'3_1':0.03,'4_1':0.0},(104,324):{'7_1':0.0},(104,323):{'3_1':0.0},(104,322):{'3_1':0.0},(104,321):{'3_1':0.0},(104,320):{'3_1':0.0,'4_1':0.0},(104,319):{'3_1':0.03},(104,318):{'3_1':0.03},(104,317):{'3_1':0.0},(104,316):{'3_1':0.03,'4_1':0.0},(104,315):{'3_1':0.03},(104,314):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,313):{'3_1':0.03},(104,312):{'3_1':0.0,'4_1':0.0},(104,311):{'3_1':0.0},(104,309):{'3_1':0.0},(104,308):{'3_1':0.0},(104,307):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(104,306):{'4_1':0.0},(104,305):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(104,304):{'3_1':0.06},(104,303):{'3_1':0.0,'5_1':0.0},(104,302):{'3_1':0.03,'5_2':0.0},(104,301):{'3_1':0.0},(104,300):{'3_1':0.0},(104,299):{'3_1':0.0},(104,298):{'3_1':0.03},(104,296):{'3_1':0.0},(104,295):{'3_1':0.0,'7_1':0.0,'-3':0.0},(104,294):{'3_1':0.03},(104,293):{'3_1':0.0,'5_1':0.0},(104,292):{'3_1':0.03},(104,291):{'3_1':0.0},(104,290):{'3_1':0.03,'4_1':0.0},(104,289):{'3_1':0.0},(104,288):{'3_1':0.0},(104,287):{'3_1':0.0},(104,286):{'3_1':0.0},(104,285):{'3_1':0.0},(104,284):{'3_1':0.0},(104,283):{'3_1':0.0},(104,282):{'3_1':0.0,'4_1':0.0},(104,281):{'3_1':0.0,'6_2':0.0},(104,280):{'3_1':0.0},(104,279):{'3_1':0.0},(104,278):{'3_1':0.0},(104,277):{'3_1':0.0,'6_2':0.0},(104,276):{'3_1':0.0},(104,275):{'3_1':0.0},(104,274):{'3_1':0.0},(104,273):{'3_1':0.0},(104,272):{'3_1':0.0},(104,271):{'3_1':0.0,'4_1':0.0},(104,270):{'3_1':0.0},(104,269):{'3_1':0.03},(104,267):{'3_1':0.03},(104,266):{'3_1':0.03,'5_2':0.0},(104,265):{'3_1':0.03,'4_1':0.0},(104,264):{'3_1':0.0},(104,263):{'3_1':0.0,'5_2':0.0},(104,262):{'4_1':0.0},(104,261):{'3_1':0.0},(104,260):{'3_1':0.03},(104,259):{'3_1':0.0},(104,258):{'3_1':0.0,'4_1':0.0},(104,257):{'3_1':0.0},(104,256):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(104,255):{'3_1':0.0},(104,254):{'3_1':0.0},(104,251):{'3_1':0.0,'4_1':0.0},(104,250):{'3_1':0.0,'4_1':0.0},(104,249):{'3_1':0.03,'4_1':0.0},(104,247):{'3_1':0.0},(104,246):{'3_1':0.0,'5_1':0.0},(104,245):{'3_1':0.0},(104,244):{'5_1':0.0,'3_1':0.0},(104,243):{'3_1':0.03},(104,242):{'3_1':0.0,'7_1':0.0},(104,241):{'3_1':0.0,'4_1':0.0},(104,240):{'3_1':0.0},(104,239):{'3_1':0.0},(104,238):{'3_1':0.0},(104,237):{'3_1':0.03,'4_1':0.0},(104,236):{'3_1':0.0},(104,235):{'3_1':0.0},(104,234):{'3_1':0.0},(104,233):{'3_1':0.0,'5_2':0.0},(104,232):{'3_1':0.0},(104,231):{'3_1':0.0},(104,230):{'5_1':0.0,'3_1':0.0},(104,229):{'3_1':0.0},(104,228):{'3_1':0.0},(104,227):{'3_1':0.0},(104,226):{'3_1':0.0},(104,225):{'3_1':0.0},(104,222):{'3_1':0.0,'5_1':0.0},(104,221):{'4_1':0.0,'3_1':0.0},(104,220):{'4_1':0.0},(104,219):{'5_1':0.0},(104,218):{'3_1':0.0},(104,217):{'4_1':0.0,'5_2':0.0},(104,216):{'3_1':0.0},(104,215):{'3_1':0.0},(104,210):{'3_1':0.0,'4_1':0.0},(104,209):{'3_1':0.0},(104,208):{'3_1':0.0},(104,205):{'3_1':0.03},(104,204):{'3_1':0.0},(104,203):{'3_1':0.0,'4_1':0.0},(104,199):{'3_1':0.0},(104,198):{'3_1':0.0},(104,197):{'3_1':0.03,'4_1':0.0},(104,196):{'3_1':0.0},(104,195):{'3_1':0.0,'4_1':0.0},(104,194):{'3_1':0.0},(104,193):{'3_1':0.0,'5_1':0.0},(104,192):{'3_1':0.0},(104,191):{'3_1':0.03},(104,190):{'3_1':0.0},(104,188):{'3_1':0.0},(104,187):{'3_1':0.0},(104,186):{'3_1':0.0},(104,184):{'3_1':0.0},(104,183):{'3_1':0.0},(104,182):{'3_1':0.03,'7_4':0.0},(104,180):{'3_1':0.0},(104,176):{'3_1':0.03},(104,175):{'3_1':0.03},(104,174):{'3_1':0.0},(104,172):{'3_1':0.0,'5_1':0.0},(104,171):{'3_1':0.0},(104,170):{'3_1':0.0},(104,169):{'3_1':0.0},(104,168):{'3_1':0.0},(104,166):{'3_1':0.03},(104,165):{'3_1':0.0},(104,164):{'3_1':0.03},(104,163):{'3_1':0.0},(104,162):{'3_1':0.0},(104,157):{'3_1':0.03},(104,156):{'5_1':0.0},(104,155):{'3_1':0.0},(104,154):{'3_1':0.0},(104,153):{'3_1':0.0},(104,152):{'3_1':0.0},(104,151):{'3_1':0.0},(104,149):{'3_1':0.0},(104,147):{'3_1':0.0},(104,116):{'3_1':0.0},(105,727):{'5_2':0.36,'7_5':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(105,726):{'5_2':0.36,'-3':0.12,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'8_11':0.0},(105,725):{'5_2':0.42,'7_2':0.09,'-3':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0},(105,724):{'5_2':0.42,'7_5':0.09,'7_2':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(105,723):{'5_2':0.36,'7_5':0.09,'7_3':0.06,'-3':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_8':0.0},(105,722):{'5_2':0.36,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(105,721):{'5_2':0.33,'7_2':0.06,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0},(105,720):{'5_2':0.36,'-3':0.09,'7_4':0.06,'7_2':0.06,'5_1':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_11':0.0},(105,719):{'5_2':0.36,'-3':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(105,718):{'5_2':0.36,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(105,717):{'5_2':0.39,'7_2':0.06,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(105,716):{'5_2':0.42,'7_5':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_2':0.0,'8_4':0.0,'4_1':0.0,'5_1':0.0},(105,715):{'5_2':0.42,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_6':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(105,714):{'5_2':0.42,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(105,713):{'5_2':0.3,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(105,712):{'5_2':0.39,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'3_1#5_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0},(105,711):{'5_2':0.33,'7_2':0.06,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0},(105,710):{'5_2':0.33,'-3':0.15,'7_5':0.12,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(105,709):{'5_2':0.3,'-3':0.15,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'4_1':0.0,'2':-0.03},(105,708):{'5_2':0.33,'-3':0.15,'7_3':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_15':0.0},(105,707):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.06,'7_4':0.03,'8_14':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(105,706):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0},(105,705):{'5_2':0.3,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'8_11':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(105,704):{'5_2':0.3,'3_1':0.06,'7_2':0.06,'7_5':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(105,703):{'5_2':0.36,'3_1':0.09,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_6':0.0,'3_1#5_2':0.0},(105,702):{'5_2':0.36,'-3':0.09,'3_1':0.03,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_6':0.03,'3_1#5_2':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_13':0.0},(105,701):{'5_2':0.33,'7_3':0.12,'-3':0.09,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0},(105,700):{'5_2':0.33,'-3':0.12,'7_5':0.06,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(105,699):{'5_2':0.33,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'8_15':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(105,698):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(105,697):{'5_2':0.24,'3_1':0.12,'7_3':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'3_1#5_2':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'1':-0.03},(105,696):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_6':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(105,695):{'5_2':0.24,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(105,694):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_3':0.09,'7_5':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'9_1':0.0},(105,693):{'5_2':0.21,'-3':0.12,'3_1':0.12,'7_5':0.09,'6_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(105,692):{'5_2':0.27,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(105,691):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(105,690):{'5_2':0.21,'3_1':0.12,'7_3':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_4':0.0,'8_13':0.0},(105,689):{'5_2':0.33,'7_4':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(105,688):{'3_1':0.21,'5_2':0.18,'7_3':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0},(105,687):{'5_2':0.21,'3_1':0.21,'-3':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(105,686):{'5_2':0.27,'3_1':0.12,'7_4':0.06,'7_5':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(105,685):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_16':0.0},(105,684):{'5_2':0.21,'3_1':0.18,'-3':0.09,'7_3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.0,'8_13':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(105,683):{'5_2':0.21,'3_1':0.12,'7_4':0.06,'5_1':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_5':0.0,'6_3':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(105,682):{'3_1':0.24,'5_2':0.21,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(105,681):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'8_3':0.0,'8_13':0.0},(105,680):{'3_1':0.33,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0},(105,679):{'3_1':0.27,'5_2':0.21,'6_1':0.06,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0},(105,678):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(105,677):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'7_5':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(105,676):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0},(105,675):{'3_1':0.27,'5_2':0.18,'6_1':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(105,674):{'3_1':0.27,'5_2':0.24,'7_3':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,673):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(105,672):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0},(105,671):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0},(105,670):{'3_1':0.36,'5_2':0.15,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0},(105,669):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_12':0.0},(105,668):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(105,667):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(105,666):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(105,665):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.0,'8_16':0.0,'-3':0.0},(105,664):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(105,663):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(105,662):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(105,661):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_13':0.0,'-3':0.0},(105,660):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(105,659):{'3_1':0.3,'5_2':0.21,'5_1':0.09,'7_4':0.0,'4_1':0.0,'8_1':0.0},(105,658):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(105,657):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(105,656):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(105,655):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(105,654):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(105,653):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(105,652):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'8_19':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,651):{'3_1':0.3,'5_2':0.15,'5_1':0.12,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(105,650):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_3':0.0,'8_19':0.0},(105,649):{'3_1':0.27,'5_2':0.21,'5_1':0.09,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(105,648):{'5_2':0.24,'3_1':0.21,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(105,647):{'3_1':0.33,'5_2':0.15,'5_1':0.12,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'8_5':0.0},(105,646):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'6_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(105,645):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(105,644):{'3_1':0.33,'5_2':0.09,'5_1':0.09,'-3':0.0,'6_3':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(105,643):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(105,642):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(105,641):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'7_1':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(105,640):{'5_2':0.21,'3_1':0.18,'5_1':0.09,'7_1':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,639):{'3_1':0.18,'5_2':0.12,'-3':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(105,638):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(105,637):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(105,636):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0},(105,635):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(105,634):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(105,633):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0},(105,632):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(105,631):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(105,630):{'3_1':0.3,'5_1':0.09,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'8_19':0.0},(105,629):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0},(105,628):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(105,627):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0},(105,626):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(105,625):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0},(105,624):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(105,623):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'6_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(105,621):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'8_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,620):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(105,619):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(105,618):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(105,617):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,616):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_4':0.0},(105,615):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(105,614):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(105,613):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(105,612):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_19':0.0},(105,611):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(105,610):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,609):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_3':0.0,'-3':0.0},(105,608):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(105,607):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(105,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(105,605):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_7':0.0},(105,604):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_19':0.0},(105,603):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0},(105,602):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(105,601):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(105,600):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(105,599):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(105,598):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(105,597):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_19':0.0},(105,596):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(105,595):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(105,594):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_6':0.0},(105,593):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'7_3':0.0,'5_1':0.0},(105,592):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_7':0.0},(105,591):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_4':0.0},(105,590):{'3_1':0.24,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(105,589):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(105,588):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(105,587):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(105,586):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(105,585):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(105,584):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(105,583):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_2':0.0},(105,582):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0},(105,581):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(105,580):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(105,579):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_1':0.0},(105,578):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(105,577):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(105,576):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(105,575):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(105,574):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(105,573):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(105,572):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(105,571):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(105,570):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(105,569):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(105,568):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(105,567):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(105,566):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(105,565):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(105,564):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(105,563):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(105,562):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(105,561):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(105,560):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_2':0.0},(105,559):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(105,558):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0},(105,557):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(105,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(105,555):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(105,554):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(105,553):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_5':0.0},(105,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(105,551):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(105,550):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(105,549):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(105,548):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(105,547):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'7_1':0.0},(105,546):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'6_3':0.0},(105,545):{'3_1':0.15,'5_2':0.0},(105,544):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(105,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(105,542):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(105,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(105,540):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(105,539):{'3_1':0.21,'5_1':0.0},(105,538):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(105,537):{'3_1':0.3,'5_1':0.0,'5_2':0.0},(105,536):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(105,535):{'3_1':0.24,'5_1':0.03},(105,534):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(105,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0},(105,532):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(105,531):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(105,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(105,529):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(105,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(105,527):{'3_1':0.18,'5_2':0.0},(105,526):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(105,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(105,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(105,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(105,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(105,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,519):{'3_1':0.09},(105,518):{'3_1':0.09},(105,517):{'3_1':0.12,'5_2':0.0},(105,516):{'3_1':0.12},(105,515):{'3_1':0.09},(105,514):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(105,513):{'3_1':0.03,'4_1':0.0},(105,512):{'3_1':0.06,'4_1':0.0},(105,511):{'3_1':0.03,'4_1':0.0},(105,510):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(105,509):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(105,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,507):{'3_1':0.03,'5_2':0.0},(105,506):{'3_1':0.06,'5_1':0.0},(105,505):{'3_1':0.06,'4_1':0.0},(105,504):{'3_1':0.03},(105,503):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(105,502):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(105,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,500):{'3_1':0.12},(105,499):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(105,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(105,497):{'3_1':0.06,'4_1':0.0},(105,496):{'3_1':0.03},(105,495):{'3_1':0.09,'7_1':0.0},(105,494):{'3_1':0.03},(105,493):{'3_1':0.03},(105,492):{'3_1':0.12},(105,491):{'3_1':0.03,'5_1':0.0},(105,490):{'3_1':0.06,'4_1':0.0},(105,489):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(105,488):{'3_1':0.09},(105,487):{'3_1':0.06,'4_1':0.0},(105,486):{'3_1':0.06,'4_1':0.0},(105,485):{'3_1':0.03},(105,484):{'3_1':0.12,'5_1':0.0},(105,483):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(105,482):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(105,481):{'3_1':0.09,'7_1':0.0},(105,480):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(105,479):{'3_1':0.12,'5_1':0.0},(105,478):{'3_1':0.09,'5_2':0.0,'7_1':0.0,'9_1':0.0},(105,477):{'3_1':0.06,'4_1':0.0},(105,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,475):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(105,474):{'3_1':0.06,'4_1':0.0},(105,473):{'3_1':0.09},(105,472):{'3_1':0.06,'4_1':0.0},(105,471):{'3_1':0.09,'4_1':0.0},(105,470):{'3_1':0.03},(105,469):{'3_1':0.09,'6_2':0.0},(105,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(105,467):{'3_1':0.03,'5_2':0.0},(105,466):{'3_1':0.03,'4_1':0.0},(105,465):{'3_1':0.06,'4_1':0.0},(105,464):{'3_1':0.03},(105,463):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,462):{'3_1':0.0,'4_1':0.0},(105,461):{'3_1':0.0,'4_1':0.0},(105,460):{'3_1':0.03},(105,459):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(105,458):{'3_1':0.06},(105,457):{'3_1':0.03},(105,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,455):{'3_1':0.06,'4_1':0.0},(105,454):{'3_1':0.0,'5_1':0.0},(105,453):{'3_1':0.06,'5_2':0.0},(105,452):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(105,451):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(105,450):{'3_1':0.06},(105,449):{'3_1':0.09,'4_1':0.0},(105,448):{'3_1':0.03},(105,447):{'3_1':0.03,'4_1':0.0},(105,446):{'3_1':0.0,'4_1':0.0},(105,445):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(105,444):{'3_1':0.03,'4_1':0.0},(105,443):{'3_1':0.06,'5_1':0.0},(105,442):{'3_1':0.03},(105,441):{'3_1':0.03,'4_1':0.0},(105,440):{'3_1':0.0,'6_1':0.0},(105,439):{'3_1':0.03},(105,438):{'3_1':0.03,'4_1':0.0},(105,437):{'3_1':0.03},(105,436):{'3_1':0.06,'4_1':0.0},(105,435):{'3_1':0.06,'4_1':0.0},(105,434):{'3_1':0.09},(105,433):{'3_1':0.03,'4_1':0.0},(105,432):{'4_1':0.0,'5_1':0.0},(105,431):{'3_1':0.03,'4_1':0.0},(105,430):{'3_1':0.03},(105,429):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(105,428):{'3_1':0.03},(105,427):{'3_1':0.03,'4_1':0.0},(105,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(105,425):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(105,424):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(105,423):{'3_1':0.06},(105,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,421):{'3_1':0.06,'4_1':0.0},(105,420):{'3_1':0.03,'4_1':0.0},(105,419):{'3_1':0.03,'4_1':0.0},(105,418):{'3_1':0.0,'4_1':0.0},(105,417):{'3_1':0.06,'4_1':0.03},(105,416):{'4_1':0.0,'3_1':0.0},(105,415):{'4_1':0.0,'3_1':0.0},(105,414):{'3_1':0.06,'4_1':0.0},(105,413):{'3_1':0.0,'4_1':0.0},(105,412):{'3_1':0.0},(105,411):{'3_1':0.0,'4_1':0.0},(105,410):{'3_1':0.0,'6_1':0.0},(105,409):{'3_1':0.0},(105,408):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(105,407):{'3_1':0.03},(105,406):{'3_1':0.0},(105,405):{'3_1':0.0},(105,404):{'3_1':0.0,'4_1':0.0},(105,403):{'3_1':0.0,'4_1':0.0},(105,401):{'3_1':0.0,'4_1':0.0},(105,400):{'3_1':0.0,'8_1':0.0},(105,399):{'3_1':0.0},(105,398):{'6_1':0.0},(105,397):{'3_1':0.03,'4_1':0.0},(105,396):{'4_1':0.0},(105,395):{'4_1':0.0,'3_1':0.0},(105,394):{'5_1':0.0},(105,393):{'3_1':0.0,'4_1':0.0},(105,392):{'3_1':0.0,'4_1':0.0},(105,391):{'4_1':0.0,'3_1':0.0},(105,390):{'3_1':0.0,'4_1':0.0},(105,387):{'3_1':0.0,'5_1':0.0},(105,385):{'3_1':0.0,'8_1':0.0},(105,383):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(105,382):{'3_1':0.03},(105,381):{'3_1':0.03},(105,380):{'3_1':0.03,'4_1':0.0},(105,379):{'3_1':0.0,'5_1':0.0},(105,378):{'3_1':0.03},(105,377):{'3_1':0.03},(105,376):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(105,375):{'3_1':0.06},(105,374):{'3_1':0.0,'4_1':0.0},(105,373):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(105,372):{'3_1':0.0},(105,371):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(105,370):{'3_1':0.0},(105,369):{'3_1':0.0},(105,367):{'3_1':0.03},(105,366):{'3_1':0.09},(105,365):{'3_1':0.0},(105,364):{'3_1':0.0,'4_1':0.0},(105,363):{'3_1':0.0,'4_1':0.0},(105,362):{'3_1':0.03},(105,361):{'3_1':0.0},(105,360):{'3_1':0.0,'5_1':0.0},(105,359):{'3_1':0.03},(105,358):{'3_1':0.03},(105,357):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(105,356):{'3_1':0.0,'5_1':0.0},(105,355):{'3_1':0.0},(105,354):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(105,353):{'3_1':0.0,'5_1':0.0},(105,352):{'3_1':0.03},(105,351):{'3_1':0.03},(105,349):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(105,348):{'3_1':0.03},(105,347):{'3_1':0.0},(105,346):{'3_1':0.0},(105,345):{'3_1':0.0},(105,344):{'3_1':0.0},(105,343):{'3_1':0.0,'5_1':0.0},(105,342):{'3_1':0.0},(105,341):{'3_1':0.0},(105,340):{'3_1':0.0},(105,339):{'5_1':0.0},(105,338):{'3_1':0.0},(105,337):{'3_1':0.0,'5_1':0.0},(105,336):{'3_1':0.0},(105,335):{'3_1':0.0,'4_1':0.0},(105,334):{'3_1':0.0,'7_1':0.0},(105,333):{'3_1':0.0},(105,332):{'3_1':0.03},(105,331):{'3_1':0.03},(105,330):{'3_1':0.03},(105,328):{'3_1':0.0},(105,327):{'3_1':0.0},(105,326):{'3_1':0.0},(105,325):{'3_1':0.0},(105,324):{'3_1':0.0,'4_1':0.0},(105,322):{'3_1':0.0,'4_1':0.0},(105,321):{'3_1':0.0},(105,320):{'3_1':0.0},(105,319):{'3_1':0.0,'4_1':0.0},(105,318):{'3_1':0.06},(105,317):{'3_1':0.0},(105,316):{'3_1':0.0},(105,315):{'3_1':0.0},(105,314):{'3_1':0.0,'4_1':0.0,'8_19':0.0},(105,313):{'3_1':0.03,'4_1':0.0},(105,312):{'3_1':0.0},(105,311):{'3_1':0.0},(105,310):{'3_1':0.06},(105,309):{'3_1':0.03,'5_1':0.0},(105,308):{'3_1':0.0,'4_1':0.0},(105,307):{'3_1':0.0},(105,306):{'3_1':0.06},(105,305):{'3_1':0.0},(105,304):{'3_1':0.06,'7_1':0.0},(105,303):{'3_1':0.03},(105,302):{'3_1':0.03},(105,301):{'3_1':0.03,'5_1':0.0},(105,300):{'3_1':0.03},(105,299):{'3_1':0.03},(105,298):{'4_1':0.0,'3_1':0.0},(105,297):{'3_1':0.0},(105,296):{'3_1':0.0,'4_1':0.0},(105,295):{'3_1':0.03},(105,294):{'3_1':0.03},(105,293):{'3_1':0.0,'5_1':0.0},(105,292):{'3_1':0.0},(105,291):{'5_1':0.0},(105,290):{'3_1':0.0},(105,289):{'3_1':0.0},(105,288):{'3_1':0.0},(105,287):{'3_1':0.0},(105,286):{'3_1':0.0},(105,285):{'3_1':0.0},(105,284):{'3_1':0.0},(105,283):{'3_1':0.0},(105,282):{'3_1':0.0,'5_1':0.0},(105,281):{'3_1':0.0},(105,280):{'3_1':0.0,'5_2':0.0},(105,279):{'3_1':0.0},(105,278):{'3_1':0.0,'4_1':0.0},(105,277):{'3_1':0.0},(105,276):{'3_1':0.0,'4_1':0.0},(105,275):{'3_1':0.0},(105,274):{'3_1':0.0,'5_2':0.0},(105,273):{'3_1':0.03},(105,272):{'3_1':0.03,'5_1':0.0},(105,271):{'3_1':0.0},(105,270):{'3_1':0.0,'6_2':0.0},(105,269):{'3_1':0.0},(105,268):{'3_1':0.03,'4_1':0.0},(105,267):{'3_1':0.03},(105,265):{'3_1':0.0,'5_1':0.0},(105,264):{'3_1':0.0},(105,263):{'3_1':0.0},(105,262):{'3_1':0.0},(105,261):{'3_1':0.0,'4_1':0.0},(105,260):{'3_1':0.03,'4_1':0.0},(105,259):{'3_1':0.0,'4_1':0.0},(105,257):{'3_1':0.0},(105,256):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(105,255):{'3_1':0.0,'7_1':0.0},(105,254):{'3_1':0.0,'4_1':0.0},(105,253):{'3_1':0.0},(105,251):{'3_1':0.0},(105,250):{'3_1':0.0,'4_1':0.0},(105,248):{'4_1':0.0},(105,247):{'3_1':0.0,'4_1':0.0},(105,246):{'3_1':0.0},(105,245):{'3_1':0.0,'4_1':0.0},(105,244):{'3_1':0.0,'5_1':0.0},(105,243):{'3_1':0.0},(105,242):{'3_1':0.0,'7_1':0.0},(105,241):{'3_1':0.0,'7_2':0.0},(105,240):{'3_1':0.03,'4_1':0.0},(105,239):{'3_1':0.0},(105,238):{'3_1':0.0},(105,237):{'3_1':0.03,'4_1':0.0},(105,236):{'3_1':0.0,'4_1':0.0},(105,235):{'3_1':0.0},(105,234):{'3_1':0.0},(105,233):{'3_1':0.03},(105,232):{'3_1':0.0},(105,231):{'4_1':0.0,'5_2':0.0},(105,230):{'3_1':0.0},(105,229):{'3_1':0.0},(105,228):{'5_2':0.0},(105,226):{'3_1':0.0},(105,225):{'4_1':0.0},(105,224):{'3_1':0.0},(105,222):{'5_1':0.0},(105,220):{'3_1':0.0},(105,219):{'3_1':0.0,'4_1':0.0},(105,218):{'3_1':0.0,'4_1':0.0},(105,216):{'3_1':0.0,'4_1':0.0},(105,215):{'3_1':0.0},(105,213):{'3_1':0.0},(105,210):{'3_1':0.0},(105,208):{'3_1':0.0},(105,207):{'3_1':0.0},(105,200):{'3_1':0.0},(105,197):{'3_1':0.0},(105,196):{'3_1':0.0},(105,195):{'3_1':0.0},(105,194):{'3_1':0.0},(105,193):{'3_1':0.0},(105,192):{'3_1':0.0},(105,191):{'3_1':0.0},(105,190):{'4_1':0.0},(105,189):{'3_1':0.0},(105,188):{'3_1':0.0},(105,186):{'3_1':0.0},(105,184):{'3_1':0.0},(105,182):{'3_1':0.0},(105,180):{'3_1':0.0},(105,179):{'3_1':0.0},(105,178):{'3_1':0.0},(105,172):{'3_1':0.0},(105,171):{'3_1':0.0},(105,168):{'3_1':0.0,'4_1':0.0},(105,167):{'3_1':0.0},(105,166):{'3_1':0.0},(105,165):{'3_1':0.0},(105,164):{'3_1':0.0,'5_2':0.0},(105,163):{'3_1':0.0,'8_3':0.0},(105,162):{'3_1':0.0},(105,160):{'3_1':0.0},(105,158):{'3_1':0.0},(105,157):{'3_1':0.0},(105,156):{'3_1':0.0},(105,154):{'3_1':0.0},(105,153):{'3_1':0.0},(105,151):{'3_1':0.0},(105,150):{'3_1':0.0},(105,149):{'3_1':0.0},(105,146):{'3_1':0.0},(106,727):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0},(106,726):{'5_2':0.57,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0},(106,725):{'5_2':0.36,'-3':0.12,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(106,724):{'5_2':0.42,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0},(106,723):{'5_2':0.36,'7_3':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(106,722):{'5_2':0.3,'7_5':0.09,'-3':0.09,'7_3':0.06,'7_6':0.06,'7_2':0.03,'7_4':0.0,'8_11':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(106,721):{'5_2':0.3,'7_3':0.09,'-3':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(106,720):{'5_2':0.3,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(106,719):{'5_2':0.42,'-3':0.06,'7_5':0.06,'7_6':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(106,718):{'5_2':0.39,'-3':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'1':-0.03},(106,717):{'5_2':0.27,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_5':0.06,'7_6':0.03,'3_1':0.03,'7_4':0.0,'6_1':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0},(106,716):{'5_2':0.42,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(106,715):{'5_2':0.39,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'2':-0.03},(106,714):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(106,713):{'5_2':0.36,'-3':0.12,'7_2':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(106,712):{'5_2':0.36,'7_3':0.09,'-3':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(106,711):{'5_2':0.36,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(106,710):{'5_2':0.33,'-3':0.12,'7_5':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0,'8_14':0.0},(106,709):{'5_2':0.27,'-3':0.18,'7_5':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_15':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(106,708):{'5_2':0.3,'-3':0.12,'7_2':0.06,'7_5':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'8_18':0.0,'1':-0.03},(106,707):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0},(106,706):{'5_2':0.3,'7_3':0.09,'7_2':0.06,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0},(106,705):{'5_2':0.36,'-3':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_3':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(106,704):{'5_2':0.3,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0},(106,703):{'5_2':0.39,'7_5':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'1':-0.03},(106,702):{'5_2':0.33,'-3':0.09,'7_3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0},(106,701):{'5_2':0.36,'3_1':0.06,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.03,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'4_1':0.0,'8_8':0.0,'8_15':0.0},(106,700):{'5_2':0.3,'7_2':0.09,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0},(106,699):{'5_2':0.24,'7_3':0.09,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'8_11':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_3':0.0},(106,698):{'5_2':0.3,'7_3':0.12,'7_2':0.06,'7_5':0.03,'3_1':0.03,'7_6':0.03,'-3':0.03,'6_1':0.03,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_6':0.0,'8_11':0.0},(106,697):{'5_2':0.36,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'5_1':0.0,'3_1#5_2':0.0},(106,696):{'5_2':0.27,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0},(106,695):{'5_2':0.33,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(106,694):{'5_2':0.24,'3_1':0.15,'-3':0.09,'7_3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(106,693):{'5_2':0.27,'3_1':0.12,'7_3':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_15':0.0,'5_1':0.0},(106,692):{'5_2':0.21,'7_2':0.12,'3_1':0.12,'7_5':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(106,691):{'5_2':0.21,'3_1':0.12,'5_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'7_6':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0},(106,690):{'5_2':0.27,'3_1':0.12,'6_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(106,689):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0},(106,688):{'5_2':0.3,'3_1':0.18,'7_4':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0,'3_1#5_2':0.0},(106,687):{'5_2':0.27,'3_1':0.21,'5_1':0.06,'7_4':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(106,686):{'5_2':0.24,'3_1':0.21,'7_3':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_13':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'1':-0.03},(106,685):{'5_2':0.3,'3_1':0.12,'7_4':0.12,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(106,684):{'5_2':0.27,'3_1':0.12,'-3':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_19':0.0},(106,683):{'5_2':0.27,'3_1':0.15,'7_3':0.06,'7_4':0.06,'5_1':0.06,'4_1':0.03,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0},(106,682):{'3_1':0.21,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(106,681):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(106,680):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0},(106,679):{'3_1':0.27,'5_2':0.21,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_2':0.0,'-3':0.0},(106,678):{'3_1':0.27,'5_2':0.24,'5_1':0.03,'7_4':0.03,'8_13':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0},(106,677):{'3_1':0.3,'5_2':0.27,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(106,676):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_1':0.0},(106,675):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(106,674):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(106,673):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(106,672):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(106,671):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(106,670):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0},(106,669):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_12':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(106,668):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0,'7_6':0.0,'8_5':0.0},(106,667):{'5_2':0.24,'3_1':0.21,'7_4':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(106,666):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(106,665):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(106,664):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(106,663):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(106,662):{'3_1':0.24,'5_2':0.24,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(106,661):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0},(106,660):{'3_1':0.33,'5_2':0.21,'5_1':0.09,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_7':0.0,'7_6':0.0,'-3':0.0},(106,659):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(106,658):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(106,657):{'3_1':0.36,'5_2':0.24,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_12':0.0},(106,656):{'3_1':0.42,'5_2':0.09,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(106,655):{'3_1':0.36,'5_2':0.18,'5_1':0.09,'4_1':0.06,'8_19':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(106,654):{'3_1':0.36,'5_2':0.21,'4_1':0.06,'5_1':0.03,'6_3':0.0,'7_4':0.0},(106,653):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(106,652):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(106,651):{'3_1':0.3,'5_1':0.15,'5_2':0.12,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0},(106,650):{'3_1':0.42,'5_2':0.12,'5_1':0.09,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(106,649):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.0,'-3':0.0},(106,648):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,647):{'3_1':0.33,'5_2':0.09,'5_1':0.09,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,646):{'3_1':0.36,'5_2':0.06,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(106,645):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(106,644):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_16':0.0,'8_19':0.0},(106,643):{'3_1':0.33,'5_2':0.12,'7_4':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(106,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(106,641):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(106,640):{'3_1':0.21,'5_1':0.15,'5_2':0.09,'4_1':0.0,'7_1':0.0,'8_19':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(106,639):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(106,638):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(106,637):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(106,636):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(106,635):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(106,634):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_2':0.0},(106,633):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(106,632):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(106,631):{'3_1':0.15,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_1':0.0,'6_2':0.0},(106,630):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_1':0.0},(106,629):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_7':0.0},(106,628):{'3_1':0.21,'5_2':0.09,'5_1':0.09,'4_1':0.03},(106,627):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(106,626):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(106,625):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(106,624):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(106,623):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(106,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'8_7':0.0},(106,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(106,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0},(106,619):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(106,618):{'3_1':0.39,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(106,617):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_19':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_1':0.0},(106,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(106,615):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_6':0.0,'-3':0.0},(106,614):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_16':0.0,'8_19':0.0},(106,613):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(106,612):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(106,611):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_7':0.0,'-3':0.0},(106,610):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0},(106,609):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(106,608):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(106,607):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(106,606):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,605):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(106,604):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(106,603):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(106,602):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(106,601):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(106,600):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(106,599):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0},(106,598):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(106,597):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0},(106,596):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(106,595):{'3_1':0.3,'4_1':0.03,'5_2':0.0},(106,594):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(106,593):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(106,592):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(106,591):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(106,590):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(106,589):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(106,588):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(106,587):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(106,586):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(106,585):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(106,584):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(106,583):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(106,582):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_4':0.0},(106,581):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0},(106,580):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(106,579):{'3_1':0.15,'4_1':0.06,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(106,578):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'7_3':0.0},(106,577):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_1':0.0},(106,576):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(106,575):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(106,574):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(106,573):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(106,572):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(106,571):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(106,570):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(106,569):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_2':0.0},(106,568):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(106,567):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(106,566):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'8_4':0.0},(106,565):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(106,564):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(106,563):{'3_1':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0},(106,562):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(106,561):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(106,560):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(106,559):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(106,558):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_3':0.0},(106,557):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,556):{'3_1':0.15,'5_2':0.03,'6_2':0.0},(106,555):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(106,554):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(106,553):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(106,552):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(106,551):{'3_1':0.12,'4_1':0.0,'7_6':0.0},(106,550):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(106,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,547):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(106,546):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(106,545):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(106,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(106,543):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(106,542):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(106,541):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(106,540):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(106,539):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(106,538):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(106,537):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,536):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(106,535):{'3_1':0.21,'5_2':0.0},(106,534):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(106,533):{'3_1':0.24,'4_1':0.0},(106,532):{'3_1':0.15,'6_2':0.03,'5_1':0.0,'5_2':0.0},(106,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(106,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(106,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(106,528):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(106,527):{'3_1':0.09},(106,526):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(106,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(106,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,523):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(106,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(106,521):{'3_1':0.12,'5_2':0.0},(106,520):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(106,519):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(106,518):{'3_1':0.06,'5_2':0.0},(106,517):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_5':0.0},(106,516):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(106,515):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(106,514):{'3_1':0.06,'7_1':0.0},(106,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(106,512):{'3_1':0.09,'4_1':0.0},(106,511):{'3_1':0.06,'4_1':0.0},(106,510):{'3_1':0.06,'6_1':0.0},(106,509):{'3_1':0.06,'4_1':0.0},(106,508):{'3_1':0.0,'4_1':0.0},(106,507):{'3_1':0.09},(106,506):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,504):{'3_1':0.03,'4_1':0.0},(106,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(106,502):{'3_1':0.06},(106,501):{'4_1':0.03,'3_1':0.0},(106,500):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(106,499):{'3_1':0.03,'4_1':0.0},(106,498):{'3_1':0.03},(106,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,496):{'3_1':0.06},(106,495):{'3_1':0.0,'5_1':0.0},(106,494):{'3_1':0.09,'5_2':0.0},(106,493):{'3_1':0.03},(106,492):{'3_1':0.06,'4_1':0.0},(106,491):{'3_1':0.06},(106,490):{'3_1':0.03,'5_1':0.0},(106,489):{'3_1':0.06},(106,488):{'3_1':0.0,'4_1':0.0},(106,487):{'3_1':0.09,'4_1':0.0},(106,486):{'3_1':0.09},(106,485):{'3_1':0.03,'5_1':0.0},(106,484):{'3_1':0.09,'4_1':0.0},(106,483):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,482):{'3_1':0.09,'5_2':0.0},(106,481):{'3_1':0.12,'5_2':0.0},(106,480):{'3_1':0.06},(106,479):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(106,478):{'3_1':0.03,'5_2':0.0},(106,477):{'3_1':0.06,'4_1':0.0},(106,476):{'3_1':0.06,'5_2':0.0},(106,475):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0},(106,474):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(106,473):{'3_1':0.03,'5_1':0.0},(106,472):{'3_1':0.12,'4_1':0.0},(106,471):{'3_1':0.09,'5_1':0.0},(106,470):{'3_1':0.03,'5_1':0.0},(106,469):{'3_1':0.12},(106,468):{'3_1':0.03,'4_1':0.0},(106,467):{'3_1':0.06,'7_1':0.0},(106,466):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,465):{'3_1':0.06},(106,464):{'3_1':0.06},(106,463):{'3_1':0.03,'4_1':0.0},(106,462):{'3_1':0.03,'5_2':0.0},(106,461):{'3_1':0.0,'4_1':0.0},(106,460):{'3_1':0.03,'5_2':0.0},(106,459):{'3_1':0.0,'4_1':0.0},(106,458):{'3_1':0.03,'5_1':0.0},(106,457):{'3_1':0.03,'4_1':0.0},(106,456):{'3_1':0.0,'5_2':0.0},(106,455):{'3_1':0.06,'4_1':0.0},(106,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(106,453):{'3_1':0.09,'5_2':0.0},(106,452):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(106,451):{'3_1':0.03,'4_1':0.0},(106,450):{'3_1':0.03,'4_1':0.03},(106,449):{'3_1':0.06,'5_2':0.0},(106,448):{'3_1':0.03,'4_1':0.0},(106,447):{'3_1':0.0},(106,446):{'3_1':0.03,'4_1':0.0},(106,445):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(106,444):{'3_1':0.06},(106,443):{'3_1':0.06},(106,442):{'3_1':0.0,'4_1':0.0},(106,440):{'3_1':0.03},(106,439):{'3_1':0.0,'4_1':0.0},(106,438):{'3_1':0.03},(106,437):{'3_1':0.03,'4_1':0.0},(106,436):{'3_1':0.06},(106,435):{'3_1':0.03,'4_1':0.0},(106,434):{'3_1':0.0,'4_1':0.0},(106,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,432):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(106,431):{'3_1':0.03,'5_2':0.0},(106,430):{'3_1':0.03,'4_1':0.0},(106,429):{'3_1':0.0,'4_1':0.0},(106,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(106,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,426):{'3_1':0.03,'4_1':0.0},(106,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(106,424):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(106,423):{'3_1':0.03,'4_1':0.0},(106,422):{'3_1':0.03},(106,421):{'4_1':0.0},(106,420):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(106,418):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,417):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(106,416):{'3_1':0.06,'5_2':0.0},(106,415):{'3_1':0.03,'4_1':0.03},(106,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(106,413):{'3_1':0.0,'4_1':0.0},(106,412):{'3_1':0.0,'4_1':0.0},(106,411):{'3_1':0.0,'4_1':0.0},(106,410):{'3_1':0.0,'4_1':0.0},(106,409):{'3_1':0.0},(106,408):{'3_1':0.0,'4_1':0.0},(106,407):{'3_1':0.03},(106,406):{'4_1':0.0},(106,405):{'3_1':0.0},(106,404):{'3_1':0.0},(106,403):{'3_1':0.0},(106,402):{'3_1':0.0,'4_1':0.0},(106,401):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(106,400):{'3_1':0.03},(106,399):{'3_1':0.03},(106,398):{'3_1':0.0,'4_1':0.0},(106,397):{'3_1':0.0},(106,395):{'3_1':0.0,'4_1':0.0},(106,394):{'3_1':0.0},(106,393):{'3_1':0.0},(106,391):{'3_1':0.0,'5_1':0.0},(106,390):{'3_1':0.0,'4_1':0.0},(106,389):{'4_1':0.0,'3_1':0.0},(106,388):{'3_1':0.0},(106,387):{'3_1':0.0,'4_1':0.0},(106,386):{'3_1':0.03,'4_1':0.0},(106,385):{'3_1':0.0},(106,384):{'3_1':0.0,'4_1':0.0},(106,383):{'3_1':0.03,'5_1':0.0},(106,382):{'3_1':0.0},(106,381):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(106,380):{'3_1':0.03},(106,379):{'3_1':0.03},(106,378):{'4_1':0.0},(106,377):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(106,376):{'3_1':0.0,'4_1':0.0},(106,375):{'3_1':0.06},(106,374):{'3_1':0.06,'5_1':0.0},(106,373):{'3_1':0.03,'5_1':0.0},(106,372):{'3_1':0.0,'5_2':0.0},(106,371):{'3_1':0.03},(106,370):{'3_1':0.03,'5_1':0.0},(106,369):{'3_1':0.0},(106,368):{'3_1':0.06},(106,367):{'3_1':0.0,'5_2':0.0},(106,366):{'3_1':0.03},(106,365):{'3_1':0.03},(106,364):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(106,363):{'3_1':0.0},(106,362):{'3_1':0.03},(106,361):{'3_1':0.0,'9_1':0.0},(106,360):{'3_1':0.03,'5_1':0.0},(106,359):{'3_1':0.0,'5_2':0.0},(106,358):{'3_1':0.06,'5_1':0.0},(106,357):{'3_1':0.0,'4_1':0.0},(106,356):{'3_1':0.0},(106,355):{'3_1':0.0},(106,354):{'3_1':0.0},(106,353):{'3_1':0.0},(106,352):{'3_1':0.0},(106,351):{'3_1':0.03,'5_1':0.0},(106,350):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,349):{'3_1':0.03},(106,348):{'3_1':0.0},(106,347):{'3_1':0.03},(106,346):{'3_1':0.0,'4_1':0.0},(106,345):{'3_1':0.03,'5_1':0.0},(106,343):{'3_1':0.03},(106,342):{'3_1':0.0},(106,341):{'3_1':0.0,'4_1':0.0},(106,340):{'3_1':0.03,'7_1':0.0},(106,339):{'3_1':0.0},(106,338):{'3_1':0.0},(106,337):{'3_1':0.0},(106,336):{'3_1':0.0},(106,335):{'3_1':0.0},(106,334):{'3_1':0.0},(106,333):{'3_1':0.0},(106,332):{'3_1':0.0,'5_1':0.0},(106,331):{'3_1':0.03},(106,330):{'3_1':0.0},(106,329):{'3_1':0.0},(106,328):{'3_1':0.0,'-3':0.0},(106,327):{'3_1':0.03},(106,326):{'3_1':0.0},(106,325):{'3_1':0.03,'4_1':0.0},(106,324):{'3_1':0.03},(106,323):{'3_1':0.03},(106,322):{'3_1':0.0},(106,321):{'3_1':0.0,'7_1':0.0},(106,320):{'3_1':0.03},(106,319):{'3_1':0.0},(106,318):{'3_1':0.0,'4_1':0.0},(106,317):{'3_1':0.03},(106,316):{'3_1':0.0},(106,315):{'3_1':0.03},(106,314):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(106,313):{'3_1':0.0},(106,311):{'3_1':0.0,'8_19':0.0},(106,310):{'3_1':0.03},(106,309):{'3_1':0.03},(106,308):{'3_1':0.0},(106,307):{'3_1':0.03},(106,306):{'3_1':0.03},(106,305):{'3_1':0.03},(106,304):{'3_1':0.0},(106,303):{'3_1':0.0},(106,302):{'3_1':0.03,'5_1':0.0},(106,301):{'3_1':0.0,'4_1':0.0},(106,300):{'3_1':0.03},(106,299):{'3_1':0.0},(106,298):{'3_1':0.0,'4_1':0.0},(106,297):{'3_1':0.03},(106,296):{'3_1':0.0,'4_1':0.0},(106,295):{'3_1':0.0,'4_1':0.0},(106,294):{'3_1':0.0},(106,293):{'5_1':0.0,'7_1':0.0},(106,292):{'3_1':0.0},(106,291):{'3_1':0.0},(106,290):{'3_1':0.0,'6_2':0.0},(106,289):{'3_1':0.0},(106,288):{'3_1':0.0},(106,287):{'3_1':0.0},(106,286):{'3_1':0.0},(106,285):{'3_1':0.0},(106,284):{'3_1':0.0},(106,283):{'3_1':0.03},(106,282):{'3_1':0.0},(106,281):{'3_1':0.0},(106,280):{'3_1':0.0},(106,279):{'3_1':0.03,'4_1':0.0},(106,278):{'3_1':0.0},(106,277):{'3_1':0.0},(106,276):{'3_1':0.0},(106,275):{'3_1':0.0},(106,274):{'3_1':0.03},(106,273):{'3_1':0.03},(106,272):{'3_1':0.0},(106,271):{'3_1':0.0},(106,270):{'3_1':0.0},(106,269):{'3_1':0.0},(106,268):{'3_1':0.03},(106,267):{'3_1':0.0},(106,266):{'3_1':0.0},(106,265):{'3_1':0.03,'4_1':0.0},(106,264):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(106,262):{'3_1':0.0},(106,261):{'3_1':0.0},(106,260):{'6_2':0.0},(106,259):{'4_1':0.0},(106,258):{'3_1':0.0},(106,257):{'3_1':0.0},(106,256):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,255):{'3_1':0.0},(106,254):{'3_1':0.03},(106,253):{'3_1':0.0},(106,251):{'3_1':0.0,'4_1':0.0},(106,249):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,248):{'3_1':0.0},(106,247):{'3_1':0.03},(106,246):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(106,244):{'3_1':0.0,'4_1':0.0},(106,243):{'3_1':0.0},(106,242):{'3_1':0.0,'4_1':0.0},(106,241):{'3_1':0.03},(106,240):{'3_1':0.0},(106,239):{'3_1':0.0,'6_2':0.0},(106,238):{'3_1':0.03},(106,237):{'3_1':0.03},(106,236):{'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(106,235):{'3_1':0.0},(106,233):{'3_1':0.0},(106,232):{'3_1':0.0},(106,231):{'3_1':0.03,'5_1':0.0},(106,230):{'3_1':0.03},(106,229):{'3_1':0.0,'4_1':0.0},(106,228):{'3_1':0.0,'5_1':0.0},(106,227):{'4_1':0.0},(106,226):{'3_1':0.0,'4_1':0.0},(106,225):{'3_1':0.0,'4_1':0.0},(106,224):{'3_1':0.03},(106,223):{'3_1':0.0},(106,222):{'3_1':0.0},(106,221):{'3_1':0.0},(106,220):{'3_1':0.0,'4_1':0.0},(106,219):{'3_1':0.0},(106,211):{'3_1':0.0},(106,209):{'3_1':0.0},(106,208):{'3_1':0.0},(106,207):{'3_1':0.0},(106,206):{'3_1':0.0},(106,205):{'3_1':0.03},(106,204):{'3_1':0.0},(106,202):{'3_1':0.0},(106,200):{'3_1':0.0},(106,199):{'3_1':0.0,'5_1':0.0},(106,198):{'3_1':0.0},(106,197):{'3_1':0.0},(106,196):{'3_1':0.0,'4_1':0.0},(106,195):{'3_1':0.0},(106,192):{'3_1':0.0,'5_2':0.0},(106,191):{'4_1':0.0},(106,190):{'3_1':0.0},(106,188):{'3_1':0.0,'4_1':0.0},(106,182):{'3_1':0.0},(106,180):{'3_1':0.0},(106,178):{'3_1':0.0},(106,166):{'5_2':0.0},(106,164):{'3_1':0.0},(106,163):{'3_1':0.0},(106,162):{'3_1':0.0,'5_1':0.0},(106,161):{'3_1':0.0},(106,160):{'3_1':0.0},(106,159):{'3_1':0.0},(106,157):{'3_1':0.0},(106,156):{'3_1':0.0},(106,154):{'3_1':0.0},(106,152):{'3_1':0.0},(106,148):{'3_1':0.0},(106,147):{'3_1':0.0},(106,146):{'3_1':0.0},(107,727):{'5_2':0.51,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0},(107,726):{'5_2':0.39,'7_2':0.06,'-3':0.06,'7_3':0.06,'7_5':0.03,'8_14':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'8_15':0.0},(107,725):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0},(107,724):{'5_2':0.36,'7_2':0.12,'-3':0.09,'7_6':0.03,'6_1':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(107,723):{'5_2':0.45,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(107,722):{'5_2':0.45,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_3':0.0,'7_4':0.0,'3_1':0.0,'6_1':0.0,'7_6':0.0,'8_10':0.0,'3_1#5_2':0.0},(107,721):{'5_2':0.27,'-3':0.09,'7_5':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_8':0.0,'8_14':0.0},(107,720):{'5_2':0.33,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_6':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'8_6':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(107,719):{'5_2':0.33,'7_2':0.09,'7_5':0.09,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(107,718):{'5_2':0.3,'7_2':0.09,'7_3':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(107,717):{'5_2':0.36,'-3':0.06,'7_2':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'5_1':0.0,'8_8':0.0,'1':-0.03},(107,716):{'5_2':0.36,'7_3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.03,'5_1':0.0,'-3':0.0,'3_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(107,715):{'5_2':0.42,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'3_1':0.0,'8_1':0.0,'8_14':0.0,'8_15':0.0},(107,714):{'5_2':0.3,'7_2':0.06,'7_5':0.06,'7_6':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'8_11':0.0,'8_8':0.0},(107,713):{'5_2':0.39,'-3':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0},(107,712):{'5_2':0.27,'7_4':0.09,'-3':0.09,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(107,711):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0},(107,710):{'5_2':0.33,'-3':0.09,'7_5':0.06,'7_6':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(107,709):{'5_2':0.24,'-3':0.18,'7_2':0.09,'7_5':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(107,708):{'5_2':0.3,'-3':0.09,'7_3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'3_1#5_2':0.0},(107,707):{'5_2':0.3,'7_3':0.09,'3_1':0.06,'7_5':0.06,'-3':0.03,'7_2':0.03,'6_1':0.03,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(107,706):{'5_2':0.3,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.03,'3_1#5_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(107,705):{'5_2':0.24,'-3':0.09,'7_2':0.06,'7_5':0.06,'7_3':0.06,'7_6':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_1':0.0},(107,704):{'5_2':0.27,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0,'8_14':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(107,703):{'5_2':0.3,'7_2':0.09,'7_3':0.09,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(107,702):{'5_2':0.42,'7_2':0.06,'-3':0.06,'7_6':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(107,701):{'5_2':0.3,'7_3':0.09,'-3':0.09,'7_2':0.03,'3_1':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'7_5':0.0},(107,700):{'5_2':0.24,'3_1':0.09,'-3':0.09,'6_1':0.06,'7_3':0.03,'7_6':0.03,'7_5':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(107,699):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_6':0.06,'7_5':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0},(107,698):{'5_2':0.27,'-3':0.09,'7_3':0.09,'3_1':0.06,'7_2':0.06,'3_1#5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_14':0.0},(107,697):{'5_2':0.24,'7_3':0.12,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_5':0.03,'7_4':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0},(107,696):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'7_6':0.06,'-3':0.06,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0},(107,695):{'5_2':0.27,'3_1':0.09,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(107,694):{'5_2':0.21,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_2':0.03,'6_1':0.03,'3_1#5_2':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(107,693):{'5_2':0.24,'3_1':0.09,'-3':0.09,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(107,692):{'5_2':0.3,'-3':0.06,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(107,691):{'5_2':0.33,'3_1':0.12,'7_2':0.09,'7_3':0.06,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'8_14':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(107,690):{'5_2':0.24,'3_1':0.21,'7_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_14':0.0},(107,689):{'5_2':0.33,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(107,688):{'5_2':0.27,'3_1':0.18,'7_3':0.09,'5_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0},(107,687):{'5_2':0.24,'3_1':0.12,'7_3':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(107,686):{'5_2':0.24,'3_1':0.15,'7_3':0.06,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(107,685):{'5_2':0.27,'3_1':0.21,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(107,684):{'5_2':0.27,'3_1':0.21,'5_1':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(107,683):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(107,682):{'3_1':0.24,'5_2':0.21,'7_3':0.06,'7_4':0.06,'5_1':0.03,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_10':0.0,'8_13':0.0,'3_1#5_2':0.0},(107,681):{'5_2':0.27,'3_1':0.24,'4_1':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(107,680):{'3_1':0.24,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(107,679):{'3_1':0.33,'5_2':0.27,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(107,678):{'3_1':0.21,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(107,677):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(107,676):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(107,675):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(107,674):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(107,673):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_4':0.06,'4_1':0.06,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(107,672):{'3_1':0.3,'5_2':0.21,'-3':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0},(107,671):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(107,670):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(107,669):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'7_2':0.0},(107,668):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(107,667):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(107,666):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.0,'6_3':0.0,'7_2':0.0},(107,665):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_4':0.03,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(107,664):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(107,663):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(107,662):{'3_1':0.36,'5_2':0.24,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(107,661):{'5_2':0.24,'3_1':0.21,'7_4':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'8_13':0.0},(107,660):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(107,659):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(107,658):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(107,657):{'3_1':0.27,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(107,656):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(107,655):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0},(107,654):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(107,653):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(107,652):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(107,651):{'3_1':0.24,'5_2':0.12,'5_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(107,650):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(107,649):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'-3':0.03,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(107,648):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'8_19':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(107,647):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'8_19':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(107,646):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(107,645):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(107,644):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(107,643):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0},(107,642):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(107,641):{'3_1':0.24,'5_2':0.09,'5_1':0.09,'7_3':0.0,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(107,640):{'5_1':0.18,'3_1':0.15,'5_2':0.12,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(107,639):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_4':0.0,'9_1':0.0,'4_1':0.0,'7_1':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(107,638):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(107,637):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(107,636):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(107,635):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(107,634):{'3_1':0.15,'5_2':0.15,'5_1':0.06,'4_1':0.0},(107,633):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_4':0.0},(107,632):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'8_21|3_1#4_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(107,631):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0},(107,630):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'8_7':0.0,'-3':0.0},(107,629):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(107,628):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(107,627):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(107,626):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'4_1':0.03,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(107,625):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(107,624):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(107,623):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(107,622):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0},(107,621):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_3':0.0},(107,620):{'3_1':0.24,'5_2':0.03,'8_19':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(107,619):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(107,618):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(107,617):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0},(107,616):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(107,615):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(107,614):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(107,613):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'8_19':0.0},(107,612):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_3':0.0},(107,611):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(107,610):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(107,609):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(107,608):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0},(107,607):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(107,606):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(107,605):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(107,604):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(107,603):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(107,602):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(107,601):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(107,600):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_1':0.0},(107,599):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0},(107,598):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(107,597):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(107,596):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(107,595):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(107,594):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,593):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'8_19':0.0,'5_2':0.0,'6_1':0.0},(107,592):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(107,591):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,590):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(107,589):{'3_1':0.27,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(107,588):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(107,587):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(107,586):{'3_1':0.12,'4_1':0.06,'7_6':0.0,'8_19':0.0},(107,585):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(107,584):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(107,583):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(107,582):{'3_1':0.21,'4_1':0.12,'6_2':0.0},(107,581):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(107,580):{'3_1':0.18,'4_1':0.09,'5_2':0.0},(107,579):{'3_1':0.24,'4_1':0.06,'5_1':0.0},(107,578):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'8_21|3_1#4_1':0.0},(107,577):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(107,576):{'3_1':0.21,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(107,575):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(107,574):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(107,573):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(107,572):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(107,571):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'8_21|3_1#4_1':0.0},(107,570):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(107,569):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(107,568):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(107,567):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(107,566):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(107,565):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(107,564):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(107,563):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(107,562):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(107,561):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(107,560):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(107,559):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(107,558):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(107,557):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(107,556):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(107,555):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(107,554):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,553):{'3_1':0.27,'5_2':0.0},(107,552):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(107,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(107,550):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(107,549):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(107,548):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(107,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,546):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_2':0.0},(107,545):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(107,544):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,543):{'3_1':0.18,'5_2':0.03},(107,542):{'3_1':0.3,'5_2':0.03,'4_1':0.0},(107,541):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(107,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(107,539):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(107,538):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0},(107,537):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0},(107,536):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(107,535):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(107,534):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'9_1':0.0},(107,533):{'3_1':0.21,'5_2':0.0},(107,532):{'3_1':0.24,'5_2':0.0},(107,531):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(107,530):{'3_1':0.12,'5_1':0.0},(107,529):{'3_1':0.33,'4_1':0.0,'5_1':0.0},(107,528):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(107,527):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(107,526):{'3_1':0.15,'4_1':0.0},(107,525):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(107,524):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(107,523):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(107,522):{'3_1':0.09,'8_20|3_1#3_1':0.0},(107,521):{'3_1':0.06,'4_1':0.0},(107,520):{'3_1':0.18,'5_1':0.0},(107,519):{'3_1':0.06,'4_1':0.0},(107,518):{'3_1':0.09,'5_1':0.0},(107,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(107,516):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(107,515):{'3_1':0.06,'5_2':0.0},(107,514):{'3_1':0.03,'4_1':0.0},(107,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(107,512):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(107,511):{'3_1':0.09,'7_1':0.0},(107,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(107,509):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(107,508):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(107,507):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(107,506):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(107,505):{'3_1':0.03,'4_1':0.03},(107,504):{'3_1':0.0,'5_1':0.0},(107,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(107,502):{'3_1':0.03},(107,501):{'3_1':0.06,'4_1':0.0},(107,500):{'3_1':0.03,'4_1':0.0},(107,499):{'3_1':0.0,'4_1':0.0},(107,498):{'3_1':0.03},(107,497):{'3_1':0.06,'4_1':0.0},(107,496):{'3_1':0.03},(107,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,494):{'3_1':0.06,'4_1':0.0},(107,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(107,492):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(107,491):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(107,490):{'3_1':0.12,'5_2':0.0},(107,489):{'3_1':0.09,'5_2':0.0},(107,488):{'3_1':0.06,'5_2':0.0},(107,487):{'3_1':0.06,'4_1':0.0},(107,486):{'3_1':0.03,'4_1':0.0},(107,485):{'3_1':0.06},(107,484):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(107,483):{'3_1':0.09,'5_2':0.0},(107,482):{'3_1':0.12,'4_1':0.0},(107,481):{'3_1':0.09,'4_1':0.0},(107,480):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(107,479):{'3_1':0.15,'5_1':0.0},(107,478):{'3_1':0.12,'7_1':0.0},(107,477):{'3_1':0.06,'4_1':0.0},(107,476):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0},(107,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(107,474):{'3_1':0.15,'5_2':0.0},(107,473):{'3_1':0.09,'5_2':0.0},(107,472):{'3_1':0.06,'5_1':0.0},(107,471):{'3_1':0.06,'5_2':0.0},(107,470):{'3_1':0.03,'4_1':0.0},(107,469):{'3_1':0.09,'4_1':0.0},(107,468):{'3_1':0.06,'4_1':0.0},(107,467):{'3_1':0.03,'4_1':0.0},(107,466):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,465):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(107,464):{'4_1':0.0},(107,463):{'3_1':0.0},(107,462):{'3_1':0.03},(107,461):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,460):{'3_1':0.03},(107,459):{'3_1':0.03},(107,458):{'3_1':0.06,'5_1':0.0},(107,457):{'3_1':0.03,'4_1':0.0},(107,456):{'3_1':0.0},(107,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(107,454):{'3_1':0.03,'5_2':0.0},(107,453):{'3_1':0.0,'4_1':0.0},(107,452):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(107,451):{'3_1':0.06,'4_1':0.0},(107,450):{'4_1':0.03,'3_1':0.0},(107,449):{'3_1':0.03,'6_2':0.0},(107,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(107,447):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(107,446):{'3_1':0.0},(107,445):{'3_1':0.03},(107,444):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(107,443):{'3_1':0.0,'4_1':0.0},(107,442):{'3_1':0.06},(107,441):{'3_1':0.03,'4_1':0.0},(107,440):{'3_1':0.03},(107,439):{'3_1':0.0,'4_1':0.0},(107,438):{'3_1':0.0},(107,437):{'3_1':0.0,'5_1':0.0},(107,436):{'3_1':0.03},(107,435):{'3_1':0.03},(107,434):{'3_1':0.0},(107,433):{'3_1':0.03,'4_1':0.0},(107,432):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(107,431):{'4_1':0.0,'3_1':0.0},(107,430):{'3_1':0.0,'4_1':0.0},(107,429):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(107,428):{'3_1':0.03,'4_1':0.0},(107,427):{'3_1':0.03,'6_2':0.0},(107,426):{'3_1':0.03,'4_1':0.0},(107,425):{'3_1':0.0,'4_1':0.0},(107,424):{'3_1':0.03,'4_1':0.0},(107,423):{'3_1':0.06,'4_1':0.0},(107,422):{'4_1':0.03,'3_1':0.0},(107,421):{'3_1':0.0,'4_1':0.0},(107,420):{'3_1':0.03,'4_1':0.0},(107,419):{'3_1':0.0,'4_1':0.0},(107,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(107,417):{'3_1':0.03,'4_1':0.0},(107,416):{'3_1':0.0,'4_1':0.0},(107,415):{'3_1':0.06,'4_1':0.03},(107,414):{'3_1':0.03,'4_1':0.0},(107,413):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(107,412):{'3_1':0.0,'4_1':0.0},(107,411):{'3_1':0.0,'4_1':0.0},(107,410):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(107,409):{'3_1':0.0,'4_1':0.0},(107,408):{'4_1':0.0,'3_1':0.0},(107,407):{'3_1':0.03},(107,405):{'3_1':0.0},(107,404):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(107,403):{'3_1':0.03},(107,402):{'3_1':0.03},(107,401):{'3_1':0.0,'4_1':0.0},(107,400):{'3_1':0.03,'4_1':0.0},(107,399):{'3_1':0.0},(107,398):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(107,397):{'3_1':0.0,'5_1':0.0},(107,396):{'3_1':0.0},(107,395):{'3_1':0.03,'4_1':0.0},(107,394):{'3_1':0.03,'8_1':0.0},(107,393):{'4_1':0.0},(107,391):{'3_1':0.0},(107,390):{'3_1':0.0},(107,389):{'3_1':0.0},(107,388):{'3_1':0.03,'4_1':0.0},(107,387):{'3_1':0.0},(107,386):{'3_1':0.03},(107,385):{'4_1':0.0,'3_1':0.0},(107,384):{'3_1':0.0},(107,383):{'3_1':0.03},(107,382):{'3_1':0.03,'4_1':0.0},(107,381):{'3_1':0.0},(107,380):{'3_1':0.03,'5_1':0.0},(107,379):{'3_1':0.03},(107,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(107,377):{'3_1':0.0},(107,376):{'3_1':0.0,'5_2':0.0},(107,375):{'3_1':0.03},(107,374):{'3_1':0.03,'4_1':0.0},(107,373):{'3_1':0.06},(107,372):{'3_1':0.03,'5_1':0.0},(107,371):{'3_1':0.0,'5_1':0.0},(107,370):{'3_1':0.06},(107,369):{'3_1':0.06,'5_1':0.0},(107,368):{'3_1':0.03},(107,367):{'3_1':0.0},(107,366):{'3_1':0.03},(107,365):{'3_1':0.06},(107,364):{'3_1':0.03},(107,363):{'3_1':0.03},(107,362):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(107,361):{'3_1':0.03},(107,360):{'3_1':0.0,'5_1':0.0},(107,359):{'3_1':0.0},(107,358):{'3_1':0.0,'4_1':0.0},(107,357):{'3_1':0.0},(107,356):{'3_1':0.0},(107,355):{'3_1':0.0,'7_1':0.0},(107,354):{'3_1':0.03},(107,353):{'3_1':0.0},(107,352):{'3_1':0.0,'4_1':0.0},(107,351):{'3_1':0.03},(107,350):{'3_1':0.0},(107,349):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(107,347):{'3_1':0.0},(107,346):{'3_1':0.06},(107,343):{'3_1':0.03},(107,342):{'3_1':0.0,'4_1':0.0},(107,341):{'3_1':0.0},(107,340):{'3_1':0.0,'9_1':0.0},(107,339):{'3_1':0.03},(107,338):{'3_1':0.0},(107,337):{'3_1':0.0},(107,336):{'3_1':0.0},(107,335):{'3_1':0.0},(107,334):{'3_1':0.0},(107,333):{'3_1':0.0},(107,332):{'3_1':0.0},(107,331):{'3_1':0.0},(107,330):{'3_1':0.0},(107,329):{'3_1':0.0},(107,328):{'3_1':0.0,'9_1':0.0},(107,327):{'3_1':0.0},(107,326):{'3_1':0.0},(107,325):{'3_1':0.0},(107,324):{'3_1':0.03},(107,323):{'3_1':0.0},(107,322):{'3_1':0.03},(107,321):{'3_1':0.03},(107,320):{'3_1':0.0},(107,319):{'3_1':0.0},(107,318):{'3_1':0.06},(107,317):{'3_1':0.0},(107,316):{'3_1':0.03},(107,315):{'3_1':0.0},(107,314):{'3_1':0.0,'5_1':0.0},(107,313):{'3_1':0.03},(107,312):{'3_1':0.0},(107,311):{'3_1':0.03},(107,310):{'3_1':0.03,'4_1':0.0},(107,309):{'3_1':0.03},(107,308):{'3_1':0.03},(107,307):{'3_1':0.03,'5_1':0.0},(107,306):{'3_1':0.03,'5_1':0.0},(107,305):{'3_1':0.03},(107,304):{'3_1':0.03},(107,303):{'3_1':0.0,'4_1':0.0},(107,302):{'3_1':0.06},(107,301):{'3_1':0.03},(107,300):{'3_1':0.0},(107,298):{'3_1':0.0},(107,297):{'3_1':0.03},(107,296):{'4_1':0.0,'3_1':0.0},(107,295):{'3_1':0.03,'5_1':0.0},(107,294):{'3_1':0.03},(107,292):{'3_1':0.03},(107,291):{'3_1':0.03},(107,290):{'3_1':0.03},(107,289):{'3_1':0.0},(107,288):{'3_1':0.0},(107,287):{'3_1':0.03},(107,286):{'3_1':0.0},(107,285):{'3_1':0.0},(107,284):{'3_1':0.0},(107,283):{'3_1':0.03},(107,282):{'3_1':0.0},(107,281):{'3_1':0.03},(107,280):{'3_1':0.03},(107,279):{'3_1':0.0},(107,278):{'3_1':0.0},(107,277):{'3_1':0.03},(107,276):{'3_1':0.03,'4_1':0.0},(107,275):{'3_1':0.0},(107,274):{'3_1':0.0},(107,273):{'3_1':0.06},(107,272):{'3_1':0.0},(107,271):{'3_1':0.0},(107,270):{'3_1':0.03},(107,269):{'3_1':0.03},(107,268):{'3_1':0.06},(107,267):{'3_1':0.0},(107,266):{'3_1':0.0,'5_2':0.0},(107,265):{'3_1':0.0},(107,264):{'3_1':0.0,'4_1':0.0},(107,263):{'3_1':0.0},(107,262):{'3_1':0.0},(107,261):{'3_1':0.0,'4_1':0.0},(107,260):{'3_1':0.0},(107,259):{'3_1':0.0},(107,258):{'3_1':0.0},(107,257):{'3_1':0.0},(107,256):{'3_1':0.0},(107,255):{'3_1':0.0},(107,254):{'3_1':0.0},(107,253):{'3_1':0.0},(107,252):{'3_1':0.0},(107,251):{'3_1':0.0},(107,250):{'3_1':0.0,'5_1':0.0},(107,249):{'3_1':0.0},(107,247):{'3_1':0.0},(107,246):{'4_1':0.0,'5_1':0.0,'3_1':0.0},(107,245):{'3_1':0.0},(107,244):{'3_1':0.0,'4_1':0.0},(107,243):{'3_1':0.0},(107,242):{'3_1':0.0,'7_1':0.0},(107,241):{'3_1':0.03,'4_1':0.0},(107,240):{'3_1':0.03},(107,239):{'3_1':0.0,'8_2':0.0},(107,238):{'3_1':0.03},(107,237):{'3_1':0.0},(107,236):{'3_1':0.0},(107,235):{'3_1':0.0},(107,234):{'3_1':0.0,'4_1':0.0},(107,233):{'3_1':0.0},(107,232):{'3_1':0.0},(107,231):{'3_1':0.0},(107,230):{'3_1':0.03},(107,229):{'3_1':0.03},(107,228):{'3_1':0.0},(107,227):{'3_1':0.0},(107,225):{'3_1':0.0},(107,224):{'3_1':0.0},(107,223):{'3_1':0.0},(107,222):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(107,221):{'3_1':0.0},(107,220):{'3_1':0.0},(107,219):{'3_1':0.0,'5_1':0.0},(107,217):{'3_1':0.0,'4_1':0.0},(107,216):{'3_1':0.0,'4_1':0.0},(107,215):{'4_1':0.0},(107,214):{'3_1':0.0},(107,213):{'3_1':0.0,'4_1':0.0},(107,211):{'3_1':0.0},(107,210):{'3_1':0.0},(107,206):{'3_1':0.0},(107,205):{'3_1':0.0},(107,204):{'3_1':0.0},(107,203):{'3_1':0.0},(107,202):{'3_1':0.0},(107,200):{'3_1':0.0},(107,197):{'3_1':0.0},(107,196):{'3_1':0.0,'4_1':0.0},(107,195):{'3_1':0.0,'5_1':0.0},(107,194):{'3_1':0.0,'8_20|3_1#3_1':0.0},(107,193):{'3_1':0.0},(107,192):{'3_1':0.0},(107,191):{'3_1':0.0},(107,190):{'3_1':0.0},(107,189):{'3_1':0.0},(107,188):{'3_1':0.0},(107,186):{'3_1':0.0},(107,185):{'5_1':0.0},(107,184):{'3_1':0.0},(107,183):{'3_1':0.0},(107,182):{'3_1':0.0},(107,181):{'3_1':0.0},(107,180):{'3_1':0.0},(107,179):{'3_1':0.0},(107,166):{'3_1':0.0},(107,165):{'3_1':0.03},(107,164):{'3_1':0.0},(107,163):{'3_1':0.0},(107,162):{'3_1':0.0},(107,161):{'3_1':0.0},(107,160):{'3_1':0.0},(107,159):{'3_1':0.0},(107,158):{'3_1':0.0},(107,156):{'3_1':0.0,'5_1':0.0},(107,154):{'3_1':0.0,'7_1':0.0},(107,153):{'3_1':0.0},(107,151):{'3_1':0.0},(107,147):{'3_1':0.0},(107,146):{'3_1':0.0},(107,143):{'3_1':0.0},(108,727):{'5_2':0.39,'7_2':0.09,'-3':0.06,'7_6':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(108,726):{'5_2':0.36,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'8_14':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(108,725):{'5_2':0.3,'7_2':0.06,'7_5':0.06,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(108,724):{'5_2':0.36,'-3':0.06,'7_2':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(108,723):{'5_2':0.33,'-3':0.12,'7_2':0.06,'7_3':0.06,'7_5':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(108,722):{'5_2':0.27,'-3':0.12,'7_2':0.06,'7_3':0.06,'7_5':0.03,'7_6':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(108,721):{'5_2':0.36,'7_5':0.09,'7_2':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(108,720):{'5_2':0.36,'7_5':0.09,'7_2':0.06,'-3':0.06,'7_3':0.06,'7_4':0.0,'7_6':0.0,'3_1':0.0,'6_1':0.0,'8_14':0.0,'8_15':0.0},(108,719):{'5_2':0.39,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.0,'3_1':0.0,'8_14':0.0,'8_11':0.0},(108,718):{'5_2':0.3,'-3':0.12,'7_2':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(108,717):{'5_2':0.27,'7_2':0.15,'7_3':0.06,'7_5':0.06,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0},(108,716):{'5_2':0.36,'7_2':0.09,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(108,715):{'5_2':0.39,'7_2':0.06,'7_4':0.06,'-3':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_8':0.0},(108,714):{'5_2':0.42,'7_5':0.06,'-3':0.06,'7_3':0.06,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(108,713):{'5_2':0.33,'7_5':0.09,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(108,712):{'5_2':0.33,'7_2':0.09,'3_1':0.06,'-3':0.06,'7_5':0.06,'7_6':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'8_13':0.0},(108,711):{'5_2':0.21,'-3':0.12,'7_2':0.09,'7_3':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'3':-0.03},(108,710):{'5_2':0.21,'-3':0.12,'7_5':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(108,709):{'5_2':0.3,'-3':0.12,'7_5':0.09,'3_1':0.03,'7_2':0.03,'7_6':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(108,708):{'5_2':0.3,'-3':0.09,'7_5':0.06,'7_2':0.06,'7_3':0.06,'7_6':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'8_1':0.0,'8_15':0.0},(108,707):{'5_2':0.36,'7_3':0.06,'7_2':0.03,'3_1':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0},(108,706):{'5_2':0.27,'-3':0.09,'7_3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'6_1':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(108,705):{'5_2':0.36,'3_1':0.09,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(108,704):{'5_2':0.42,'7_5':0.06,'3_1':0.03,'7_6':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'8_11':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_15':0.0},(108,703):{'5_2':0.21,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_6':0.03,'8_14':0.0,'5_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(108,702):{'5_2':0.36,'7_3':0.06,'-3':0.06,'7_2':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'8_1':0.0,'5_1':0.0,'7_6':0.0},(108,701):{'5_2':0.33,'7_2':0.09,'3_1':0.09,'-3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(108,700):{'5_2':0.27,'7_3':0.12,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(108,699):{'5_2':0.24,'7_3':0.12,'-3':0.09,'3_1':0.09,'7_2':0.06,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(108,698):{'5_2':0.33,'-3':0.12,'7_2':0.09,'3_1':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(108,697):{'5_2':0.3,'3_1':0.06,'7_2':0.06,'7_6':0.06,'-3':0.06,'7_3':0.06,'7_5':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(108,696):{'5_2':0.3,'-3':0.09,'7_2':0.06,'7_6':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(108,695):{'5_2':0.33,'-3':0.09,'3_1':0.09,'7_3':0.09,'7_2':0.06,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0},(108,694):{'5_2':0.21,'-3':0.09,'7_3':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(108,693):{'5_2':0.24,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_6':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(108,692):{'5_2':0.3,'3_1':0.09,'7_3':0.06,'-3':0.06,'7_5':0.03,'7_6':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_15':0.0},(108,691):{'5_2':0.24,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.0,'8_15':0.0,'4_1':0.0,'8_11':0.0,'9_1':0.0,'3_1#5_2':0.0},(108,690):{'5_2':0.21,'-3':0.12,'3_1':0.12,'7_2':0.06,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'4_1':0.0},(108,689):{'5_2':0.39,'3_1':0.15,'7_4':0.06,'7_5':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(108,688):{'5_2':0.24,'5_1':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(108,687):{'5_2':0.27,'3_1':0.12,'7_3':0.06,'5_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(108,686):{'5_2':0.24,'3_1':0.15,'7_4':0.06,'7_3':0.06,'7_2':0.06,'-3':0.03,'5_1':0.03,'7_6':0.0,'8_13':0.0,'7_1':0.0,'6_3':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'4_1':0.0},(108,685):{'3_1':0.24,'5_2':0.24,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(108,684):{'5_2':0.21,'3_1':0.18,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_6':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0},(108,683):{'5_2':0.21,'3_1':0.18,'7_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(108,682):{'3_1':0.3,'5_2':0.18,'7_3':0.06,'7_4':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0,'8_19':0.0},(108,681):{'3_1':0.24,'5_2':0.24,'7_4':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'6_1':0.0,'7_7':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(108,680):{'3_1':0.27,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(108,679):{'5_2':0.24,'3_1':0.21,'7_4':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.0,'8_13':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(108,678):{'3_1':0.27,'5_2':0.27,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_5':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(108,677):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(108,676):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'7_3':0.03,'7_6':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_16':0.0,'-3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(108,675):{'3_1':0.3,'5_2':0.27,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(108,674):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(108,673):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(108,672):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(108,671):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(108,670):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'8_16':0.0},(108,669):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(108,668):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'7_3':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(108,667):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(108,666):{'3_1':0.27,'5_2':0.27,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(108,665):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_19':0.0},(108,664):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(108,663):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(108,662):{'5_2':0.21,'3_1':0.21,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(108,661):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'7_4':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(108,660):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,659):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_7':0.03,'7_6':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(108,658):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(108,657):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,656):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(108,655):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(108,654):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(108,653):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_3':0.0,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(108,652):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.03,'6_3':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(108,651):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(108,650):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(108,649):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(108,648):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_3':0.0,'8_16':0.0,'8_19':0.0},(108,647):{'3_1':0.27,'5_2':0.18,'5_1':0.12,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(108,646):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_19':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,645):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,644):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(108,643):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(108,642):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(108,641):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(108,640):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(108,639):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_2':0.0},(108,638):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_3':0.0,'8_7':0.0},(108,637):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(108,636):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(108,635):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,634):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(108,633):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(108,632):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(108,631):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(108,630):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'3_1#5_1':0.0},(108,629):{'3_1':0.39,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(108,628):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(108,627):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(108,626):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0,'6_1':0.0,'6_3':0.0,'8_7':0.0,'-3':0.0},(108,625):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0},(108,624):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'6_3':0.0,'-3':0.0},(108,623):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(108,622):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(108,621):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(108,620):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(108,619):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(108,618):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'8_7':0.0,'-3':0.0},(108,617):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_7':0.0},(108,616):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(108,615):{'3_1':0.36,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_4':0.0},(108,614):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(108,613):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'6_3':0.0},(108,612):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0},(108,611):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_19':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(108,610):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(108,609):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0},(108,608):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(108,607):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(108,606):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(108,605):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_2':0.0},(108,604):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(108,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(108,602):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(108,601):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(108,600):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_2':0.0},(108,599):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(108,598):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(108,597):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(108,596):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(108,595):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(108,594):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(108,593):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(108,592):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(108,591):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(108,590):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(108,589):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(108,588):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(108,587):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(108,586):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(108,585):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0},(108,584):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(108,583):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(108,582):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'7_3':0.0},(108,581):{'3_1':0.12,'4_1':0.09,'7_3':0.0},(108,580):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(108,579):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(108,578):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'7_4':0.0},(108,577):{'3_1':0.3,'4_1':0.06,'6_2':0.0,'5_2':0.0},(108,576):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(108,575):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(108,574):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0},(108,573):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0},(108,572):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(108,571):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(108,570):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(108,569):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(108,568):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(108,567):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(108,566):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(108,565):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(108,564):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(108,563):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(108,562):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(108,561):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(108,560):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(108,559):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_4':0.0},(108,558):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(108,557):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,556):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(108,555):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(108,554):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(108,553):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(108,552):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(108,551):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(108,550):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(108,549):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(108,548):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(108,547):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(108,546):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(108,545):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(108,544):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(108,543):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(108,542):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,541):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(108,540):{'3_1':0.24,'5_1':0.0,'4_1':0.0},(108,539):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'6_2':0.0},(108,538):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(108,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(108,536):{'3_1':0.33,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(108,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,534):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(108,533):{'3_1':0.3,'5_2':0.0,'5_1':0.0},(108,532):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(108,531):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(108,530):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(108,529):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(108,528):{'3_1':0.15,'5_2':0.0},(108,527):{'3_1':0.12,'5_2':0.0},(108,526):{'3_1':0.15,'4_1':0.0},(108,525):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(108,524):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(108,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,521):{'3_1':0.12,'4_1':0.03},(108,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,519):{'3_1':0.09,'5_1':0.0},(108,518):{'3_1':0.06,'4_1':0.0},(108,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(108,516):{'3_1':0.09,'5_2':0.0},(108,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(108,514):{'3_1':0.03},(108,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(108,512):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(108,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(108,510):{'3_1':0.06,'4_1':0.0},(108,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(108,508):{'3_1':0.06,'7_5':0.0},(108,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(108,506):{'3_1':0.06,'5_1':0.0},(108,505):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(108,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(108,503):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(108,502):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(108,501):{'3_1':0.0,'4_1':0.0},(108,500):{'3_1':0.03},(108,499):{'3_1':0.03},(108,498):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(108,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(108,496):{'3_1':0.03},(108,495):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,494):{'3_1':0.06,'4_1':0.0},(108,493):{'3_1':0.09,'5_2':0.0},(108,492):{'3_1':0.06},(108,491):{'3_1':0.03},(108,490):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(108,489):{'3_1':0.12,'4_1':0.0},(108,488):{'3_1':0.06,'4_1':0.0},(108,487):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(108,486):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(108,485):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(108,484):{'3_1':0.09,'5_1':0.0},(108,483):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(108,482):{'3_1':0.12,'5_2':0.0,'7_1':0.0,'5_1':0.0},(108,481):{'3_1':0.09},(108,480):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(108,479):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(108,478):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(108,477):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(108,476):{'3_1':0.09,'5_2':0.0},(108,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(108,474):{'3_1':0.09,'5_2':0.0},(108,473):{'3_1':0.06},(108,472):{'3_1':0.12,'4_1':0.0},(108,471):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(108,470):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,469):{'3_1':0.06,'4_1':0.0},(108,468):{'3_1':0.06,'4_1':0.0},(108,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,466):{'3_1':0.03,'5_2':0.0},(108,465):{'3_1':0.03,'5_2':0.0},(108,464):{'3_1':0.03,'4_1':0.0},(108,463):{'3_1':0.09,'5_2':0.0},(108,462):{'3_1':0.03,'5_1':0.0},(108,461):{'3_1':0.03},(108,460):{'3_1':0.0,'4_1':0.0},(108,459):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(108,458):{'3_1':0.0,'4_1':0.0},(108,457):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(108,456):{'3_1':0.03},(108,455):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,454):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(108,453):{'3_1':0.06,'4_1':0.0},(108,452):{'3_1':0.03,'4_1':0.0},(108,451):{'3_1':0.03},(108,450):{'3_1':0.0},(108,449):{'3_1':0.09,'4_1':0.0},(108,448):{'3_1':0.03,'5_2':0.0},(108,447):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(108,446):{'3_1':0.03,'4_1':0.0},(108,445):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(108,444):{'3_1':0.06},(108,443):{'3_1':0.0,'6_2':0.0},(108,442):{'3_1':0.0},(108,441):{'3_1':0.06},(108,440):{'3_1':0.0},(108,439):{'3_1':0.03},(108,438):{'3_1':0.0,'4_1':0.0},(108,437):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(108,436):{'3_1':0.0,'4_1':0.0},(108,435):{'3_1':0.03,'4_1':0.0},(108,434):{'3_1':0.03},(108,433):{'3_1':0.0,'4_1':0.0},(108,432):{'3_1':0.03},(108,431):{'3_1':0.03,'4_1':0.0},(108,430):{'3_1':0.03,'5_1':0.0},(108,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(108,428):{'3_1':0.03,'4_1':0.0},(108,427):{'3_1':0.03,'4_1':0.0},(108,426):{'3_1':0.0,'4_1':0.0},(108,425):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(108,424):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(108,423):{'3_1':0.0,'4_1':0.0},(108,422):{'4_1':0.03,'3_1':0.0},(108,421):{'3_1':0.03,'4_1':0.03},(108,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(108,419):{'3_1':0.06,'4_1':0.0},(108,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(108,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(108,416):{'3_1':0.03,'4_1':0.0},(108,415):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(108,414):{'4_1':0.03,'3_1':0.0},(108,413):{'4_1':0.03,'3_1':0.03},(108,412):{'3_1':0.0,'4_1':0.0},(108,411):{'3_1':0.0,'4_1':0.0},(108,410):{'3_1':0.0},(108,409):{'4_1':0.0,'3_1':0.0},(108,408):{'3_1':0.0,'5_1':0.0},(108,407):{'3_1':0.0,'4_1':0.0},(108,406):{'3_1':0.03,'4_1':0.0},(108,405):{'3_1':0.0,'4_1':0.0},(108,404):{'3_1':0.03},(108,403):{'3_1':0.03},(108,402):{'3_1':0.03},(108,401):{'3_1':0.03},(108,400):{'3_1':0.0},(108,399):{'3_1':0.03},(108,398):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(108,396):{'3_1':0.0,'4_1':0.0},(108,395):{'3_1':0.0,'4_1':0.0},(108,394):{'3_1':0.0,'8_1':0.0},(108,393):{'3_1':0.0},(108,392):{'3_1':0.0,'4_1':0.0},(108,391):{'3_1':0.0},(108,389):{'3_1':0.0,'4_1':0.0},(108,388):{'3_1':0.0,'4_1':0.0},(108,387):{'3_1':0.0},(108,386):{'3_1':0.0},(108,385):{'3_1':0.0,'4_1':0.0},(108,384):{'3_1':0.0,'4_1':0.0},(108,383):{'3_1':0.03,'5_1':0.0},(108,382):{'3_1':0.03},(108,381):{'3_1':0.03,'4_1':0.0},(108,380):{'3_1':0.0},(108,379):{'3_1':0.03},(108,378):{'3_1':0.0,'4_1':0.0},(108,377):{'3_1':0.03},(108,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(108,375):{'3_1':0.03,'4_1':0.0},(108,374):{'3_1':0.0,'4_1':0.0},(108,373):{'3_1':0.03,'5_1':0.0},(108,372):{'3_1':0.0,'5_1':0.0},(108,371):{'3_1':0.0,'4_1':0.0},(108,370):{'3_1':0.06,'4_1':0.0},(108,369):{'3_1':0.03},(108,368):{'3_1':0.06},(108,367):{'3_1':0.03},(108,366):{'3_1':0.03},(108,365):{'3_1':0.0},(108,364):{'3_1':0.03},(108,363):{'3_1':0.0},(108,362):{'3_1':0.0,'9_1':0.0},(108,361):{'3_1':0.0},(108,360):{'3_1':0.0},(108,359):{'3_1':0.03},(108,358):{'3_1':0.06},(108,357):{'3_1':0.03},(108,356):{'3_1':0.03},(108,355):{'3_1':0.03},(108,354):{'3_1':0.0},(108,353):{'3_1':0.03,'5_1':0.0},(108,352):{'3_1':0.03},(108,351):{'3_1':0.0},(108,350):{'3_1':0.03},(108,349):{'3_1':0.0},(108,348):{'3_1':0.0},(108,347):{'3_1':0.03},(108,346):{'3_1':0.0},(108,345):{'3_1':0.0,'4_1':0.0},(108,344):{'3_1':0.0},(108,343):{'3_1':0.0},(108,342):{'3_1':0.03,'7_1':0.0},(108,340):{'3_1':0.0,'7_1':0.0},(108,339):{'3_1':0.0,'5_1':0.0},(108,338):{'7_1':0.0},(108,337):{'3_1':0.0,'5_1':0.0},(108,336):{'3_1':0.03},(108,335):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(108,334):{'3_1':0.03},(108,333):{'3_1':0.0},(108,332):{'3_1':0.06},(108,331):{'3_1':0.03},(108,330):{'3_1':0.0},(108,329):{'3_1':0.0},(108,328):{'3_1':0.0,'9_1':0.0},(108,327):{'3_1':0.0},(108,326):{'3_1':0.0,'4_1':0.0},(108,325):{'3_1':0.0},(108,324):{'3_1':0.0,'5_1':0.0},(108,323):{'3_1':0.03},(108,322):{'3_1':0.03},(108,321):{'3_1':0.0,'5_1':0.0},(108,320):{'3_1':0.03,'4_1':0.0},(108,319):{'3_1':0.03},(108,318):{'3_1':0.03},(108,317):{'3_1':0.0},(108,316):{'3_1':0.0},(108,315):{'3_1':0.03},(108,314):{'3_1':0.0},(108,313):{'3_1':0.0},(108,312):{'3_1':0.0},(108,311):{'3_1':0.03,'4_1':0.0},(108,310):{'3_1':0.0},(108,309):{'3_1':0.0},(108,308):{'3_1':0.03},(108,307):{'3_1':0.06},(108,306):{'3_1':0.06,'5_1':0.0},(108,305):{'3_1':0.06},(108,304):{'3_1':0.0},(108,303):{'3_1':0.03,'5_1':0.0},(108,302):{'3_1':0.03},(108,301):{'3_1':0.0},(108,300):{'3_1':0.0,'5_1':0.0},(108,299):{'3_1':0.0},(108,298):{'3_1':0.0},(108,297):{'3_1':0.03},(108,296):{'3_1':0.03},(108,295):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(108,294):{'3_1':0.06},(108,293):{'3_1':0.0,'5_1':0.0},(108,292):{'3_1':0.03},(108,291):{'3_1':0.0},(108,290):{'3_1':0.0,'4_1':0.0},(108,288):{'3_1':0.0},(108,287):{'3_1':0.0},(108,286):{'3_1':0.0},(108,285):{'3_1':0.0},(108,284):{'3_1':0.0},(108,283):{'3_1':0.03},(108,282):{'3_1':0.0},(108,281):{'3_1':0.03},(108,280):{'3_1':0.03},(108,279):{'3_1':0.0},(108,278):{'3_1':0.0},(108,277):{'3_1':0.0},(108,276):{'3_1':0.0,'4_1':0.0},(108,275):{'3_1':0.0},(108,274):{'3_1':0.0},(108,273):{'3_1':0.03},(108,272):{'3_1':0.0},(108,271):{'3_1':0.0},(108,270):{'3_1':0.0},(108,269):{'3_1':0.0},(108,268):{'3_1':0.0},(108,267):{'3_1':0.0},(108,266):{'3_1':0.0},(108,265):{'3_1':0.0},(108,264):{'3_1':0.0},(108,263):{'3_1':0.0},(108,262):{'3_1':0.0},(108,261):{'3_1':0.0},(108,260):{'3_1':0.0},(108,259):{'3_1':0.0},(108,258):{'3_1':0.0},(108,257):{'3_1':0.0},(108,256):{'3_1':0.03},(108,255):{'3_1':0.0},(108,253):{'3_1':0.0},(108,252):{'3_1':0.0},(108,251):{'3_1':0.0},(108,250):{'3_1':0.0,'4_1':0.0},(108,249):{'3_1':0.0},(108,246):{'3_1':0.0},(108,244):{'4_1':0.0},(108,243):{'3_1':0.0,'5_1':0.0},(108,242):{'3_1':0.03},(108,241):{'3_1':0.03},(108,239):{'3_1':0.0},(108,238):{'3_1':0.0},(108,237):{'3_1':0.0},(108,236):{'3_1':0.0},(108,235):{'3_1':0.0},(108,234):{'3_1':0.0},(108,233):{'3_1':0.0},(108,231):{'3_1':0.0},(108,230):{'3_1':0.0},(108,229):{'3_1':0.0},(108,228):{'3_1':0.0,'5_1':0.0},(108,227):{'3_1':0.0,'5_1':0.0},(108,225):{'3_1':0.0,'4_1':0.0},(108,222):{'5_1':0.0},(108,220):{'3_1':0.0},(108,219):{'3_1':0.0},(108,212):{'3_1':0.0},(108,210):{'3_1':0.0},(108,208):{'3_1':0.0},(108,207):{'3_1':0.0,'4_1':0.0},(108,206):{'3_1':0.0},(108,205):{'3_1':0.0},(108,203):{'3_1':0.0,'4_1':0.0},(108,199):{'4_1':0.0},(108,197):{'3_1':0.0},(108,196):{'3_1':0.0},(108,193):{'3_1':0.0},(108,192):{'3_1':0.0},(108,190):{'3_1':0.0},(108,189):{'3_1':0.0},(108,188):{'3_1':0.0},(108,186):{'3_1':0.0},(108,183):{'3_1':0.0},(108,180):{'3_1':0.0},(108,179):{'3_1':0.0},(108,165):{'3_1':0.0},(108,162):{'3_1':0.0},(108,159):{'3_1':0.0},(108,158):{'3_1':0.0},(108,155):{'3_1':0.0},(108,154):{'3_1':0.0},(108,152):{'3_1':0.0},(108,151):{'3_1':0.0},(108,150):{'3_1':0.0},(108,149):{'3_1':0.0},(108,146):{'3_1':0.0},(108,144):{'3_1':0.0},(109,727):{'5_2':0.39,'7_2':0.09,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'8_11':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(109,726):{'5_2':0.39,'7_2':0.12,'7_5':0.03,'-3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0,'1':-0.03},(109,725):{'5_2':0.42,'-3':0.06,'7_2':0.06,'7_6':0.06,'7_5':0.03,'6_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(109,724):{'5_2':0.27,'7_5':0.12,'7_2':0.06,'-3':0.06,'7_3':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_15':0.0},(109,723):{'5_2':0.36,'7_5':0.09,'-3':0.06,'7_2':0.06,'7_3':0.06,'7_6':0.03,'7_4':0.0,'3_1':0.0,'6_1':0.0,'8_1':0.0},(109,722):{'5_2':0.39,'7_2':0.09,'7_5':0.06,'7_3':0.03,'7_6':0.03,'-3':0.03,'7_4':0.0,'3_1':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(109,721):{'5_2':0.36,'7_5':0.09,'7_2':0.06,'3_1':0.06,'7_6':0.03,'-3':0.03,'7_3':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(109,720):{'5_2':0.36,'7_5':0.09,'7_6':0.06,'7_3':0.06,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'8_11':0.0,'8_14':0.0},(109,719):{'5_2':0.3,'7_5':0.12,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(109,718):{'5_2':0.3,'-3':0.12,'7_2':0.09,'3_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(109,717):{'5_2':0.33,'-3':0.12,'7_2':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(109,716):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1':0.0,'4_1':0.0,'8_8':0.0,'8_15':0.0},(109,715):{'5_2':0.36,'7_5':0.09,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0},(109,714):{'5_2':0.39,'7_5':0.06,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(109,713):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(109,712):{'5_2':0.27,'-3':0.15,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0,'8_14':0.0,'2':-0.03},(109,711):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_5':0.09,'7_2':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0},(109,710):{'5_2':0.24,'-3':0.09,'3_1':0.09,'7_2':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'8_1':0.0,'8_14':0.0,'8_15':0.0},(109,709):{'5_2':0.27,'-3':0.18,'7_5':0.12,'7_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(109,708):{'5_2':0.24,'-3':0.15,'7_5':0.09,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_6':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'8_15':0.0,'1':-0.03},(109,707):{'5_2':0.45,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'8_8':0.0,'1':-0.03},(109,706):{'5_2':0.33,'3_1':0.09,'7_5':0.09,'7_2':0.06,'7_3':0.06,'-3':0.03,'7_4':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(109,705):{'5_2':0.27,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_14':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(109,704):{'5_2':0.24,'3_1':0.09,'7_3':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0,'1':-0.03},(109,703):{'5_2':0.3,'7_2':0.09,'-3':0.09,'7_3':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'8_11':0.0,'6_1':0.0,'8_14':0.0},(109,702):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(109,701):{'5_2':0.36,'7_2':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0,'8_15':0.0},(109,700):{'5_2':0.33,'-3':0.12,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'8_11':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0},(109,699):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(109,698):{'5_2':0.24,'7_5':0.12,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(109,697):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_5':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_14':0.0},(109,696):{'5_2':0.27,'3_1':0.09,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(109,695):{'5_2':0.3,'-3':0.06,'3_1':0.06,'7_5':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(109,694):{'5_2':0.24,'-3':0.12,'7_3':0.09,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0},(109,693):{'5_2':0.3,'3_1':0.12,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(109,692):{'5_2':0.3,'-3':0.09,'3_1':0.09,'7_3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(109,691):{'5_2':0.21,'3_1':0.15,'-3':0.09,'7_2':0.06,'7_5':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0},(109,690):{'5_2':0.21,'3_1':0.15,'7_2':0.06,'7_3':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_3':0.0},(109,689):{'5_2':0.24,'3_1':0.15,'7_3':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(109,688):{'5_2':0.21,'3_1':0.12,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(109,687):{'5_2':0.24,'3_1':0.15,'7_3':0.06,'7_5':0.06,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'3_1#5_2':0.0,'6_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(109,686):{'5_2':0.3,'3_1':0.15,'5_1':0.09,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(109,685):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'7_2':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(109,684):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'4_1':0.0},(109,683):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(109,682):{'3_1':0.24,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(109,681):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(109,680):{'5_2':0.27,'3_1':0.18,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'8_15':0.0},(109,679):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(109,678):{'5_2':0.27,'3_1':0.24,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(109,677):{'3_1':0.33,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(109,676):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(109,675):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0},(109,674):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(109,673):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(109,672):{'5_2':0.24,'3_1':0.21,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(109,671):{'3_1':0.36,'5_2':0.18,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(109,670):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(109,669):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0},(109,668):{'3_1':0.36,'5_2':0.24,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(109,667):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(109,666):{'5_2':0.27,'3_1':0.27,'7_4':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_8':0.0,'6_1':0.0,'7_7':0.0},(109,665):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(109,664):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0},(109,663):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'5_1':0.06,'7_3':0.0,'7_7':0.0,'6_1':0.0,'3_1#5_1':0.0,'-3':0.0},(109,662):{'3_1':0.33,'5_2':0.27,'5_1':0.06,'7_4':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(109,661):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(109,660):{'3_1':0.36,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(109,659):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(109,658):{'3_1':0.33,'5_2':0.27,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(109,657):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(109,656):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(109,655):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'7_4':0.0,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(109,654):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(109,653):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(109,652):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(109,651):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0,'8_19':0.0},(109,650):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(109,649):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(109,648):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'8_19':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(109,647):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(109,646):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(109,645):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(109,644):{'5_2':0.21,'3_1':0.21,'5_1':0.12,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(109,643):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(109,642):{'3_1':0.24,'5_2':0.09,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(109,641):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0},(109,640):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(109,639):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(109,638):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(109,637):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(109,636):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,635):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(109,634):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(109,633):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_16':0.0,'8_19':0.0},(109,632):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_11':0.0,'7_3':0.0,'7_6':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(109,631):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(109,630):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_7':0.0},(109,629):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'-3':0.0},(109,628):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(109,627):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,626):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(109,625):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0},(109,624):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_7':0.0},(109,623):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(109,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_7':0.0,'3_1#5_1':0.0,'-3':0.0},(109,621):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,620):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,619):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(109,618):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_6':0.0,'8_19':0.0},(109,617):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(109,616):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(109,615):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0},(109,614):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,613):{'3_1':0.33,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(109,612):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(109,611):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(109,610):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(109,609):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(109,608):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'-3':0.0},(109,607):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.0},(109,606):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(109,605):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_2':0.0},(109,604):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(109,603):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0},(109,602):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(109,601):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(109,600):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'9_1':0.0},(109,599):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_13':0.0},(109,598):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(109,597):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'-3':0.0},(109,596):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_5':0.0},(109,595):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0},(109,594):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(109,593):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(109,592):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(109,591):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(109,590):{'3_1':0.3,'4_1':0.09,'5_1':0.0},(109,589):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(109,588):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0},(109,587):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(109,586):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(109,585):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(109,584):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(109,583):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(109,582):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(109,581):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(109,580):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'7_3':0.0},(109,579):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(109,578):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(109,577):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(109,576):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0},(109,575):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(109,574):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(109,573):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(109,572):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(109,571):{'3_1':0.3,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(109,570):{'3_1':0.21,'4_1':0.09,'5_2':0.0},(109,569):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(109,568):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(109,567):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(109,566):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0},(109,565):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03},(109,564):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(109,563):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(109,562):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(109,561):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(109,560):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(109,559):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(109,558):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(109,557):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(109,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(109,555):{'3_1':0.24,'4_1':0.03,'6_1':0.0},(109,554):{'3_1':0.21,'6_2':0.0,'5_2':0.0,'7_3':0.0},(109,553):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(109,552):{'3_1':0.27,'5_2':0.0,'4_1':0.0},(109,551):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(109,550):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(109,549):{'3_1':0.27,'5_2':0.0,'8_20|3_1#3_1':0.0},(109,548):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(109,547):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0},(109,546):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(109,545):{'3_1':0.24,'5_2':0.06,'5_1':0.0},(109,544):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(109,543):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(109,542):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(109,541):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(109,540):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(109,539):{'3_1':0.33,'5_1':0.0,'5_2':0.0},(109,538):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(109,537):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0},(109,536):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(109,535):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(109,534):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(109,533):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(109,532):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(109,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(109,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(109,529):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(109,528):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(109,527):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_6':0.0},(109,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(109,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(109,524):{'3_1':0.15,'5_2':0.0},(109,523):{'3_1':0.06,'5_1':0.0},(109,522):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(109,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(109,520):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(109,519):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(109,518):{'3_1':0.09},(109,517):{'3_1':0.03,'5_1':0.0},(109,516):{'3_1':0.09,'5_1':0.0},(109,515):{'3_1':0.12},(109,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(109,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(109,512):{'3_1':0.06,'4_1':0.0},(109,511):{'3_1':0.06,'4_1':0.0},(109,510):{'3_1':0.06},(109,509):{'3_1':0.06},(109,508):{'3_1':0.06},(109,507):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(109,506):{'3_1':0.03,'5_2':0.0},(109,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(109,504):{'3_1':0.03,'4_1':0.0},(109,503):{'3_1':0.03,'4_1':0.0},(109,502):{'3_1':0.03},(109,501):{'3_1':0.03},(109,500):{'3_1':0.06,'4_1':0.0},(109,499):{'3_1':0.06},(109,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(109,497):{'3_1':0.03,'4_1':0.0},(109,496):{'3_1':0.0},(109,495):{'3_1':0.06},(109,494):{'3_1':0.09},(109,493):{'3_1':0.06,'5_2':0.0},(109,492):{'3_1':0.03,'4_1':0.0},(109,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(109,490):{'3_1':0.06,'4_1':0.0},(109,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(109,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,487):{'3_1':0.09,'7_3':0.0},(109,486):{'3_1':0.06},(109,485):{'3_1':0.06,'5_1':0.0},(109,484):{'3_1':0.12,'5_1':0.0},(109,483):{'3_1':0.03},(109,482):{'3_1':0.15,'5_1':0.0},(109,481):{'3_1':0.09},(109,480):{'3_1':0.06},(109,479):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(109,478):{'3_1':0.15,'9_1':0.0},(109,477):{'3_1':0.12},(109,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(109,475):{'3_1':0.12,'4_1':0.0},(109,474):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(109,473):{'3_1':0.06},(109,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(109,471):{'3_1':0.06,'5_2':0.0},(109,470):{'3_1':0.06,'5_2':0.0},(109,469):{'3_1':0.09,'4_1':0.0},(109,468):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(109,467):{'3_1':0.06},(109,466):{'3_1':0.06},(109,465):{'3_1':0.06,'4_1':0.0},(109,464):{'3_1':0.06,'5_1':0.0},(109,463):{'3_1':0.06},(109,462):{'3_1':0.03,'5_2':0.0},(109,461):{'3_1':0.06},(109,460):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(109,459):{'3_1':0.03},(109,458):{'3_1':0.03,'4_1':0.0},(109,457):{'3_1':0.06},(109,456):{'3_1':0.06,'4_1':0.0},(109,455):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(109,454):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(109,453):{'3_1':0.03,'5_1':0.0},(109,452):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(109,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(109,450):{'3_1':0.03,'5_2':0.0},(109,449):{'3_1':0.03,'7_1':0.0},(109,448):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(109,447):{'3_1':0.03,'4_1':0.0},(109,446):{'3_1':0.0},(109,445):{'3_1':0.06},(109,444):{'3_1':0.06,'4_1':0.0},(109,443):{'3_1':0.03},(109,442):{'3_1':0.03},(109,441):{'3_1':0.03},(109,440):{'3_1':0.03},(109,439):{'3_1':0.06,'4_1':0.0},(109,438):{'3_1':0.03},(109,437):{'3_1':0.0},(109,436):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(109,435):{'3_1':0.0,'4_1':0.0},(109,434):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(109,433):{'3_1':0.03,'4_1':0.0},(109,432):{'3_1':0.03},(109,431):{'3_1':0.0,'4_1':0.0},(109,430):{'3_1':0.03},(109,429):{'3_1':0.03,'6_1':0.0},(109,428):{'4_1':0.03,'3_1':0.0},(109,427):{'3_1':0.09},(109,426):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(109,425):{'3_1':0.0,'4_1':0.0},(109,424):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(109,423):{'3_1':0.06,'4_1':0.06},(109,422):{'3_1':0.03,'4_1':0.03},(109,421):{'3_1':0.0,'4_1':0.0},(109,420):{'3_1':0.06,'4_1':0.0},(109,419):{'3_1':0.03,'4_1':0.0},(109,418):{'3_1':0.03,'4_1':0.0},(109,417):{'3_1':0.03,'4_1':0.0},(109,416):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(109,415):{'3_1':0.0,'4_1':0.0},(109,414):{'3_1':0.03,'5_1':0.0},(109,413):{'3_1':0.03,'4_1':0.0},(109,412):{'3_1':0.0,'4_1':0.0},(109,411):{'3_1':0.0,'9_1':0.0,'-3':0.0},(109,410):{'3_1':0.0,'5_1':0.0},(109,409):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(109,408):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(109,407):{'3_1':0.0},(109,406):{'3_1':0.0,'4_1':0.0},(109,405):{'3_1':0.0,'4_1':0.0},(109,404):{'3_1':0.0},(109,402):{'3_1':0.0},(109,401):{'3_1':0.0,'4_1':0.0},(109,399):{'3_1':0.03,'4_1':0.0},(109,398):{'4_1':0.0},(109,397):{'3_1':0.0},(109,396):{'3_1':0.0},(109,395):{'3_1':0.0,'4_1':0.0},(109,394):{'3_1':0.0,'5_2':0.0},(109,393):{'3_1':0.0},(109,392):{'4_1':0.0},(109,391):{'3_1':0.0},(109,390):{'3_1':0.0},(109,389):{'4_1':0.0,'3_1':0.0},(109,388):{'3_1':0.0},(109,387):{'3_1':0.03},(109,386):{'3_1':0.0,'4_1':0.0},(109,385):{'3_1':0.0},(109,384):{'3_1':0.0},(109,382):{'3_1':0.0,'4_1':0.0},(109,381):{'3_1':0.0},(109,380):{'3_1':0.0},(109,379):{'3_1':0.06},(109,378):{'3_1':0.03},(109,377):{'3_1':0.06},(109,376):{'3_1':0.03,'4_1':0.0},(109,375):{'3_1':0.03},(109,374):{'3_1':0.06,'4_1':0.0},(109,373):{'3_1':0.0,'5_1':0.0},(109,372):{'3_1':0.0},(109,371):{'3_1':0.06,'5_1':0.0},(109,370):{'3_1':0.03},(109,369):{'3_1':0.06},(109,368):{'3_1':0.03,'7_1':0.0},(109,367):{'3_1':0.03},(109,366):{'3_1':0.0},(109,365):{'3_1':0.06},(109,364):{'3_1':0.0,'5_1':0.0},(109,363):{'3_1':0.0},(109,362):{'3_1':0.03},(109,361):{'3_1':0.03,'9_1':0.0},(109,360):{'3_1':0.03,'4_1':0.0},(109,359):{'3_1':0.06},(109,358):{'3_1':0.03,'4_1':0.0},(109,357):{'3_1':0.06},(109,356):{'3_1':0.0,'4_1':0.0},(109,355):{'3_1':0.0},(109,354):{'3_1':0.03},(109,353):{'3_1':0.0},(109,352):{'3_1':0.0},(109,350):{'3_1':0.03},(109,349):{'3_1':0.0},(109,348):{'3_1':0.03},(109,347):{'3_1':0.06},(109,346):{'3_1':0.03},(109,345):{'3_1':0.0,'5_1':0.0},(109,344):{'3_1':0.03},(109,343):{'3_1':0.03},(109,342):{'3_1':0.0},(109,341):{'3_1':0.0},(109,340):{'3_1':0.03},(109,339):{'3_1':0.03},(109,338):{'3_1':0.0},(109,337):{'3_1':0.0},(109,336):{'3_1':0.03},(109,335):{'3_1':0.03,'4_1':0.0},(109,334):{'3_1':0.03,'7_1':0.0},(109,333):{'3_1':0.03,'5_1':0.0},(109,332):{'3_1':0.0},(109,331):{'3_1':0.0},(109,330):{'3_1':0.03},(109,329):{'3_1':0.0,'9_1':0.0},(109,328):{'3_1':0.0,'4_1':0.0},(109,327):{'3_1':0.03},(109,326):{'3_1':0.06},(109,325):{'3_1':0.03},(109,324):{'3_1':0.0},(109,323):{'3_1':0.03},(109,322):{'3_1':0.03},(109,320):{'3_1':0.06},(109,319):{'3_1':0.03,'4_1':0.0},(109,318):{'3_1':0.0},(109,317):{'3_1':0.03},(109,315):{'3_1':0.0},(109,314):{'3_1':0.0,'4_1':0.0},(109,313):{'3_1':0.0},(109,312):{'3_1':0.03,'4_1':0.0},(109,311):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(109,310):{'3_1':0.0},(109,309):{'3_1':0.0},(109,308):{'3_1':0.0},(109,307):{'3_1':0.06},(109,306):{'3_1':0.0},(109,305):{'3_1':0.0},(109,304):{'3_1':0.03},(109,303):{'3_1':0.0},(109,302):{'3_1':0.03},(109,301):{'3_1':0.0},(109,300):{'5_1':0.0},(109,299):{'3_1':0.03},(109,298):{'3_1':0.06},(109,297):{'3_1':0.0},(109,296):{'3_1':0.0},(109,295):{'3_1':0.0,'5_1':0.0},(109,294):{'3_1':0.0},(109,293):{'4_1':0.0,'3_1':0.0},(109,292):{'3_1':0.0},(109,291):{'3_1':0.0},(109,290):{'3_1':0.0},(109,287):{'3_1':0.0},(109,286):{'3_1':0.0},(109,285):{'3_1':0.0},(109,284):{'3_1':0.03},(109,283):{'3_1':0.0},(109,282):{'3_1':0.0},(109,281):{'3_1':0.03},(109,280):{'3_1':0.06},(109,279):{'3_1':0.03},(109,278):{'3_1':0.0},(109,277):{'3_1':0.06},(109,276):{'3_1':0.0},(109,275):{'3_1':0.0},(109,274):{'3_1':0.03},(109,273):{'3_1':0.0},(109,272):{'3_1':0.03,'5_1':0.0},(109,271):{'3_1':0.03},(109,270):{'3_1':0.0,'4_1':0.0},(109,269):{'3_1':0.03},(109,268):{'3_1':0.03,'5_1':0.0},(109,267):{'3_1':0.0},(109,266):{'3_1':0.0},(109,265):{'3_1':0.03,'5_2':0.0},(109,264):{'3_1':0.0},(109,263):{'3_1':0.0},(109,262):{'3_1':0.0,'5_2':0.0},(109,261):{'3_1':0.03},(109,260):{'3_1':0.0},(109,259):{'3_1':0.03,'4_1':0.0},(109,258):{'3_1':0.0},(109,257):{'3_1':0.03},(109,256):{'4_1':0.0,'3_1':0.0},(109,254):{'3_1':0.0},(109,253):{'3_1':0.0},(109,252):{'3_1':0.0,'4_1':0.0},(109,250):{'3_1':0.0},(109,249):{'3_1':0.0},(109,248):{'3_1':0.0},(109,246):{'3_1':0.0,'5_1':0.0},(109,245):{'3_1':0.0},(109,244):{'3_1':0.03},(109,243):{'3_1':0.03,'4_1':0.0},(109,242):{'3_1':0.0},(109,241):{'3_1':0.03},(109,240):{'3_1':0.06},(109,239):{'3_1':0.03},(109,238):{'3_1':0.03},(109,237):{'3_1':0.0},(109,236):{'3_1':0.0},(109,235):{'3_1':0.03,'4_1':0.0},(109,234):{'3_1':0.03},(109,233):{'3_1':0.03},(109,232):{'3_1':0.0},(109,231):{'3_1':0.0,'5_1':0.0},(109,230):{'3_1':0.0},(109,229):{'3_1':0.0},(109,228):{'3_1':0.03},(109,226):{'3_1':0.0},(109,224):{'3_1':0.0},(109,221):{'3_1':0.0,'7_2':0.0},(109,220):{'3_1':0.0},(109,219):{'3_1':0.03},(109,218):{'4_1':0.0},(109,216):{'3_1':0.0},(109,215):{'3_1':0.0},(109,214):{'3_1':0.0,'4_1':0.0},(109,213):{'3_1':0.0},(109,212):{'3_1':0.03},(109,211):{'3_1':0.0},(109,210):{'3_1':0.0},(109,209):{'3_1':0.0},(109,208):{'3_1':0.0},(109,205):{'3_1':0.0},(109,204):{'3_1':0.0},(109,203):{'3_1':0.0},(109,200):{'3_1':0.0},(109,199):{'3_1':0.0},(109,198):{'3_1':0.0},(109,197):{'3_1':0.0,'4_1':0.0},(109,196):{'4_1':0.0},(109,195):{'3_1':0.0},(109,193):{'3_1':0.0},(109,192):{'4_1':0.0},(109,191):{'3_1':0.0},(109,190):{'3_1':0.0},(109,189):{'3_1':0.0},(109,188):{'3_1':0.0},(109,186):{'3_1':0.0},(109,185):{'3_1':0.0},(109,180):{'3_1':0.0},(109,178):{'3_1':0.0},(109,166):{'3_1':0.0},(109,165):{'3_1':0.03},(109,164):{'3_1':0.0},(109,160):{'3_1':0.03},(109,159):{'3_1':0.0},(109,158):{'7_2':0.0},(109,157):{'3_1':0.0},(109,156):{'3_1':0.0},(109,152):{'3_1':0.0},(109,150):{'3_1':0.0},(109,149):{'3_1':0.0},(109,146):{'3_1':0.0},(109,145):{'3_1':0.0},(109,142):{'5_2':0.0},(110,727):{'5_2':0.36,'7_5':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0,'8_15':0.0},(110,726):{'5_2':0.36,'7_2':0.12,'7_3':0.06,'7_5':0.03,'-3':0.03,'7_4':0.0,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(110,725):{'5_2':0.42,'7_5':0.09,'7_2':0.06,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'6_1':0.0,'7_4':0.0},(110,724):{'5_2':0.33,'7_2':0.09,'7_5':0.06,'7_3':0.06,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_14':0.0},(110,723):{'5_2':0.36,'7_2':0.15,'7_5':0.06,'-3':0.06,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(110,722):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_6':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0},(110,721):{'5_2':0.27,'-3':0.12,'7_2':0.09,'7_5':0.09,'7_3':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(110,720):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0,'5_1':0.0},(110,719):{'5_2':0.33,'-3':0.09,'7_2':0.09,'7_3':0.06,'7_5':0.06,'7_6':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0},(110,718):{'5_2':0.33,'7_2':0.12,'-3':0.09,'7_6':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'8_14':0.0},(110,717):{'5_2':0.3,'-3':0.09,'7_2':0.09,'7_5':0.06,'7_4':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0},(110,716):{'5_2':0.36,'-3':0.09,'7_2':0.09,'7_5':0.06,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(110,715):{'5_2':0.33,'-3':0.12,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(110,714):{'5_2':0.36,'7_2':0.09,'-3':0.09,'7_3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(110,713):{'5_2':0.3,'7_5':0.09,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(110,712):{'5_2':0.24,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'8_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(110,711):{'5_2':0.27,'-3':0.15,'7_2':0.09,'7_3':0.06,'7_5':0.03,'7_6':0.03,'3_1':0.03,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_2':0.0},(110,710):{'5_2':0.24,'-3':0.12,'3_1':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0},(110,709):{'5_2':0.3,'-3':0.24,'7_5':0.06,'7_3':0.03,'7_6':0.03,'7_2':0.03,'3_1':0.0,'8_11':0.0,'1':-0.03},(110,708):{'5_2':0.27,'7_5':0.09,'-3':0.09,'7_2':0.06,'7_3':0.06,'5_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_15':0.0,'8_18':0.0,'3_1#5_2':0.0,'1':-0.03},(110,707):{'5_2':0.3,'-3':0.09,'7_5':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(110,706):{'5_2':0.33,'7_5':0.09,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(110,705):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'8_14':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(110,704):{'5_2':0.3,'-3':0.15,'3_1':0.09,'7_2':0.06,'7_3':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(110,703):{'5_2':0.21,'-3':0.09,'7_3':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_12':0.0,'8_15':0.0,'3_1#5_2':0.0},(110,702):{'5_2':0.27,'-3':0.18,'7_2':0.06,'7_4':0.06,'7_6':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(110,701):{'5_2':0.33,'-3':0.12,'7_2':0.06,'7_3':0.06,'3_1':0.03,'8_11':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0},(110,700):{'5_2':0.18,'-3':0.09,'7_3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(110,699):{'5_2':0.27,'3_1':0.06,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0},(110,698):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'8_19':0.0,'1':-0.03},(110,697):{'5_2':0.18,'-3':0.15,'7_5':0.12,'7_2':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0},(110,696):{'5_2':0.24,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.06,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(110,695):{'5_2':0.33,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0,'1':-0.03},(110,694):{'5_2':0.24,'-3':0.18,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0},(110,693):{'5_2':0.24,'-3':0.15,'3_1':0.12,'7_3':0.06,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(110,692):{'5_2':0.24,'3_1':0.15,'7_5':0.06,'7_2':0.03,'7_6':0.03,'-3':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_7':0.0,'1':-0.03},(110,691):{'5_2':0.3,'3_1':0.15,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(110,690):{'5_2':0.33,'3_1':0.15,'-3':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(110,689):{'5_2':0.27,'3_1':0.12,'7_4':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0},(110,688):{'5_2':0.3,'3_1':0.09,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_7':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0},(110,687):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(110,686):{'5_2':0.27,'-3':0.12,'3_1':0.09,'7_3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'1':-0.03},(110,685):{'5_2':0.33,'3_1':0.15,'7_3':0.06,'-3':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(110,684):{'3_1':0.18,'5_2':0.18,'7_3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(110,683):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(110,682):{'5_2':0.24,'3_1':0.24,'7_4':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(110,681):{'3_1':0.27,'5_2':0.24,'-3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(110,680):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'7_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(110,679):{'3_1':0.27,'5_2':0.21,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0},(110,678):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(110,677):{'3_1':0.3,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'8_3':0.0},(110,676):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'5_1':0.06,'7_3':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(110,675):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0},(110,674):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(110,673):{'3_1':0.27,'5_2':0.27,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_19':0.0},(110,672):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(110,671):{'3_1':0.3,'5_2':0.3,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0},(110,670):{'3_1':0.27,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0},(110,669):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'5_1':0.03,'7_7':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_13':0.0,'-3':0.0},(110,668):{'3_1':0.3,'5_2':0.15,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,667):{'3_1':0.24,'5_2':0.18,'7_4':0.09,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(110,666):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(110,665):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(110,664):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,663):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(110,662):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,661):{'3_1':0.36,'5_2':0.24,'5_1':0.06,'7_2':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(110,660):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(110,659):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0},(110,658):{'3_1':0.42,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,657):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(110,656):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(110,655):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(110,654):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,653):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,652):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(110,651):{'3_1':0.3,'5_2':0.21,'5_1':0.09,'4_1':0.03,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(110,650):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'8_19':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,649):{'3_1':0.3,'5_2':0.15,'4_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(110,648):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,647):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(110,646):{'3_1':0.24,'5_1':0.12,'5_2':0.09,'8_19':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,645):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,644):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(110,643):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(110,642):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(110,641):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'7_3':0.03,'4_1':0.0,'6_3':0.0,'8_19':0.0,'7_1':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(110,640):{'3_1':0.24,'5_1':0.12,'5_2':0.12,'4_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_5':0.0,'8_20|3_1#3_1':0.0},(110,639):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0},(110,638):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(110,637):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.03,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(110,636):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_6':0.0},(110,635):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(110,634):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_16':0.0},(110,633):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(110,632):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'7_3':0.0,'5_1':0.0,'6_3':0.0,'8_19':0.0},(110,631):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(110,630):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_11':0.0,'-3':0.0},(110,629):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(110,628):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(110,627):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0},(110,626):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(110,625):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(110,624):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_3':0.0,'7_3':0.0,'8_5':0.0,'8_7':0.0,'8_19':0.0,'-3':0.0},(110,623):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,622):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(110,621):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(110,620):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(110,619):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(110,618):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_7':0.0,'8_19':0.0,'-3':0.0},(110,617):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(110,616):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(110,615):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(110,614):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(110,613):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0},(110,612):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(110,611):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'-3':0.0},(110,610):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_16':0.0,'8_19':0.0},(110,609):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'8_19':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(110,608):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(110,607):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_3':0.0,'6_1':0.0,'9_1':0.0},(110,606):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(110,605):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(110,604):{'3_1':0.15,'5_1':0.06,'4_1':0.06,'5_2':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(110,603):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.0},(110,602):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(110,601):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.03},(110,600):{'3_1':0.24,'5_2':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0},(110,599):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(110,598):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0,'-3':0.0},(110,597):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(110,596):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(110,595):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(110,594):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_6':0.0},(110,593):{'3_1':0.18,'4_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(110,592):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0},(110,591):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(110,590):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(110,589):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0},(110,588):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'5_2':0.0},(110,587):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(110,586):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(110,585):{'3_1':0.24,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(110,584):{'3_1':0.27,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(110,583):{'3_1':0.27,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(110,582):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(110,581):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(110,580):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(110,579):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(110,578):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(110,577):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(110,576):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'7_6':0.0},(110,575):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(110,574):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(110,573):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(110,572):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(110,571):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(110,570):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0},(110,569):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(110,568):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(110,567):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_3':0.0},(110,566):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(110,565):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(110,564):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0},(110,563):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(110,562):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0},(110,561):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(110,560):{'3_1':0.15,'4_1':0.09},(110,559):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_6':0.0},(110,558):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(110,557):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(110,556):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'6_2':0.0},(110,555):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(110,554):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(110,553):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,552):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(110,551):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_3':0.0,'5_2':0.0},(110,550):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(110,549):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(110,548):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(110,547):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0},(110,546):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0},(110,545):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(110,544):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(110,543):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0},(110,542):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(110,541):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_1':0.0},(110,540):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0},(110,539):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(110,538):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'6_2':0.0},(110,537):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(110,536):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0},(110,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(110,534):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(110,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0},(110,532):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(110,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,529):{'3_1':0.15,'5_1':0.0},(110,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(110,527):{'3_1':0.18,'5_1':0.0},(110,526):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(110,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(110,524):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(110,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(110,522):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(110,521):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(110,520):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(110,519):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(110,518):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(110,517):{'3_1':0.06,'5_1':0.0},(110,516):{'3_1':0.06,'5_2':0.0},(110,515):{'3_1':0.09,'4_1':0.0},(110,514):{'3_1':0.09,'4_1':0.0},(110,513):{'3_1':0.06,'5_1':0.0},(110,512):{'3_1':0.06},(110,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(110,510):{'3_1':0.03,'5_2':0.0,'8_11':0.0},(110,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(110,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_6':0.0},(110,506):{'3_1':0.06,'4_1':0.0},(110,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(110,504):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(110,503):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(110,502):{'3_1':0.0,'5_2':0.0,'7_5':0.0},(110,501):{'3_1':0.09},(110,500):{'3_1':0.03,'4_1':0.0},(110,499):{'3_1':0.03,'5_1':0.0},(110,498):{'3_1':0.03,'4_1':0.0},(110,497):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(110,496):{'3_1':0.09,'4_1':0.0},(110,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(110,494):{'3_1':0.06},(110,493):{'3_1':0.09},(110,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,491):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(110,490):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(110,489):{'3_1':0.12,'4_1':0.0},(110,488):{'3_1':0.09,'5_2':0.0},(110,487):{'3_1':0.06,'5_2':0.0},(110,486):{'3_1':0.09,'4_1':0.0},(110,485):{'3_1':0.09,'5_2':0.0},(110,484):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(110,483):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(110,482):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(110,481):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(110,480):{'3_1':0.06},(110,479):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(110,478):{'3_1':0.09,'5_2':0.0,'9_1':0.0},(110,477):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(110,476):{'3_1':0.12,'4_1':0.0},(110,475):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,474):{'3_1':0.09},(110,473):{'3_1':0.03,'7_1':0.0},(110,472):{'3_1':0.09,'4_1':0.0},(110,471):{'3_1':0.06,'4_1':0.0},(110,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(110,469):{'3_1':0.03},(110,468):{'3_1':0.06,'5_1':0.0},(110,467):{'3_1':0.06,'4_1':0.0},(110,466):{'3_1':0.0},(110,465):{'3_1':0.03},(110,464):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(110,463):{'3_1':0.06,'4_1':0.0},(110,462):{'3_1':0.03},(110,461):{'3_1':0.03,'5_2':0.0},(110,460):{'3_1':0.03,'4_1':0.0},(110,459):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(110,458):{'3_1':0.03},(110,457):{'3_1':0.06,'4_1':0.0},(110,456):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(110,455):{'3_1':0.03,'5_2':0.0},(110,454):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(110,453):{'3_1':0.03},(110,452):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(110,451):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(110,450):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(110,449):{'3_1':0.0,'4_1':0.0},(110,448):{'3_1':0.0},(110,447):{'3_1':0.06,'4_1':0.0},(110,446):{'3_1':0.0},(110,445):{'3_1':0.03},(110,444):{'3_1':0.0},(110,443):{'3_1':0.0,'4_1':0.0},(110,442):{'3_1':0.03,'4_1':0.0},(110,441):{'3_1':0.0,'4_1':0.0},(110,440):{'3_1':0.03},(110,439):{'3_1':0.0,'4_1':0.0},(110,438):{'3_1':0.0},(110,437):{'3_1':0.03,'4_1':0.0},(110,436):{'3_1':0.0},(110,435):{'3_1':0.0},(110,434):{'3_1':0.03,'4_1':0.0},(110,433):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(110,432):{'4_1':0.0},(110,431):{'3_1':0.0},(110,430):{'3_1':0.03,'4_1':0.0},(110,429):{'3_1':0.06,'4_1':0.0},(110,428):{'3_1':0.03,'4_1':0.0},(110,427):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(110,426):{'3_1':0.03,'4_1':0.0},(110,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(110,424):{'3_1':0.0,'4_1':0.0},(110,423):{'4_1':0.03},(110,422):{'3_1':0.03,'4_1':0.03},(110,421):{'3_1':0.06,'4_1':0.0},(110,420):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(110,419):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(110,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(110,417):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(110,416):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(110,415):{'3_1':0.03,'4_1':0.0},(110,414):{'3_1':0.03,'4_1':0.0},(110,413):{'3_1':0.0,'4_1':0.0},(110,412):{'3_1':0.03,'4_1':0.03},(110,411):{'3_1':0.0,'4_1':0.0},(110,410):{'3_1':0.0,'4_1':0.0},(110,409):{'3_1':0.0,'4_1':0.0},(110,408):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(110,407):{'4_1':0.0},(110,406):{'3_1':0.0},(110,405):{'3_1':0.03},(110,404):{'3_1':0.0},(110,403):{'3_1':0.0},(110,402):{'3_1':0.0,'4_1':0.0},(110,401):{'3_1':0.0},(110,400):{'3_1':0.0},(110,399):{'3_1':0.0},(110,398):{'3_1':0.0,'4_1':0.0},(110,397):{'3_1':0.0,'5_1':0.0},(110,396):{'3_1':0.0,'4_1':0.0},(110,395):{'3_1':0.0,'4_1':0.0},(110,394):{'3_1':0.0},(110,392):{'4_1':0.0},(110,391):{'3_1':0.0},(110,390):{'3_1':0.0},(110,389):{'3_1':0.0,'7_2':0.0},(110,388):{'3_1':0.03},(110,387):{'3_1':0.0,'5_1':0.0},(110,386):{'3_1':0.03,'5_1':0.0},(110,385):{'4_1':0.0},(110,384):{'3_1':0.0},(110,383):{'3_1':0.0},(110,382):{'3_1':0.0},(110,381):{'3_1':0.0},(110,380):{'3_1':0.06,'4_1':0.0},(110,379):{'3_1':0.03},(110,378):{'3_1':0.06,'5_2':0.0},(110,377):{'3_1':0.03},(110,376):{'3_1':0.03},(110,375):{'3_1':0.0},(110,374):{'3_1':0.06},(110,373):{'3_1':0.09},(110,372):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(110,371):{'3_1':0.03,'7_1':0.0},(110,370):{'3_1':0.03},(110,369):{'3_1':0.03,'5_1':0.0},(110,368):{'3_1':0.03},(110,367):{'3_1':0.06,'5_1':0.0},(110,366):{'3_1':0.03,'5_1':0.0},(110,365):{'3_1':0.03,'4_1':0.0},(110,364):{'3_1':0.03,'5_1':0.0},(110,363):{'3_1':0.03},(110,362):{'3_1':0.03},(110,361):{'3_1':0.03},(110,360):{'3_1':0.03,'5_1':0.0},(110,359):{'3_1':0.03},(110,358):{'3_1':0.0},(110,357):{'3_1':0.03},(110,356):{'3_1':0.03},(110,355):{'3_1':0.03},(110,354):{'3_1':0.0},(110,353):{'3_1':0.0},(110,352):{'3_1':0.0,'7_1':0.0},(110,351):{'3_1':0.03},(110,350):{'3_1':0.0},(110,349):{'3_1':0.03,'5_2':0.0},(110,348):{'3_1':0.03},(110,347):{'3_1':0.0},(110,346):{'3_1':0.03,'5_1':0.0},(110,345):{'3_1':0.0},(110,344):{'3_1':0.03},(110,343):{'3_1':0.03},(110,342):{'3_1':0.0},(110,341):{'3_1':0.03},(110,340):{'3_1':0.0,'5_1':0.0},(110,339):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(110,338):{'3_1':0.0},(110,337):{'3_1':0.03},(110,336):{'3_1':0.0},(110,335):{'3_1':0.0,'5_1':0.0},(110,334):{'3_1':0.0},(110,333):{'3_1':0.0},(110,332):{'3_1':0.03},(110,331):{'3_1':0.03},(110,330):{'3_1':0.0},(110,329):{'3_1':0.0},(110,328):{'3_1':0.0},(110,327):{'3_1':0.0},(110,326):{'3_1':0.03},(110,325):{'3_1':0.0},(110,324):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(110,323):{'3_1':0.0},(110,322):{'3_1':0.0},(110,321):{'3_1':0.0,'4_1':0.0},(110,320):{'3_1':0.0,'4_1':0.0},(110,319):{'3_1':0.0},(110,318):{'3_1':0.0,'5_1':0.0},(110,317):{'3_1':0.0},(110,316):{'3_1':0.03},(110,315):{'3_1':0.03},(110,313):{'3_1':0.0},(110,312):{'3_1':0.03},(110,311):{'3_1':0.03},(110,310):{'3_1':0.0,'5_2':0.0},(110,309):{'3_1':0.0},(110,308):{'3_1':0.03},(110,307):{'3_1':0.03,'8_20|3_1#3_1':0.0},(110,306):{'3_1':0.03,'5_1':0.0},(110,305):{'3_1':0.0},(110,304):{'3_1':0.03},(110,303):{'3_1':0.0},(110,302):{'3_1':0.03},(110,301):{'3_1':0.0},(110,300):{'3_1':0.06},(110,299):{'3_1':0.03},(110,298):{'3_1':0.0},(110,297):{'3_1':0.0},(110,296):{'3_1':0.0},(110,295):{'3_1':0.0},(110,294):{'3_1':0.0,'6_1':0.0},(110,293):{'3_1':0.0},(110,292):{'3_1':0.0},(110,290):{'3_1':0.0},(110,289):{'3_1':0.0},(110,288):{'3_1':0.03},(110,287):{'3_1':0.03},(110,286):{'3_1':0.03},(110,285):{'3_1':0.06},(110,284):{'3_1':0.0},(110,283):{'3_1':0.03,'4_1':0.0},(110,282):{'3_1':0.0},(110,281):{'3_1':0.0,'5_2':0.0},(110,280):{'3_1':0.03},(110,279):{'3_1':0.0},(110,278):{'3_1':0.03},(110,277):{'3_1':0.06},(110,276):{'3_1':0.0},(110,275):{'3_1':0.0},(110,274):{'3_1':0.03},(110,273):{'3_1':0.03},(110,272):{'3_1':0.03,'5_1':0.0},(110,271):{'3_1':0.0},(110,270):{'3_1':0.03},(110,269):{'3_1':0.0},(110,268):{'3_1':0.03,'5_1':0.0},(110,266):{'3_1':0.03},(110,265):{'3_1':0.03},(110,264):{'3_1':0.0},(110,263):{'3_1':0.0},(110,262):{'3_1':0.0},(110,261):{'3_1':0.0,'4_1':0.0},(110,260):{'5_1':0.0,'3_1':0.0},(110,259):{'3_1':0.03,'4_1':0.0},(110,258):{'3_1':0.0},(110,257):{'3_1':0.0},(110,256):{'3_1':0.0},(110,255):{'3_1':0.0},(110,254):{'3_1':0.0,'4_1':0.0},(110,253):{'3_1':0.0},(110,252):{'3_1':0.0,'5_1':0.0},(110,251):{'3_1':0.0},(110,250):{'3_1':0.0},(110,249):{'3_1':0.0},(110,247):{'3_1':0.0,'5_1':0.0},(110,246):{'3_1':0.0},(110,244):{'3_1':0.0},(110,241):{'3_1':0.03},(110,240):{'3_1':0.0},(110,239):{'3_1':0.03,'5_1':0.0},(110,238):{'3_1':0.06},(110,237):{'3_1':0.0,'7_1':0.0},(110,236):{'3_1':0.0},(110,235):{'3_1':0.0},(110,234):{'3_1':0.06},(110,233):{'3_1':0.03},(110,232):{'3_1':0.0},(110,231):{'3_1':0.0,'4_1':0.0},(110,230):{'3_1':0.0},(110,229):{'3_1':0.0},(110,228):{'3_1':0.0},(110,226):{'5_1':0.0},(110,223):{'3_1':0.0},(110,222):{'4_1':0.0},(110,221):{'3_1':0.0},(110,220):{'3_1':0.0},(110,218):{'3_1':0.0},(110,217):{'3_1':0.0},(110,216):{'3_1':0.0},(110,215):{'3_1':0.0},(110,213):{'3_1':0.0},(110,211):{'3_1':0.0},(110,210):{'3_1':0.0},(110,208):{'3_1':0.0},(110,205):{'3_1':0.0},(110,200):{'3_1':0.0},(110,199):{'3_1':0.0},(110,198):{'3_1':0.0},(110,197):{'5_1':0.0},(110,195):{'3_1':0.0},(110,194):{'3_1':0.0},(110,193):{'3_1':0.0},(110,192):{'3_1':0.0},(110,191):{'3_1':0.03},(110,189):{'3_1':0.0},(110,188):{'3_1':0.0},(110,183):{'3_1':0.0},(110,182):{'3_1':0.0},(110,181):{'3_1':0.0},(110,180):{'3_1':0.0},(110,166):{'3_1':0.03},(110,164):{'3_1':0.0},(110,163):{'3_1':0.0},(110,162):{'3_1':0.0},(110,161):{'3_1':0.0},(110,160):{'3_1':0.0},(110,159):{'3_1':0.0},(110,156):{'3_1':0.03,'5_1':0.0},(110,155):{'3_1':0.0},(110,152):{'3_1':0.0},(110,151):{'3_1':0.0},(110,150):{'3_1':0.0},(110,149):{'3_1':0.0},(110,146):{'3_1':0.0},(110,144):{'3_1':0.0},(110,141):{'4_1':0.0},(111,727):{'5_2':0.42,'7_2':0.09,'7_5':0.06,'7_3':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_11':0.0},(111,726):{'5_2':0.3,'7_2':0.15,'-3':0.06,'7_5':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0},(111,725):{'5_2':0.33,'7_2':0.09,'-3':0.09,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(111,724):{'5_2':0.45,'7_2':0.12,'7_5':0.06,'-3':0.06,'6_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0},(111,723):{'5_2':0.3,'-3':0.09,'7_3':0.09,'7_2':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'8_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(111,722):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.06,'7_5':0.06,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'4_1':0.0},(111,721):{'5_2':0.33,'7_2':0.09,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0},(111,720):{'5_2':0.36,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_15':0.0},(111,719):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(111,718):{'5_2':0.33,'7_2':0.15,'-3':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(111,717):{'5_2':0.33,'-3':0.06,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_6':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0},(111,716):{'5_2':0.36,'7_2':0.09,'7_5':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_11':0.0},(111,715):{'5_2':0.3,'7_2':0.06,'-3':0.06,'7_3':0.06,'7_5':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0,'1':-0.03},(111,714):{'5_2':0.3,'-3':0.12,'7_2':0.06,'7_5':0.03,'7_4':0.03,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(111,713):{'5_2':0.36,'-3':0.09,'7_2':0.06,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(111,712):{'5_2':0.33,'7_2':0.09,'-3':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(111,711):{'5_2':0.39,'-3':0.15,'7_2':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(111,710):{'-3':0.21,'5_2':0.18,'7_2':0.06,'7_5':0.06,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'1':-0.03},(111,709):{'5_2':0.27,'-3':0.18,'7_5':0.15,'3_1':0.03,'7_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_15':0.0,'2':-0.03},(111,708):{'5_2':0.3,'-3':0.12,'7_5':0.12,'7_2':0.06,'7_6':0.06,'3_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(111,707):{'5_2':0.39,'7_2':0.12,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(111,706):{'5_2':0.24,'-3':0.12,'3_1':0.12,'7_2':0.09,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_3':0.0},(111,705):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(111,704):{'5_2':0.21,'-3':0.09,'7_2':0.09,'3_1':0.06,'7_5':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(111,703):{'5_2':0.24,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'4_1':0.0,'8_8':0.0},(111,702):{'5_2':0.36,'-3':0.12,'7_3':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(111,701):{'5_2':0.33,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'8_11':0.0,'4_1':0.0},(111,700):{'5_2':0.33,'3_1':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.03,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(111,699):{'5_2':0.3,'7_2':0.12,'-3':0.09,'3_1':0.03,'7_3':0.03,'3_1#5_2':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(111,698):{'5_2':0.3,'7_2':0.09,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(111,697):{'5_2':0.27,'3_1':0.12,'-3':0.09,'7_2':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(111,696):{'5_2':0.24,'-3':0.12,'3_1':0.09,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(111,695):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'7_5':0.06,'7_3':0.03,'-3':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(111,694):{'5_2':0.3,'-3':0.09,'7_5':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_2':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(111,693):{'5_2':0.27,'3_1':0.12,'-3':0.12,'7_5':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(111,692):{'5_2':0.24,'3_1':0.12,'7_3':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0},(111,691):{'5_2':0.27,'3_1':0.18,'7_2':0.09,'-3':0.09,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(111,690):{'5_2':0.24,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(111,689):{'5_2':0.21,'3_1':0.18,'7_2':0.09,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(111,688):{'5_2':0.24,'3_1':0.15,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(111,687):{'5_2':0.24,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(111,686):{'5_2':0.27,'3_1':0.18,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_7':0.03,'7_4':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0,'-3':0.0},(111,685):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0},(111,684):{'5_2':0.24,'3_1':0.18,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(111,683):{'3_1':0.24,'5_2':0.15,'7_3':0.06,'7_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_13':0.0,'6_1':0.0,'7_6':0.0},(111,682):{'3_1':0.24,'5_2':0.18,'7_4':0.09,'7_3':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.03,'6_1':0.0,'8_8':0.0,'7_5':0.0},(111,681):{'3_1':0.24,'5_2':0.24,'7_3':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'7_6':0.0},(111,680):{'5_2':0.27,'3_1':0.21,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.03,'5_1':0.0,'8_15':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(111,679):{'3_1':0.24,'5_2':0.21,'7_4':0.09,'-3':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(111,678):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_3':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(111,677):{'3_1':0.3,'5_2':0.21,'-3':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(111,676):{'5_2':0.24,'3_1':0.18,'7_3':0.06,'7_4':0.03,'4_1':0.03,'7_7':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(111,675):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(111,674):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(111,673):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0},(111,672):{'3_1':0.36,'5_2':0.27,'7_4':0.03,'5_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0},(111,671):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(111,670):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,669):{'3_1':0.24,'5_2':0.24,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(111,668):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_13':0.0,'-3':0.0},(111,667):{'3_1':0.3,'5_2':0.24,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'7_5':0.0,'8_19':0.0},(111,666):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0},(111,665):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(111,664):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_7':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'8_6':0.0,'8_19':0.0},(111,663):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'3_1#5_2':0.0},(111,662):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(111,661):{'3_1':0.33,'5_2':0.24,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'6_1':0.0,'7_7':0.0},(111,660):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(111,659):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'7_2':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(111,658):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,657):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,656):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_16':0.0},(111,655):{'5_2':0.27,'3_1':0.27,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(111,654):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(111,653):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0},(111,652):{'3_1':0.21,'5_2':0.21,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(111,651):{'3_1':0.3,'5_2':0.24,'5_1':0.06,'8_19':0.0,'6_3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0},(111,650):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_1':0.0,'8_19':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0},(111,649):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(111,648):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'6_3':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(111,647):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_1':0.0,'8_19':0.0,'6_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(111,646):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0},(111,645):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'8_19':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,644):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(111,643):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_1':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(111,642):{'3_1':0.21,'5_2':0.09,'5_1':0.09,'7_3':0.03,'4_1':0.03,'7_7':0.0,'-3':0.0},(111,641):{'3_1':0.3,'5_1':0.15,'5_2':0.06,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(111,640):{'3_1':0.24,'5_2':0.18,'5_1':0.12,'-3':0.03,'7_3':0.0,'7_1':0.0,'4_1':0.0,'7_7':0.0},(111,639):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'7_1':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(111,638):{'3_1':0.24,'5_1':0.15,'5_2':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_19':0.0},(111,637):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(111,636):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,635):{'3_1':0.33,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(111,634):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(111,633):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(111,632):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(111,631):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(111,630):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'7_7':0.0},(111,629):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(111,628):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0},(111,627):{'3_1':0.36,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,626):{'3_1':0.24,'5_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_3':0.0,'7_3':0.0},(111,625):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0},(111,624):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(111,623):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(111,622):{'3_1':0.3,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_7':0.0,'-3':0.0},(111,621):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(111,620):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_16':0.0},(111,619):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_7':0.0},(111,618):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(111,617):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(111,616):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(111,615):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'8_1':0.0,'-3':0.0},(111,614):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(111,613):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_16':0.0},(111,612):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(111,611):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'3_1#5_1':0.0,'6_1':0.0,'8_19':0.0},(111,610):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(111,609):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'3_1#5_2':0.0},(111,608):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(111,607):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(111,606):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(111,605):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(111,604):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(111,603):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(111,602):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(111,601):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(111,600):{'3_1':0.3,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(111,599):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(111,598):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0},(111,597):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(111,596):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(111,595):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(111,594):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(111,593):{'3_1':0.15,'4_1':0.15,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(111,592):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(111,591):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(111,590):{'3_1':0.24,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(111,589):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(111,588):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(111,587):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(111,586):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_19':0.0},(111,585):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(111,584):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(111,583):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(111,582):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(111,581):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0},(111,580):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(111,579):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(111,578):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_4':0.0,'5_1':0.0},(111,577):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0},(111,576):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_4':0.0},(111,575):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(111,574):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'-3':0.0},(111,573):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(111,572):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(111,571):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0},(111,570):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(111,569):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(111,568):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(111,567):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(111,566):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(111,565):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(111,564):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(111,563):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(111,562):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(111,561):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(111,560):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'8_6':0.0},(111,559):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(111,558):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(111,557):{'3_1':0.21,'4_1':0.0},(111,556):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(111,555):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0},(111,554):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(111,553):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'8_14':0.0},(111,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(111,551):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(111,550):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(111,549):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(111,547):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(111,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(111,545):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(111,544):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(111,543):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,542):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(111,541):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(111,540):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(111,539):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(111,538):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0},(111,537):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0},(111,536):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(111,535):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(111,534):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(111,533):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(111,532):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(111,531):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(111,530):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(111,529):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(111,528):{'3_1':0.21,'5_2':0.0},(111,527):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(111,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(111,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(111,524):{'3_1':0.18,'5_2':0.0,'7_1':0.0},(111,523):{'3_1':0.06,'4_1':0.0},(111,522):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(111,521):{'3_1':0.03,'4_1':0.0},(111,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,518):{'3_1':0.09,'4_1':0.0},(111,517):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,516):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(111,515):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(111,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(111,513):{'3_1':0.06,'4_1':0.0},(111,512):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(111,511):{'3_1':0.15},(111,510):{'3_1':0.03,'5_2':0.0},(111,509):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,508):{'3_1':0.06,'5_2':0.0},(111,507):{'3_1':0.03,'4_1':0.0},(111,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(111,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(111,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(111,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_4':0.0},(111,502):{'3_1':0.06,'4_1':0.0},(111,501):{'3_1':0.03,'4_1':0.0},(111,500):{'3_1':0.03,'4_1':0.0},(111,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,498):{'3_1':0.03},(111,497):{'3_1':0.03},(111,496):{'3_1':0.09,'4_1':0.0},(111,495):{'3_1':0.03,'5_1':0.0},(111,494):{'3_1':0.06},(111,493):{'3_1':0.03,'5_2':0.0},(111,492):{'3_1':0.03,'4_1':0.0},(111,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,490):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(111,489):{'3_1':0.06,'4_1':0.0},(111,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(111,487):{'3_1':0.06,'4_1':0.0},(111,486):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(111,485):{'3_1':0.09,'5_1':0.0},(111,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(111,482):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(111,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(111,480):{'3_1':0.06,'5_2':0.0},(111,479):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,478):{'3_1':0.06,'5_2':0.0},(111,477):{'3_1':0.03},(111,476):{'3_1':0.09,'5_2':0.0},(111,475):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(111,474):{'3_1':0.03,'4_1':0.0},(111,473):{'3_1':0.09},(111,472):{'3_1':0.09,'4_1':0.0},(111,471):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(111,470):{'3_1':0.06},(111,469):{'3_1':0.0,'5_2':0.0},(111,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(111,466):{'3_1':0.03},(111,465):{'3_1':0.09},(111,464):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(111,463):{'3_1':0.06,'4_1':0.0},(111,462):{'3_1':0.06,'4_1':0.0},(111,461):{'3_1':0.0,'5_2':0.0},(111,460):{'3_1':0.03,'5_2':0.0},(111,459):{'3_1':0.09,'5_1':0.0},(111,458):{'3_1':0.03,'5_2':0.0},(111,457):{'3_1':0.06,'4_1':0.0},(111,456):{'3_1':0.06},(111,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(111,454):{'3_1':0.03,'4_1':0.0},(111,453):{'3_1':0.03,'5_2':0.0},(111,452):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(111,451):{'3_1':0.09},(111,450):{'3_1':0.03,'4_1':0.0},(111,449):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(111,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(111,447):{'3_1':0.0},(111,446):{'3_1':0.03},(111,445):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(111,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(111,443):{'3_1':0.03,'4_1':0.0},(111,442):{'3_1':0.0,'4_1':0.0},(111,441):{'3_1':0.03},(111,440):{'3_1':0.03,'4_1':0.0},(111,439):{'3_1':0.0,'4_1':0.0},(111,438):{'3_1':0.0},(111,437):{'3_1':0.03,'4_1':0.0},(111,436):{'3_1':0.0,'4_1':0.0},(111,435):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(111,434):{'3_1':0.06},(111,433):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(111,432):{'3_1':0.03,'4_1':0.0},(111,431):{'4_1':0.0,'5_1':0.0},(111,430):{'3_1':0.03,'4_1':0.0},(111,429):{'3_1':0.03,'4_1':0.0},(111,428):{'3_1':0.03,'4_1':0.0},(111,427):{'3_1':0.03,'4_1':0.0},(111,426):{'4_1':0.03,'3_1':0.0},(111,425):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(111,424):{'4_1':0.03,'3_1':0.0},(111,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(111,422):{'3_1':0.0,'4_1':0.0},(111,421):{'3_1':0.06,'4_1':0.0},(111,420):{'3_1':0.0,'4_1':0.0},(111,419):{'3_1':0.06,'4_1':0.0},(111,418):{'3_1':0.03,'4_1':0.03},(111,417):{'3_1':0.0,'5_2':0.0},(111,416):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(111,415):{'3_1':0.03,'4_1':0.03},(111,414):{'3_1':0.0,'4_1':0.0},(111,413):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(111,412):{'4_1':0.0,'3_1':0.0},(111,411):{'3_1':0.0,'4_1':0.0},(111,410):{'3_1':0.0,'8_1':0.0},(111,409):{'3_1':0.03,'4_1':0.0},(111,408):{'3_1':0.0},(111,407):{'4_1':0.0},(111,406):{'5_1':0.0},(111,405):{'3_1':0.0},(111,404):{'3_1':0.03},(111,403):{'4_1':0.0},(111,401):{'3_1':0.0},(111,400):{'3_1':0.03},(111,399):{'3_1':0.0,'4_1':0.0},(111,398):{'3_1':0.0},(111,397):{'3_1':0.0,'5_1':0.0},(111,396):{'3_1':0.0,'4_1':0.0},(111,395):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(111,394):{'5_1':0.0},(111,393):{'3_1':0.0},(111,392):{'3_1':0.0,'4_1':0.0},(111,391):{'3_1':0.0},(111,390):{'3_1':0.0,'4_1':0.0},(111,389):{'3_1':0.03,'4_1':0.0},(111,388):{'8_1':0.0},(111,387):{'3_1':0.03},(111,386):{'3_1':0.0,'4_1':0.0},(111,385):{'3_1':0.0,'5_1':0.0},(111,384):{'3_1':0.0},(111,383):{'3_1':0.0,'4_1':0.0},(111,382):{'3_1':0.0,'5_2':0.0},(111,381):{'3_1':0.03,'5_2':0.0},(111,380):{'3_1':0.06},(111,378):{'3_1':0.03},(111,377):{'3_1':0.0},(111,376):{'3_1':0.03},(111,375):{'3_1':0.03,'5_1':0.0},(111,374):{'3_1':0.0,'7_1':0.0,'5_1':0.0},(111,373):{'3_1':0.03,'5_1':0.0},(111,372):{'3_1':0.0,'4_1':0.0},(111,371):{'3_1':0.03},(111,370):{'3_1':0.03},(111,369):{'3_1':0.03,'7_1':0.0},(111,368):{'3_1':0.06},(111,367):{'3_1':0.03},(111,366):{'3_1':0.03},(111,365):{'3_1':0.03},(111,364):{'3_1':0.0},(111,363):{'3_1':0.06},(111,362):{'3_1':0.03},(111,361):{'3_1':0.0,'-3':0.0},(111,360):{'3_1':0.03},(111,359):{'3_1':0.0},(111,358):{'3_1':0.03},(111,357):{'3_1':0.03},(111,356):{'3_1':0.0},(111,355):{'3_1':0.03},(111,354):{'3_1':0.03},(111,353):{'3_1':0.03,'5_1':0.0},(111,352):{'3_1':0.0,'5_1':0.0},(111,351):{'3_1':0.06},(111,350):{'3_1':0.03,'5_1':0.0},(111,349):{'3_1':0.0},(111,348):{'3_1':0.03},(111,347):{'3_1':0.03},(111,346):{'3_1':0.0},(111,345):{'3_1':0.03},(111,344):{'3_1':0.0},(111,343):{'3_1':0.0,'4_1':0.0},(111,342):{'3_1':0.0,'4_1':0.0},(111,341):{'3_1':0.0,'5_1':0.0},(111,340):{'3_1':0.0},(111,339):{'3_1':0.03},(111,338):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(111,337):{'3_1':0.0},(111,336):{'3_1':0.03},(111,335):{'3_1':0.0,'4_1':0.0},(111,334):{'3_1':0.0,'7_1':0.0},(111,333):{'3_1':0.0},(111,332):{'3_1':0.0},(111,331):{'3_1':0.03},(111,329):{'3_1':0.0,'4_1':0.0},(111,328):{'3_1':0.0,'4_1':0.0},(111,327):{'3_1':0.0,'5_1':0.0},(111,326):{'3_1':0.03},(111,325):{'3_1':0.0},(111,324):{'3_1':0.0},(111,323):{'3_1':0.0},(111,322):{'3_1':0.0},(111,321):{'3_1':0.0},(111,320):{'3_1':0.0},(111,319):{'3_1':0.0},(111,318):{'3_1':0.0,'7_1':0.0},(111,317):{'3_1':0.0,'8_20|3_1#3_1':0.0},(111,316):{'3_1':0.03},(111,315):{'3_1':0.03},(111,314):{'3_1':0.0},(111,313):{'3_1':0.0},(111,312):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(111,311):{'3_1':0.0},(111,310):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(111,309):{'3_1':0.0},(111,308):{'3_1':0.0,'5_1':0.0},(111,307):{'3_1':0.03},(111,306):{'4_1':0.0},(111,305):{'3_1':0.03},(111,304):{'3_1':0.03},(111,303):{'3_1':0.03,'4_1':0.0},(111,302):{'3_1':0.03},(111,301):{'3_1':0.0},(111,300):{'3_1':0.03},(111,299):{'3_1':0.03},(111,298):{'3_1':0.03},(111,297):{'3_1':0.03},(111,296):{'3_1':0.0},(111,295):{'3_1':0.03},(111,294):{'3_1':0.0},(111,293):{'3_1':0.03},(111,292):{'3_1':0.0},(111,291):{'3_1':0.0},(111,290):{'3_1':0.0},(111,289):{'3_1':0.0},(111,288):{'3_1':0.03},(111,287):{'3_1':0.0},(111,286):{'3_1':0.0},(111,285):{'3_1':0.0},(111,284):{'3_1':0.0},(111,283):{'3_1':0.0},(111,282):{'3_1':0.0},(111,281):{'3_1':0.03},(111,280):{'3_1':0.03},(111,279):{'3_1':0.0},(111,278):{'3_1':0.0},(111,277):{'3_1':0.0},(111,276):{'3_1':0.0},(111,275):{'3_1':0.0},(111,274):{'3_1':0.0},(111,273):{'3_1':0.03},(111,272):{'3_1':0.0},(111,271):{'3_1':0.0},(111,269):{'3_1':0.0},(111,268):{'3_1':0.0,'5_1':0.0},(111,267):{'3_1':0.0},(111,266):{'3_1':0.0},(111,265):{'3_1':0.03},(111,264):{'3_1':0.0},(111,263):{'3_1':0.0},(111,262):{'3_1':0.03},(111,261):{'3_1':0.0,'4_1':0.0},(111,260):{'3_1':0.0},(111,259):{'3_1':0.0},(111,258):{'3_1':0.0},(111,257):{'3_1':0.03},(111,256):{'3_1':0.0},(111,254):{'3_1':0.0},(111,253):{'3_1':0.06},(111,252):{'3_1':0.0},(111,251):{'3_1':0.03},(111,250):{'3_1':0.0},(111,249):{'3_1':0.0},(111,248):{'3_1':0.0},(111,246):{'3_1':0.0},(111,245):{'3_1':0.0,'8_2':0.0},(111,244):{'3_1':0.0},(111,243):{'3_1':0.03,'7_2':0.0},(111,242):{'3_1':0.03},(111,241):{'3_1':0.03,'6_2':0.0},(111,240):{'3_1':0.06},(111,239):{'3_1':0.03,'5_1':0.0},(111,238):{'3_1':0.0},(111,237):{'3_1':0.0},(111,236):{'3_1':0.03,'4_1':0.0},(111,235):{'3_1':0.0},(111,234):{'3_1':0.0},(111,233):{'3_1':0.0},(111,232):{'3_1':0.03},(111,231):{'3_1':0.0},(111,230):{'3_1':0.0},(111,229):{'3_1':0.0,'5_1':0.0},(111,227):{'5_1':0.0,'3_1':0.0},(111,226):{'3_1':0.0},(111,224):{'3_1':0.0},(111,223):{'3_1':0.0},(111,222):{'3_1':0.0,'4_1':0.0},(111,221):{'3_1':0.0},(111,220):{'3_1':0.03},(111,219):{'3_1':0.0,'5_1':0.0},(111,218):{'3_1':0.0,'4_1':0.0},(111,217):{'3_1':0.0,'4_1':0.0},(111,216):{'3_1':0.0},(111,215):{'3_1':0.0},(111,213):{'3_1':0.0},(111,212):{'3_1':0.0},(111,211):{'3_1':0.0},(111,208):{'3_1':0.0},(111,205):{'3_1':0.0,'4_1':0.0},(111,202):{'3_1':0.0},(111,201):{'3_1':0.0},(111,200):{'3_1':0.0},(111,198):{'3_1':0.0},(111,196):{'3_1':0.0},(111,194):{'3_1':0.0},(111,193):{'5_1':0.0},(111,192):{'8_1':0.0},(111,189):{'3_1':0.0},(111,183):{'5_1':0.0},(111,182):{'3_1':0.0},(111,178):{'3_1':0.0},(111,143):{'3_1':0.0},(111,142):{'3_1':0.0},(111,139):{'3_1':0.0},(112,727):{'5_2':0.42,'7_2':0.09,'7_6':0.06,'-3':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(112,726):{'5_2':0.42,'7_2':0.09,'-3':0.06,'7_5':0.06,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(112,725):{'5_2':0.33,'-3':0.06,'6_1':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(112,724):{'5_2':0.36,'-3':0.09,'7_2':0.09,'7_4':0.06,'7_5':0.06,'6_1':0.03,'7_6':0.03,'6_2':0.0,'3_1':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(112,723):{'5_2':0.42,'7_2':0.09,'-3':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_1':0.0},(112,722):{'5_2':0.39,'7_2':0.09,'7_5':0.06,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(112,721):{'5_2':0.39,'7_5':0.06,'-3':0.06,'7_3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'4_1':0.0},(112,720):{'5_2':0.33,'-3':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_15':0.0},(112,719):{'5_2':0.36,'7_2':0.09,'-3':0.09,'7_5':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(112,718):{'5_2':0.36,'7_5':0.09,'7_2':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(112,717):{'5_2':0.3,'7_2':0.09,'-3':0.06,'3_1':0.06,'7_6':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_4':0.0,'8_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0},(112,716):{'5_2':0.3,'-3':0.09,'7_3':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'1':-0.03},(112,715):{'5_2':0.33,'7_4':0.06,'-3':0.06,'7_6':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'8_13':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(112,714):{'5_2':0.3,'7_2':0.12,'-3':0.09,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0},(112,713):{'5_2':0.27,'7_2':0.06,'7_5':0.06,'-3':0.06,'3_1':0.06,'7_6':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(112,712):{'5_2':0.33,'7_2':0.06,'-3':0.06,'7_4':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(112,711):{'5_2':0.3,'-3':0.09,'7_5':0.09,'7_2':0.06,'3_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'6_1':0.0,'8_6':0.0,'8_14':0.0},(112,710):{'5_2':0.27,'-3':0.21,'7_2':0.09,'7_5':0.09,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(112,709):{'5_2':0.27,'-3':0.21,'7_2':0.09,'3_1':0.06,'7_5':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0,'1':-0.03},(112,708):{'5_2':0.33,'-3':0.18,'7_2':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0},(112,707):{'5_2':0.3,'7_5':0.12,'-3':0.12,'7_2':0.06,'7_6':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'3_1#5_2':0.0},(112,706):{'5_2':0.33,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(112,705):{'5_2':0.24,'3_1':0.12,'7_2':0.06,'-3':0.06,'7_3':0.06,'7_5':0.06,'7_4':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'8_1':0.0,'8_14':0.0},(112,704):{'5_2':0.33,'7_2':0.06,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(112,703):{'5_2':0.36,'7_5':0.06,'6_1':0.06,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(112,702):{'5_2':0.36,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_5':0.06,'7_2':0.03,'7_6':0.03,'7_4':0.03,'7_3':0.0,'8_11':0.0,'1':-0.03},(112,701):{'5_2':0.33,'7_2':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0},(112,700):{'5_2':0.3,'-3':0.12,'7_3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(112,699):{'5_2':0.27,'-3':0.15,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0},(112,698):{'5_2':0.27,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(112,697):{'5_2':0.3,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_2':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(112,696):{'5_2':0.3,'3_1':0.09,'7_3':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(112,695):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'8_11':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_13':0.0},(112,694):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(112,693):{'5_2':0.24,'-3':0.15,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(112,692):{'5_2':0.3,'3_1':0.09,'7_5':0.06,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_6':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(112,691):{'5_2':0.3,'3_1':0.18,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0},(112,690):{'5_2':0.24,'3_1':0.18,'-3':0.09,'6_1':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_3':0.0,'8_14':0.0},(112,689):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.06,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(112,688):{'5_2':0.24,'3_1':0.09,'-3':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0},(112,687):{'5_2':0.21,'3_1':0.15,'7_2':0.09,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(112,686):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_2':0.06,'7_3':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(112,685):{'5_2':0.27,'3_1':0.21,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(112,684):{'5_2':0.33,'3_1':0.21,'-3':0.06,'7_2':0.03,'7_4':0.0,'7_7':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(112,683):{'5_2':0.24,'3_1':0.21,'7_4':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(112,682):{'3_1':0.3,'5_2':0.18,'7_3':0.06,'4_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(112,681):{'3_1':0.27,'5_2':0.18,'7_4':0.09,'4_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'6_2':0.0,'8_8':0.0},(112,680):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'6_1':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(112,679):{'5_2':0.3,'3_1':0.24,'7_3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(112,678):{'3_1':0.3,'5_2':0.18,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'8_15':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(112,677):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(112,676):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_7':0.0,'8_15':0.0,'-3':0.0},(112,675):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(112,674):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(112,673):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(112,672):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(112,671):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'2':-0.03},(112,670):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(112,669):{'3_1':0.36,'5_2':0.21,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(112,668):{'3_1':0.39,'5_2':0.24,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_13':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,667):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(112,666):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,665):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(112,664):{'3_1':0.3,'5_2':0.27,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_3':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,663):{'5_2':0.27,'3_1':0.27,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(112,662):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'8_8':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(112,661):{'5_2':0.27,'3_1':0.27,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(112,660):{'3_1':0.36,'5_2':0.15,'-3':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(112,659):{'5_2':0.21,'3_1':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(112,658):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'8_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(112,657):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(112,656):{'3_1':0.33,'5_2':0.09,'5_1':0.09,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(112,655):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'8_19':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,654):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.06,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(112,653):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(112,652):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(112,651):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'8_9':0.0,'8_19':0.0,'-3':0.0},(112,650):{'5_2':0.24,'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0},(112,649):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'7_3':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(112,648):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(112,647):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'8_19':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(112,646):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.06,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(112,645):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'4_1':0.06,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'8_19':0.0},(112,644):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(112,643):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(112,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(112,641):{'3_1':0.24,'5_1':0.12,'5_2':0.09,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(112,640):{'3_1':0.27,'5_1':0.15,'5_2':0.12,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(112,639):{'3_1':0.36,'5_1':0.09,'5_2':0.06,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(112,638):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,637):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(112,636):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,635):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_4':0.0},(112,634):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(112,633):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(112,632):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0},(112,631):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(112,630):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(112,629):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(112,628):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'7_3':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(112,627):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_1':0.0},(112,626):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(112,625):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(112,624):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(112,623):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_6':0.0},(112,622):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(112,621):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.0,'8_19':0.0},(112,620):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(112,619):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_7':0.0},(112,618):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'6_2':0.0,'8_7':0.0,'-3':0.0},(112,617):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_7':0.0},(112,616):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0},(112,615):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(112,614):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0},(112,613):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(112,612):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0},(112,611):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'8_16':0.0,'-3':0.0},(112,610):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'8_19':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(112,609):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(112,608):{'3_1':0.18,'4_1':0.09,'5_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(112,607):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(112,606):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(112,605):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_7':0.0},(112,604):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(112,603):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(112,602):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0},(112,601):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0},(112,600):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0},(112,599):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(112,598):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0},(112,597):{'3_1':0.21,'4_1':0.18,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(112,596):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(112,595):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(112,594):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(112,593):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(112,592):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0},(112,591):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(112,590):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(112,589):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0},(112,588):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0},(112,587):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0},(112,586):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(112,585):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(112,584):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(112,583):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(112,582):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(112,581):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(112,580):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(112,579):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(112,578):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(112,577):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(112,576):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0},(112,575):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(112,574):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(112,573):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(112,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(112,571):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(112,570):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(112,569):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0},(112,568):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(112,567):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(112,566):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'6_1':0.0},(112,565):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(112,564):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_5':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(112,563):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0},(112,562):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(112,561):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(112,560):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(112,559):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(112,558):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(112,557):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(112,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(112,555):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(112,554):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0},(112,553):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(112,552):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(112,551):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(112,550):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(112,549):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(112,548):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(112,547):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(112,546):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'7_4':0.0},(112,545):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(112,544):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0},(112,543):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(112,542):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(112,541):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(112,540):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(112,539):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(112,538):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(112,537):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(112,536):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(112,535):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(112,534):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,533):{'3_1':0.24,'5_2':0.0,'7_1':0.0},(112,532):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(112,531):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(112,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(112,529):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(112,528):{'3_1':0.18,'4_1':0.0},(112,527):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(112,526):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(112,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,524):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(112,523):{'3_1':0.12,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(112,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,520):{'3_1':0.06,'4_1':0.0},(112,519):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(112,518):{'3_1':0.03,'5_1':0.0},(112,517):{'3_1':0.09,'4_1':0.0},(112,516):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(112,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(112,514):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(112,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,512):{'3_1':0.09,'4_1':0.0},(112,511):{'3_1':0.0},(112,510):{'3_1':0.09,'4_1':0.0},(112,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(112,508):{'3_1':0.03,'4_1':0.0},(112,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,506):{'3_1':0.03,'4_1':0.0},(112,505):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(112,504):{'3_1':0.03,'5_1':0.0},(112,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,502):{'3_1':0.06,'5_2':0.0},(112,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,500):{'4_1':0.0},(112,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,498):{'3_1':0.0,'4_1':0.0},(112,497):{'3_1':0.09,'4_1':0.0},(112,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(112,495):{'3_1':0.06,'4_1':0.0},(112,494):{'3_1':0.0,'4_1':0.0},(112,493):{'3_1':0.09},(112,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(112,491):{'3_1':0.03},(112,490):{'3_1':0.12,'5_1':0.0},(112,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(112,488):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(112,487):{'3_1':0.09,'5_1':0.0},(112,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(112,485):{'3_1':0.06,'4_1':0.0},(112,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(112,483):{'3_1':0.09,'4_1':0.0},(112,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(112,481):{'3_1':0.06,'4_1':0.0},(112,480):{'3_1':0.06,'5_2':0.0},(112,479):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(112,478):{'3_1':0.09,'5_1':0.0},(112,477):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(112,476):{'3_1':0.03,'4_1':0.0},(112,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(112,474):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(112,473):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(112,472):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,471):{'3_1':0.09},(112,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,469):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(112,468):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(112,467):{'3_1':0.06,'7_1':0.0},(112,466):{'3_1':0.06},(112,465):{'4_1':0.0,'3_1':0.0},(112,464):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(112,463):{'3_1':0.03,'5_1':0.0},(112,462):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(112,461):{'3_1':0.0,'4_1':0.0},(112,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,459):{'3_1':0.06},(112,458):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(112,457):{'3_1':0.03,'4_1':0.0},(112,456):{'3_1':0.03},(112,455):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(112,454):{'3_1':0.0},(112,453):{'3_1':0.03,'5_2':0.0},(112,452):{'3_1':0.0},(112,451):{'3_1':0.06,'4_1':0.0},(112,450):{'3_1':0.0,'4_1':0.0},(112,449):{'3_1':0.0,'4_1':0.0},(112,448):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(112,447):{'3_1':0.09},(112,446):{'3_1':0.0,'4_1':0.0},(112,445):{'3_1':0.03},(112,444):{'3_1':0.03},(112,443):{'3_1':0.09,'4_1':0.0},(112,442):{'3_1':0.03,'4_1':0.0},(112,441):{'3_1':0.03,'6_1':0.0},(112,440):{'3_1':0.03,'6_2':0.0},(112,439):{'3_1':0.0},(112,438):{'3_1':0.03,'4_1':0.0},(112,437):{'3_1':0.0,'4_1':0.0},(112,436):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(112,435):{'3_1':0.03,'4_1':0.0},(112,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(112,433):{'3_1':0.03,'4_1':0.0},(112,432):{'3_1':0.03,'4_1':0.0},(112,431):{'3_1':0.0,'4_1':0.0},(112,430):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(112,429):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(112,428):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(112,427):{'3_1':0.03,'4_1':0.0},(112,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(112,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(112,424):{'4_1':0.0,'3_1':0.0},(112,423):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(112,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(112,421):{'3_1':0.03,'4_1':0.03},(112,420):{'4_1':0.03,'3_1':0.0},(112,419):{'3_1':0.09,'4_1':0.0},(112,418):{'3_1':0.09,'4_1':0.0},(112,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(112,416):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(112,415):{'3_1':0.03,'5_2':0.0},(112,414):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(112,413):{'4_1':0.03,'3_1':0.0,'7_1':0.0},(112,412):{'3_1':0.0,'4_1':0.0},(112,411):{'3_1':0.0,'4_1':0.0},(112,410):{'3_1':0.0},(112,407):{'3_1':0.0},(112,406):{'3_1':0.0},(112,405):{'4_1':0.0},(112,404):{'3_1':0.0,'6_1':0.0},(112,403):{'3_1':0.03},(112,402):{'3_1':0.03},(112,401):{'3_1':0.0,'4_1':0.0},(112,400):{'3_1':0.03},(112,399):{'3_1':0.0},(112,398):{'3_1':0.0,'4_1':0.0},(112,397):{'3_1':0.0},(112,396):{'3_1':0.0,'4_1':0.0},(112,395):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(112,394):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(112,392):{'3_1':0.0},(112,391):{'3_1':0.0,'8_1':0.0},(112,390):{'3_1':0.0},(112,389):{'3_1':0.0},(112,388):{'3_1':0.0},(112,387):{'3_1':0.0},(112,386):{'3_1':0.0,'5_2':0.0},(112,385):{'3_1':0.0},(112,384):{'3_1':0.0},(112,383):{'3_1':0.0},(112,382):{'3_1':0.0,'5_1':0.0},(112,381):{'3_1':0.0,'4_1':0.0},(112,380):{'3_1':0.0},(112,379):{'3_1':0.03,'5_1':0.0},(112,378):{'3_1':0.0},(112,377):{'3_1':0.0,'5_1':0.0},(112,376):{'3_1':0.0,'5_1':0.0},(112,375):{'3_1':0.0,'5_2':0.0},(112,374):{'3_1':0.06},(112,373):{'3_1':0.06,'5_2':0.0},(112,372):{'3_1':0.0,'4_1':0.0},(112,371):{'3_1':0.03,'5_1':0.0},(112,370):{'3_1':0.06},(112,369):{'3_1':0.03,'5_1':0.0},(112,368):{'3_1':0.03,'5_1':0.0},(112,367):{'3_1':0.03},(112,366):{'3_1':0.03},(112,365):{'3_1':0.03,'5_1':0.0},(112,364):{'3_1':0.0},(112,363):{'3_1':0.03},(112,362):{'3_1':0.03,'5_2':0.0},(112,361):{'3_1':0.0},(112,360):{'3_1':0.03},(112,359):{'3_1':0.0},(112,358):{'3_1':0.03},(112,357):{'3_1':0.0},(112,356):{'3_1':0.03},(112,355):{'3_1':0.0,'7_1':0.0},(112,354):{'3_1':0.0},(112,353):{'3_1':0.06},(112,352):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(112,351):{'3_1':0.0,'5_2':0.0},(112,350):{'3_1':0.03},(112,349):{'4_1':0.0},(112,348):{'3_1':0.0},(112,347):{'3_1':0.0},(112,346):{'3_1':0.0,'4_1':0.0},(112,345):{'3_1':0.03},(112,344):{'3_1':0.0,'4_1':0.0},(112,343):{'3_1':0.06},(112,342):{'3_1':0.03,'4_1':0.0},(112,340):{'3_1':0.0},(112,339):{'3_1':0.0},(112,338):{'3_1':0.0},(112,337):{'3_1':0.0},(112,336):{'3_1':0.0},(112,335):{'3_1':0.0},(112,334):{'3_1':0.03},(112,333):{'3_1':0.0},(112,332):{'3_1':0.0},(112,331):{'3_1':0.0,'5_1':0.0},(112,330):{'3_1':0.0},(112,329):{'3_1':0.03},(112,328):{'3_1':0.0,'9_1':0.0},(112,327):{'3_1':0.0,'5_1':0.0},(112,326):{'3_1':0.0},(112,325):{'3_1':0.0},(112,324):{'3_1':0.0},(112,323):{'3_1':0.0},(112,322):{'3_1':0.0},(112,321):{'3_1':0.0},(112,320):{'3_1':0.0},(112,319):{'3_1':0.0},(112,318):{'3_1':0.03},(112,316):{'3_1':0.03},(112,315):{'3_1':0.0,'4_1':0.0},(112,314):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(112,312):{'4_1':0.0},(112,311):{'3_1':0.0},(112,310):{'3_1':0.0},(112,309):{'3_1':0.0},(112,308):{'3_1':0.03,'5_1':0.0},(112,307):{'3_1':0.0,'5_2':0.0},(112,306):{'3_1':0.03},(112,305):{'3_1':0.0},(112,304):{'3_1':0.03},(112,303):{'3_1':0.0},(112,302):{'3_1':0.03},(112,301):{'3_1':0.0},(112,300):{'3_1':0.03},(112,299):{'3_1':0.0,'4_1':0.0},(112,298):{'3_1':0.0},(112,297):{'3_1':0.0},(112,296):{'3_1':0.03},(112,295):{'3_1':0.0},(112,294):{'3_1':0.0},(112,293):{'3_1':0.03,'5_1':0.0},(112,292):{'3_1':0.03,'5_2':0.0},(112,291):{'3_1':0.0},(112,290):{'3_1':0.0},(112,289):{'3_1':0.0},(112,288):{'3_1':0.0},(112,287):{'3_1':0.0},(112,286):{'3_1':0.0},(112,285):{'3_1':0.0},(112,284):{'3_1':0.0},(112,283):{'3_1':0.0},(112,282):{'3_1':0.03},(112,281):{'3_1':0.03},(112,280):{'3_1':0.0},(112,278):{'3_1':0.0},(112,277):{'3_1':0.0},(112,276):{'3_1':0.03},(112,275):{'3_1':0.0},(112,274):{'3_1':0.03},(112,273):{'3_1':0.0},(112,272):{'3_1':0.03,'5_1':0.0},(112,271):{'3_1':0.0},(112,270):{'3_1':0.0},(112,269):{'3_1':0.03},(112,268):{'3_1':0.0},(112,267):{'3_1':0.0},(112,266):{'3_1':0.0},(112,265):{'3_1':0.0},(112,264):{'3_1':0.03},(112,263):{'3_1':0.03},(112,262):{'3_1':0.0},(112,260):{'3_1':0.03,'5_1':0.0},(112,259):{'3_1':0.0,'4_1':0.0},(112,258):{'3_1':0.0},(112,256):{'3_1':0.03},(112,255):{'3_1':0.0},(112,254):{'3_1':0.0},(112,253):{'3_1':0.0},(112,252):{'3_1':0.0},(112,251):{'3_1':0.0},(112,249):{'3_1':0.0},(112,248):{'3_1':0.0,'4_1':0.0},(112,246):{'3_1':0.06},(112,245):{'3_1':0.0},(112,244):{'5_1':0.0},(112,243):{'3_1':0.03},(112,242):{'3_1':0.0},(112,241):{'3_1':0.0},(112,240):{'3_1':0.0,'5_1':0.0},(112,239):{'3_1':0.0},(112,238):{'3_1':0.03},(112,237):{'3_1':0.03},(112,236):{'3_1':0.0},(112,235):{'3_1':0.0,'5_2':0.0},(112,234):{'3_1':0.0},(112,233):{'3_1':0.03,'4_1':0.0},(112,232):{'4_1':0.0},(112,231):{'3_1':0.0},(112,230):{'3_1':0.0,'4_1':0.0},(112,229):{'3_1':0.0},(112,228):{'3_1':0.0},(112,227):{'3_1':0.0,'4_1':0.0},(112,226):{'3_1':0.0},(112,225):{'3_1':0.0},(112,223):{'3_1':0.0},(112,222):{'5_1':0.0,'3_1':0.0},(112,220):{'3_1':0.0},(112,219):{'3_1':0.0},(112,218):{'3_1':0.0},(112,217):{'3_1':0.0,'4_1':0.0},(112,215):{'3_1':0.0},(112,214):{'3_1':0.0},(112,213):{'3_1':0.0},(112,211):{'3_1':0.0},(112,210):{'3_1':0.0},(112,209):{'3_1':0.0},(112,208):{'3_1':0.0},(112,207):{'3_1':0.0},(112,206):{'3_1':0.0},(112,204):{'3_1':0.0},(112,203):{'3_1':0.0},(112,201):{'3_1':0.0},(112,200):{'3_1':0.0},(112,197):{'3_1':0.0},(112,195):{'3_1':0.0},(112,194):{'3_1':0.0},(112,193):{'3_1':0.0},(112,192):{'3_1':0.0},(112,191):{'3_1':0.0,'4_1':0.0},(112,190):{'3_1':0.0},(112,188):{'3_1':0.0},(112,187):{'3_1':0.0},(112,184):{'3_1':0.0},(112,182):{'3_1':0.0},(112,181):{'3_1':0.0},(112,179):{'3_1':0.0},(112,178):{'3_1':0.0},(112,176):{'3_1':0.0},(112,170):{'3_1':0.0},(112,166):{'5_1':0.0},(112,165):{'3_1':0.0},(112,162):{'3_1':0.0},(112,161):{'5_1':0.0},(112,160):{'3_1':0.0},(112,159):{'3_1':0.0},(112,157):{'3_1':0.03,'4_1':0.0},(112,156):{'3_1':0.03},(112,155):{'5_2':0.0},(112,154):{'3_1':0.0},(112,153):{'3_1':0.0},(112,152):{'3_1':0.0},(112,151):{'3_1':0.0,'5_1':0.0},(112,150):{'3_1':0.0,'4_1':0.0},(112,149):{'3_1':0.0},(112,148):{'3_1':0.0},(112,147):{'3_1':0.0},(112,146):{'3_1':0.0},(112,144):{'3_1':0.0},(112,120):{'3_1':0.0},(112,119):{'3_1':0.0},(112,118):{'3_1':0.0},(113,727):{'5_2':0.42,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0},(113,726):{'5_2':0.36,'-3':0.12,'7_2':0.09,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0},(113,725):{'5_2':0.45,'7_5':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(113,724):{'5_2':0.27,'-3':0.06,'7_3':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0,'3_1#5_2':0.0,'2':-0.03},(113,723):{'5_2':0.39,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(113,722):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_5':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(113,721):{'5_2':0.33,'7_2':0.06,'6_1':0.06,'7_5':0.06,'7_6':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'6_2':0.0,'8_6':0.0},(113,720):{'5_2':0.3,'-3':0.09,'7_2':0.06,'7_6':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.0,'8_11':0.0,'5_1':0.0},(113,719):{'5_2':0.3,'-3':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0,'8_11':0.0,'6_3':0.0,'3_1#5_2':0.0,'1':-0.03},(113,718):{'5_2':0.3,'7_2':0.12,'6_1':0.06,'7_5':0.06,'-3':0.06,'7_6':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0,'3_1':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(113,717):{'5_2':0.42,'7_5':0.06,'-3':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0},(113,716):{'5_2':0.27,'7_2':0.12,'-3':0.09,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0},(113,715):{'5_2':0.27,'7_5':0.09,'7_2':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'8_1':0.0,'1':-0.03},(113,714):{'5_2':0.33,'7_5':0.12,'7_2':0.06,'3_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(113,713):{'5_2':0.3,'7_2':0.09,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'8_6':0.0,'8_11':0.0},(113,712):{'5_2':0.36,'7_2':0.09,'-3':0.06,'7_4':0.06,'3_1':0.06,'7_5':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(113,711):{'5_2':0.3,'-3':0.12,'7_5':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'2':-0.03},(113,710):{'5_2':0.3,'-3':0.21,'7_2':0.09,'3_1':0.06,'7_5':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0},(113,709):{'5_2':0.21,'-3':0.18,'7_5':0.06,'6_1':0.06,'7_2':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'8_6':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(113,708):{'5_2':0.33,'-3':0.12,'7_2':0.09,'7_5':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0,'3':-0.03},(113,707):{'5_2':0.42,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0},(113,706):{'5_2':0.27,'-3':0.09,'7_5':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'8_14':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(113,705):{'5_2':0.3,'-3':0.15,'7_4':0.06,'7_2':0.06,'7_5':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0},(113,704):{'5_2':0.33,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.03,'4_1':0.0},(113,703):{'5_2':0.27,'3_1':0.12,'7_2':0.09,'-3':0.09,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(113,702):{'5_2':0.24,'3_1':0.09,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(113,701):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(113,700):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'7_3':0.06,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_5':0.0},(113,699):{'5_2':0.3,'-3':0.09,'7_3':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0},(113,698):{'5_2':0.3,'7_5':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_2':0.0,'1':-0.03},(113,697):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_3':0.06,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(113,696):{'5_2':0.24,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_14':0.0},(113,695):{'5_2':0.3,'3_1':0.12,'7_2':0.09,'6_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_4':0.0,'8_8':0.0},(113,694):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_3':0.06,'7_6':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_12':0.0,'8_14':0.0},(113,693):{'5_2':0.3,'3_1':0.09,'-3':0.09,'5_1':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'8_13':0.0},(113,692):{'5_2':0.27,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(113,691):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(113,690):{'5_2':0.33,'3_1':0.12,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(113,689):{'5_2':0.42,'3_1':0.12,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(113,688):{'3_1':0.18,'5_2':0.15,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(113,687):{'5_2':0.27,'3_1':0.18,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0},(113,686):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'7_3':0.06,'7_2':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_13':0.0},(113,685):{'5_2':0.3,'3_1':0.21,'7_4':0.09,'7_2':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(113,684):{'3_1':0.24,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(113,683):{'5_2':0.27,'3_1':0.27,'7_4':0.03,'7_3':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(113,682):{'5_2':0.27,'3_1':0.24,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(113,681):{'3_1':0.3,'5_2':0.21,'-3':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0},(113,680):{'3_1':0.21,'5_2':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0},(113,679):{'5_2':0.24,'3_1':0.24,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(113,678):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_7':0.0,'8_11':0.0},(113,677):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'7_2':0.03,'4_1':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(113,676):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'7_3':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0},(113,675):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(113,674):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(113,673):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0},(113,672):{'3_1':0.39,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(113,671):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'9_1':0.0},(113,670):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0},(113,669):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_19':0.0},(113,668):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_13':0.0},(113,667):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(113,666):{'5_2':0.24,'3_1':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(113,665):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(113,664):{'3_1':0.36,'5_2':0.24,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(113,663):{'3_1':0.3,'5_2':0.24,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(113,662):{'3_1':0.36,'5_2':0.15,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(113,661):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(113,660):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_12':0.0},(113,659):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(113,658):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,657):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.06,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_15':0.0,'8_19':0.0},(113,656):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0},(113,655):{'3_1':0.39,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(113,654):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(113,653):{'3_1':0.36,'5_1':0.12,'5_2':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(113,652):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(113,651):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(113,650):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(113,649):{'3_1':0.3,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(113,648):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(113,647):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'7_5':0.0},(113,646):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(113,645):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(113,644):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(113,643):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(113,642):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,641):{'3_1':0.27,'5_2':0.18,'5_1':0.12,'4_1':0.03,'8_19':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(113,640):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0},(113,639):{'3_1':0.36,'5_2':0.06,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'3_1#5_1':0.0},(113,638):{'3_1':0.3,'5_1':0.12,'5_2':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_21|3_1#4_1':0.0},(113,637):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'7_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(113,636):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(113,635):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(113,634):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(113,633):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(113,632):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(113,631):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0},(113,630):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(113,629):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0},(113,628):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(113,627):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,626):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(113,625):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0},(113,624):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(113,623):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(113,622):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(113,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(113,620):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(113,619):{'3_1':0.18,'5_1':0.06,'4_1':0.06,'5_2':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0},(113,618):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(113,617):{'3_1':0.21,'5_1':0.09,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(113,616):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(113,615):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'-3':0.0,'6_3':0.0,'7_1':0.0,'2':-0.03},(113,614):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'8_19':0.0,'7_1':0.0,'-3':0.0},(113,613):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(113,612):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_1':0.0},(113,611):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'8_16':0.0,'-3':0.0},(113,610):{'3_1':0.12,'5_1':0.06,'4_1':0.06,'5_2':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0},(113,609):{'3_1':0.3,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(113,608):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(113,607):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(113,606):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(113,605):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0},(113,604):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_19':0.0},(113,603):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0},(113,602):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(113,601):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(113,600):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(113,599):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(113,598):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0},(113,597):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(113,596):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_1':0.0},(113,595):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'5_2':0.0},(113,594):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(113,593):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(113,592):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(113,591):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'1':-0.03},(113,590):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(113,589):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0,'5_2':0.0,'6_1':0.0},(113,588):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(113,587):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(113,586):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(113,585):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(113,584):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(113,583):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(113,582):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(113,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(113,580):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(113,579):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(113,578):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(113,577):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0},(113,576):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(113,575):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(113,574):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_1':0.0},(113,573):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0},(113,572):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(113,571):{'3_1':0.18,'4_1':0.06,'6_1':0.0},(113,570):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(113,569):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(113,568):{'3_1':0.12,'4_1':0.0},(113,567):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(113,566):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(113,565):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(113,564):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_14':0.0},(113,563):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(113,562):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(113,561):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(113,560):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(113,559):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(113,558):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(113,557):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(113,556):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(113,555):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(113,554):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(113,553):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0},(113,552):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0,'5_2':0.0,'7_2':0.0},(113,551):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(113,550):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(113,549):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(113,548):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(113,547):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(113,546):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_2':0.0},(113,545):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0},(113,544):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0},(113,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(113,542):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(113,541):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'7_3':0.0},(113,540):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(113,539):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0},(113,538):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(113,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0},(113,536):{'3_1':0.27,'5_2':0.03,'5_1':0.0},(113,535):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(113,534):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(113,533):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(113,532):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0},(113,531):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(113,530):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(113,529):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(113,528):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(113,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,526):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(113,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(113,524):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(113,523):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(113,522):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(113,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(113,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(113,519):{'3_1':0.09,'5_1':0.0},(113,518):{'3_1':0.06,'4_1':0.0},(113,517):{'3_1':0.06,'4_1':0.0},(113,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(113,515):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(113,514):{'3_1':0.09},(113,513):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(113,512):{'3_1':0.09,'6_2':0.0},(113,511):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(113,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,509):{'3_1':0.06},(113,508):{'3_1':0.09,'4_1':0.0},(113,507):{'3_1':0.03,'4_1':0.0},(113,506):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(113,505):{'3_1':0.06,'4_1':0.0},(113,504):{'3_1':0.0,'4_1':0.0},(113,503):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,502):{'3_1':0.03,'4_1':0.0},(113,501):{'3_1':0.03,'5_1':0.0},(113,500):{'3_1':0.0},(113,499):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(113,498):{'3_1':0.0,'4_1':0.0},(113,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(113,496):{'3_1':0.03,'4_1':0.0},(113,495):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(113,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,493):{'3_1':0.06},(113,492):{'3_1':0.06},(113,491):{'3_1':0.03,'7_1':0.0},(113,490):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(113,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,488):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(113,487):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(113,486):{'3_1':0.09,'4_1':0.0},(113,485):{'3_1':0.06,'5_1':0.0},(113,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,483):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(113,482):{'3_1':0.09,'7_1':0.03},(113,481):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(113,480):{'3_1':0.12,'5_1':0.0},(113,479):{'3_1':0.09,'5_1':0.0},(113,478):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,477):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(113,476):{'3_1':0.12,'4_1':0.0},(113,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(113,474):{'3_1':0.06,'6_2':0.0},(113,473):{'3_1':0.15},(113,472):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(113,471):{'3_1':0.03,'4_1':0.0},(113,470):{'3_1':0.06,'5_1':0.0},(113,469):{'3_1':0.03,'5_2':0.0},(113,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(113,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(113,466):{'3_1':0.03,'4_1':0.0},(113,465):{'3_1':0.03},(113,464):{'3_1':0.06,'4_1':0.0},(113,463):{'3_1':0.0},(113,462):{'3_1':0.03,'5_2':0.0},(113,461):{'3_1':0.03,'4_1':0.0},(113,460):{'3_1':0.06},(113,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(113,458):{'3_1':0.03,'5_1':0.0},(113,457):{'3_1':0.06,'4_1':0.0},(113,456):{'3_1':0.03,'4_1':0.0},(113,455):{'3_1':0.06},(113,454):{'3_1':0.03,'5_1':0.0},(113,453):{'3_1':0.03,'5_2':0.0},(113,452):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(113,451):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(113,450):{'3_1':0.03},(113,449):{'3_1':0.03},(113,448):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(113,447):{'3_1':0.03},(113,446):{'3_1':0.03,'4_1':0.0},(113,445):{'3_1':0.03,'4_1':0.0},(113,444):{'3_1':0.06},(113,443):{'3_1':0.03},(113,442):{'3_1':0.03},(113,441):{'3_1':0.0,'6_1':0.0},(113,440):{'3_1':0.0,'4_1':0.0},(113,439):{'3_1':0.03,'4_1':0.0},(113,438):{'3_1':0.0,'4_1':0.0},(113,437):{'3_1':0.03,'4_1':0.0},(113,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(113,435):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(113,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(113,433):{'3_1':0.03,'4_1':0.0},(113,432):{'3_1':0.0,'4_1':0.0},(113,431):{'3_1':0.03},(113,430):{'3_1':0.03,'4_1':0.0},(113,429):{'3_1':0.03,'4_1':0.0},(113,428):{'3_1':0.06,'4_1':0.0},(113,427):{'3_1':0.06,'4_1':0.03},(113,426):{'3_1':0.03,'4_1':0.03},(113,425):{'3_1':0.03,'4_1':0.0},(113,424):{'3_1':0.03,'4_1':0.0},(113,423):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(113,422):{'3_1':0.03,'4_1':0.0},(113,421):{'3_1':0.0,'4_1':0.0},(113,420):{'3_1':0.03,'4_1':0.0},(113,419):{'3_1':0.03,'4_1':0.03},(113,418):{'3_1':0.06,'4_1':0.0},(113,417):{'3_1':0.0,'4_1':0.0},(113,416):{'3_1':0.03,'4_1':0.0},(113,415):{'3_1':0.03,'4_1':0.0},(113,414):{'3_1':0.0,'4_1':0.0},(113,413):{'3_1':0.0,'4_1':0.0},(113,412):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(113,411):{'3_1':0.03,'4_1':0.0},(113,410):{'3_1':0.0},(113,409):{'3_1':0.0},(113,408):{'3_1':0.03},(113,407):{'3_1':0.0,'4_1':0.0},(113,406):{'3_1':0.0,'4_1':0.0},(113,405):{'3_1':0.0,'4_1':0.0},(113,404):{'4_1':0.0},(113,403):{'3_1':0.0},(113,402):{'3_1':0.03,'5_1':0.0},(113,401):{'3_1':0.09},(113,400):{'3_1':0.0},(113,399):{'3_1':0.0,'4_1':0.0},(113,398):{'3_1':0.03},(113,397):{'3_1':0.0,'4_1':0.0},(113,396):{'3_1':0.03},(113,395):{'3_1':0.03},(113,394):{'3_1':0.0,'4_1':0.0},(113,393):{'3_1':0.0,'4_1':0.0},(113,392):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(113,391):{'3_1':0.03,'4_1':0.03},(113,390):{'3_1':0.0},(113,389):{'4_1':0.0,'3_1':0.0},(113,388):{'3_1':0.0,'4_1':0.0},(113,387):{'3_1':0.0,'4_1':0.0},(113,386):{'3_1':0.0,'5_1':0.0},(113,385):{'3_1':0.0},(113,384):{'3_1':0.0,'5_2':0.0},(113,383):{'3_1':0.06,'5_1':0.0},(113,382):{'3_1':0.03,'5_1':0.0},(113,381):{'3_1':0.0,'5_1':0.0},(113,380):{'3_1':0.06},(113,379):{'3_1':0.03},(113,378):{'3_1':0.0,'5_1':0.0},(113,377):{'3_1':0.03,'5_1':0.0},(113,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(113,375):{'3_1':0.03},(113,374):{'3_1':0.06,'5_1':0.0},(113,373):{'3_1':0.03,'5_1':0.0},(113,372):{'3_1':0.06,'4_1':0.0},(113,371):{'3_1':0.0,'5_1':0.0},(113,370):{'3_1':0.03},(113,369):{'3_1':0.0},(113,368):{'3_1':0.0,'7_1':0.0},(113,367):{'3_1':0.03},(113,366):{'3_1':0.03,'4_1':0.0},(113,365):{'3_1':0.03},(113,364):{'3_1':0.03},(113,363):{'3_1':0.0},(113,362):{'3_1':0.03},(113,361):{'3_1':0.03},(113,360):{'3_1':0.06,'5_1':0.0},(113,359):{'4_1':0.0,'5_2':0.0},(113,358):{'3_1':0.0},(113,357):{'3_1':0.03},(113,356):{'3_1':0.0,'5_2':0.0},(113,355):{'3_1':0.06},(113,354):{'3_1':0.0,'7_1':0.0},(113,353):{'3_1':0.0,'4_1':0.0},(113,352):{'3_1':0.0},(113,351):{'3_1':0.03,'5_1':0.0},(113,350):{'3_1':0.0},(113,349):{'3_1':0.03},(113,348):{'3_1':0.0},(113,347):{'3_1':0.03,'5_2':0.0},(113,346):{'3_1':0.0,'4_1':0.0},(113,345):{'3_1':0.03},(113,344):{'3_1':0.0},(113,343):{'3_1':0.0},(113,342):{'3_1':0.0},(113,341):{'3_1':0.0},(113,340):{'3_1':0.0,'7_1':0.0},(113,339):{'3_1':0.0,'4_1':0.0},(113,338):{'3_1':0.0},(113,337):{'7_1':0.0},(113,336):{'3_1':0.03},(113,335):{'3_1':0.03},(113,334):{'3_1':0.0},(113,333):{'3_1':0.03},(113,332):{'3_1':0.0},(113,331):{'3_1':0.0},(113,330):{'3_1':0.0},(113,329):{'5_1':0.0},(113,328):{'4_1':0.0,'9_1':0.0},(113,327):{'3_1':0.0},(113,326):{'3_1':0.03},(113,325):{'3_1':0.0},(113,324):{'3_1':0.03},(113,323):{'3_1':0.0},(113,322):{'3_1':0.0},(113,320):{'3_1':0.03},(113,319):{'3_1':0.0},(113,318):{'3_1':0.0},(113,317):{'3_1':0.0},(113,316):{'3_1':0.0},(113,314):{'3_1':0.03,'4_1':0.0},(113,313):{'3_1':0.0,'4_1':0.0},(113,312):{'3_1':0.0},(113,311):{'3_1':0.0},(113,310):{'3_1':0.03},(113,308):{'3_1':0.03},(113,307):{'3_1':0.0},(113,306):{'3_1':0.03},(113,304):{'3_1':0.03},(113,303):{'3_1':0.0},(113,302):{'3_1':0.03,'4_1':0.0},(113,301):{'3_1':0.0},(113,300):{'3_1':0.0},(113,299):{'3_1':0.03},(113,298):{'3_1':0.03},(113,296):{'3_1':0.0},(113,295):{'3_1':0.0},(113,294):{'3_1':0.0},(113,293):{'3_1':0.0},(113,292):{'3_1':0.03},(113,291):{'3_1':0.0},(113,290):{'3_1':0.0},(113,289):{'3_1':0.0},(113,287):{'3_1':0.0},(113,286):{'3_1':0.0},(113,285):{'3_1':0.03},(113,284):{'3_1':0.0},(113,282):{'3_1':0.0},(113,281):{'3_1':0.0},(113,280):{'3_1':0.03},(113,279):{'3_1':0.0},(113,278):{'3_1':0.0},(113,277):{'3_1':0.03},(113,276):{'3_1':0.03},(113,275):{'3_1':0.0},(113,274):{'3_1':0.0},(113,273):{'3_1':0.0},(113,271):{'3_1':0.0,'4_1':0.0},(113,270):{'3_1':0.03},(113,269):{'3_1':0.0},(113,268):{'3_1':0.0},(113,267):{'3_1':0.0},(113,266):{'3_1':0.0},(113,264):{'3_1':0.0,'5_2':0.0},(113,263):{'3_1':0.06},(113,262):{'3_1':0.03},(113,261):{'3_1':0.0},(113,260):{'3_1':0.0},(113,259):{'3_1':0.0},(113,258):{'3_1':0.0,'7_2':0.0},(113,257):{'3_1':0.0},(113,256):{'3_1':0.0},(113,255):{'3_1':0.03},(113,254):{'3_1':0.0,'5_1':0.0},(113,253):{'3_1':0.0,'5_1':0.0},(113,252):{'3_1':0.0},(113,251):{'3_1':0.03},(113,250):{'3_1':0.0},(113,249):{'3_1':0.03},(113,248):{'3_1':0.0},(113,247):{'3_1':0.03},(113,246):{'3_1':0.03,'5_2':0.0},(113,245):{'3_1':0.0},(113,244):{'3_1':0.03},(113,243):{'3_1':0.0},(113,242):{'3_1':0.0},(113,241):{'3_1':0.03},(113,240):{'3_1':0.0},(113,239):{'3_1':0.03,'7_2':0.0},(113,238):{'3_1':0.03},(113,237):{'3_1':0.03},(113,236):{'3_1':0.0,'4_1':0.0},(113,235):{'3_1':0.0,'5_1':0.0},(113,234):{'3_1':0.0},(113,233):{'3_1':0.0},(113,232):{'3_1':0.0},(113,231):{'3_1':0.0},(113,230):{'3_1':0.0},(113,229):{'3_1':0.0},(113,228):{'3_1':0.03},(113,227):{'3_1':0.0},(113,226):{'3_1':0.0},(113,225):{'3_1':0.0},(113,224):{'3_1':0.0},(113,219):{'3_1':0.0},(113,218):{'3_1':0.0,'4_1':0.0},(113,217):{'3_1':0.0},(113,216):{'3_1':0.0},(113,214):{'3_1':0.0},(113,212):{'3_1':0.0},(113,211):{'3_1':0.0},(113,205):{'3_1':0.0},(113,204):{'3_1':0.0},(113,200):{'3_1':0.0},(113,199):{'3_1':0.0,'5_1':0.0},(113,198):{'3_1':0.0},(113,194):{'3_1':0.0},(113,193):{'3_1':0.0,'5_1':0.0},(113,192):{'3_1':0.0},(113,191):{'3_1':0.0},(113,190):{'3_1':0.0},(113,187):{'3_1':0.0},(113,184):{'3_1':0.0},(113,181):{'3_1':0.0},(113,180):{'3_1':0.0},(113,179):{'3_1':0.0},(113,176):{'3_1':0.0},(113,171):{'3_1':0.0},(113,168):{'3_1':0.0},(113,167):{'3_1':0.0,'4_1':0.0},(113,166):{'3_1':0.0},(113,165):{'3_1':0.0},(113,163):{'3_1':0.0},(113,162):{'4_1':0.0},(113,161):{'3_1':0.0},(113,160):{'3_1':0.0},(113,159):{'3_1':0.0},(113,157):{'3_1':0.0},(113,156):{'3_1':0.03},(113,154):{'3_1':0.0},(113,153):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(113,152):{'3_1':0.0},(113,151):{'3_1':0.0},(113,150):{'3_1':0.03,'5_1':0.0},(113,149):{'3_1':0.0},(113,147):{'3_1':0.0},(113,146):{'3_1':0.0},(113,144):{'5_2':0.0},(113,141):{'3_1':0.0},(113,119):{'3_1':0.0},(114,727):{'5_2':0.36,'7_2':0.12,'-3':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'3_1':0.0,'4_1':0.0,'8_1':0.0,'1':-0.03},(114,726):{'5_2':0.39,'7_5':0.09,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(114,725):{'5_2':0.45,'7_2':0.09,'-3':0.09,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_13':0.0},(114,724):{'5_2':0.39,'7_2':0.12,'-3':0.12,'7_5':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(114,723):{'5_2':0.33,'7_2':0.18,'-3':0.06,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'1':-0.03},(114,722):{'5_2':0.27,'7_2':0.15,'-3':0.09,'7_5':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'3_1':0.0,'8_11':0.0,'3':-0.03},(114,721):{'5_2':0.3,'-3':0.12,'7_2':0.09,'7_5':0.06,'7_3':0.03,'5_1':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'8_8':0.0,'8_14':0.0},(114,720):{'5_2':0.33,'-3':0.12,'7_2':0.09,'7_6':0.06,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(114,719):{'5_2':0.42,'-3':0.09,'7_5':0.06,'7_3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'1':-0.03},(114,718):{'5_2':0.36,'7_5':0.09,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_6':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'1':-0.03},(114,717):{'5_2':0.3,'7_2':0.12,'-3':0.12,'7_5':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'3':-0.03},(114,716):{'5_2':0.39,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0},(114,715):{'5_2':0.36,'7_2':0.12,'-3':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0,'3_1':0.0,'8_1':0.0,'8_11':0.0},(114,714):{'5_2':0.42,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0,'2':-0.03},(114,713):{'5_2':0.36,'-3':0.12,'7_5':0.09,'7_2':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'3_1':0.0,'7_4':0.0,'4_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(114,712):{'5_2':0.27,'-3':0.12,'7_2':0.06,'7_5':0.06,'7_3':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(114,711):{'5_2':0.39,'-3':0.06,'3_1':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'8_14':0.0,'8_1':0.0,'8_13':0.0,'1':-0.03},(114,710):{'5_2':0.3,'-3':0.15,'7_5':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'2':-0.03},(114,709):{'5_2':0.33,'-3':0.18,'7_5':0.09,'7_2':0.03,'3_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(114,708):{'5_2':0.27,'-3':0.15,'7_5':0.09,'7_2':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(114,707):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_7':0.0},(114,706):{'5_2':0.27,'7_2':0.09,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(114,705):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_5':0.03,'7_2':0.03,'7_6':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(114,704):{'5_2':0.36,'-3':0.06,'7_2':0.06,'7_5':0.06,'7_4':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(114,703):{'5_2':0.27,'-3':0.09,'7_2':0.09,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_13':0.0},(114,702):{'5_2':0.33,'7_2':0.15,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(114,701):{'5_2':0.33,'-3':0.12,'7_2':0.09,'3_1':0.06,'7_3':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0,'8_8':0.0,'8_11':0.0},(114,700):{'5_2':0.36,'-3':0.12,'7_3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(114,699):{'5_2':0.21,'-3':0.15,'3_1':0.09,'7_2':0.06,'7_3':0.06,'7_6':0.03,'7_5':0.03,'3_1#5_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(114,698):{'5_2':0.3,'3_1':0.09,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_6':0.03,'8_11':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_14':0.0,'1':-0.03},(114,697):{'5_2':0.33,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(114,696):{'5_2':0.3,'-3':0.09,'7_2':0.09,'3_1':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'7_3':0.0,'6_1':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0,'8_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(114,695):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(114,694):{'5_2':0.24,'-3':0.15,'3_1':0.12,'7_2':0.09,'7_5':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(114,693):{'5_2':0.27,'3_1':0.18,'7_2':0.09,'-3':0.09,'7_3':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'6_1':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(114,692):{'5_2':0.27,'-3':0.21,'3_1':0.12,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(114,691):{'5_2':0.27,'-3':0.12,'3_1':0.09,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(114,690):{'5_2':0.27,'-3':0.15,'3_1':0.09,'7_2':0.06,'7_5':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(114,689):{'5_2':0.24,'3_1':0.18,'7_2':0.09,'-3':0.09,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(114,688):{'5_2':0.3,'3_1':0.15,'7_3':0.06,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(114,687):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_2':0.09,'7_4':0.06,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0},(114,686):{'5_2':0.27,'3_1':0.18,'7_2':0.06,'7_5':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(114,685):{'5_2':0.24,'3_1':0.12,'7_4':0.12,'7_2':0.06,'7_3':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(114,684):{'5_2':0.24,'3_1':0.15,'7_3':0.09,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(114,683):{'5_2':0.27,'3_1':0.21,'7_2':0.06,'7_5':0.06,'-3':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(114,682):{'3_1':0.27,'5_2':0.21,'-3':0.06,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'7_6':0.0,'9_1':0.0},(114,681):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_2':0.06,'7_5':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0},(114,680):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(114,679):{'5_2':0.27,'3_1':0.27,'7_4':0.09,'5_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(114,678):{'5_2':0.33,'3_1':0.3,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0},(114,677):{'3_1':0.33,'5_2':0.15,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(114,676):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(114,675):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(114,674):{'3_1':0.33,'5_2':0.27,'7_2':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(114,673):{'5_2':0.3,'3_1':0.21,'7_4':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(114,672):{'3_1':0.27,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.06,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(114,671):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(114,670):{'3_1':0.33,'5_2':0.27,'7_3':0.03,'7_4':0.0,'7_7':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(114,669):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(114,668):{'3_1':0.3,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(114,667):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(114,666):{'3_1':0.39,'5_2':0.21,'7_7':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(114,665):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(114,664):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'5_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(114,663):{'3_1':0.3,'5_2':0.27,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(114,662):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0},(114,661):{'3_1':0.36,'5_2':0.21,'5_1':0.09,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(114,660):{'3_1':0.33,'5_2':0.27,'4_1':0.03,'5_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0},(114,659):{'3_1':0.33,'5_2':0.18,'5_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(114,658):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_7':0.0,'8_19':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(114,657):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(114,656):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_7':0.03,'7_1':0.0,'7_4':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(114,655):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(114,654):{'3_1':0.36,'5_2':0.3,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(114,653):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(114,652):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_7':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(114,651):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(114,650):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'7_1':0.03,'7_3':0.03,'7_4':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(114,649):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(114,648):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(114,647):{'3_1':0.24,'5_2':0.15,'5_1':0.12,'4_1':0.0,'7_3':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(114,646):{'3_1':0.27,'5_2':0.24,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(114,645):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,644):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'7_3':0.0,'8_19':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(114,643):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.03,'8_19':0.0,'7_4':0.0,'6_3':0.0,'9_1':0.0,'-3':0.0},(114,642):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(114,641):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(114,640):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(114,639):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(114,638):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,637):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(114,636):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(114,635):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(114,634):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(114,633):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(114,632):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_5':0.0,'7_7':0.0},(114,631):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(114,630):{'3_1':0.12,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0},(114,629):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(114,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(114,627):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(114,626):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(114,625):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_13':0.0,'-3':0.0},(114,624):{'3_1':0.33,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(114,623):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(114,622):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'7_3':0.0,'8_19':0.0,'-3':0.0},(114,621):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(114,620):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'7_5':0.0,'8_19':0.0,'4_1':0.0,'6_3':0.0},(114,619):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(114,618):{'3_1':0.36,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0},(114,617):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(114,616):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0},(114,615):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(114,614):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0},(114,613):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(114,612):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_19':0.0,'7_1':0.0},(114,611):{'3_1':0.3,'5_1':0.06,'4_1':0.06,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(114,610):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'3_1#5_1':0.0},(114,609):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(114,608):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_5':0.0,'8_19':0.0},(114,607):{'3_1':0.36,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0},(114,606):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(114,605):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0},(114,604):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(114,603):{'3_1':0.18,'4_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(114,602):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(114,601):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_3':0.0},(114,600):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_1':0.0,'5_2':0.0,'-3':0.0},(114,599):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0},(114,598):{'3_1':0.21,'4_1':0.12,'5_1':0.06,'6_1':0.0,'5_2':0.0,'7_7':0.0},(114,597):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'7_4':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(114,596):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(114,595):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0},(114,594):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0},(114,593):{'3_1':0.24,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(114,592):{'3_1':0.24,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_4':0.0},(114,591):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_4':0.0},(114,590):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(114,589):{'3_1':0.27,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_1':0.0},(114,588):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(114,587):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_4':0.0},(114,586):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0},(114,585):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(114,584):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(114,583):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(114,582):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(114,581):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(114,580):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(114,579):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(114,578):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(114,577):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(114,576):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(114,575):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(114,574):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(114,573):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0},(114,572):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(114,571):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0},(114,570):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(114,569):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(114,568):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(114,567):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_2':0.0},(114,566):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(114,565):{'3_1':0.15,'4_1':0.09,'6_1':0.0},(114,564):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(114,563):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(114,562):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0},(114,561):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(114,560):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(114,559):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(114,558):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(114,557):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(114,556):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(114,555):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_2':0.0},(114,554):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(114,553):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(114,552):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_3':0.0},(114,551):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(114,550):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(114,549):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(114,548):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(114,547):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(114,546):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(114,545):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(114,544):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(114,543):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'9_1':0.0},(114,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(114,541):{'3_1':0.24,'5_2':0.0,'9_1':0.0},(114,540):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(114,539):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(114,538):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(114,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(114,536):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(114,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(114,534):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(114,533):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_3':0.0},(114,532):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'-3':0.0},(114,531):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_3':0.0},(114,530):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(114,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(114,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(114,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,526):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(114,525):{'3_1':0.12,'7_1':0.0,'7_3':0.0},(114,524):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(114,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,521):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(114,520):{'3_1':0.15,'4_1':0.0},(114,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(114,518):{'3_1':0.03,'4_1':0.0},(114,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(114,516):{'3_1':0.15,'5_1':0.0},(114,515):{'3_1':0.06,'4_1':0.0},(114,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(114,513):{'3_1':0.06,'5_2':0.0},(114,512):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(114,511):{'3_1':0.09,'4_1':0.0},(114,510):{'3_1':0.03,'5_1':0.0},(114,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,507):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(114,506):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(114,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,503):{'3_1':0.06,'4_1':0.0},(114,502):{'3_1':0.06},(114,501):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(114,500):{'3_1':0.0,'4_1':0.0},(114,499):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(114,498):{'3_1':0.06,'4_1':0.0},(114,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,496):{'3_1':0.06},(114,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,494):{'3_1':0.06,'4_1':0.0},(114,493):{'3_1':0.03,'5_1':0.0},(114,492):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(114,491):{'3_1':0.09,'4_1':0.0},(114,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(114,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,488):{'3_1':0.06},(114,487):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(114,486):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(114,485):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(114,484):{'3_1':0.12},(114,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,482):{'3_1':0.06,'7_1':0.0},(114,481):{'3_1':0.06,'9_1':0.0,'4_1':0.0,'7_1':0.0},(114,480):{'3_1':0.09,'5_2':0.0},(114,479):{'3_1':0.06,'5_1':0.0},(114,478):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(114,477):{'3_1':0.06,'4_1':0.0,'9_1':0.0,'5_1':0.0},(114,476):{'3_1':0.15,'5_2':0.0},(114,475):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(114,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,473):{'3_1':0.15,'5_2':0.0},(114,472):{'3_1':0.03},(114,471):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(114,470):{'3_1':0.03,'4_1':0.0},(114,469):{'3_1':0.03,'5_1':0.0},(114,468):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(114,467):{'3_1':0.0,'4_1':0.0},(114,466):{'3_1':0.03},(114,465):{'3_1':0.03},(114,464):{'4_1':0.03,'3_1':0.0},(114,463):{'3_1':0.06},(114,462):{'3_1':0.06,'5_1':0.0},(114,461):{'3_1':0.03,'4_1':0.0},(114,460):{'3_1':0.03,'5_1':0.0},(114,459):{'3_1':0.03},(114,458):{'3_1':0.06,'4_1':0.0},(114,457):{'3_1':0.03,'5_1':0.0},(114,456):{'3_1':0.03},(114,455):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,454):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(114,453):{'3_1':0.06},(114,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(114,450):{'3_1':0.03,'4_1':0.0},(114,449):{'3_1':0.06,'4_1':0.0},(114,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(114,447):{'3_1':0.03,'4_1':0.0},(114,446):{'3_1':0.03},(114,445):{'3_1':0.0,'5_1':0.0},(114,444):{'3_1':0.03},(114,443):{'3_1':0.06,'5_2':0.0},(114,442):{'3_1':0.03},(114,441):{'3_1':0.0,'4_1':0.0},(114,440):{'3_1':0.03,'4_1':0.0},(114,439):{'3_1':0.0,'4_1':0.0},(114,438):{'3_1':0.03,'4_1':0.0},(114,437):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(114,436):{'3_1':0.03,'4_1':0.0},(114,435):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(114,434):{'3_1':0.03,'4_1':0.0},(114,433):{'3_1':0.0,'4_1':0.0},(114,432):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(114,431):{'3_1':0.06,'4_1':0.03},(114,430):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(114,429):{'3_1':0.0,'4_1':0.0},(114,428):{'3_1':0.03,'4_1':0.0},(114,427):{'4_1':0.06,'3_1':0.03},(114,426):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(114,425):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(114,424):{'3_1':0.03,'4_1':0.03},(114,423):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(114,422):{'3_1':0.03,'4_1':0.0},(114,421):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(114,420):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(114,419):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(114,418):{'4_1':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0},(114,417):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(114,416):{'4_1':0.0,'3_1':0.0},(114,415):{'3_1':0.06,'4_1':0.0},(114,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(114,413):{'4_1':0.03,'3_1':0.0},(114,412):{'3_1':0.0,'4_1':0.0},(114,411):{'3_1':0.03,'4_1':0.0},(114,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(114,409):{'3_1':0.03,'4_1':0.0},(114,408):{'3_1':0.03,'8_20|3_1#3_1':0.0},(114,407):{'3_1':0.0},(114,406):{'3_1':0.0},(114,405):{'3_1':0.0,'4_1':0.0},(114,404):{'3_1':0.0,'4_1':0.0},(114,403):{'3_1':0.0},(114,401):{'3_1':0.03,'5_1':0.0},(114,400):{'3_1':0.0},(114,399):{'3_1':0.03,'4_1':0.0},(114,398):{'3_1':0.0,'4_1':0.0},(114,397):{'3_1':0.03,'4_1':0.0},(114,396):{'3_1':0.03,'4_1':0.0},(114,395):{'3_1':0.03},(114,393):{'3_1':0.0},(114,392):{'3_1':0.0,'4_1':0.0},(114,391):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(114,390):{'3_1':0.03,'4_1':0.0},(114,389):{'3_1':0.0},(114,388):{'3_1':0.0},(114,386):{'3_1':0.0},(114,385):{'3_1':0.03},(114,384):{'3_1':0.0,'4_1':0.0},(114,383):{'3_1':0.0},(114,382):{'3_1':0.0},(114,381):{'3_1':0.0},(114,380):{'3_1':0.03},(114,379):{'3_1':0.0},(114,378):{'3_1':0.0},(114,377):{'3_1':0.03,'5_2':0.0},(114,376):{'3_1':0.0},(114,375):{'3_1':0.06,'4_1':0.0},(114,374):{'3_1':0.03,'5_1':0.0},(114,373):{'3_1':0.03},(114,372):{'3_1':0.06,'5_1':0.0},(114,371):{'3_1':0.03,'5_2':0.0},(114,370):{'3_1':0.03,'5_1':0.0},(114,369):{'3_1':0.0,'5_1':0.0},(114,368):{'3_1':0.0,'5_1':0.0},(114,367):{'3_1':0.0},(114,366):{'3_1':0.03},(114,365):{'3_1':0.0,'5_2':0.0},(114,364):{'3_1':0.0},(114,363):{'3_1':0.03},(114,362):{'3_1':0.0},(114,361):{'3_1':0.0,'5_1':0.0},(114,360):{'3_1':0.0},(114,359):{'3_1':0.06},(114,358):{'3_1':0.0},(114,357):{'3_1':0.0},(114,356):{'3_1':0.03,'5_1':0.0},(114,355):{'3_1':0.0},(114,354):{'3_1':0.0,'7_2':0.0},(114,353):{'3_1':0.0},(114,352):{'3_1':0.0},(114,351):{'3_1':0.0,'5_1':0.0},(114,350):{'3_1':0.03,'5_1':0.0},(114,349):{'3_1':0.03,'5_1':0.0},(114,348):{'3_1':0.0,'5_2':0.0},(114,347):{'3_1':0.03},(114,346):{'3_1':0.0},(114,345):{'3_1':0.0},(114,344):{'3_1':0.0,'4_1':0.0},(114,343):{'3_1':0.03},(114,342):{'6_1':0.0},(114,341):{'3_1':0.0,'5_1':0.0},(114,340):{'3_1':0.0},(114,339):{'3_1':0.0},(114,338):{'3_1':0.03,'5_1':0.0},(114,337):{'3_1':0.0},(114,336):{'3_1':0.0},(114,335):{'3_1':0.0},(114,334):{'3_1':0.0,'4_1':0.0},(114,333):{'3_1':0.03,'5_1':0.0},(114,332):{'3_1':0.0},(114,331):{'3_1':0.0},(114,330):{'3_1':0.0},(114,329):{'3_1':0.03},(114,327):{'3_1':0.0},(114,325):{'3_1':0.03},(114,324):{'3_1':0.03,'5_1':0.0},(114,323):{'3_1':0.0},(114,322):{'3_1':0.06},(114,321):{'3_1':0.0},(114,320):{'3_1':0.0},(114,319):{'3_1':0.0},(114,318):{'3_1':0.0,'5_1':0.0},(114,317):{'3_1':0.0},(114,316):{'3_1':0.0},(114,315):{'3_1':0.0},(114,314):{'3_1':0.0,'4_1':0.0},(114,313):{'3_1':0.0,'4_1':0.0},(114,312):{'4_1':0.0},(114,311):{'3_1':0.0,'8_20|3_1#3_1':0.0},(114,310):{'3_1':0.03},(114,309):{'3_1':0.0},(114,308):{'3_1':0.0},(114,307):{'3_1':0.0},(114,306):{'3_1':0.03},(114,305):{'3_1':0.03},(114,304):{'3_1':0.03,'5_1':0.0},(114,303):{'3_1':0.03,'5_1':0.0},(114,302):{'3_1':0.06},(114,301):{'3_1':0.0},(114,300):{'3_1':0.0},(114,299):{'3_1':0.03,'5_2':0.0},(114,298):{'3_1':0.0},(114,297):{'3_1':0.0},(114,296):{'3_1':0.0,'4_1':0.0},(114,295):{'3_1':0.03},(114,294):{'3_1':0.0},(114,293):{'3_1':0.0},(114,292):{'3_1':0.03},(114,290):{'3_1':0.0},(114,289):{'3_1':0.0},(114,288):{'3_1':0.03},(114,287):{'3_1':0.03},(114,286):{'3_1':0.0},(114,285):{'3_1':0.03},(114,284):{'3_1':0.0},(114,283):{'3_1':0.03},(114,282):{'5_1':0.0,'3_1':0.0},(114,281):{'3_1':0.0},(114,280):{'3_1':0.0},(114,279):{'3_1':0.0},(114,278):{'3_1':0.06},(114,276):{'3_1':0.0},(114,275):{'3_1':0.0},(114,273):{'3_1':0.0},(114,272):{'3_1':0.06},(114,271):{'3_1':0.0},(114,270):{'3_1':0.0},(114,269):{'3_1':0.0},(114,268):{'3_1':0.0},(114,267):{'3_1':0.0},(114,266):{'3_1':0.0,'5_2':0.0},(114,265):{'3_1':0.0},(114,264):{'3_1':0.0},(114,263):{'3_1':0.0},(114,262):{'3_1':0.0,'4_1':0.0},(114,260):{'3_1':0.0,'5_1':0.0},(114,259):{'3_1':0.0},(114,258):{'3_1':0.0},(114,257):{'3_1':0.0},(114,256):{'3_1':0.0},(114,255):{'3_1':0.0},(114,254):{'3_1':0.0},(114,252):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(114,251):{'3_1':0.0},(114,250):{'3_1':0.0},(114,249):{'3_1':0.0},(114,247):{'3_1':0.03,'5_1':0.0},(114,246):{'5_1':0.0,'3_1':0.0},(114,245):{'3_1':0.03},(114,243):{'3_1':0.0},(114,242):{'3_1':0.0},(114,241):{'3_1':0.03},(114,240):{'3_1':0.03},(114,239):{'3_1':0.03},(114,238):{'3_1':0.03,'5_1':0.0},(114,237):{'3_1':0.03,'7_1':0.0},(114,236):{'3_1':0.06,'4_1':0.0},(114,235):{'3_1':0.0},(114,234):{'3_1':0.0},(114,233):{'3_1':0.0},(114,232):{'3_1':0.0},(114,230):{'3_1':0.0},(114,229):{'3_1':0.0,'5_1':0.0},(114,228):{'3_1':0.0},(114,227):{'3_1':0.0,'7_1':0.0},(114,223):{'4_1':0.0},(114,222):{'3_1':0.0,'4_1':0.0},(114,219):{'3_1':0.03},(114,218):{'3_1':0.0},(114,216):{'3_1':0.0},(114,215):{'3_1':0.0},(114,214):{'3_1':0.0,'4_1':0.0},(114,212):{'3_1':0.0},(114,210):{'4_1':0.0},(114,207):{'3_1':0.0},(114,205):{'3_1':0.0},(114,201):{'5_2':0.0},(114,200):{'3_1':0.0},(114,191):{'3_1':0.0},(114,190):{'3_1':0.0},(114,181):{'7_2':0.0},(114,180):{'3_1':0.0},(114,170):{'3_1':0.0},(114,169):{'3_1':0.0},(114,168):{'3_1':0.0},(114,165):{'3_1':0.0},(114,161):{'3_1':0.0},(114,160):{'3_1':0.0},(114,159):{'3_1':0.0},(114,158):{'3_1':0.0},(114,157):{'3_1':0.0},(114,156):{'3_1':0.0},(114,155):{'3_1':0.0},(114,154):{'3_1':0.0,'5_1':0.0},(114,153):{'3_1':0.03},(114,152):{'3_1':0.0},(114,151):{'3_1':0.0},(114,150):{'3_1':0.0},(114,149):{'3_1':0.03,'4_1':0.0},(114,148):{'3_1':0.0},(114,147):{'3_1':0.0},(114,146):{'3_1':0.0},(114,144):{'3_1':0.0},(114,143):{'3_1':0.0},(114,120):{'3_1':0.0},(114,118):{'3_1':0.0},(115,727):{'5_2':0.42,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'1':-0.03},(115,726):{'5_2':0.39,'7_2':0.15,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(115,725):{'5_2':0.39,'7_2':0.15,'6_1':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0},(115,724):{'5_2':0.51,'7_2':0.12,'-3':0.06,'7_4':0.03,'6_1':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(115,723):{'5_2':0.48,'3_1':0.06,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'1':-0.03},(115,722):{'5_2':0.36,'-3':0.09,'7_3':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'3_1':0.0,'7_6':0.0},(115,721):{'5_2':0.42,'-3':0.12,'7_2':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'8_13':0.0},(115,720):{'5_2':0.42,'7_2':0.09,'7_6':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_8':0.0},(115,719):{'5_2':0.42,'7_2':0.06,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'2':-0.03},(115,718):{'5_2':0.3,'7_2':0.12,'3_1':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(115,717):{'5_2':0.3,'7_2':0.12,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'2':-0.03},(115,716):{'5_2':0.42,'7_2':0.09,'7_5':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'1':-0.03},(115,715):{'5_2':0.36,'-3':0.06,'7_3':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'2':-0.03},(115,714):{'5_2':0.42,'7_2':0.09,'7_3':0.03,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0},(115,713):{'5_2':0.45,'7_2':0.09,'7_4':0.06,'3_1':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(115,712):{'5_2':0.42,'7_2':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(115,711):{'5_2':0.33,'-3':0.12,'7_2':0.06,'7_3':0.06,'7_4':0.06,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'1':-0.03},(115,710):{'5_2':0.3,'7_2':0.12,'7_5':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'2':-0.03},(115,709):{'5_2':0.39,'-3':0.15,'3_1':0.06,'7_2':0.06,'7_5':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'2':-0.03},(115,708):{'5_2':0.42,'-3':0.09,'7_5':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(115,707):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_12':0.0,'3_1#5_2':0.0},(115,706):{'5_2':0.33,'3_1':0.09,'7_2':0.09,'7_3':0.06,'7_5':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(115,705):{'5_2':0.39,'7_5':0.06,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'6_1':0.0,'8_11':0.0,'8_14':0.0},(115,704):{'5_2':0.36,'7_2':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(115,703):{'5_2':0.3,'7_2':0.12,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_14':0.0,'8_19':0.0},(115,702):{'5_2':0.39,'7_2':0.09,'7_4':0.06,'7_5':0.03,'6_1':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(115,701):{'5_2':0.33,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(115,700):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_5':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(115,699):{'5_2':0.33,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(115,698):{'5_2':0.3,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(115,697):{'5_2':0.3,'3_1':0.12,'7_2':0.09,'-3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(115,696):{'5_2':0.3,'3_1':0.15,'-3':0.12,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(115,695):{'5_2':0.36,'7_2':0.09,'7_3':0.09,'3_1':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0},(115,694):{'5_2':0.3,'7_3':0.12,'-3':0.09,'3_1':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'1':-0.03},(115,693):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0},(115,692):{'5_2':0.36,'3_1':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(115,691):{'5_2':0.33,'3_1':0.12,'-3':0.12,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(115,690):{'5_2':0.21,'7_3':0.09,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.06,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(115,689):{'5_2':0.24,'3_1':0.12,'7_2':0.09,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'2':-0.03},(115,688):{'5_2':0.33,'-3':0.09,'3_1':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0},(115,687):{'5_2':0.27,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(115,686):{'5_2':0.27,'3_1':0.18,'7_3':0.06,'7_6':0.06,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0},(115,685):{'5_2':0.24,'3_1':0.18,'7_3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'8_13':0.0},(115,684):{'5_2':0.3,'3_1':0.12,'7_4':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(115,683):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(115,682):{'3_1':0.24,'5_2':0.21,'7_4':0.09,'5_1':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0},(115,681):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_2':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(115,680):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_7':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0},(115,679):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'-3':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_14':0.0},(115,678):{'3_1':0.33,'5_2':0.21,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(115,677):{'5_2':0.33,'3_1':0.27,'7_4':0.03,'5_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(115,676):{'3_1':0.36,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(115,675):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_13':0.0,'-3':0.0},(115,674):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(115,673):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'-3':0.0},(115,672):{'3_1':0.27,'5_2':0.27,'7_4':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'-3':0.0},(115,671):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(115,670):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(115,669):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(115,668):{'3_1':0.36,'5_2':0.21,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(115,667):{'3_1':0.42,'5_2':0.27,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,666):{'3_1':0.3,'5_2':0.18,'7_4':0.09,'5_1':0.03,'7_3':0.03,'8_20|3_1#3_1':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_2':0.0},(115,665):{'3_1':0.33,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.03,'7_1':0.0,'7_2':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(115,664):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(115,663):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(115,662):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(115,661):{'5_2':0.3,'3_1':0.27,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0},(115,660):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'7_6':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(115,659):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.0,'7_4':0.0,'8_14':0.0,'8_19':0.0},(115,658):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(115,657):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(115,656):{'3_1':0.33,'5_2':0.24,'4_1':0.03,'5_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(115,655):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'7_2':0.0,'7_1':0.0},(115,654):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(115,653):{'3_1':0.33,'5_2':0.18,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(115,652):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0},(115,651):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(115,650):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(115,649):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(115,648):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,647):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0},(115,645):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.03,'6_3':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(115,644):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'8_19':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(115,643):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0,'8_19':0.0},(115,642):{'3_1':0.36,'5_1':0.12,'5_2':0.09,'7_1':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,641):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(115,640):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_2':0.0,'8_16':0.0,'8_19':0.0,'3_1#5_1':0.0},(115,639):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'7_5':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(115,638):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.03},(115,637):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(115,636):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(115,635):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0},(115,634):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(115,633):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0},(115,632):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_11':0.0,'7_3':0.0},(115,631):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(115,630):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(115,629):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0},(115,628):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0},(115,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0},(115,626):{'3_1':0.33,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_3':0.0,'7_3':0.0,'8_6':0.0},(115,625):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(115,624):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0},(115,623):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(115,622):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0},(115,621):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(115,620):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0},(115,619):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(115,618):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0},(115,617):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(115,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0},(115,615):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(115,614):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_2':0.0,'-3':0.0},(115,613):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(115,612):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(115,611):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0},(115,610):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0},(115,609):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(115,608):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(115,607):{'3_1':0.33,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0},(115,606):{'3_1':0.33,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(115,605):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'-3':0.0},(115,604):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(115,603):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0},(115,602):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(115,601):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0},(115,600):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(115,599):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(115,598):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(115,597):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_6':0.0,'8_19':0.0},(115,596):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(115,595):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0},(115,594):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(115,593):{'3_1':0.21,'4_1':0.12,'6_2':0.0},(115,592):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(115,591):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_6':0.0},(115,590):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(115,589):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.03,'8_4':0.0},(115,588):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(115,587):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(115,586):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(115,585):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(115,584):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0},(115,583):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_19':0.0,'-3':0.0},(115,582):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'8_11':0.0},(115,581):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(115,580):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(115,579):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(115,578):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(115,577):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(115,576):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(115,575):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(115,574):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(115,573):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(115,572):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(115,571):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(115,570):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(115,569):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(115,568):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(115,567):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(115,566):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(115,565):{'3_1':0.27,'4_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(115,564):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(115,563):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(115,562):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,561):{'3_1':0.15,'4_1':0.03,'6_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(115,560):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(115,559):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_5':0.0},(115,558):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(115,557):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(115,556):{'3_1':0.24,'5_2':0.06,'5_1':0.0},(115,555):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_2':0.0},(115,554):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0},(115,553):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(115,552):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(115,551):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(115,550):{'3_1':0.27,'5_2':0.0,'5_1':0.0},(115,549):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0},(115,548):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(115,547):{'3_1':0.33,'5_1':0.03,'5_2':0.0},(115,546):{'3_1':0.39,'5_2':0.06,'4_1':0.0},(115,545):{'3_1':0.21,'5_1':0.03,'5_2':0.03},(115,544):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0},(115,543):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(115,542):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(115,541):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(115,540):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(115,539):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_3':0.0},(115,538):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(115,537):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0},(115,536):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(115,535):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(115,534):{'3_1':0.3,'5_1':0.03,'4_1':0.0},(115,533):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,532):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(115,531):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(115,530):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(115,529):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(115,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(115,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(115,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(115,525):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(115,524):{'3_1':0.09,'4_1':0.0},(115,523):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(115,522):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(115,521):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(115,520):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(115,519):{'3_1':0.06},(115,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(115,517):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(115,516):{'3_1':0.09},(115,515):{'3_1':0.06,'4_1':0.0},(115,514):{'3_1':0.03,'4_1':0.0},(115,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(115,512):{'3_1':0.06,'4_1':0.0},(115,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,510):{'3_1':0.06,'4_1':0.0},(115,509):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(115,508):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(115,507):{'3_1':0.03,'4_1':0.0},(115,506):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(115,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,504):{'3_1':0.03,'4_1':0.0},(115,503):{'3_1':0.03,'4_1':0.0},(115,502):{'3_1':0.03},(115,501):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(115,500):{'3_1':0.06},(115,499):{'3_1':0.0,'4_1':0.0},(115,498):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(115,497):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(115,496):{'3_1':0.09},(115,495):{'3_1':0.03,'5_1':0.0},(115,494):{'3_1':0.03,'4_1':0.0},(115,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,492):{'3_1':0.0},(115,491):{'3_1':0.06},(115,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,489):{'3_1':0.06,'7_3':0.0,'8_20|3_1#3_1':0.0},(115,488):{'3_1':0.09,'5_2':0.0},(115,487):{'3_1':0.06},(115,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(115,485):{'3_1':0.06,'5_1':0.0},(115,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(115,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,481):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,480):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(115,479):{'3_1':0.12,'4_1':0.0},(115,478):{'3_1':0.12,'5_2':0.0},(115,477):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(115,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(115,475):{'3_1':0.03,'5_2':0.0},(115,474):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(115,473):{'3_1':0.09,'5_1':0.0},(115,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,471):{'3_1':0.06},(115,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,468):{'3_1':0.06,'5_1':0.0},(115,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(115,466):{'3_1':0.06,'5_2':0.0},(115,465):{'3_1':0.09},(115,464):{'3_1':0.0},(115,463):{'3_1':0.06,'5_1':0.0},(115,462):{'4_1':0.0,'3_1':0.0},(115,461):{'3_1':0.03,'4_1':0.0},(115,460):{'3_1':0.0,'4_1':0.0},(115,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(115,458):{'3_1':0.03,'5_2':0.0},(115,457):{'3_1':0.03,'5_1':0.0},(115,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,455):{'3_1':0.0,'4_1':0.0},(115,454):{'3_1':0.0,'6_1':0.0},(115,453):{'3_1':0.0,'5_2':0.0},(115,452):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(115,451):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(115,450):{'3_1':0.03,'4_1':0.0},(115,449):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(115,448):{'3_1':0.03,'4_1':0.0},(115,447):{'3_1':0.03,'4_1':0.0},(115,446):{'3_1':0.03},(115,445):{'3_1':0.0,'5_2':0.0},(115,444):{'3_1':0.0},(115,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(115,442):{'3_1':0.03},(115,441):{'3_1':0.0},(115,440):{'3_1':0.03},(115,439):{'3_1':0.03,'4_1':0.0},(115,438):{'3_1':0.0,'5_1':0.0},(115,437):{'3_1':0.0,'5_1':0.0},(115,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,435):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(115,434):{'3_1':0.03,'4_1':0.0},(115,433):{'3_1':0.03},(115,432):{'3_1':0.0,'4_1':0.0},(115,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(115,430):{'3_1':0.03,'5_1':0.0},(115,429):{'3_1':0.06},(115,428):{'3_1':0.09,'4_1':0.0},(115,427):{'4_1':0.03,'3_1':0.0},(115,426):{'3_1':0.06,'5_1':0.0},(115,425):{'3_1':0.0,'4_1':0.0},(115,424):{'4_1':0.0,'3_1':0.0},(115,423):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(115,422):{'3_1':0.03,'4_1':0.0},(115,421):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,420):{'3_1':0.03,'4_1':0.03},(115,419):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(115,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(115,417):{'3_1':0.03,'4_1':0.03},(115,416):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(115,415):{'4_1':0.03,'3_1':0.0},(115,414):{'3_1':0.0,'5_1':0.0},(115,413):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(115,412):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(115,411):{'3_1':0.03,'4_1':0.0},(115,410):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(115,409):{'3_1':0.0,'4_1':0.0},(115,408):{'3_1':0.0,'4_1':0.0},(115,407):{'3_1':0.0},(115,406):{'3_1':0.0,'4_1':0.0},(115,405):{'4_1':0.0},(115,404):{'3_1':0.0},(115,403):{'3_1':0.0},(115,401):{'3_1':0.0},(115,400):{'4_1':0.0},(115,399):{'3_1':0.0},(115,398):{'3_1':0.0},(115,397):{'3_1':0.03,'4_1':0.0},(115,396):{'3_1':0.0,'4_1':0.0},(115,394):{'3_1':0.03,'4_1':0.0},(115,393):{'3_1':0.03,'4_1':0.0},(115,392):{'4_1':0.0},(115,391):{'3_1':0.0,'5_1':0.0},(115,390):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(115,389):{'3_1':0.0},(115,388):{'3_1':0.0},(115,387):{'3_1':0.0,'5_1':0.0},(115,386):{'3_1':0.0,'6_1':0.0},(115,385):{'3_1':0.0},(115,384):{'3_1':0.0,'5_2':0.0},(115,383):{'3_1':0.06},(115,382):{'3_1':0.0},(115,381):{'3_1':0.0},(115,380):{'3_1':0.03,'5_1':0.0},(115,379):{'3_1':0.03},(115,378):{'3_1':0.0},(115,377):{'3_1':0.0},(115,376):{'3_1':0.0},(115,375):{'3_1':0.06},(115,374):{'3_1':0.06},(115,373):{'3_1':0.03,'5_1':0.0},(115,372):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(115,371):{'3_1':0.0},(115,370):{'3_1':0.0},(115,369):{'3_1':0.06},(115,368):{'3_1':0.03,'5_1':0.0},(115,367):{'3_1':0.03},(115,366):{'3_1':0.03,'5_1':0.0},(115,365):{'3_1':0.03},(115,364):{'3_1':0.03},(115,363):{'3_1':0.06},(115,362):{'3_1':0.0},(115,361):{'3_1':0.0},(115,360):{'3_1':0.0,'5_1':0.0},(115,359):{'3_1':0.03},(115,358):{'3_1':0.0},(115,357):{'3_1':0.03,'4_1':0.0},(115,356):{'3_1':0.03},(115,355):{'3_1':0.03},(115,354):{'3_1':0.03},(115,353):{'3_1':0.0},(115,352):{'3_1':0.03},(115,351):{'3_1':0.03},(115,350):{'3_1':0.0},(115,349):{'3_1':0.0},(115,348):{'3_1':0.0},(115,347):{'3_1':0.03},(115,346):{'3_1':0.0,'5_2':0.0},(115,345):{'3_1':0.0,'4_1':0.0},(115,344):{'3_1':0.03},(115,343):{'3_1':0.03,'5_1':0.0},(115,342):{'3_1':0.0,'5_1':0.0},(115,341):{'3_1':0.0},(115,340):{'3_1':0.0},(115,338):{'3_1':0.0},(115,337):{'7_1':0.0},(115,336):{'3_1':0.03},(115,335):{'3_1':0.0},(115,334):{'3_1':0.0},(115,333):{'3_1':0.03},(115,331):{'3_1':0.0},(115,330):{'3_1':0.0},(115,329):{'3_1':0.0,'5_1':0.0},(115,328):{'7_1':0.0},(115,327):{'3_1':0.0,'4_1':0.0},(115,326):{'3_1':0.0},(115,325):{'3_1':0.0},(115,324):{'3_1':0.0},(115,323):{'3_1':0.03},(115,322):{'3_1':0.03},(115,321):{'3_1':0.0},(115,320):{'3_1':0.0},(115,319):{'3_1':0.03},(115,318):{'3_1':0.0},(115,317):{'3_1':0.03,'5_2':0.0},(115,316):{'3_1':0.0,'5_1':0.0},(115,315):{'3_1':0.03},(115,314):{'3_1':0.0},(115,312):{'3_1':0.0},(115,311):{'3_1':0.03},(115,310):{'3_1':0.0},(115,309):{'3_1':0.0},(115,308):{'3_1':0.0,'5_1':0.0},(115,307):{'3_1':0.0},(115,306):{'3_1':0.03,'5_1':0.0},(115,305):{'3_1':0.03,'4_1':0.0},(115,304):{'3_1':0.0,'5_1':0.0},(115,303):{'3_1':0.0},(115,302):{'3_1':0.0},(115,301):{'4_1':0.0,'8_1':0.0},(115,300):{'3_1':0.03},(115,299):{'4_1':0.0},(115,298):{'3_1':0.0,'5_1':0.0},(115,297):{'3_1':0.0,'5_1':0.0},(115,296):{'3_1':0.0},(115,295):{'3_1':0.0,'5_1':0.0},(115,294):{'3_1':0.0},(115,293):{'3_1':0.0},(115,291):{'3_1':0.0},(115,290):{'3_1':0.0},(115,289):{'3_1':0.0,'4_1':0.0},(115,288):{'3_1':0.0},(115,287):{'3_1':0.0},(115,286):{'3_1':0.0,'4_1':0.0},(115,285):{'3_1':0.0},(115,284):{'3_1':0.03},(115,283):{'3_1':0.03},(115,282):{'3_1':0.0},(115,281):{'3_1':0.0},(115,280):{'3_1':0.03},(115,279):{'3_1':0.0},(115,278):{'3_1':0.0},(115,277):{'3_1':0.0},(115,276):{'3_1':0.0},(115,275):{'3_1':0.0},(115,274):{'3_1':0.03},(115,273):{'3_1':0.0},(115,271):{'3_1':0.0},(115,269):{'3_1':0.0},(115,268):{'3_1':0.0},(115,267):{'3_1':0.0},(115,266):{'3_1':0.0},(115,265):{'3_1':0.0},(115,264):{'3_1':0.0},(115,262):{'3_1':0.0},(115,261):{'3_1':0.03},(115,260):{'5_1':0.0},(115,259):{'3_1':0.0},(115,257):{'3_1':0.0},(115,256):{'3_1':0.0},(115,255):{'3_1':0.0},(115,252):{'3_1':0.0},(115,251):{'3_1':0.0},(115,250):{'3_1':0.03,'4_1':0.0},(115,249):{'3_1':0.0,'4_1':0.0},(115,248):{'3_1':0.03},(115,247):{'3_1':0.0},(115,245):{'5_1':0.0,'3_1':0.0},(115,244):{'3_1':0.0,'5_1':0.0},(115,243):{'3_1':0.03},(115,242):{'3_1':0.03},(115,241):{'3_1':0.0},(115,240):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(115,238):{'3_1':0.0,'4_1':0.0},(115,237):{'3_1':0.03,'4_1':0.0},(115,236):{'3_1':0.03},(115,235):{'3_1':0.03},(115,234):{'3_1':0.0},(115,233):{'3_1':0.0},(115,232):{'3_1':0.0},(115,231):{'3_1':0.0},(115,230):{'3_1':0.03},(115,229):{'5_1':0.0},(115,228):{'3_1':0.0},(115,226):{'3_1':0.0},(115,225):{'3_1':0.0,'6_2':0.0},(115,223):{'3_1':0.0},(115,222):{'3_1':0.0},(115,221):{'5_1':0.0},(115,217):{'3_1':0.0},(115,216):{'3_1':0.0},(115,213):{'3_1':0.0},(115,212):{'3_1':0.0},(115,211):{'3_1':0.0},(115,210):{'3_1':0.0},(115,208):{'3_1':0.0},(115,207):{'3_1':0.0},(115,206):{'3_1':0.0},(115,205):{'3_1':0.0},(115,202):{'3_1':0.0},(115,200):{'3_1':0.0},(115,197):{'3_1':0.0},(115,194):{'3_1':0.0},(115,193):{'3_1':0.0,'4_1':0.0},(115,192):{'3_1':0.0},(115,191):{'3_1':0.0},(115,187):{'3_1':0.0},(115,186):{'3_1':0.0},(115,183):{'3_1':0.0},(115,179):{'3_1':0.0},(115,178):{'3_1':0.0},(115,177):{'3_1':0.0},(115,176):{'3_1':0.0},(115,171):{'3_1':0.0},(115,170):{'3_1':0.0},(115,168):{'3_1':0.0},(115,165):{'3_1':0.0},(115,163):{'3_1':0.0},(115,161):{'3_1':0.0},(115,159):{'3_1':0.0},(115,158):{'5_1':0.0},(115,157):{'5_1':0.0},(115,156):{'3_1':0.0},(115,155):{'3_1':0.0},(115,154):{'3_1':0.0,'5_1':0.0},(115,153):{'3_1':0.0},(115,152):{'3_1':0.0},(115,151):{'3_1':0.0},(115,150):{'3_1':0.0},(115,149):{'3_1':0.0},(115,147):{'3_1':0.0},(115,146):{'3_1':0.0},(115,145):{'3_1':0.0},(115,144):{'3_1':0.0},(115,136):{'3_1':0.0},(115,133):{'3_1':0.0},(115,122):{'3_1':0.0},(115,121):{'3_1':0.0},(115,120):{'3_1':0.0},(116,727):{'5_2':0.48,'7_2':0.09,'7_5':0.06,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0},(116,726):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'8_8':0.0},(116,725):{'5_2':0.48,'7_2':0.09,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(116,724):{'5_2':0.39,'7_2':0.09,'-3':0.06,'6_1':0.06,'7_5':0.06,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(116,723):{'5_2':0.36,'7_2':0.09,'7_5':0.09,'-3':0.06,'7_3':0.06,'6_1':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'1':-0.03},(116,722):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(116,721):{'5_2':0.39,'7_5':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'2':-0.03},(116,720):{'5_2':0.39,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'7_3':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(116,719):{'5_2':0.36,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(116,718):{'5_2':0.42,'-3':0.09,'7_2':0.06,'7_6':0.03,'7_5':0.03,'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(116,717):{'5_2':0.42,'7_5':0.09,'-3':0.09,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0,'1':-0.03},(116,716):{'5_2':0.39,'7_2':0.06,'7_5':0.06,'-3':0.06,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'8_14':0.0,'4_1':0.0,'8_3':0.0,'8_13':0.0},(116,715):{'5_2':0.42,'7_2':0.06,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_1':0.0,'8_8':0.0},(116,714):{'5_2':0.39,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0},(116,713):{'5_2':0.36,'-3':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0},(116,712):{'5_2':0.36,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(116,711):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'8_1':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(116,710):{'5_2':0.3,'-3':0.18,'7_2':0.09,'7_5':0.06,'3_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'8_15':0.0},(116,709):{'5_2':0.36,'-3':0.24,'7_5':0.03,'3_1':0.0,'5_1':0.0,'9_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(116,708):{'5_2':0.33,'7_5':0.09,'7_2':0.09,'-3':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(116,707):{'5_2':0.33,'-3':0.12,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(116,706):{'5_2':0.42,'3_1':0.12,'7_3':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'8_3':0.0},(116,705):{'5_2':0.42,'7_3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(116,704):{'5_2':0.45,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(116,703):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_6':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0},(116,702):{'5_2':0.45,'-3':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0},(116,701):{'5_2':0.3,'3_1':0.09,'7_4':0.06,'7_3':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.0,'8_14':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(116,700):{'5_2':0.33,'3_1':0.09,'7_2':0.09,'7_3':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(116,699):{'5_2':0.33,'3_1':0.09,'7_2':0.09,'7_5':0.06,'-3':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(116,698):{'5_2':0.3,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'8_11':0.03,'4_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0},(116,697):{'5_2':0.24,'-3':0.09,'3_1':0.09,'7_4':0.09,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0},(116,696):{'5_2':0.33,'3_1':0.09,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(116,695):{'5_2':0.21,'3_1':0.15,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(116,694):{'5_2':0.42,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_8':0.0},(116,693):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_5':0.03,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(116,692):{'5_2':0.33,'3_1':0.15,'-3':0.06,'7_2':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'7_5':0.0},(116,691):{'5_2':0.27,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'9_1':0.0,'3_1#5_2':0.0},(116,690):{'5_2':0.24,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.06,'7_2':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(116,689):{'5_2':0.18,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.03,'6_1':0.03,'3_1#5_2':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0},(116,688):{'5_2':0.36,'3_1':0.15,'-3':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(116,687):{'5_2':0.18,'3_1':0.12,'7_3':0.09,'7_2':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_15':0.0},(116,686):{'5_2':0.24,'3_1':0.18,'7_4':0.09,'7_3':0.06,'-3':0.06,'7_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(116,685):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'7_3':0.06,'7_5':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(116,684):{'3_1':0.3,'5_2':0.21,'7_5':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'8_14':0.0,'-3':0.0},(116,683):{'5_2':0.33,'3_1':0.18,'7_4':0.09,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'7_2':0.0,'3_1#5_2':0.0},(116,682):{'3_1':0.33,'5_2':0.21,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0},(116,681):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(116,680):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'4_1':0.06,'7_5':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(116,679):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(116,678):{'5_2':0.27,'3_1':0.24,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_15':0.0},(116,677):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(116,676):{'3_1':0.36,'5_2':0.21,'4_1':0.06,'7_4':0.06,'5_1':0.0,'7_7':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(116,675):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(116,674):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(116,673):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'8_13':0.0,'9_1':0.0},(116,672):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(116,671):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(116,670):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0},(116,669):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'7_4':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0},(116,668):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(116,667):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(116,666):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'8_19':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(116,665):{'3_1':0.39,'5_2':0.27,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0},(116,664):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(116,663):{'3_1':0.42,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0},(116,662):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(116,661):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(116,660):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0},(116,659):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(116,658):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(116,657):{'3_1':0.33,'5_2':0.18,'5_1':0.12,'7_4':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(116,656):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.03,'8_19':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(116,655):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(116,654):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(116,653):{'3_1':0.33,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_3':0.0,'8_19':0.0,'7_1':0.0,'7_7':0.0},(116,652):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(116,651):{'3_1':0.36,'5_2':0.21,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(116,650):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,649):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(116,648):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(116,647):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(116,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'6_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(116,645):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(116,644):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_3':0.0,'7_1':0.0,'8_19':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(116,643):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(116,642):{'3_1':0.3,'5_2':0.12,'7_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(116,641):{'3_1':0.3,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(116,640):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.03,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(116,639):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(116,638):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(116,637):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0},(116,636):{'3_1':0.15,'5_2':0.09,'7_3':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(116,635):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0},(116,634):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(116,633):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(116,632):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,631):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_5':0.0,'8_19':0.0},(116,630):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(116,629):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(116,628):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(116,627):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(116,626):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(116,625):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'9_1':0.0},(116,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(116,623):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_2':0.0},(116,622):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(116,621):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0},(116,620):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0},(116,619):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(116,618):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(116,617):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(116,616):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'8_19':0.0,'7_1':0.0},(116,615):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0,'7_7':0.0,'8_2':0.0},(116,614):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(116,613):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(116,612):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(116,611):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(116,610):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(116,609):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0,'-3':0.0},(116,608):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(116,607):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(116,606):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(116,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(116,604):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0},(116,603):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0},(116,602):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(116,601):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(116,600):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(116,599):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(116,598):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(116,597):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'7_6':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(116,596):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(116,595):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(116,594):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(116,593):{'3_1':0.15,'4_1':0.09,'5_1':0.06,'6_2':0.0,'7_3':0.0},(116,592):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_5':0.0},(116,591):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'5_2':0.03,'7_6':0.0},(116,590):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03},(116,589):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(116,588):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(116,587):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0},(116,586):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(116,585):{'3_1':0.24,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_3':0.0},(116,584):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(116,583):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(116,582):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(116,581):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0},(116,580):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(116,579):{'3_1':0.12,'4_1':0.09},(116,578):{'3_1':0.18,'4_1':0.09,'5_1':0.0},(116,577):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(116,576):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(116,575):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(116,574):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(116,573):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(116,572):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(116,571):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(116,570):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(116,569):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(116,568):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(116,567):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(116,566):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(116,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_2':0.0},(116,564):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(116,563):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(116,562):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(116,561):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(116,560):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(116,559):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(116,558):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(116,557):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(116,556):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(116,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(116,554):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(116,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(116,552):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0},(116,551):{'3_1':0.18,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(116,550):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(116,549):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(116,548):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0},(116,547):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(116,546):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(116,545):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(116,544):{'3_1':0.18,'5_2':0.0,'7_3':0.0},(116,543):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0},(116,542):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(116,541):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(116,540):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'9_1':0.0},(116,539):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_3':0.0},(116,538):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(116,537):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(116,536):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(116,535):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(116,534):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_1':0.0},(116,533):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(116,532):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(116,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(116,530):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(116,529):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(116,528):{'3_1':0.18,'4_1':0.03,'7_3':0.0},(116,527):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(116,526):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(116,525):{'3_1':0.12,'4_1':0.0},(116,524):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(116,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(116,522):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(116,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(116,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(116,518):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(116,517):{'3_1':0.09,'4_1':0.0},(116,516):{'3_1':0.06,'4_1':0.0},(116,515):{'3_1':0.09,'4_1':0.0},(116,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(116,513):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(116,512):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(116,511):{'3_1':0.03,'7_3':0.0},(116,510):{'3_1':0.06,'5_1':0.0},(116,509):{'3_1':0.03},(116,508):{'3_1':0.03,'4_1':0.0},(116,507):{'3_1':0.03,'4_1':0.0},(116,506):{'3_1':0.06,'5_1':0.0},(116,505):{'3_1':0.03,'4_1':0.0},(116,504):{'3_1':0.06},(116,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(116,502):{'3_1':0.0,'5_2':0.0},(116,501):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(116,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(116,499):{'3_1':0.03,'5_1':0.0},(116,498):{'3_1':0.0,'4_1':0.0},(116,497):{'3_1':0.09,'4_1':0.0},(116,496):{'3_1':0.0,'4_1':0.0},(116,495):{'3_1':0.06,'5_1':0.0},(116,494):{'3_1':0.06,'4_1':0.0},(116,493):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(116,492):{'3_1':0.0},(116,491):{'3_1':0.06,'5_2':0.0},(116,490):{'3_1':0.06,'5_1':0.0},(116,489):{'3_1':0.09,'5_2':0.0},(116,488):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(116,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(116,486):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(116,485):{'3_1':0.09},(116,484):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(116,483):{'3_1':0.06,'5_2':0.0},(116,482):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(116,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,480):{'3_1':0.06,'5_2':0.0},(116,479):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(116,478):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(116,477):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,475):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(116,474):{'3_1':0.06},(116,473):{'3_1':0.06,'5_1':0.0},(116,472):{'3_1':0.06},(116,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(116,470):{'3_1':0.06,'4_1':0.0},(116,469):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(116,468):{'3_1':0.03},(116,467):{'3_1':0.03,'5_2':0.0},(116,466):{'3_1':0.03},(116,465):{'3_1':0.0},(116,464):{'3_1':0.06,'4_1':0.0},(116,463):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(116,462):{'3_1':0.03},(116,461):{'3_1':0.03,'4_1':0.0},(116,460):{'3_1':0.03,'4_1':0.0},(116,459):{'3_1':0.06,'4_1':0.0},(116,458):{'3_1':0.03},(116,457):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(116,456):{'3_1':0.0,'4_1':0.0},(116,455):{'3_1':0.03,'4_1':0.0},(116,454):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(116,453):{'3_1':0.06,'4_1':0.0},(116,452):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,451):{'3_1':0.06,'4_1':0.0},(116,450):{'3_1':0.06,'4_1':0.0},(116,449):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(116,448):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(116,447):{'3_1':0.0,'4_1':0.0},(116,446):{'3_1':0.0},(116,445):{'3_1':0.0,'5_1':0.0},(116,444):{'3_1':0.06,'4_1':0.0},(116,443):{'3_1':0.03},(116,442):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(116,441):{'3_1':0.0},(116,440):{'3_1':0.0},(116,439):{'3_1':0.03,'4_1':0.0},(116,438):{'3_1':0.0,'4_1':0.0},(116,437):{'3_1':0.0},(116,436):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(116,435):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,434):{'3_1':0.0,'5_1':0.0},(116,433):{'3_1':0.03,'4_1':0.0},(116,432):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,431):{'4_1':0.0},(116,430):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(116,429):{'3_1':0.03},(116,428):{'3_1':0.03,'4_1':0.0},(116,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(116,426):{'3_1':0.03,'4_1':0.0},(116,425):{'3_1':0.03},(116,424):{'3_1':0.0,'4_1':0.0},(116,423):{'4_1':0.03,'3_1':0.0},(116,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(116,421):{'3_1':0.03,'4_1':0.0},(116,420):{'3_1':0.0,'5_1':0.0},(116,419):{'3_1':0.03,'4_1':0.0},(116,418):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(116,417):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(116,416):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(116,415):{'3_1':0.03,'4_1':0.03},(116,414):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(116,413):{'3_1':0.0,'4_1':0.0},(116,412):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(116,411):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(116,410):{'3_1':0.0,'6_1':0.0},(116,409):{'3_1':0.0},(116,408):{'4_1':0.0},(116,407):{'3_1':0.03,'4_1':0.0},(116,405):{'3_1':0.03},(116,404):{'3_1':0.0},(116,403):{'3_1':0.0},(116,402):{'3_1':0.0,'4_1':0.0},(116,401):{'3_1':0.03},(116,399):{'3_1':0.0},(116,398):{'3_1':0.0},(116,397):{'3_1':0.0},(116,396):{'3_1':0.03},(116,395):{'3_1':0.0,'4_1':0.0},(116,394):{'3_1':0.0,'4_1':0.0},(116,393):{'3_1':0.0},(116,392):{'3_1':0.0},(116,391):{'3_1':0.0},(116,390):{'3_1':0.0},(116,389):{'3_1':0.0},(116,388):{'3_1':0.03,'4_1':0.0},(116,387):{'3_1':0.0,'5_2':0.0},(116,385):{'3_1':0.0,'4_1':0.0},(116,384):{'3_1':0.0},(116,383):{'3_1':0.0,'4_1':0.0},(116,382):{'3_1':0.0},(116,381):{'3_1':0.0},(116,380):{'3_1':0.03,'4_1':0.0},(116,379):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(116,378):{'3_1':0.03,'5_1':0.0},(116,377):{'3_1':0.0,'5_2':0.0},(116,376):{'3_1':0.0},(116,375):{'3_1':0.03,'5_2':0.0},(116,374):{'3_1':0.03},(116,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(116,372):{'3_1':0.0,'5_1':0.0},(116,371):{'3_1':0.03},(116,370):{'3_1':0.03},(116,369):{'3_1':0.03,'5_1':0.0},(116,368):{'3_1':0.03,'5_1':0.0},(116,367):{'3_1':0.03},(116,366):{'3_1':0.0},(116,365):{'3_1':0.03,'5_1':0.0},(116,364):{'3_1':0.06},(116,363):{'3_1':0.09},(116,362):{'3_1':0.0},(116,361):{'3_1':0.0,'9_1':0.0},(116,360):{'3_1':0.0,'5_1':0.0},(116,359):{'3_1':0.03},(116,358):{'3_1':0.03},(116,357):{'3_1':0.0,'5_1':0.0},(116,356):{'3_1':0.0,'5_1':0.0},(116,355):{'3_1':0.03},(116,354):{'3_1':0.0},(116,353):{'3_1':0.03,'5_1':0.0},(116,352):{'3_1':0.0},(116,351):{'3_1':0.03},(116,350):{'3_1':0.06},(116,349):{'3_1':0.0},(116,348):{'3_1':0.0},(116,347):{'3_1':0.0},(116,346):{'3_1':0.0},(116,345):{'3_1':0.0,'4_1':0.0},(116,344):{'3_1':0.0},(116,343):{'3_1':0.0},(116,341):{'3_1':0.0,'5_1':0.0},(116,340):{'3_1':0.0},(116,339):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(116,338):{'5_1':0.0},(116,337):{'3_1':0.0},(116,336):{'3_1':0.0},(116,335):{'3_1':0.0,'5_1':0.0},(116,334):{'7_3':0.0},(116,333):{'3_1':0.0},(116,332):{'3_1':0.0},(116,331):{'3_1':0.0},(116,330):{'3_1':0.0},(116,329):{'3_1':0.06},(116,328):{'3_1':0.0},(116,327):{'3_1':0.0},(116,326):{'3_1':0.0},(116,325):{'3_1':0.0},(116,324):{'3_1':0.0,'4_1':0.0},(116,323):{'3_1':0.03},(116,321):{'3_1':0.03},(116,320):{'3_1':0.0},(116,319):{'3_1':0.0},(116,318):{'3_1':0.0},(116,317):{'3_1':0.0},(116,316):{'3_1':0.0},(116,315):{'3_1':0.06,'4_1':0.0},(116,314):{'3_1':0.0},(116,313):{'3_1':0.0},(116,312):{'3_1':0.0,'5_1':0.0},(116,311):{'3_1':0.0},(116,310):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(116,309):{'3_1':0.0},(116,308):{'3_1':0.03},(116,307):{'3_1':0.0},(116,306):{'3_1':0.0},(116,305):{'3_1':0.0},(116,304):{'3_1':0.0},(116,303):{'3_1':0.03},(116,302):{'3_1':0.03},(116,301):{'3_1':0.06},(116,300):{'3_1':0.03},(116,299):{'3_1':0.03},(116,298):{'3_1':0.03},(116,297):{'3_1':0.0},(116,296):{'3_1':0.0},(116,295):{'3_1':0.0},(116,293):{'3_1':0.0,'5_1':0.0},(116,292):{'3_1':0.0},(116,291):{'3_1':0.0},(116,290):{'3_1':0.0},(116,289):{'3_1':0.0},(116,288):{'3_1':0.0},(116,287):{'3_1':0.03},(116,286):{'3_1':0.0},(116,285):{'3_1':0.0},(116,284):{'3_1':0.0},(116,283):{'3_1':0.03},(116,282):{'3_1':0.0},(116,280):{'3_1':0.0},(116,279):{'3_1':0.0},(116,278):{'3_1':0.0},(116,277):{'3_1':0.03},(116,276):{'3_1':0.0},(116,275):{'3_1':0.0},(116,274):{'3_1':0.0},(116,273):{'3_1':0.0},(116,272):{'3_1':0.0},(116,271):{'3_1':0.03},(116,270):{'3_1':0.0},(116,269):{'3_1':0.0,'5_2':0.0},(116,268):{'3_1':0.0},(116,267):{'3_1':0.0},(116,266):{'3_1':0.0},(116,265):{'3_1':0.0},(116,264):{'3_1':0.0},(116,263):{'3_1':0.0},(116,262):{'3_1':0.0},(116,260):{'3_1':0.0},(116,259):{'3_1':0.0},(116,257):{'3_1':0.0},(116,256):{'3_1':0.0},(116,255):{'3_1':0.0},(116,254):{'4_1':0.0},(116,253):{'3_1':0.0},(116,251):{'3_1':0.0},(116,250):{'3_1':0.0,'4_1':0.0},(116,248):{'3_1':0.0},(116,247):{'3_1':0.0},(116,246):{'3_1':0.0,'5_1':0.0},(116,245):{'3_1':0.0},(116,244):{'3_1':0.0,'5_2':0.0},(116,243):{'3_1':0.03},(116,242):{'3_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(116,241):{'3_1':0.06},(116,240):{'3_1':0.03},(116,239):{'3_1':0.0},(116,238):{'3_1':0.0,'5_1':0.0},(116,237):{'3_1':0.0},(116,236):{'3_1':0.0},(116,235):{'3_1':0.0},(116,234):{'3_1':0.0},(116,233):{'3_1':0.0},(116,232):{'3_1':0.0},(116,231):{'3_1':0.0},(116,230):{'3_1':0.0},(116,227):{'5_2':0.0,'7_1':0.0},(116,226):{'3_1':0.0},(116,225):{'3_1':0.0},(116,220):{'3_1':0.0},(116,219):{'5_1':0.0},(116,218):{'3_1':0.0},(116,217):{'3_1':0.0},(116,214):{'3_1':0.0},(116,213):{'3_1':0.0},(116,211):{'3_1':0.0},(116,210):{'3_1':0.0,'4_1':0.0},(116,209):{'3_1':0.0},(116,207):{'3_1':0.03},(116,205):{'3_1':0.0,'5_1':0.0},(116,203):{'3_1':0.0},(116,202):{'3_1':0.0},(116,201):{'3_1':0.0},(116,200):{'3_1':0.0},(116,199):{'3_1':0.0,'5_1':0.0},(116,198):{'3_1':0.0},(116,197):{'3_1':0.0},(116,194):{'3_1':0.0},(116,193):{'5_1':0.0},(116,188):{'5_2':0.0},(116,187):{'3_1':0.0},(116,184):{'3_1':0.0},(116,182):{'3_1':0.0},(116,181):{'5_1':0.0,'-3':0.0},(116,180):{'3_1':0.0},(116,178):{'3_1':0.0},(116,177):{'3_1':0.0},(116,174):{'3_1':0.0},(116,171):{'3_1':0.0},(116,169):{'3_1':0.0},(116,168):{'3_1':0.0},(116,167):{'3_1':0.0,'5_1':0.0},(116,165):{'3_1':0.0},(116,164):{'3_1':0.0},(116,163):{'3_1':0.0},(116,162):{'3_1':0.0},(116,161):{'3_1':0.0},(116,160):{'5_1':0.0},(116,159):{'3_1':0.0},(116,158):{'3_1':0.03},(116,157):{'3_1':0.03},(116,156):{'3_1':0.0},(116,155):{'3_1':0.03},(116,154):{'3_1':0.03},(116,153):{'3_1':0.03},(116,152):{'3_1':0.0,'5_1':0.0},(116,151):{'3_1':0.0,'5_1':0.0},(116,150):{'3_1':0.0},(116,149):{'3_1':0.0},(116,148):{'3_1':0.0},(116,147):{'3_1':0.0},(116,146):{'3_1':0.0},(116,145):{'3_1':0.0},(116,137):{'5_2':0.0},(116,126):{'3_1':0.0},(116,125):{'5_1':0.0},(116,122):{'3_1':0.0},(116,120):{'3_1':0.0},(117,727):{'5_2':0.45,'7_2':0.12,'-3':0.06,'7_5':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(117,726):{'5_2':0.42,'7_2':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(117,725):{'5_2':0.45,'7_2':0.12,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(117,724):{'5_2':0.51,'7_2':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(117,723):{'5_2':0.45,'7_2':0.12,'-3':0.06,'6_1':0.06,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(117,722):{'5_2':0.45,'-3':0.12,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0},(117,721):{'5_2':0.51,'7_2':0.09,'-3':0.06,'7_3':0.03,'3_1':0.0,'7_5':0.0,'8_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(117,720):{'5_2':0.36,'7_2':0.09,'-3':0.06,'3_1':0.06,'7_6':0.06,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(117,719):{'5_2':0.42,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'8_1':0.03,'7_6':0.0,'7_4':0.0,'8_14':0.0,'1':-0.03},(117,718):{'5_2':0.39,'7_2':0.06,'-3':0.06,'7_6':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'1':-0.03},(117,717):{'5_2':0.36,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(117,716):{'5_2':0.27,'7_2':0.12,'-3':0.06,'7_4':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.03,'8_1':0.0,'5_1':0.0,'2':-0.03},(117,715):{'5_2':0.45,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_6':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'8_8':0.0,'8_13':0.0},(117,714):{'5_2':0.39,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(117,713):{'5_2':0.42,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(117,712):{'5_2':0.36,'7_2':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.03,'7_6':0.0,'8_11':0.0,'1':-0.03},(117,711):{'5_2':0.39,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'1':-0.03},(117,710):{'5_2':0.36,'-3':0.12,'7_2':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0},(117,709):{'5_2':0.24,'-3':0.21,'7_5':0.09,'7_2':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(117,708):{'5_2':0.36,'7_2':0.09,'-3':0.06,'7_5':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(117,707):{'5_2':0.3,'7_2':0.09,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0,'3_1#5_2':0.0},(117,706):{'5_2':0.3,'7_2':0.15,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(117,705):{'5_2':0.33,'7_2':0.12,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(117,704):{'5_2':0.45,'7_2':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(117,703):{'5_2':0.42,'7_2':0.12,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(117,702):{'5_2':0.39,'3_1':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(117,701):{'5_2':0.27,'3_1':0.09,'7_2':0.09,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(117,700):{'5_2':0.33,'7_2':0.09,'3_1':0.06,'7_5':0.06,'-3':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(117,699):{'5_2':0.33,'7_2':0.09,'3_1':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0},(117,698):{'5_2':0.3,'-3':0.06,'7_2':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'2':-0.03},(117,697):{'5_2':0.42,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(117,696):{'5_2':0.33,'3_1':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(117,695):{'5_2':0.42,'7_2':0.09,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'1':-0.03},(117,694):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_2':0.06,'6_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'7_5':0.0,'8_11':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(117,693):{'5_2':0.24,'3_1':0.18,'7_2':0.12,'-3':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(117,692):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(117,691):{'5_2':0.24,'3_1':0.21,'-3':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0},(117,690):{'5_2':0.24,'3_1':0.18,'-3':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(117,689):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(117,688):{'5_2':0.24,'3_1':0.15,'7_2':0.09,'-3':0.06,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0},(117,687):{'5_2':0.3,'3_1':0.18,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(117,686):{'3_1':0.27,'5_2':0.24,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(117,685):{'5_2':0.39,'3_1':0.12,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(117,684):{'5_2':0.3,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0,'7_6':0.0},(117,683):{'3_1':0.3,'5_2':0.21,'7_2':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(117,682):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_4':0.06,'7_2':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(117,681):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(117,680):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(117,679):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'7_3':0.03,'7_2':0.03,'7_5':0.0,'7_1':0.0,'3_1#5_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(117,678):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(117,677):{'3_1':0.24,'5_2':0.24,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(117,676):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(117,675):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0},(117,674):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(117,673):{'3_1':0.39,'5_2':0.12,'-3':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(117,672):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(117,671):{'3_1':0.3,'5_2':0.15,'7_4':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(117,670):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'1':-0.03},(117,669):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(117,668):{'3_1':0.39,'5_2':0.27,'7_3':0.03,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0},(117,667):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(117,666):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_5':0.0,'8_19':0.0},(117,665):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(117,664):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(117,663):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(117,662):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0},(117,661):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,660):{'3_1':0.39,'5_2':0.3,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(117,659):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(117,658):{'3_1':0.39,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(117,657):{'3_1':0.42,'5_2':0.24,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(117,656):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(117,655):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(117,654):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'8_19':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,653):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(117,652):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'8_19':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(117,651):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(117,650):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(117,649):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_1':0.03,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(117,648):{'3_1':0.36,'5_2':0.24,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(117,647):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_4':0.0,'-3':0.0,'8_19':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(117,646):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0},(117,645):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(117,644):{'3_1':0.21,'5_2':0.21,'5_1':0.09,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(117,643):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.06,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(117,642):{'3_1':0.18,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_5':0.0,'-3':0.0},(117,641):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'8_19':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(117,640):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(117,639):{'3_1':0.21,'5_2':0.09,'5_1':0.09,'4_1':0.03,'-3':0.0},(117,638):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.03,'6_2':0.0,'8_19':0.0},(117,637):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(117,636):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'8_19':0.0},(117,635):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(117,634):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(117,633):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0},(117,632):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(117,631):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0},(117,630):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(117,629):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(117,628):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(117,627):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03},(117,626):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,625):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03},(117,624):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_5':0.0},(117,623):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(117,622):{'3_1':0.3,'5_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0},(117,621):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(117,620):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_5':0.0},(117,619):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'7_5':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_16':0.0},(117,618):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'4_1':0.0,'-3':0.0},(117,617):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0},(117,616):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,615):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(117,614):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(117,613):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(117,612):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(117,611):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(117,610):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0},(117,609):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(117,608):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0},(117,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(117,606):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,605):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_3':0.0},(117,604):{'3_1':0.3,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(117,603):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0},(117,602):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0},(117,601):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(117,600):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'7_4':0.0},(117,599):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_12':0.0},(117,598):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(117,597):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0},(117,596):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(117,595):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(117,594):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0},(117,593):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0},(117,592):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(117,591):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_6':0.0},(117,590):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'6_1':0.0},(117,589):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0},(117,588):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(117,587):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03},(117,586):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(117,585):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'5_2':0.0,'-3':0.0},(117,584):{'3_1':0.18,'4_1':0.12,'5_1':0.0},(117,583):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_4':0.0},(117,582):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_4':0.0},(117,581):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_2':0.0},(117,580):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_8':0.0},(117,579):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0},(117,578):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(117,577):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(117,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(117,575):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(117,574):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(117,573):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(117,572):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(117,571):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(117,570):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(117,569):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(117,568):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(117,567):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0},(117,566):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(117,565):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_2':0.0},(117,564):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(117,563):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(117,562):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(117,561):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(117,560):{'3_1':0.18,'4_1':0.06},(117,559):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(117,558):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(117,557):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(117,556):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(117,555):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(117,554):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(117,553):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(117,552):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(117,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(117,550):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(117,549):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(117,548):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_5':0.0},(117,547):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(117,546):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(117,545):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(117,544):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(117,543):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(117,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,541):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(117,540):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0},(117,539):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(117,538):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(117,537):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(117,536):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_5':0.0},(117,535):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(117,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(117,532):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(117,531):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(117,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(117,529):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(117,528):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(117,527):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(117,526):{'3_1':0.12,'5_1':0.0},(117,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(117,524):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(117,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(117,522):{'3_1':0.09,'5_2':0.0},(117,521):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0},(117,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,519):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(117,518):{'3_1':0.03,'4_1':0.0},(117,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(117,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(117,515):{'3_1':0.09},(117,514):{'3_1':0.06},(117,513):{'3_1':0.06,'7_3':0.0,'5_1':0.0},(117,512):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(117,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,510):{'3_1':0.06,'5_2':0.0},(117,509):{'3_1':0.03,'-3':0.0},(117,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(117,507):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(117,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(117,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(117,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,503):{'3_1':0.03},(117,502):{'3_1':0.03,'4_1':0.0},(117,501):{'3_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(117,500):{'3_1':0.0},(117,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(117,498):{'3_1':0.03,'4_1':0.03,'7_3':0.0},(117,497):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(117,496):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(117,495):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(117,494):{'3_1':0.03,'5_2':0.0},(117,493):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(117,492):{'3_1':0.06,'4_1':0.0},(117,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(117,490):{'3_1':0.06,'4_1':0.0},(117,489):{'3_1':0.03,'4_1':0.03},(117,488):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(117,487):{'3_1':0.06},(117,486):{'3_1':0.06,'4_1':0.0},(117,485):{'3_1':0.06},(117,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(117,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,482):{'3_1':0.12,'7_1':0.0},(117,481):{'3_1':0.12},(117,480):{'3_1':0.09},(117,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,478):{'3_1':0.09,'4_1':0.0},(117,477):{'3_1':0.09},(117,476):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(117,475):{'3_1':0.06,'5_2':0.0},(117,474):{'3_1':0.03,'5_1':0.0},(117,473):{'3_1':0.0,'6_1':0.0},(117,472):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,471):{'3_1':0.06,'4_1':0.0},(117,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(117,469):{'3_1':0.06,'5_2':0.0},(117,468):{'3_1':0.09,'5_1':0.0},(117,467):{'3_1':0.09},(117,466):{'3_1':0.03,'4_1':0.03},(117,465):{'3_1':0.03},(117,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,463):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(117,462):{'4_1':0.0,'3_1':0.0},(117,461):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,460):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(117,459):{'3_1':0.06,'5_2':0.0},(117,458):{'3_1':0.03},(117,457):{'3_1':0.03,'4_1':0.0},(117,456):{'3_1':0.03},(117,455):{'3_1':0.03,'4_1':0.0},(117,454):{'3_1':0.03},(117,453):{'3_1':0.03,'4_1':0.0},(117,452):{'3_1':0.03,'4_1':0.0},(117,451):{'3_1':0.06,'4_1':0.0},(117,450):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(117,449):{'3_1':0.03,'4_1':0.0},(117,448):{'3_1':0.0,'4_1':0.0},(117,447):{'3_1':0.06,'4_1':0.0},(117,446):{'3_1':0.0,'4_1':0.0},(117,445):{'3_1':0.0},(117,444):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(117,443):{'3_1':0.03},(117,442):{'3_1':0.0},(117,441):{'3_1':0.0},(117,440):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(117,439):{'3_1':0.03,'4_1':0.0},(117,438):{'3_1':0.03,'4_1':0.0},(117,437):{'4_1':0.03,'3_1':0.0},(117,436):{'3_1':0.06,'4_1':0.0},(117,435):{'4_1':0.0,'3_1':0.0},(117,434):{'3_1':0.0,'4_1':0.0},(117,433):{'3_1':0.03,'4_1':0.03},(117,432):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(117,431):{'3_1':0.0,'5_1':0.0},(117,430):{'4_1':0.03,'3_1':0.0},(117,429):{'3_1':0.03},(117,428):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(117,427):{'3_1':0.06,'4_1':0.03},(117,426):{'3_1':0.06,'4_1':0.03},(117,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(117,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(117,423):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(117,422):{'3_1':0.03,'4_1':0.0},(117,421):{'4_1':0.03,'3_1':0.0},(117,420):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(117,419):{'3_1':0.06,'4_1':0.03},(117,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(117,417):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(117,416):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(117,415):{'3_1':0.0,'4_1':0.0},(117,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(117,413):{'3_1':0.03,'4_1':0.0},(117,412):{'3_1':0.0,'4_1':0.0},(117,411):{'7_1':0.0,'3_1':0.0,'4_1':0.0},(117,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(117,409):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(117,408):{'3_1':0.0,'4_1':0.0},(117,407):{'3_1':0.0},(117,406):{'3_1':0.0,'4_1':0.0},(117,405):{'3_1':0.0},(117,404):{'3_1':0.0},(117,403):{'3_1':0.0},(117,402):{'3_1':0.0,'4_1':0.0},(117,401):{'4_1':0.0,'3_1':0.0},(117,400):{'3_1':0.0},(117,399):{'3_1':0.03},(117,397):{'4_1':0.0,'6_1':0.0},(117,396):{'3_1':0.0},(117,395):{'3_1':0.0,'4_1':0.0},(117,394):{'3_1':0.03,'4_1':0.0},(117,393):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(117,392):{'3_1':0.0},(117,391):{'3_1':0.0,'4_1':0.0},(117,389):{'3_1':0.0},(117,388):{'3_1':0.0},(117,386):{'3_1':0.03,'4_1':0.0},(117,385):{'3_1':0.0,'5_1':0.0},(117,384):{'5_2':0.0},(117,383):{'3_1':0.0,'6_1':0.0},(117,382):{'3_1':0.03,'5_1':0.0},(117,381):{'3_1':0.0},(117,380):{'3_1':0.0,'6_1':0.0},(117,379):{'3_1':0.03},(117,378):{'3_1':0.06},(117,377):{'3_1':0.03},(117,376):{'3_1':0.03,'5_1':0.0},(117,375):{'3_1':0.03,'8_19':0.0},(117,374):{'3_1':0.0,'5_1':0.0},(117,373):{'3_1':0.0},(117,372):{'3_1':0.0},(117,371):{'3_1':0.03},(117,370):{'3_1':0.0},(117,369):{'3_1':0.0,'5_1':0.0},(117,368):{'3_1':0.0,'5_1':0.0},(117,367):{'3_1':0.0},(117,366):{'3_1':0.0},(117,365):{'3_1':0.03,'5_1':0.0},(117,364):{'3_1':0.03},(117,363):{'3_1':0.0},(117,362):{'3_1':0.03},(117,361):{'3_1':0.0,'9_1':0.0,'-3':0.0},(117,360):{'3_1':0.06},(117,359):{'3_1':0.03},(117,358):{'3_1':0.03},(117,357):{'3_1':0.03},(117,356):{'3_1':0.0},(117,354):{'3_1':0.0},(117,353):{'3_1':0.03},(117,351):{'3_1':0.0},(117,349):{'3_1':0.0},(117,348):{'3_1':0.0},(117,347):{'3_1':0.0},(117,346):{'3_1':0.0},(117,345):{'3_1':0.0,'4_1':0.0},(117,344):{'3_1':0.0},(117,343):{'3_1':0.0,'7_1':0.0},(117,342):{'3_1':0.0},(117,341):{'3_1':0.0},(117,340):{'3_1':0.0,'5_1':0.0},(117,339):{'5_1':0.0},(117,338):{'3_1':0.0},(117,337):{'3_1':0.0,'5_1':0.0},(117,336):{'3_1':0.0},(117,335):{'3_1':0.03},(117,333):{'3_1':0.0},(117,332):{'3_1':0.0},(117,331):{'3_1':0.0},(117,330):{'3_1':0.0,'4_1':0.0},(117,329):{'3_1':0.0},(117,327):{'3_1':0.03,'4_1':0.0},(117,326):{'3_1':0.0},(117,325):{'3_1':0.03},(117,323):{'3_1':0.0},(117,322):{'3_1':0.0,'4_1':0.0},(117,321):{'3_1':0.0},(117,320):{'3_1':0.0},(117,319):{'3_1':0.0},(117,318):{'3_1':0.0},(117,317):{'3_1':0.03,'8_20|3_1#3_1':0.0},(117,316):{'3_1':0.0},(117,314):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(117,312):{'3_1':0.0},(117,311):{'3_1':0.03,'5_2':0.0},(117,309):{'3_1':0.0},(117,308):{'3_1':0.0},(117,307):{'3_1':0.0},(117,306):{'3_1':0.0},(117,305):{'3_1':0.0},(117,303):{'3_1':0.0},(117,302):{'3_1':0.06},(117,301):{'3_1':0.0},(117,300):{'3_1':0.0},(117,298):{'3_1':0.0},(117,297):{'3_1':0.0},(117,296):{'3_1':0.0},(117,294):{'3_1':0.0},(117,293):{'3_1':0.03},(117,292):{'3_1':0.0},(117,291):{'3_1':0.0},(117,289):{'3_1':0.0,'4_1':0.0},(117,288):{'3_1':0.0},(117,286):{'3_1':0.0},(117,285):{'3_1':0.0},(117,284):{'3_1':0.0},(117,282):{'3_1':0.03,'5_1':0.0},(117,281):{'3_1':0.0},(117,279):{'3_1':0.03},(117,278):{'3_1':0.03},(117,277):{'3_1':0.0},(117,276):{'3_1':0.03,'4_1':0.0},(117,275):{'3_1':0.0},(117,270):{'3_1':0.03},(117,269):{'3_1':0.0},(117,268):{'3_1':0.0},(117,267):{'3_1':0.0},(117,266):{'3_1':0.03},(117,265):{'3_1':0.03},(117,264):{'3_1':0.0},(117,263):{'3_1':0.0},(117,261):{'3_1':0.0},(117,260):{'3_1':0.0},(117,259):{'3_1':0.03},(117,258):{'3_1':0.0},(117,257):{'3_1':0.0,'4_1':0.0},(117,256):{'3_1':0.03,'7_2':0.0},(117,255):{'3_1':0.0},(117,254):{'3_1':0.0},(117,253):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(117,252):{'3_1':0.0},(117,251):{'3_1':0.0},(117,250):{'3_1':0.0},(117,249):{'3_1':0.03},(117,247):{'3_1':0.0},(117,246):{'3_1':0.0},(117,245):{'3_1':0.0},(117,244):{'3_1':0.0,'4_1':0.0},(117,242):{'3_1':0.0},(117,241):{'3_1':0.03,'5_2':0.0},(117,240):{'3_1':0.09},(117,239):{'3_1':0.0,'5_1':0.0},(117,238):{'3_1':0.03},(117,237):{'3_1':0.03},(117,236):{'3_1':0.03},(117,235):{'3_1':0.0},(117,234):{'3_1':0.0},(117,233):{'3_1':0.0},(117,232):{'3_1':0.0},(117,230):{'3_1':0.0},(117,229):{'3_1':0.0},(117,228):{'3_1':0.0,'5_1':0.0},(117,227):{'3_1':0.0},(117,226):{'3_1':0.0},(117,224):{'3_1':0.0},(117,221):{'3_1':0.0},(117,215):{'3_1':0.0},(117,213):{'3_1':0.0},(117,212):{'3_1':0.0,'4_1':0.0},(117,210):{'3_1':0.0},(117,209):{'3_1':0.0},(117,206):{'3_1':0.0},(117,205):{'3_1':0.0},(117,203):{'3_1':0.0},(117,201):{'3_1':0.0},(117,199):{'3_1':0.0},(117,192):{'3_1':0.0},(117,191):{'3_1':0.0},(117,190):{'3_1':0.0},(117,187):{'3_1':0.0},(117,184):{'3_1':0.0},(117,179):{'3_1':0.0},(117,175):{'3_1':0.0},(117,174):{'3_1':0.0},(117,173):{'3_1':0.0},(117,168):{'3_1':0.0},(117,164):{'3_1':0.0},(117,163):{'3_1':0.0},(117,162):{'3_1':0.0,'5_1':0.0},(117,161):{'3_1':0.0},(117,158):{'3_1':0.0},(117,157):{'3_1':0.0},(117,156):{'5_1':0.0,'3_1':0.0},(117,155):{'3_1':0.03},(117,154):{'3_1':0.0,'5_1':0.0},(117,153):{'3_1':0.0},(117,152):{'3_1':0.03},(117,150):{'3_1':0.0},(117,149):{'3_1':0.03},(117,148):{'3_1':0.0},(117,146):{'3_1':0.0},(117,143):{'3_1':0.0},(117,141):{'5_2':0.0},(117,136):{'3_1':0.0},(117,127):{'3_1':0.0},(117,125):{'3_1':0.0,'5_1':0.0},(117,124):{'3_1':0.0},(117,122):{'3_1':0.0},(118,727):{'5_2':0.54,'7_2':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'2':-0.03},(118,726):{'5_2':0.42,'7_2':0.12,'-3':0.09,'7_5':0.03,'6_1':0.03,'3_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(118,725):{'5_2':0.51,'7_2':0.15,'-3':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0},(118,724):{'5_2':0.45,'7_2':0.12,'7_3':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(118,723):{'5_2':0.48,'7_2':0.12,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(118,722):{'5_2':0.39,'7_2':0.12,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'2':-0.03},(118,721):{'5_2':0.45,'-3':0.09,'7_2':0.06,'7_3':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(118,720):{'5_2':0.48,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0},(118,719):{'5_2':0.3,'-3':0.12,'7_2':0.06,'7_5':0.06,'7_3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(118,718):{'5_2':0.45,'7_2':0.12,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'8_11':0.0,'8_15':0.0,'7_5':0.0},(118,717):{'5_2':0.45,'7_2':0.12,'7_3':0.03,'3_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(118,716):{'5_2':0.39,'7_2':0.12,'-3':0.09,'3_1':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.0,'8_11':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(118,715):{'5_2':0.39,'7_2':0.18,'-3':0.09,'7_3':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(118,714):{'5_2':0.42,'7_2':0.12,'3_1':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(118,713):{'5_2':0.42,'7_2':0.12,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(118,712):{'5_2':0.33,'7_2':0.15,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(118,711):{'5_2':0.33,'-3':0.12,'7_2':0.09,'3_1':0.09,'6_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(118,710):{'5_2':0.39,'-3':0.15,'7_2':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(118,709):{'5_2':0.3,'-3':0.18,'7_2':0.06,'7_5':0.03,'6_1':0.03,'5_1':0.03,'7_6':0.03,'3_1':0.0,'8_11':0.0,'7_3':0.0,'7_1':0.0},(118,708):{'5_2':0.39,'7_2':0.12,'7_3':0.03,'-3':0.03,'5_1':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'1':-0.03},(118,707):{'5_2':0.39,'7_2':0.09,'-3':0.09,'7_3':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(118,706):{'5_2':0.39,'7_2':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'-3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(118,705):{'5_2':0.36,'3_1':0.18,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0},(118,704):{'5_2':0.33,'7_2':0.15,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_8':0.0},(118,703):{'5_2':0.27,'7_2':0.12,'-3':0.12,'3_1':0.09,'7_3':0.06,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'7_5':0.0},(118,702):{'5_2':0.42,'-3':0.09,'7_4':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(118,701):{'5_2':0.3,'7_3':0.09,'3_1':0.09,'-3':0.09,'7_2':0.09,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_14':0.0},(118,700):{'5_2':0.24,'3_1':0.09,'7_2':0.09,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(118,699):{'5_2':0.36,'3_1':0.09,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.0},(118,698):{'5_2':0.3,'7_2':0.12,'-3':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_6':0.0,'8_11':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0},(118,697):{'5_2':0.3,'-3':0.15,'7_2':0.09,'7_3':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(118,696):{'5_2':0.36,'3_1':0.09,'7_2':0.09,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0},(118,695):{'5_2':0.3,'7_2':0.12,'-3':0.09,'3_1':0.09,'7_3':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(118,694):{'5_2':0.24,'-3':0.12,'3_1':0.12,'7_2':0.09,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(118,693):{'5_2':0.27,'7_2':0.15,'-3':0.09,'3_1':0.09,'7_3':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0},(118,692):{'5_2':0.33,'3_1':0.12,'7_3':0.06,'-3':0.06,'7_2':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0},(118,691):{'5_2':0.27,'3_1':0.12,'7_2':0.09,'-3':0.09,'7_3':0.06,'7_4':0.06,'8_14':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(118,690):{'5_2':0.3,'3_1':0.12,'7_3':0.09,'7_2':0.06,'-3':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0,'8_14':0.0,'3_1#5_2':0.0},(118,689):{'5_2':0.3,'3_1':0.09,'7_3':0.06,'7_2':0.06,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(118,688):{'5_2':0.27,'3_1':0.18,'6_1':0.03,'7_2':0.03,'7_5':0.03,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0},(118,687):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_2':0.06,'4_1':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0,'6_1':0.0,'7_5':0.0},(118,686):{'5_2':0.33,'7_4':0.12,'3_1':0.09,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'8_13':0.0,'8_14':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'9_1':0.0},(118,685):{'3_1':0.33,'5_2':0.27,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(118,684):{'5_2':0.33,'3_1':0.24,'5_1':0.06,'7_2':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(118,683):{'3_1':0.3,'5_2':0.27,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(118,682):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(118,681):{'3_1':0.27,'5_2':0.24,'7_2':0.06,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(118,680):{'3_1':0.3,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(118,679):{'3_1':0.33,'5_2':0.15,'7_3':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(118,678):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'5_1':0.03,'4_1':0.0,'8_11':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'7_6':0.0},(118,677):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'5_1':0.06,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(118,676):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(118,675):{'3_1':0.39,'5_2':0.12,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.03,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'1':-0.03},(118,674):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0},(118,673):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(118,672):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(118,671):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(118,670):{'3_1':0.42,'5_2':0.21,'4_1':0.06,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(118,669):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(118,668):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0},(118,667):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0},(118,666):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'7_7':0.0,'8_8':0.0},(118,665):{'3_1':0.48,'5_2':0.24,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0},(118,664):{'3_1':0.36,'5_2':0.27,'5_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(118,663):{'3_1':0.3,'5_2':0.24,'7_2':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(118,662):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_4':0.06,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0},(118,661):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(118,660):{'3_1':0.36,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(118,659):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(118,658):{'3_1':0.3,'5_2':0.27,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(118,657):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_2':0.03,'7_3':0.0,'8_19':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(118,656):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.0,'8_19':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(118,655):{'3_1':0.42,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(118,654):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(118,653):{'3_1':0.36,'5_2':0.24,'5_1':0.09,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(118,652):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(118,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_19':0.0,'7_5':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(118,650):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(118,649):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(118,648):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(118,647):{'3_1':0.24,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_16':0.0,'9_1':0.0},(118,646):{'3_1':0.27,'5_2':0.12,'5_1':0.12,'4_1':0.06,'7_4':0.0,'7_1':0.0,'7_5':0.0},(118,645):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(118,644):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(118,643):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0},(118,642):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'1':-0.03},(118,641):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(118,640):{'3_1':0.33,'5_2':0.15,'5_1':0.12,'4_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(118,639):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'1':-0.03},(118,638):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(118,637):{'3_1':0.18,'5_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,636):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(118,635):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(118,634):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'8_11':0.0},(118,633):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,632):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(118,631):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_6':0.0},(118,630):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_3':0.0,'8_14':0.0,'-3':0.0},(118,629):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(118,628):{'3_1':0.24,'5_2':0.09,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(118,627):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,626):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0},(118,625):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(118,624):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0},(118,623):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_9':0.0},(118,622):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(118,621):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(118,620):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0},(118,619):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(118,618):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(118,617):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(118,616):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(118,615):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0},(118,614):{'3_1':0.3,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(118,613):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'8_19':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(118,612):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(118,611):{'3_1':0.27,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(118,610):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(118,609):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0,'6_1':0.0,'3_1#5_1':0.0},(118,608):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'1':-0.03},(118,607):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(118,606):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0},(118,605):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0},(118,604):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'8_19':0.0},(118,603):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(118,602):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(118,601):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0},(118,600):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0},(118,599):{'3_1':0.24,'4_1':0.12,'5_2':0.0,'-3':0.0},(118,598):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(118,597):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'8_19':0.0,'1':-0.03},(118,596):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(118,595):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0},(118,594):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(118,593):{'3_1':0.24,'4_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0},(118,592):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(118,591):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(118,590):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(118,589):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(118,588):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(118,587):{'3_1':0.24,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(118,586):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_2':0.0},(118,585):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(118,584):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(118,583):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(118,582):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'7_3':0.0},(118,581):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(118,580):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(118,579):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(118,578):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'7_1':0.0},(118,577):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(118,576):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_19':0.0},(118,575):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(118,574):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(118,573):{'3_1':0.21,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(118,572):{'3_1':0.21,'4_1':0.03,'7_1':0.0},(118,571):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(118,570):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(118,569):{'3_1':0.18,'4_1':0.06,'7_2':0.0},(118,568):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(118,567):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0},(118,566):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(118,565):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_3':0.0,'7_2':0.0},(118,564):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(118,563):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(118,562):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(118,561):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_1':0.0},(118,560):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(118,559):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(118,558):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(118,557):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_5':0.0},(118,556):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,555):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(118,554):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(118,553):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(118,552):{'3_1':0.15,'5_1':0.0},(118,551):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(118,550):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(118,549):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(118,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,547):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(118,546):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(118,545):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(118,544):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(118,543):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(118,542):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0},(118,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,540):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(118,539):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(118,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(118,537):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(118,536):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'6_2':0.0},(118,535):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(118,534):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(118,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,532):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0},(118,531):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(118,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(118,529):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(118,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(118,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(118,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(118,525):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_1':0.0},(118,524):{'3_1':0.15,'4_1':0.0},(118,523):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(118,522):{'3_1':0.12,'4_1':0.0},(118,521):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(118,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,519):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(118,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(118,517):{'3_1':0.03,'4_1':0.0},(118,516):{'3_1':0.09,'4_1':0.0},(118,515):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(118,514):{'3_1':0.06,'5_1':0.0},(118,513):{'3_1':0.09,'4_1':0.0},(118,512):{'3_1':0.03},(118,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(118,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(118,509):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0},(118,508):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(118,507):{'3_1':0.03,'4_1':0.0},(118,506):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(118,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(118,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,503):{'3_1':0.0,'4_1':0.0},(118,502):{'3_1':0.03},(118,501):{'3_1':0.03,'7_3':0.0},(118,500):{'3_1':0.06,'4_1':0.0},(118,499):{'3_1':0.06,'4_1':0.0},(118,498):{'3_1':0.06,'4_1':0.0},(118,497):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(118,496):{'3_1':0.03},(118,495):{'3_1':0.03},(118,494):{'3_1':0.09,'5_1':0.0},(118,493):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,492):{'3_1':0.03},(118,491):{'3_1':0.09},(118,490):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(118,489):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(118,488):{'3_1':0.06,'5_1':0.0},(118,487):{'3_1':0.09},(118,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(118,485):{'3_1':0.03,'4_1':0.0},(118,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(118,483):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(118,482):{'3_1':0.12,'5_1':0.0},(118,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,480):{'3_1':0.09,'4_1':0.0,'-3':0.0},(118,479):{'3_1':0.09,'5_2':0.0},(118,478):{'3_1':0.09,'5_1':0.0},(118,477):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(118,476):{'3_1':0.06,'4_1':0.0},(118,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,474):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(118,473):{'3_1':0.03,'5_1':0.0},(118,472):{'3_1':0.03,'4_1':0.0},(118,471):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(118,470):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(118,469):{'3_1':0.0,'5_1':0.0},(118,468):{'3_1':0.03,'5_2':0.0},(118,467):{'3_1':0.03,'4_1':0.0},(118,466):{'3_1':0.03,'4_1':0.0},(118,465):{'3_1':0.0},(118,464):{'3_1':0.03},(118,463):{'3_1':0.03,'4_1':0.0},(118,462):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(118,461):{'4_1':0.0,'3_1':0.0},(118,460):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(118,459):{'3_1':0.03,'4_1':0.0},(118,458):{'3_1':0.03,'5_1':0.0},(118,457):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(118,456):{'3_1':0.0},(118,455):{'3_1':0.06,'4_1':0.0},(118,454):{'3_1':0.03,'4_1':0.0},(118,453):{'3_1':0.03,'4_1':0.0},(118,452):{'3_1':0.0,'4_1':0.0},(118,451):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(118,450):{'3_1':0.0,'4_1':0.0},(118,449):{'3_1':0.03,'5_2':0.0},(118,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(118,447):{'3_1':0.03},(118,446):{'3_1':0.0},(118,445):{'3_1':0.03,'4_1':0.0},(118,444):{'3_1':0.03,'4_1':0.0},(118,443):{'3_1':0.03,'4_1':0.0},(118,442):{'3_1':0.03},(118,441):{'3_1':0.03},(118,440):{'3_1':0.03,'4_1':0.0},(118,439):{'3_1':0.0},(118,438):{'3_1':0.0,'4_1':0.0},(118,437):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(118,436):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(118,435):{'3_1':0.03,'5_2':0.0},(118,434):{'3_1':0.0,'4_1':0.0},(118,433):{'3_1':0.0,'4_1':0.0},(118,432):{'3_1':0.03,'4_1':0.0},(118,431):{'3_1':0.03,'4_1':0.0},(118,430):{'3_1':0.03},(118,429):{'3_1':0.0,'4_1':0.0},(118,428):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(118,427):{'3_1':0.03,'4_1':0.0},(118,426):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(118,425):{'4_1':0.03,'3_1':0.0},(118,424):{'3_1':0.03,'4_1':0.0},(118,423):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(118,422):{'3_1':0.03,'4_1':0.03},(118,421):{'3_1':0.0,'4_1':0.0},(118,420):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(118,419):{'3_1':0.06,'4_1':0.03},(118,418):{'4_1':0.03,'3_1':0.0},(118,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(118,416):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(118,415):{'3_1':0.06,'4_1':0.03},(118,414):{'3_1':0.0,'4_1':0.0},(118,413):{'4_1':0.0,'3_1':0.0},(118,412):{'4_1':0.03,'3_1':0.0},(118,411):{'4_1':0.03,'3_1':0.0},(118,410):{'5_1':0.0},(118,409):{'3_1':0.0},(118,407):{'3_1':0.03,'4_1':0.0},(118,406):{'3_1':0.0,'5_2':0.0},(118,405):{'3_1':0.0},(118,404):{'3_1':0.0},(118,403):{'3_1':0.0,'4_1':0.0},(118,401):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(118,400):{'3_1':0.0},(118,399):{'3_1':0.0,'4_1':0.0},(118,398):{'3_1':0.03},(118,397):{'3_1':0.03,'4_1':0.0},(118,396):{'3_1':0.0},(118,395):{'3_1':0.0},(118,393):{'3_1':0.0},(118,392):{'3_1':0.0},(118,391):{'3_1':0.03,'4_1':0.0},(118,390):{'3_1':0.0,'4_1':0.0},(118,389):{'3_1':0.0},(118,388):{'4_1':0.0},(118,387):{'3_1':0.0},(118,386):{'3_1':0.0},(118,385):{'3_1':0.03,'4_1':0.0},(118,384):{'3_1':0.03},(118,383):{'3_1':0.0},(118,382):{'3_1':0.03},(118,381):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(118,380):{'3_1':0.03},(118,379):{'3_1':0.0},(118,378):{'3_1':0.0},(118,377):{'3_1':0.03,'5_1':0.0},(118,376):{'3_1':0.06},(118,375):{'3_1':0.09,'5_1':0.0},(118,374):{'3_1':0.03},(118,373):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(118,372):{'3_1':0.06},(118,371):{'3_1':0.0,'7_1':0.0},(118,370):{'3_1':0.06,'7_1':0.0},(118,369):{'3_1':0.03,'4_1':0.0},(118,368):{'3_1':0.0},(118,367):{'3_1':0.06},(118,366):{'3_1':0.03,'5_1':0.0},(118,365):{'3_1':0.06},(118,364):{'3_1':0.03},(118,363):{'3_1':0.0},(118,362):{'3_1':0.0},(118,361):{'3_1':0.0},(118,360):{'3_1':0.06},(118,359):{'3_1':0.0},(118,358):{'3_1':0.0},(118,357):{'3_1':0.0},(118,356):{'3_1':0.03},(118,355):{'3_1':0.0},(118,354):{'3_1':0.0},(118,353):{'3_1':0.0,'5_1':0.0},(118,352):{'3_1':0.0},(118,351):{'3_1':0.0},(118,350):{'3_1':0.0},(118,349):{'3_1':0.0,'4_1':0.0},(118,348):{'3_1':0.0},(118,347):{'3_1':0.0},(118,346):{'3_1':0.0,'5_2':0.0},(118,345):{'3_1':0.0},(118,344):{'3_1':0.0},(118,343):{'3_1':0.0,'5_1':0.0},(118,342):{'3_1':0.0,'5_1':0.0},(118,341):{'3_1':0.0},(118,340):{'3_1':0.0},(118,339):{'3_1':0.0,'5_1':0.0},(118,338):{'3_1':0.0},(118,337):{'3_1':0.0},(118,336):{'3_1':0.0},(118,335):{'3_1':0.03},(118,334):{'3_1':0.0},(118,333):{'3_1':0.03},(118,332):{'3_1':0.0},(118,331):{'3_1':0.0},(118,330):{'3_1':0.03},(118,329):{'3_1':0.0,'5_1':0.0},(118,328):{'3_1':0.0},(118,327):{'3_1':0.0},(118,326):{'3_1':0.0},(118,325):{'3_1':0.0},(118,324):{'3_1':0.0,'4_1':0.0},(118,323):{'3_1':0.0},(118,322):{'3_1':0.03},(118,321):{'3_1':0.03},(118,320):{'3_1':0.03},(118,319):{'3_1':0.0},(118,318):{'3_1':0.03},(118,317):{'3_1':0.0},(118,316):{'3_1':0.0},(118,315):{'3_1':0.0},(118,314):{'3_1':0.0,'8_20|3_1#3_1':0.0},(118,312):{'3_1':0.0,'4_1':0.0},(118,311):{'3_1':0.03},(118,310):{'3_1':0.03},(118,309):{'3_1':0.0},(118,308):{'3_1':0.0},(118,307):{'3_1':0.0,'5_1':0.0},(118,306):{'3_1':0.03},(118,305):{'3_1':0.0},(118,304):{'3_1':0.0},(118,303):{'3_1':0.0},(118,302):{'3_1':0.0},(118,301):{'3_1':0.0,'4_1':0.0},(118,300):{'3_1':0.03},(118,299):{'3_1':0.0},(118,297):{'3_1':0.0},(118,296):{'3_1':0.0},(118,295):{'3_1':0.0},(118,294):{'3_1':0.0},(118,292):{'3_1':0.03},(118,290):{'3_1':0.03},(118,289):{'3_1':0.0},(118,288):{'3_1':0.0},(118,287):{'3_1':0.0},(118,285):{'3_1':0.0},(118,284):{'3_1':0.0},(118,283):{'3_1':0.0},(118,282):{'3_1':0.0},(118,281):{'3_1':0.03},(118,280):{'3_1':0.0},(118,279):{'3_1':0.03},(118,278):{'3_1':0.0},(118,277):{'3_1':0.0},(118,276):{'3_1':0.0},(118,275):{'3_1':0.0},(118,274):{'3_1':0.0},(118,273):{'3_1':0.0},(118,272):{'3_1':0.0},(118,271):{'3_1':0.0},(118,270):{'3_1':0.0},(118,269):{'3_1':0.0},(118,268):{'3_1':0.03,'5_1':0.0},(118,267):{'3_1':0.03},(118,265):{'3_1':0.0},(118,264):{'3_1':0.0},(118,263):{'3_1':0.0},(118,262):{'3_1':0.0,'5_1':0.0},(118,261):{'3_1':0.0},(118,260):{'3_1':0.0},(118,259):{'3_1':0.0},(118,258):{'3_1':0.03},(118,257):{'3_1':0.0},(118,256):{'3_1':0.0},(118,255):{'3_1':0.0},(118,254):{'3_1':0.0},(118,253):{'3_1':0.0},(118,252):{'3_1':0.0,'4_1':0.0},(118,249):{'3_1':0.0},(118,248):{'3_1':0.03},(118,247):{'3_1':0.0,'4_1':0.0},(118,246):{'3_1':0.0,'5_1':0.0},(118,245):{'3_1':0.03},(118,244):{'3_1':0.0},(118,243):{'3_1':0.0},(118,242):{'3_1':0.03},(118,241):{'3_1':0.03,'4_1':0.0},(118,240):{'3_1':0.03,'4_1':0.0},(118,239):{'6_1':0.0},(118,238):{'3_1':0.0,'4_1':0.0},(118,236):{'3_1':0.0},(118,235):{'3_1':0.0,'5_1':0.0},(118,233):{'3_1':0.0},(118,231):{'3_1':0.0},(118,230):{'3_1':0.0,'5_1':0.0},(118,229):{'3_1':0.0,'5_1':0.0},(118,227):{'3_1':0.0},(118,224):{'3_1':0.0},(118,222):{'3_1':0.0},(118,220):{'3_1':0.0},(118,217):{'3_1':0.0},(118,216):{'3_1':0.0},(118,213):{'4_1':0.0},(118,210):{'3_1':0.0},(118,208):{'3_1':0.0},(118,207):{'3_1':0.0},(118,205):{'3_1':0.0},(118,204):{'3_1':0.0,'4_1':0.0},(118,202):{'3_1':0.0},(118,201):{'3_1':0.0},(118,200):{'3_1':0.0,'4_1':0.0},(118,199):{'5_1':0.0},(118,197):{'3_1':0.0},(118,196):{'3_1':0.0},(118,191):{'3_1':0.0},(118,188):{'4_1':0.0},(118,187):{'3_1':0.0},(118,186):{'3_1':0.0},(118,183):{'3_1':0.0},(118,180):{'3_1':0.0},(118,179):{'5_2':0.0},(118,177):{'3_1':0.0},(118,176):{'3_1':0.0},(118,175):{'3_1':0.0},(118,169):{'3_1':0.0},(118,167):{'3_1':0.0},(118,166):{'3_1':0.0},(118,164):{'3_1':0.0},(118,162):{'3_1':0.0},(118,161):{'3_1':0.0},(118,159):{'3_1':0.0},(118,158):{'3_1':0.03},(118,157):{'3_1':0.0},(118,156):{'3_1':0.0},(118,155):{'3_1':0.0},(118,154):{'3_1':0.0},(118,153):{'3_1':0.0},(118,150):{'3_1':0.0},(118,149):{'3_1':0.0},(118,148):{'3_1':0.0},(118,146):{'3_1':0.0},(118,145):{'3_1':0.0,'4_1':0.0},(118,144):{'3_1':0.0},(118,143):{'4_1':0.0},(118,141):{'3_1':0.0},(118,123):{'3_1':0.0},(118,122):{'3_1':0.0},(118,121):{'3_1':0.0},(119,727):{'5_2':0.51,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'1':-0.03},(119,726):{'5_2':0.51,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0},(119,725):{'5_2':0.51,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'8_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(119,724):{'5_2':0.51,'7_2':0.06,'6_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(119,723):{'5_2':0.36,'7_2':0.15,'-3':0.09,'7_5':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(119,722):{'5_2':0.42,'7_2':0.15,'-3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'3_1#5_2':0.0},(119,721):{'5_2':0.45,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(119,720):{'5_2':0.48,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0},(119,719):{'5_2':0.48,'-3':0.12,'7_2':0.09,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_6':0.0},(119,718):{'5_2':0.51,'6_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0},(119,717):{'5_2':0.45,'7_2':0.09,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_6':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0},(119,716):{'5_2':0.54,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(119,715):{'5_2':0.45,'7_2':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_10':0.0,'3_1#5_2':0.0,'2':-0.03},(119,714):{'5_2':0.51,'7_2':0.06,'7_4':0.06,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0,'8_1':0.0},(119,713):{'5_2':0.42,'7_3':0.09,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'3_1#5_2':0.0},(119,712):{'5_2':0.36,'-3':0.09,'7_2':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0,'8_8':0.0},(119,711):{'5_2':0.3,'7_2':0.12,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0,'2':-0.03},(119,710):{'5_2':0.33,'-3':0.12,'7_5':0.06,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0},(119,709):{'5_2':0.36,'-3':0.18,'7_5':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(119,708):{'5_2':0.42,'7_2':0.09,'-3':0.09,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0,'7_1':0.0,'7_6':0.0},(119,707):{'5_2':0.42,'7_2':0.12,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0},(119,706):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'3_1#5_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(119,705):{'5_2':0.42,'7_2':0.12,'7_5':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0},(119,704):{'5_2':0.36,'7_2':0.09,'-3':0.06,'3_1':0.06,'6_1':0.06,'5_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0},(119,703):{'5_2':0.42,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_1':0.0,'8_3':0.0},(119,702):{'5_2':0.42,'7_2':0.15,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(119,701):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(119,700):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(119,699):{'5_2':0.36,'7_2':0.12,'-3':0.06,'7_4':0.06,'3_1':0.06,'6_1':0.06,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(119,698):{'5_2':0.36,'-3':0.12,'3_1':0.09,'7_2':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(119,697):{'5_2':0.39,'7_4':0.09,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(119,696):{'5_2':0.33,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(119,695):{'5_2':0.33,'3_1':0.15,'7_2':0.06,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0,'8_15':0.0},(119,694):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_4':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(119,693):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(119,692):{'5_2':0.3,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0},(119,691):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(119,690):{'5_2':0.33,'3_1':0.09,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0,'8_13':0.0,'1':-0.03},(119,689):{'5_2':0.24,'3_1':0.18,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_13':0.0},(119,688):{'5_2':0.3,'3_1':0.21,'5_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0},(119,687):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0},(119,686):{'5_2':0.27,'3_1':0.21,'7_4':0.06,'7_3':0.06,'7_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(119,685):{'5_2':0.27,'3_1':0.18,'7_4':0.09,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(119,684):{'5_2':0.33,'3_1':0.27,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(119,683):{'5_2':0.27,'3_1':0.18,'7_2':0.06,'7_3':0.06,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(119,682):{'3_1':0.3,'5_2':0.15,'7_4':0.09,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0},(119,681):{'3_1':0.36,'5_2':0.27,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(119,680):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'5_1':0.06,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(119,679):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(119,678):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_14':0.0},(119,677):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_5':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(119,676):{'3_1':0.48,'5_2':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(119,675):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_2':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_12':0.0},(119,674):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(119,673):{'3_1':0.39,'5_2':0.24,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(119,672):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_14':0.0,'8_16':0.0},(119,671):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'8_1':0.0},(119,670):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(119,669):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0},(119,668):{'3_1':0.39,'5_2':0.21,'7_3':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'6_1':0.0},(119,667):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(119,666):{'3_1':0.33,'5_2':0.21,'7_3':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(119,665):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(119,664):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_3':0.0,'8_3':0.0,'8_19':0.0},(119,663):{'3_1':0.39,'5_2':0.21,'4_1':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0},(119,662):{'3_1':0.42,'5_2':0.15,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(119,661):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_8':0.0},(119,660):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'5_1':0.03,'7_3':0.03,'8_19':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(119,659):{'3_1':0.3,'5_2':0.27,'7_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(119,658):{'3_1':0.39,'5_2':0.27,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(119,657):{'3_1':0.42,'5_2':0.27,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(119,656):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(119,655):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(119,654):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'5_1':0.06,'7_2':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0},(119,653):{'3_1':0.33,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(119,652):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_7':0.0,'8_19':0.0},(119,651):{'3_1':0.39,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(119,650):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(119,649):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(119,648):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0},(119,647):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0},(119,646):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,645):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(119,644):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(119,643):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'7_1':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(119,642):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'7_1':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(119,641):{'3_1':0.24,'5_2':0.21,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(119,640):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(119,639):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(119,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_3':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0},(119,637):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(119,636):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(119,635):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'8_19':0.0},(119,634):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_1':0.0},(119,633):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(119,632):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(119,631):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(119,630):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0},(119,629):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(119,628):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0},(119,627):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'8_19':0.0,'-3':0.0},(119,626):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'6_3':0.0,'7_5':0.0,'-3':0.0},(119,625):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(119,624):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0},(119,623):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_19':0.0},(119,622):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(119,621):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(119,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(119,619):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'-3':0.0},(119,618):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_5':0.0,'7_7':0.0},(119,617):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_2':0.0,'-3':0.0},(119,616):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(119,615):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(119,614):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(119,613):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(119,612):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(119,611):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(119,610):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0},(119,609):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'8_19':0.0},(119,608):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(119,607):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(119,606):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0},(119,605):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(119,604):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(119,603):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0},(119,602):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0},(119,601):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'7_1':0.0},(119,600):{'3_1':0.33,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(119,599):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03},(119,598):{'3_1':0.21,'4_1':0.09,'5_2':0.0},(119,597):{'3_1':0.18,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(119,596):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0},(119,595):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'6_1':0.0},(119,594):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0},(119,593):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(119,592):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(119,591):{'3_1':0.24,'4_1':0.09,'5_1':0.03,'5_2':0.0},(119,590):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(119,589):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(119,588):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(119,587):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(119,586):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'7_6':0.0,'8_19':0.0},(119,585):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_3':0.0},(119,584):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(119,583):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0},(119,582):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(119,581):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(119,580):{'3_1':0.12,'5_1':0.03,'6_1':0.03,'4_1':0.0,'5_2':0.0},(119,579):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(119,578):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_7':0.0},(119,577):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(119,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(119,575):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(119,574):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(119,573):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_5':0.0},(119,572):{'3_1':0.15,'4_1':0.06},(119,571):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(119,570):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(119,569):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_2':0.0},(119,568):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(119,567):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(119,566):{'3_1':0.18,'4_1':0.09,'5_1':0.0},(119,565):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.03,'8_6':0.0},(119,564):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(119,563):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(119,562):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_2':0.0},(119,561):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(119,560):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(119,559):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0},(119,558):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(119,557):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_2':0.0},(119,556):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(119,555):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(119,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(119,553):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(119,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(119,551):{'3_1':0.18,'5_2':0.03},(119,550):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(119,549):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(119,548):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(119,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0},(119,546):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(119,545):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(119,544):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(119,543):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(119,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(119,541):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(119,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(119,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(119,538):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(119,537):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(119,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(119,535):{'3_1':0.18,'5_2':0.0},(119,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(119,533):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(119,532):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(119,531):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(119,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(119,529):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(119,528):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(119,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(119,526):{'3_1':0.15,'5_2':0.0},(119,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(119,524):{'3_1':0.12,'5_1':0.0},(119,523):{'3_1':0.12,'4_1':0.0},(119,522):{'3_1':0.06,'4_1':0.0},(119,521):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(119,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(119,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(119,518):{'3_1':0.09,'4_1':0.0},(119,517):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(119,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(119,515):{'3_1':0.09,'4_1':0.0},(119,514):{'3_1':0.0,'5_2':0.0},(119,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,512):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(119,511):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(119,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,508):{'3_1':0.06,'4_1':0.0},(119,507):{'3_1':0.06},(119,506):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(119,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(119,503):{'3_1':0.06,'4_1':0.0},(119,502):{'3_1':0.03},(119,501):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(119,500):{'3_1':0.03},(119,499):{'3_1':0.03,'8_20|3_1#3_1':0.0},(119,498):{'3_1':0.12,'5_2':0.0},(119,497):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(119,496):{'3_1':0.09,'5_2':0.0},(119,495):{'3_1':0.06,'5_1':0.0},(119,494):{'3_1':0.09,'4_1':0.0},(119,493):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(119,492):{'3_1':0.06,'7_4':0.0},(119,491):{'3_1':0.06,'4_1':0.0},(119,490):{'3_1':0.06,'5_1':0.0},(119,489):{'3_1':0.03,'4_1':0.0},(119,488):{'3_1':0.09,'5_1':0.0},(119,487):{'3_1':0.03},(119,486):{'3_1':0.06,'5_2':0.0},(119,485):{'3_1':0.06,'4_1':0.0},(119,484):{'3_1':0.06,'6_3':0.0},(119,483):{'3_1':0.09,'4_1':0.0},(119,482):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(119,481):{'3_1':0.06,'5_1':0.0},(119,480):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(119,479):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_5':0.0},(119,478):{'3_1':0.09,'4_1':0.0},(119,477):{'3_1':0.06,'9_1':0.0},(119,476):{'3_1':0.06,'5_1':0.0},(119,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(119,474):{'3_1':0.09,'5_2':0.0},(119,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(119,472):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(119,471):{'3_1':0.06,'5_2':0.0},(119,470):{'3_1':0.06,'5_2':0.0},(119,469):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(119,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(119,467):{'3_1':0.0,'4_1':0.0},(119,466):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(119,465):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(119,464):{'3_1':0.03,'4_1':0.0},(119,463):{'3_1':0.03,'4_1':0.0},(119,462):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(119,461):{'3_1':0.03},(119,460):{'3_1':0.06,'5_2':0.0},(119,459):{'3_1':0.0,'4_1':0.0},(119,458):{'3_1':0.06,'5_1':0.0},(119,457):{'3_1':0.03,'4_1':0.0},(119,456):{'3_1':0.0},(119,455):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(119,454):{'3_1':0.0,'4_1':0.0},(119,453):{'3_1':0.03},(119,452):{'3_1':0.03},(119,451):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(119,450):{'3_1':0.03,'4_1':0.0},(119,449):{'3_1':0.03,'7_3':0.0},(119,448):{'3_1':0.03},(119,447):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,446):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(119,445):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(119,444):{'3_1':0.03,'4_1':0.0},(119,443):{'3_1':0.0},(119,442):{'3_1':0.03},(119,441):{'3_1':0.03,'4_1':0.0},(119,440):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(119,439):{'3_1':0.03,'4_1':0.0},(119,438):{'3_1':0.06,'4_1':0.0},(119,437):{'3_1':0.03},(119,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,435):{'3_1':0.03,'4_1':0.0},(119,434):{'3_1':0.03,'4_1':0.0},(119,433):{'3_1':0.03},(119,432):{'3_1':0.03},(119,431):{'3_1':0.0},(119,430):{'3_1':0.03,'4_1':0.0},(119,429):{'3_1':0.0,'4_1':0.0},(119,428):{'3_1':0.03,'4_1':0.0},(119,427):{'3_1':0.06,'4_1':0.0},(119,426):{'3_1':0.03,'4_1':0.03},(119,425):{'3_1':0.0,'4_1':0.0},(119,424):{'3_1':0.0,'4_1':0.0},(119,423):{'3_1':0.0,'4_1':0.0},(119,422):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(119,421):{'3_1':0.03,'4_1':0.03},(119,420):{'4_1':0.03,'3_1':0.0},(119,419):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(119,418):{'3_1':0.03,'4_1':0.0},(119,417):{'3_1':0.03,'4_1':0.03},(119,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(119,415):{'3_1':0.03,'4_1':0.0},(119,414):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(119,413):{'3_1':0.0,'4_1':0.0},(119,412):{'3_1':0.0,'4_1':0.0},(119,410):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(119,409):{'3_1':0.0,'4_1':0.0},(119,408):{'3_1':0.0,'5_1':0.0},(119,406):{'3_1':0.0,'4_1':0.0},(119,405):{'3_1':0.0},(119,404):{'3_1':0.0,'4_1':0.0},(119,403):{'3_1':0.0},(119,402):{'3_1':0.0},(119,401):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(119,400):{'3_1':0.0},(119,399):{'3_1':0.0},(119,398):{'3_1':0.0},(119,397):{'3_1':0.0,'4_1':0.0},(119,396):{'3_1':0.0},(119,395):{'3_1':0.0},(119,393):{'3_1':0.0},(119,389):{'3_1':0.0},(119,387):{'5_2':0.0},(119,386):{'3_1':0.0,'5_1':0.0},(119,385):{'3_1':0.0,'4_1':0.0},(119,384):{'3_1':0.03,'5_1':0.0},(119,383):{'3_1':0.0},(119,382):{'3_1':0.0},(119,381):{'3_1':0.0,'5_1':0.0},(119,380):{'3_1':0.0},(119,379):{'3_1':0.0},(119,378):{'3_1':0.03},(119,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(119,376):{'3_1':0.0},(119,375):{'3_1':0.0},(119,374):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(119,373):{'3_1':0.0},(119,372):{'3_1':0.0},(119,371):{'3_1':0.06,'5_1':0.0},(119,370):{'3_1':0.0,'7_1':0.0},(119,369):{'3_1':0.0,'5_1':0.0},(119,368):{'3_1':0.03},(119,367):{'3_1':0.0},(119,366):{'3_1':0.03},(119,365):{'3_1':0.03},(119,364):{'3_1':0.0},(119,363):{'3_1':0.03},(119,362):{'3_1':0.0},(119,361):{'3_1':0.0},(119,360):{'3_1':0.0},(119,359):{'3_1':0.06},(119,358):{'3_1':0.0},(119,356):{'3_1':0.03},(119,355):{'3_1':0.0,'5_1':0.0},(119,354):{'3_1':0.0},(119,353):{'3_1':0.0},(119,352):{'3_1':0.03},(119,351):{'3_1':0.0},(119,350):{'3_1':0.0},(119,349):{'3_1':0.0},(119,348):{'3_1':0.03},(119,347):{'3_1':0.03},(119,346):{'3_1':0.03,'4_1':0.0},(119,345):{'3_1':0.0},(119,344):{'3_1':0.0},(119,343):{'3_1':0.0},(119,342):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(119,341):{'3_1':0.0,'7_1':0.0},(119,340):{'3_1':0.03},(119,339):{'3_1':0.0,'5_1':0.0},(119,338):{'3_1':0.0},(119,337):{'3_1':0.0,'5_1':0.0},(119,334):{'3_1':0.0},(119,333):{'3_1':0.0},(119,332):{'3_1':0.03},(119,331):{'3_1':0.0},(119,330):{'3_1':0.0},(119,329):{'3_1':0.0},(119,328):{'3_1':0.0},(119,327):{'3_1':0.03},(119,326):{'3_1':0.0},(119,325):{'3_1':0.0},(119,322):{'3_1':0.0},(119,321):{'3_1':0.0,'4_1':0.0},(119,320):{'3_1':0.0},(119,319):{'3_1':0.0},(119,318):{'3_1':0.0,'4_1':0.0},(119,317):{'3_1':0.0},(119,316):{'3_1':0.0,'4_1':0.0},(119,315):{'3_1':0.0},(119,314):{'3_1':0.0,'8_20|3_1#3_1':0.0},(119,313):{'3_1':0.0},(119,312):{'3_1':0.0},(119,310):{'3_1':0.0},(119,309):{'3_1':0.0},(119,308):{'3_1':0.0},(119,307):{'3_1':0.0},(119,306):{'3_1':0.0,'4_1':0.0},(119,305):{'3_1':0.0},(119,304):{'3_1':0.0},(119,303):{'3_1':0.0},(119,302):{'3_1':0.03},(119,301):{'3_1':0.0},(119,300):{'3_1':0.0},(119,299):{'3_1':0.0,'5_2':0.0},(119,298):{'3_1':0.0},(119,297):{'3_1':0.0},(119,296):{'3_1':0.0},(119,292):{'3_1':0.03},(119,290):{'3_1':0.0},(119,289):{'3_1':0.0,'5_1':0.0},(119,288):{'3_1':0.0},(119,287):{'3_1':0.0,'4_1':0.0},(119,286):{'3_1':0.0},(119,285):{'3_1':0.0},(119,284):{'3_1':0.0},(119,283):{'3_1':0.03},(119,282):{'3_1':0.03},(119,281):{'3_1':0.0},(119,280):{'3_1':0.0},(119,279):{'3_1':0.0,'4_1':0.0},(119,278):{'3_1':0.0,'4_1':0.0},(119,277):{'3_1':0.0},(119,276):{'3_1':0.03},(119,275):{'3_1':0.0,'4_1':0.0},(119,274):{'3_1':0.0},(119,273):{'3_1':0.0},(119,272):{'3_1':0.0},(119,270):{'3_1':0.0},(119,269):{'3_1':0.0,'4_1':0.0},(119,268):{'3_1':0.0,'4_1':0.0},(119,267):{'3_1':0.0},(119,266):{'3_1':0.0},(119,265):{'3_1':0.0},(119,264):{'3_1':0.0},(119,263):{'3_1':0.0,'6_2':0.0},(119,262):{'3_1':0.0},(119,261):{'3_1':0.06},(119,260):{'3_1':0.0},(119,259):{'3_1':0.0},(119,258):{'3_1':0.0},(119,256):{'3_1':0.0},(119,255):{'3_1':0.0},(119,254):{'3_1':0.0},(119,252):{'3_1':0.0},(119,251):{'6_1':0.0},(119,250):{'3_1':0.0},(119,249):{'3_1':0.0},(119,247):{'3_1':0.0},(119,246):{'3_1':0.0},(119,244):{'3_1':0.0},(119,243):{'3_1':0.0,'4_1':0.0},(119,242):{'3_1':0.03,'4_1':0.0},(119,241):{'3_1':0.0},(119,240):{'3_1':0.03,'4_1':0.0},(119,239):{'3_1':0.0},(119,238):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(119,237):{'3_1':0.0},(119,236):{'3_1':0.03},(119,235):{'3_1':0.0},(119,232):{'3_1':0.0},(119,231):{'3_1':0.03},(119,230):{'3_1':0.0},(119,229):{'3_1':0.0},(119,228):{'3_1':0.0},(119,227):{'3_1':0.0},(119,224):{'3_1':0.0},(119,223):{'3_1':0.0},(119,221):{'3_1':0.0},(119,220):{'3_1':0.0,'4_1':0.0},(119,219):{'3_1':0.0},(119,217):{'3_1':0.0},(119,212):{'3_1':0.0},(119,210):{'3_1':0.0},(119,208):{'5_1':0.0},(119,207):{'3_1':0.0},(119,206):{'3_1':0.0},(119,205):{'3_1':0.0},(119,202):{'3_1':0.0},(119,201):{'3_1':0.0},(119,200):{'4_1':0.0},(119,199):{'3_1':0.0},(119,198):{'4_1':0.0},(119,195):{'3_1':0.0},(119,194):{'3_1':0.0},(119,193):{'3_1':0.0},(119,192):{'3_1':0.0},(119,191):{'3_1':0.0},(119,190):{'3_1':0.0},(119,185):{'3_1':0.0},(119,183):{'3_1':0.0},(119,180):{'3_1':0.0},(119,176):{'5_2':0.0},(119,175):{'3_1':0.0},(119,173):{'3_1':0.0},(119,171):{'3_1':0.0},(119,168):{'3_1':0.0},(119,164):{'3_1':0.0},(119,162):{'3_1':0.0},(119,161):{'5_2':0.0},(119,158):{'3_1':0.0,'7_2':0.0},(119,157):{'3_1':0.0},(119,155):{'3_1':0.0},(119,154):{'4_1':0.0},(119,153):{'3_1':0.0},(119,152):{'3_1':0.0,'5_1':0.0},(119,151):{'3_1':0.0},(119,150):{'3_1':0.06},(119,149):{'3_1':0.03},(119,148):{'3_1':0.0},(119,147):{'3_1':0.0},(119,143):{'3_1':0.0,'8_1':0.0},(119,141):{'3_1':0.0},(119,140):{'3_1':0.0},(119,139):{'3_1':0.0},(119,135):{'5_2':0.0},(119,131):{'3_1':0.0},(119,127):{'3_1':0.0},(119,126):{'3_1':0.0},(119,125):{'3_1':0.0},(119,124):{'3_1':0.0},(119,123):{'3_1':0.0},(120,727):{'5_2':0.54,'-3':0.09,'7_6':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(120,726):{'5_2':0.51,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'1':-0.03},(120,725):{'5_2':0.54,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_5':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0,'3_1#5_2':0.0},(120,724):{'5_2':0.48,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(120,723):{'5_2':0.51,'7_2':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(120,722):{'5_2':0.48,'-3':0.09,'7_2':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0},(120,721):{'5_2':0.45,'7_2':0.06,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0},(120,720):{'5_2':0.42,'7_2':0.12,'3_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_19':0.0},(120,719):{'5_2':0.48,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(120,718):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(120,717):{'5_2':0.42,'-3':0.09,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0},(120,716):{'5_2':0.39,'7_3':0.06,'-3':0.06,'7_2':0.06,'7_5':0.06,'6_1':0.03,'5_1':0.03,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_14':0.0},(120,715):{'5_2':0.51,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'1':-0.03},(120,714):{'5_2':0.48,'7_2':0.12,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'8_1':0.0},(120,713):{'5_2':0.45,'7_2':0.09,'7_4':0.03,'6_1':0.03,'3_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(120,712):{'5_2':0.45,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(120,711):{'5_2':0.3,'-3':0.09,'7_2':0.09,'3_1':0.03,'7_6':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0},(120,710):{'5_2':0.33,'7_2':0.12,'-3':0.12,'7_5':0.06,'5_1':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0},(120,709):{'5_2':0.36,'-3':0.18,'7_2':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0},(120,708):{'5_2':0.48,'7_2':0.06,'5_1':0.03,'6_1':0.03,'3_1':0.03,'7_5':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0},(120,707):{'5_2':0.33,'7_5':0.09,'7_4':0.06,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'1':-0.03},(120,706):{'5_2':0.36,'7_4':0.09,'3_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_2':0.0},(120,705):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0},(120,704):{'5_2':0.33,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(120,703):{'5_2':0.48,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(120,702):{'5_2':0.48,'3_1':0.09,'7_2':0.06,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0},(120,701):{'5_2':0.48,'7_2':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(120,700):{'5_2':0.39,'3_1':0.06,'7_2':0.06,'7_4':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(120,699):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0},(120,698):{'5_2':0.24,'7_2':0.09,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(120,697):{'5_2':0.3,'3_1':0.12,'-3':0.12,'7_2':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_13':0.0},(120,696):{'5_2':0.36,'7_4':0.09,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(120,695):{'5_2':0.45,'7_2':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(120,694):{'5_2':0.33,'3_1':0.15,'7_2':0.09,'7_4':0.06,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0},(120,693):{'5_2':0.42,'3_1':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.03,'-3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(120,692):{'5_2':0.42,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(120,691):{'5_2':0.27,'3_1':0.15,'7_2':0.09,'-3':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'8_11':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(120,690):{'5_2':0.33,'3_1':0.21,'-3':0.06,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_4':0.03,'4_1':0.0,'8_11':0.0},(120,689):{'5_2':0.39,'3_1':0.12,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(120,688):{'5_2':0.33,'3_1':0.15,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(120,687):{'5_2':0.36,'3_1':0.21,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_11':0.0},(120,686):{'5_2':0.36,'3_1':0.21,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0,'2':-0.03},(120,685):{'5_2':0.3,'3_1':0.24,'7_4':0.12,'7_3':0.06,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(120,684):{'3_1':0.3,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0},(120,683):{'5_2':0.27,'3_1':0.24,'6_1':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(120,682):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_13':0.0},(120,681):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_11':0.0},(120,680):{'3_1':0.33,'5_2':0.18,'7_4':0.12,'7_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0},(120,679):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'4_1':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(120,678):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0},(120,677):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'-3':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(120,676):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0},(120,675):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(120,674):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'5_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(120,673):{'3_1':0.33,'5_2':0.27,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(120,672):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_6':0.0},(120,671):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0},(120,670):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_7':0.0},(120,669):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(120,668):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(120,667):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(120,666):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(120,665):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(120,664):{'3_1':0.48,'5_2':0.21,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(120,663):{'3_1':0.42,'5_2':0.27,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_19':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(120,662):{'3_1':0.36,'5_2':0.3,'7_3':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(120,661):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0},(120,660):{'3_1':0.36,'5_2':0.21,'5_1':0.09,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0},(120,659):{'3_1':0.36,'5_2':0.27,'5_1':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(120,658):{'3_1':0.42,'5_2':0.24,'5_1':0.03,'7_4':0.03,'6_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0},(120,657):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(120,656):{'3_1':0.42,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(120,655):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(120,654):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0,'-3':0.0},(120,653):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(120,652):{'3_1':0.36,'5_2':0.18,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(120,651):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.03,'8_19':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(120,650):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0},(120,649):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0},(120,648):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(120,647):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'6_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(120,646):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(120,645):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'3_1#5_1':0.0},(120,644):{'3_1':0.33,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(120,643):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.03,'8_19':0.0,'9_1':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(120,642):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(120,641):{'3_1':0.21,'5_2':0.18,'5_1':0.12,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(120,640):{'3_1':0.24,'5_2':0.18,'5_1':0.12,'7_1':0.03,'-3':0.0,'8_19':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(120,639):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(120,638):{'3_1':0.24,'5_2':0.12,'5_1':0.0,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(120,637):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(120,636):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(120,635):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(120,634):{'3_1':0.27,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(120,633):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_7':0.0},(120,632):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(120,631):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'8_2':0.0},(120,630):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(120,629):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0},(120,628):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0},(120,627):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0},(120,626):{'3_1':0.18,'5_2':0.12,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(120,625):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(120,624):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(120,623):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_7':0.0},(120,622):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0},(120,621):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(120,620):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_2':0.0},(120,619):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0},(120,618):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(120,617):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0},(120,616):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0},(120,615):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'1':-0.03},(120,614):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0},(120,613):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_19':0.0},(120,612):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(120,611):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(120,610):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0},(120,609):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(120,608):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(120,607):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03},(120,606):{'3_1':0.24,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(120,605):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(120,604):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(120,603):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0},(120,602):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(120,601):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0},(120,600):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(120,599):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0},(120,598):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(120,597):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_1':0.0,'8_3':0.0},(120,596):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(120,595):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(120,594):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(120,593):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(120,592):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_18':0.0},(120,591):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(120,590):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(120,589):{'3_1':0.24,'4_1':0.09,'5_1':0.06,'5_2':0.0},(120,588):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(120,587):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(120,586):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(120,585):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0},(120,584):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0},(120,583):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0},(120,582):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'7_4':0.0},(120,581):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'5_2':0.0},(120,580):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(120,579):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0},(120,578):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(120,577):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(120,576):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0},(120,575):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(120,574):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(120,573):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(120,572):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_1':0.0,'7_2':0.0},(120,571):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(120,570):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(120,569):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(120,568):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(120,567):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(120,566):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(120,565):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(120,564):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(120,563):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_2':0.0},(120,562):{'3_1':0.15,'4_1':0.03},(120,561):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(120,560):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'7_6':0.0},(120,559):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(120,558):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_14':0.0},(120,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(120,556):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(120,555):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_1':0.0},(120,554):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(120,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(120,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(120,551):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(120,550):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(120,549):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(120,548):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(120,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(120,546):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(120,545):{'3_1':0.18,'5_2':0.0},(120,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,543):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(120,542):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,541):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(120,540):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(120,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(120,538):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(120,537):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(120,536):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,535):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(120,534):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(120,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,532):{'3_1':0.15},(120,531):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(120,530):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(120,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(120,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(120,527):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(120,526):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(120,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(120,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(120,523):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(120,522):{'3_1':0.09,'7_3':0.0},(120,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(120,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(120,519):{'3_1':0.09,'4_1':0.0},(120,518):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(120,517):{'3_1':0.09,'5_1':0.0},(120,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(120,515):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(120,514):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(120,513):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(120,512):{'3_1':0.0,'9_1':0.0},(120,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(120,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,509):{'3_1':0.09,'5_1':0.0},(120,508):{'3_1':0.06},(120,507):{'3_1':0.03,'4_1':0.0},(120,506):{'3_1':0.03,'4_1':0.0},(120,505):{'3_1':0.03},(120,504):{'3_1':0.0,'5_2':0.0},(120,503):{'3_1':0.06,'4_1':0.0},(120,502):{'3_1':0.09},(120,501):{'3_1':0.03,'4_1':0.0},(120,500):{'3_1':0.0,'4_1':0.0},(120,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(120,498):{'3_1':0.06,'5_2':0.0},(120,497):{'3_1':0.0,'8_20|3_1#3_1':0.0},(120,496):{'3_1':0.03},(120,495):{'3_1':0.0},(120,494):{'3_1':0.03,'4_1':0.0},(120,493):{'3_1':0.06},(120,492):{'3_1':0.03,'5_2':0.0},(120,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(120,490):{'3_1':0.06,'4_1':0.0},(120,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(120,488):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(120,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(120,486):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(120,485):{'3_1':0.06,'5_1':0.0},(120,484):{'3_1':0.09,'5_2':0.0},(120,483):{'3_1':0.06,'5_2':0.0},(120,482):{'3_1':0.03,'7_1':0.0,'9_1':0.0},(120,481):{'3_1':0.06,'5_2':0.0},(120,480):{'3_1':0.06,'7_1':0.0},(120,479):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(120,478):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(120,477):{'3_1':0.06,'4_1':0.0},(120,476):{'3_1':0.06,'5_1':0.0},(120,475):{'3_1':0.09,'5_1':0.0},(120,474):{'3_1':0.03},(120,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,472):{'3_1':0.09,'5_2':0.0},(120,471):{'3_1':0.03},(120,470):{'3_1':0.09},(120,469):{'3_1':0.03},(120,468):{'3_1':0.03},(120,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,466):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(120,465):{'3_1':0.06},(120,464):{'3_1':0.06},(120,463):{'3_1':0.06},(120,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,461):{'3_1':0.0},(120,460):{'3_1':0.03,'4_1':0.0},(120,459):{'3_1':0.0,'4_1':0.0},(120,458):{'3_1':0.0},(120,457):{'3_1':0.03},(120,456):{'4_1':0.0},(120,455):{'3_1':0.03,'5_2':0.0},(120,454):{'3_1':0.03,'5_2':0.0},(120,453):{'3_1':0.0,'4_1':0.0},(120,452):{'3_1':0.0,'4_1':0.0},(120,451):{'3_1':0.03,'4_1':0.0},(120,450):{'3_1':0.03,'4_1':0.0},(120,449):{'3_1':0.0,'4_1':0.0},(120,448):{'6_1':0.0},(120,447):{'3_1':0.03},(120,446):{'3_1':0.03},(120,445):{'3_1':0.0,'4_1':0.0},(120,444):{'3_1':0.03,'4_1':0.0},(120,443):{'3_1':0.0,'5_2':0.0},(120,442):{'3_1':0.0},(120,441):{'3_1':0.0,'4_1':0.0},(120,440):{'3_1':0.0,'4_1':0.0},(120,439):{'3_1':0.03},(120,437):{'3_1':0.0,'4_1':0.0},(120,436):{'3_1':0.03,'5_2':0.0},(120,435):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(120,434):{'3_1':0.0},(120,433):{'4_1':0.0,'3_1':0.0},(120,432):{'3_1':0.0,'4_1':0.0},(120,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(120,430):{'3_1':0.0},(120,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,428):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(120,427):{'3_1':0.03,'4_1':0.0},(120,426):{'3_1':0.09,'4_1':0.0},(120,425):{'4_1':0.0,'5_2':0.0},(120,424):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(120,423):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(120,422):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(120,421):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(120,420):{'3_1':0.0,'4_1':0.0},(120,419):{'3_1':0.06},(120,418):{'3_1':0.06,'4_1':0.0},(120,417):{'3_1':0.0},(120,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(120,415):{'3_1':0.03,'4_1':0.0},(120,414):{'3_1':0.0,'5_1':0.0},(120,413):{'4_1':0.03,'3_1':0.0},(120,412):{'3_1':0.03},(120,411):{'4_1':0.0,'7_1':0.0},(120,410):{'3_1':0.0,'4_1':0.0},(120,409):{'3_1':0.0},(120,408):{'3_1':0.0,'4_1':0.0},(120,407):{'4_1':0.0,'3_1':0.0},(120,405):{'3_1':0.0},(120,404):{'4_1':0.0},(120,403):{'3_1':0.0},(120,402):{'3_1':0.0,'4_1':0.0},(120,401):{'3_1':0.0},(120,400):{'3_1':0.0},(120,399):{'3_1':0.0},(120,398):{'3_1':0.0},(120,397):{'3_1':0.0},(120,396):{'3_1':0.0,'4_1':0.0},(120,395):{'4_1':0.0},(120,394):{'3_1':0.0,'4_1':0.0},(120,393):{'4_1':0.0},(120,392):{'3_1':0.0},(120,391):{'3_1':0.0,'4_1':0.0},(120,389):{'3_1':0.0,'4_1':0.0},(120,388):{'3_1':0.0,'5_2':0.0},(120,386):{'3_1':0.0},(120,385):{'3_1':0.0,'5_1':0.0},(120,384):{'3_1':0.0,'5_1':0.0},(120,383):{'3_1':0.0,'5_1':0.0},(120,382):{'3_1':0.0},(120,381):{'3_1':0.03,'5_1':0.0},(120,380):{'3_1':0.03},(120,379):{'3_1':0.03},(120,378):{'3_1':0.0,'5_2':0.0},(120,377):{'3_1':0.03},(120,376):{'5_1':0.0},(120,375):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(120,374):{'3_1':0.03,'5_1':0.0},(120,373):{'3_1':0.03,'5_1':0.0},(120,372):{'3_1':0.0},(120,371):{'3_1':0.06},(120,370):{'3_1':0.0,'7_1':0.0},(120,369):{'3_1':0.0},(120,368):{'3_1':0.0,'5_1':0.0},(120,367):{'3_1':0.03},(120,366):{'3_1':0.0},(120,365):{'3_1':0.0},(120,364):{'3_1':0.03},(120,363):{'3_1':0.0},(120,362):{'3_1':0.0},(120,361):{'3_1':0.0},(120,360):{'3_1':0.0},(120,359):{'3_1':0.0},(120,358):{'3_1':0.03,'5_1':0.0},(120,357):{'3_1':0.0},(120,356):{'3_1':0.0},(120,355):{'7_1':0.0},(120,354):{'3_1':0.0,'7_1':0.0},(120,353):{'3_1':0.03,'7_1':0.0},(120,352):{'3_1':0.0},(120,351):{'3_1':0.0,'5_1':0.0},(120,350):{'3_1':0.0},(120,349):{'3_1':0.0},(120,348):{'3_1':0.0},(120,347):{'3_1':0.0},(120,346):{'3_1':0.0},(120,345):{'3_1':0.0},(120,344):{'3_1':0.0},(120,343):{'3_1':0.03,'5_1':0.0},(120,342):{'3_1':0.0,'7_1':0.0},(120,341):{'3_1':0.0,'5_1':0.0},(120,339):{'3_1':0.0,'7_1':0.0},(120,338):{'3_1':0.0},(120,337):{'3_1':0.0},(120,336):{'3_1':0.0},(120,335):{'3_1':0.0},(120,334):{'3_1':0.0},(120,333):{'3_1':0.0},(120,332):{'3_1':0.0},(120,331):{'3_1':0.0},(120,330):{'3_1':0.0},(120,328):{'3_1':0.0},(120,327):{'3_1':0.03},(120,324):{'3_1':0.0},(120,323):{'3_1':0.0},(120,322):{'3_1':0.0},(120,321):{'3_1':0.0},(120,320):{'3_1':0.0},(120,319):{'3_1':0.0},(120,318):{'3_1':0.0},(120,317):{'3_1':0.0},(120,316):{'3_1':0.03},(120,315):{'3_1':0.0},(120,314):{'3_1':0.0},(120,312):{'3_1':0.0,'4_1':0.0},(120,311):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(120,310):{'3_1':0.03},(120,309):{'3_1':0.0},(120,308):{'3_1':0.03},(120,307):{'3_1':0.0},(120,306):{'3_1':0.03},(120,305):{'3_1':0.0},(120,304):{'3_1':0.0,'5_1':0.0},(120,303):{'3_1':0.0,'7_3':0.0},(120,302):{'3_1':0.03},(120,301):{'3_1':0.0},(120,300):{'3_1':0.03,'4_1':0.0},(120,299):{'3_1':0.0},(120,298):{'3_1':0.0,'4_1':0.0},(120,297):{'3_1':0.0},(120,294):{'3_1':0.0},(120,293):{'3_1':0.0},(120,292):{'3_1':0.0},(120,291):{'3_1':0.0},(120,290):{'3_1':0.0},(120,288):{'3_1':0.0},(120,284):{'3_1':0.0},(120,283):{'5_1':0.0},(120,282):{'5_1':0.0},(120,281):{'3_1':0.0},(120,280):{'3_1':0.0},(120,279):{'3_1':0.03},(120,278):{'3_1':0.0},(120,276):{'3_1':0.0},(120,274):{'3_1':0.0},(120,273):{'3_1':0.0},(120,272):{'3_1':0.0},(120,271):{'3_1':0.0},(120,270):{'3_1':0.0},(120,269):{'3_1':0.0},(120,268):{'3_1':0.03},(120,267):{'3_1':0.0},(120,266):{'3_1':0.0},(120,265):{'3_1':0.0},(120,264):{'3_1':0.0},(120,262):{'3_1':0.0},(120,261):{'3_1':0.03},(120,260):{'3_1':0.0},(120,259):{'3_1':0.0},(120,258):{'3_1':0.0,'4_1':0.0},(120,257):{'3_1':0.0,'4_1':0.0},(120,255):{'4_1':0.0},(120,254):{'3_1':0.0},(120,253):{'3_1':0.0},(120,252):{'3_1':0.0},(120,249):{'3_1':0.0},(120,247):{'5_1':0.0},(120,246):{'3_1':0.0},(120,245):{'5_1':0.0},(120,244):{'3_1':0.0},(120,243):{'3_1':0.0},(120,242):{'3_1':0.03},(120,241):{'3_1':0.03,'4_1':0.0},(120,240):{'3_1':0.0},(120,239):{'3_1':0.03},(120,238):{'3_1':0.0},(120,237):{'3_1':0.0,'4_1':0.0},(120,236):{'3_1':0.0},(120,235):{'3_1':0.03,'5_1':0.0},(120,233):{'3_1':0.0},(120,232):{'3_1':0.0},(120,231):{'4_1':0.0},(120,229):{'3_1':0.0,'5_1':0.0},(120,225):{'3_1':0.0},(120,224):{'3_1':0.0},(120,222):{'3_1':0.0},(120,219):{'3_1':0.0},(120,218):{'3_1':0.0},(120,212):{'5_2':0.0},(120,210):{'3_1':0.0},(120,209):{'3_1':0.0},(120,207):{'3_1':0.0},(120,205):{'3_1':0.0},(120,204):{'3_1':0.0},(120,203):{'3_1':0.0},(120,196):{'3_1':0.0},(120,194):{'3_1':0.0},(120,192):{'6_1':0.0},(120,191):{'3_1':0.0},(120,190):{'3_1':0.0},(120,186):{'3_1':0.0},(120,176):{'3_1':0.0},(120,175):{'3_1':0.0},(120,173):{'3_1':0.0},(120,172):{'3_1':0.0},(120,171):{'3_1':0.0},(120,169):{'3_1':0.0,'4_1':0.0},(120,167):{'3_1':0.0},(120,165):{'3_1':0.0},(120,163):{'3_1':0.0},(120,162):{'3_1':0.0},(120,160):{'3_1':0.0},(120,159):{'3_1':0.0},(120,158):{'3_1':0.0,'7_2':0.0},(120,157):{'3_1':0.0},(120,156):{'3_1':0.0},(120,155):{'3_1':0.0},(120,154):{'3_1':0.0},(120,153):{'3_1':0.0},(120,152):{'3_1':0.0},(120,150):{'3_1':0.0},(120,149):{'3_1':0.0},(120,148):{'3_1':0.0},(120,147):{'3_1':0.0},(120,146):{'3_1':0.0},(120,145):{'3_1':0.0},(120,140):{'3_1':0.0},(120,139):{'3_1':0.0},(120,135):{'5_1':0.0},(120,126):{'3_1':0.0},(121,727):{'5_2':0.54,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(121,726):{'5_2':0.54,'7_2':0.09,'6_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_6':0.0},(121,725):{'5_2':0.63,'7_2':0.03,'6_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(121,724):{'5_2':0.51,'7_2':0.09,'-3':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_19':0.0},(121,723):{'5_2':0.51,'7_2':0.09,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(121,722):{'5_2':0.45,'7_2':0.15,'-3':0.06,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(121,721):{'5_2':0.48,'-3':0.12,'7_2':0.06,'5_1':0.03,'6_1':0.03,'7_6':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0},(121,720):{'5_2':0.54,'7_2':0.06,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'3_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(121,719):{'5_2':0.54,'6_1':0.09,'-3':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(121,718):{'5_2':0.54,'7_2':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(121,717):{'5_2':0.51,'-3':0.06,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_6':0.03,'3_1':0.03,'7_3':0.0,'8_1':0.0,'7_1':0.0},(121,716):{'5_2':0.48,'7_2':0.12,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(121,715):{'5_2':0.42,'7_2':0.12,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(121,714):{'5_2':0.45,'7_4':0.09,'7_2':0.09,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'8_13':0.0},(121,713):{'5_2':0.39,'3_1':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'8_8':0.0},(121,712):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(121,711):{'5_2':0.39,'7_2':0.15,'-3':0.06,'7_5':0.06,'7_3':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(121,710):{'5_2':0.33,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_15':0.0,'1':-0.03},(121,709):{'5_2':0.48,'-3':0.15,'7_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'9_1':0.0,'8_1':0.0,'1':-0.03},(121,708):{'5_2':0.42,'-3':0.12,'7_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'3_1#5_2':0.0},(121,707):{'5_2':0.45,'7_2':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(121,706):{'5_2':0.42,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(121,705):{'5_2':0.33,'3_1':0.06,'7_3':0.06,'6_1':0.06,'7_2':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0},(121,704):{'5_2':0.39,'7_2':0.09,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0},(121,703):{'5_2':0.48,'3_1':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(121,702):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(121,701):{'5_2':0.45,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0},(121,700):{'5_2':0.51,'3_1':0.06,'6_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'7_1':0.0},(121,699):{'5_2':0.42,'3_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'8_12':0.0,'8_13':0.0},(121,698):{'5_2':0.42,'7_2':0.06,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.03,'3_1#5_2':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(121,697):{'5_2':0.27,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'8_11':0.0},(121,696):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(121,695):{'5_2':0.45,'7_2':0.06,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0},(121,694):{'5_2':0.33,'3_1':0.09,'7_4':0.09,'-3':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(121,693):{'5_2':0.3,'7_4':0.09,'-3':0.09,'7_2':0.06,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(121,692):{'5_2':0.36,'3_1':0.12,'7_2':0.09,'7_3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0},(121,691):{'5_2':0.33,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'7_6':0.0,'1':-0.03},(121,690):{'5_2':0.27,'3_1':0.12,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_1':0.0,'8_11':0.0,'7_6':0.0},(121,689):{'5_2':0.27,'3_1':0.15,'7_3':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(121,688):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'7_3':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0,'6_2':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0},(121,687):{'5_2':0.36,'3_1':0.21,'7_2':0.06,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(121,686):{'3_1':0.24,'5_2':0.18,'7_4':0.09,'5_1':0.06,'7_3':0.06,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(121,685):{'5_2':0.36,'3_1':0.27,'7_4':0.09,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(121,684):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(121,683):{'5_2':0.3,'3_1':0.21,'7_4':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(121,682):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(121,681):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(121,680):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0},(121,679):{'3_1':0.39,'5_2':0.21,'7_3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'8_12':0.0,'-3':0.0},(121,678):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(121,677):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'-3':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(121,676):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_19':0.0},(121,675):{'3_1':0.39,'5_2':0.24,'4_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0},(121,674):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(121,673):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(121,672):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(121,671):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'7_4':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0},(121,670):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0},(121,669):{'3_1':0.54,'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(121,668):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(121,667):{'3_1':0.45,'5_2':0.15,'4_1':0.06,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(121,666):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(121,665):{'3_1':0.51,'5_2':0.24,'7_4':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(121,664):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(121,663):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0},(121,662):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_11':0.0},(121,661):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(121,660):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0},(121,659):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(121,658):{'3_1':0.48,'5_2':0.27,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(121,657):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(121,656):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(121,655):{'3_1':0.36,'5_2':0.3,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(121,654):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0},(121,653):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(121,652):{'3_1':0.45,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_3':0.0,'8_19':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(121,651):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(121,650):{'3_1':0.45,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(121,649):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0},(121,648):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_7':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(121,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_6':0.0},(121,646):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.03,'8_19':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(121,645):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.03,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0},(121,644):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(121,643):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(121,642):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(121,641):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_7':0.0,'-3':0.0},(121,640):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(121,639):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_1':0.0},(121,638):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_9':0.0,'-3':0.0},(121,637):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(121,636):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0},(121,635):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(121,634):{'3_1':0.15,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(121,633):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_2':0.0,'8_19':0.0},(121,632):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_19':0.0},(121,631):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(121,630):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(121,629):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'8_19':0.0},(121,628):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0},(121,627):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(121,626):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0},(121,625):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_5':0.0},(121,624):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0},(121,623):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(121,622):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'-3':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'8_16':0.0,'8_19':0.0},(121,621):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'-3':0.0,'4_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(121,620):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(121,619):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(121,618):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(121,617):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(121,616):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(121,615):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0},(121,614):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_7':0.0},(121,613):{'3_1':0.3,'4_1':0.06,'5_2':0.06,'7_1':0.0},(121,612):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(121,611):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0},(121,610):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(121,609):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(121,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(121,607):{'3_1':0.33,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0},(121,606):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(121,605):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(121,604):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(121,603):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(121,602):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'7_6':0.0,'-3':0.0},(121,601):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(121,600):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(121,599):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(121,598):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(121,597):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(121,596):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_3':0.0,'6_1':0.0,'8_19':0.0},(121,595):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(121,594):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'7_6':0.0},(121,593):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(121,592):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(121,591):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'8_19':0.0,'5_2':0.0,'-3':0.0},(121,590):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(121,589):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_15':0.0},(121,588):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(121,587):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(121,586):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(121,585):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(121,584):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(121,583):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(121,582):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(121,581):{'3_1':0.09,'5_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(121,580):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0},(121,579):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(121,578):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0},(121,577):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(121,576):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'5_2':0.0},(121,575):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(121,574):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(121,573):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(121,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(121,571):{'4_1':0.09,'5_1':0.06,'3_1':0.03,'5_2':0.0},(121,570):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03},(121,569):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0},(121,568):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(121,567):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0},(121,566):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(121,565):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(121,564):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(121,563):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(121,562):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(121,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(121,560):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0},(121,559):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(121,558):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0},(121,557):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(121,556):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(121,555):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_5':0.0},(121,554):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(121,553):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'-3':0.0},(121,552):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(121,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(121,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(121,549):{'3_1':0.12,'5_2':0.03,'7_5':0.0,'7_1':0.0},(121,548):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(121,547):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_5':0.0},(121,546):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0},(121,545):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(121,544):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(121,543):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(121,542):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(121,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(121,540):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(121,539):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(121,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(121,537):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(121,536):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(121,535):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(121,534):{'3_1':0.15,'5_2':0.0,'7_1':0.0},(121,533):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(121,532):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(121,531):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(121,530):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(121,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_5':0.0},(121,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(121,527):{'3_1':0.06,'4_1':0.0,'7_5':0.0,'9_1':0.0},(121,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(121,525):{'3_1':0.12,'5_1':0.0},(121,524):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(121,523):{'3_1':0.06,'5_2':0.0},(121,522):{'3_1':0.09,'4_1':0.03},(121,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(121,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(121,519):{'3_1':0.06,'5_2':0.0},(121,518):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0},(121,517):{'3_1':0.09,'4_1':0.03},(121,516):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(121,515):{'3_1':0.06,'5_2':0.0},(121,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,513):{'3_1':0.03,'4_1':0.0},(121,512):{'3_1':0.12,'4_1':0.0},(121,511):{'3_1':0.0,'4_1':0.0},(121,510):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(121,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(121,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(121,507):{'3_1':0.03,'4_1':0.0},(121,506):{'3_1':0.03,'4_1':0.0},(121,505):{'3_1':0.03},(121,504):{'3_1':0.03,'5_2':0.0},(121,503):{'3_1':0.06},(121,502):{'3_1':0.03},(121,501):{'3_1':0.0,'4_1':0.0},(121,500):{'3_1':0.03,'4_1':0.0},(121,499):{'3_1':0.0,'4_1':0.0},(121,498):{'3_1':0.06,'4_1':0.0},(121,497):{'3_1':0.06},(121,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(121,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,494):{'3_1':0.06,'4_1':0.0},(121,493):{'3_1':0.03},(121,492):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(121,491):{'3_1':0.03},(121,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(121,489):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(121,488):{'3_1':0.03,'5_2':0.0},(121,487):{'3_1':0.09},(121,486):{'3_1':0.03},(121,485):{'3_1':0.03,'4_1':0.0},(121,484):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(121,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(121,482):{'3_1':0.09},(121,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(121,480):{'3_1':0.03},(121,479):{'3_1':0.06,'5_2':0.0},(121,478):{'3_1':0.06},(121,477):{'3_1':0.06},(121,476):{'3_1':0.09,'5_1':0.0},(121,475):{'3_1':0.09,'4_1':0.0},(121,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(121,473):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(121,472):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(121,471):{'3_1':0.03,'4_1':0.0},(121,470):{'3_1':0.06},(121,469):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(121,468):{'3_1':0.03},(121,467):{'3_1':0.03,'4_1':0.0},(121,466):{'3_1':0.0,'4_1':0.0},(121,465):{'3_1':0.03},(121,464):{'3_1':0.0,'5_1':0.0},(121,463):{'3_1':0.0},(121,462):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,461):{'3_1':0.03,'4_1':0.0},(121,460):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(121,459):{'3_1':0.03},(121,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(121,457):{'3_1':0.03},(121,456):{'3_1':0.0,'4_1':0.0},(121,455):{'3_1':0.06,'4_1':0.0},(121,454):{'3_1':0.06},(121,453):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(121,452):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(121,451):{'3_1':0.06,'4_1':0.0},(121,450):{'3_1':0.03,'4_1':0.0},(121,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,448):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(121,447):{'3_1':0.0,'4_1':0.0},(121,446):{'3_1':0.0},(121,445):{'3_1':0.03,'5_1':0.0},(121,444):{'4_1':0.0},(121,443):{'3_1':0.0},(121,442):{'3_1':0.0,'4_1':0.0},(121,441):{'3_1':0.03},(121,440):{'3_1':0.03},(121,439):{'3_1':0.03},(121,438):{'3_1':0.0},(121,437):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(121,436):{'3_1':0.06,'6_2':0.0},(121,435):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,434):{'3_1':0.06,'4_1':0.0},(121,433):{'3_1':0.03,'4_1':0.0},(121,432):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(121,431):{'3_1':0.03,'4_1':0.0},(121,430):{'3_1':0.03,'4_1':0.0},(121,429):{'3_1':0.0},(121,428):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(121,427):{'3_1':0.03,'4_1':0.03},(121,426):{'3_1':0.06,'4_1':0.0},(121,425):{'3_1':0.03,'4_1':0.0},(121,424):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(121,423):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(121,422):{'3_1':0.03,'4_1':0.0},(121,421):{'3_1':0.03,'4_1':0.0},(121,420):{'3_1':0.0,'4_1':0.0},(121,419):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(121,418):{'4_1':0.06,'3_1':0.0,'7_1':0.0},(121,417):{'3_1':0.03,'4_1':0.0},(121,416):{'4_1':0.0,'3_1':0.0},(121,415):{'3_1':0.0,'4_1':0.0},(121,414):{'3_1':0.0,'4_1':0.0},(121,413):{'3_1':0.0,'4_1':0.0},(121,412):{'3_1':0.0,'4_1':0.0},(121,410):{'4_1':0.0},(121,409):{'3_1':0.0},(121,408):{'3_1':0.0},(121,407):{'3_1':0.0},(121,406):{'3_1':0.03},(121,405):{'3_1':0.0},(121,404):{'3_1':0.0},(121,403):{'3_1':0.0},(121,402):{'3_1':0.03,'5_1':0.0},(121,401):{'3_1':0.0},(121,400):{'3_1':0.03,'4_1':0.0},(121,399):{'3_1':0.0,'4_1':0.0},(121,398):{'3_1':0.0},(121,397):{'3_1':0.0},(121,396):{'3_1':0.0},(121,395):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,394):{'3_1':0.03},(121,393):{'3_1':0.0},(121,392):{'3_1':0.03,'4_1':0.0},(121,391):{'3_1':0.0},(121,389):{'3_1':0.0},(121,388):{'3_1':0.0},(121,387):{'3_1':0.0},(121,386):{'3_1':0.0,'-3':0.0},(121,385):{'3_1':0.0},(121,384):{'3_1':0.0},(121,383):{'3_1':0.0},(121,382):{'3_1':0.0,'5_2':0.0},(121,381):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(121,380):{'3_1':0.03,'5_1':0.0},(121,379):{'3_1':0.0,'8_21|3_1#4_1':0.0},(121,378):{'3_1':0.03,'5_1':0.0},(121,377):{'3_1':0.03},(121,376):{'3_1':0.0,'5_1':0.0},(121,375):{'3_1':0.03},(121,374):{'3_1':0.03,'5_2':0.0},(121,373):{'3_1':0.03},(121,372):{'3_1':0.0},(121,371):{'3_1':0.0},(121,370):{'3_1':0.03,'5_1':0.0},(121,369):{'3_1':0.0,'7_1':0.0},(121,368):{'3_1':0.03},(121,367):{'3_1':0.0},(121,366):{'3_1':0.0},(121,365):{'3_1':0.0},(121,364):{'3_1':0.0},(121,363):{'3_1':0.03},(121,362):{'3_1':0.03},(121,361):{'3_1':0.03},(121,360):{'5_1':0.0},(121,359):{'3_1':0.0},(121,358):{'3_1':0.0,'5_1':0.0},(121,357):{'5_1':0.0},(121,356):{'3_1':0.0},(121,355):{'3_1':0.0},(121,354):{'3_1':0.03,'7_1':0.0},(121,353):{'3_1':0.03},(121,352):{'3_1':0.03,'4_1':0.0},(121,351):{'3_1':0.0},(121,350):{'3_1':0.0},(121,349):{'3_1':0.03,'4_1':0.0},(121,348):{'3_1':0.03},(121,347):{'3_1':0.03,'4_1':0.0},(121,346):{'3_1':0.03},(121,345):{'3_1':0.0},(121,343):{'3_1':0.0},(121,342):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(121,341):{'3_1':0.03},(121,339):{'3_1':0.0},(121,338):{'3_1':0.0},(121,337):{'3_1':0.0},(121,336):{'3_1':0.0},(121,335):{'3_1':0.03},(121,334):{'3_1':0.0},(121,333):{'3_1':0.0},(121,331):{'3_1':0.03},(121,329):{'3_1':0.0,'4_1':0.0},(121,328):{'4_1':0.0,'5_1':0.0},(121,327):{'3_1':0.0},(121,326):{'3_1':0.0},(121,325):{'3_1':0.0},(121,324):{'3_1':0.0},(121,322):{'3_1':0.03},(121,321):{'3_1':0.0},(121,320):{'3_1':0.0,'5_1':0.0},(121,319):{'3_1':0.0},(121,318):{'3_1':0.0},(121,317):{'3_1':0.0},(121,316):{'3_1':0.0},(121,315):{'3_1':0.0},(121,314):{'3_1':0.0},(121,313):{'3_1':0.0,'4_1':0.0},(121,312):{'3_1':0.0},(121,311):{'3_1':0.03},(121,309):{'3_1':0.0},(121,308):{'3_1':0.03},(121,307):{'3_1':0.0},(121,306):{'3_1':0.0},(121,305):{'3_1':0.0},(121,304):{'3_1':0.0},(121,303):{'3_1':0.03},(121,302):{'3_1':0.0,'4_1':0.0},(121,301):{'3_1':0.0},(121,300):{'3_1':0.0},(121,299):{'3_1':0.0},(121,298):{'3_1':0.0},(121,297):{'3_1':0.0},(121,296):{'3_1':0.0,'4_1':0.0},(121,295):{'3_1':0.0},(121,294):{'3_1':0.0},(121,293):{'3_1':0.0},(121,292):{'3_1':0.0},(121,291):{'3_1':0.0,'4_1':0.0},(121,290):{'3_1':0.0},(121,289):{'3_1':0.0},(121,288):{'3_1':0.03},(121,287):{'3_1':0.0},(121,286):{'3_1':0.0},(121,285):{'3_1':0.0},(121,284):{'3_1':0.03},(121,283):{'3_1':0.0},(121,282):{'3_1':0.0,'4_1':0.0},(121,281):{'3_1':0.0},(121,280):{'3_1':0.03},(121,279):{'3_1':0.0},(121,278):{'3_1':0.0},(121,277):{'3_1':0.03},(121,276):{'3_1':0.0},(121,275):{'3_1':0.0},(121,273):{'3_1':0.0},(121,271):{'3_1':0.0},(121,270):{'3_1':0.0},(121,269):{'3_1':0.03,'5_1':0.0},(121,268):{'3_1':0.0},(121,267):{'3_1':0.0},(121,266):{'3_1':0.0},(121,265):{'3_1':0.0},(121,264):{'3_1':0.0,'5_2':0.0},(121,263):{'3_1':0.0},(121,262):{'3_1':0.0},(121,261):{'3_1':0.0},(121,260):{'4_1':0.0},(121,259):{'3_1':0.0},(121,258):{'3_1':0.03},(121,257):{'3_1':0.0},(121,256):{'3_1':0.0},(121,255):{'3_1':0.0,'4_1':0.0},(121,253):{'3_1':0.0},(121,252):{'3_1':0.0},(121,251):{'3_1':0.0},(121,250):{'3_1':0.0},(121,249):{'3_1':0.0},(121,248):{'3_1':0.0},(121,247):{'3_1':0.0},(121,246):{'3_1':0.0},(121,245):{'3_1':0.0},(121,244):{'3_1':0.0},(121,243):{'3_1':0.0},(121,242):{'3_1':0.03},(121,241):{'3_1':0.03},(121,240):{'3_1':0.06},(121,239):{'3_1':0.03},(121,238):{'3_1':0.0},(121,237):{'3_1':0.0,'7_1':0.0},(121,235):{'3_1':0.0},(121,234):{'3_1':0.0},(121,233):{'3_1':0.0},(121,230):{'3_1':0.0},(121,229):{'4_1':0.0},(121,228):{'3_1':0.0},(121,227):{'3_1':0.0},(121,224):{'3_1':0.0},(121,223):{'3_1':0.0},(121,222):{'3_1':0.0},(121,220):{'3_1':0.0},(121,219):{'3_1':0.0},(121,217):{'3_1':0.0},(121,213):{'3_1':0.0},(121,212):{'3_1':0.0},(121,210):{'3_1':0.0},(121,209):{'3_1':0.0,'4_1':0.0},(121,208):{'3_1':0.0},(121,207):{'3_1':0.0,'4_1':0.0},(121,206):{'3_1':0.0},(121,205):{'3_1':0.0},(121,203):{'3_1':0.0},(121,202):{'3_1':0.0,'4_1':0.0},(121,197):{'3_1':0.0},(121,196):{'4_1':0.0,'3_1':0.0},(121,195):{'3_1':0.0,'4_1':0.0},(121,194):{'3_1':0.03},(121,193):{'5_1':0.0},(121,192):{'3_1':0.0},(121,191):{'3_1':0.0},(121,190):{'3_1':0.0},(121,181):{'3_1':0.0},(121,177):{'3_1':0.0},(121,173):{'3_1':0.0},(121,172):{'3_1':0.0},(121,170):{'3_1':0.0},(121,169):{'3_1':0.0},(121,168):{'3_1':0.0},(121,167):{'3_1':0.0},(121,166):{'3_1':0.0},(121,164):{'3_1':0.0},(121,163):{'3_1':0.0},(121,162):{'3_1':0.0},(121,161):{'3_1':0.0},(121,160):{'3_1':0.0},(121,159):{'3_1':0.0},(121,153):{'3_1':0.0,'5_1':0.0},(121,152):{'3_1':0.0,'5_1':0.0},(121,150):{'3_1':0.03},(121,149):{'3_1':0.03},(121,148):{'3_1':0.0},(121,147):{'3_1':0.0},(121,146):{'3_1':0.0},(121,145):{'3_1':0.03},(121,128):{'3_1':0.0},(121,127):{'3_1':0.0},(121,125):{'3_1':0.0},(122,727):{'5_2':0.6,'7_2':0.09,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(122,726):{'5_2':0.39,'7_2':0.12,'6_1':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.0,'3_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(122,725):{'5_2':0.48,'7_2':0.06,'7_3':0.06,'7_4':0.06,'-3':0.06,'3_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(122,724):{'5_2':0.48,'7_2':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(122,723):{'5_2':0.57,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0},(122,722):{'5_2':0.42,'7_2':0.12,'6_1':0.06,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(122,721):{'5_2':0.42,'7_2':0.12,'-3':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0,'1':-0.03},(122,720):{'5_2':0.51,'7_2':0.15,'3_1':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0},(122,719):{'5_2':0.48,'7_2':0.09,'6_1':0.03,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(122,718):{'5_2':0.48,'-3':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'6_3':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(122,717):{'5_2':0.51,'7_2':0.12,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_15':0.0},(122,716):{'5_2':0.45,'7_2':0.09,'-3':0.06,'7_6':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(122,715):{'5_2':0.51,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0},(122,714):{'5_2':0.51,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'4_1':0.0,'7_5':0.0,'8_1':0.0},(122,713):{'5_2':0.48,'7_2':0.06,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(122,712):{'5_2':0.42,'7_2':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'6_3':0.0,'8_14':0.0},(122,711):{'5_2':0.42,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'1':-0.03},(122,710):{'5_2':0.39,'-3':0.12,'7_2':0.09,'7_5':0.06,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'1':-0.03},(122,709):{'5_2':0.3,'-3':0.18,'7_2':0.09,'7_5':0.09,'6_1':0.03,'5_1':0.0,'3_1':0.0,'7_3':0.0,'8_6':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(122,708):{'5_2':0.39,'-3':0.12,'7_2':0.06,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(122,707):{'5_2':0.54,'-3':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(122,706):{'5_2':0.42,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0},(122,705):{'5_2':0.48,'7_4':0.06,'3_1':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(122,704):{'5_2':0.42,'3_1':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(122,703):{'5_2':0.42,'7_2':0.09,'3_1':0.06,'7_4':0.06,'7_5':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'8_11':0.0,'7_6':0.0,'8_15':0.0},(122,702):{'5_2':0.48,'3_1':0.09,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0},(122,701):{'5_2':0.45,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_5':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(122,700):{'5_2':0.36,'3_1':0.09,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_3':0.0,'8_11':0.0,'8_13':0.0},(122,699):{'5_2':0.45,'7_2':0.09,'3_1':0.09,'-3':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(122,698):{'5_2':0.39,'7_4':0.09,'3_1':0.06,'7_2':0.06,'-3':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(122,697):{'5_2':0.39,'-3':0.09,'7_2':0.09,'3_1':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(122,696):{'5_2':0.39,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(122,695):{'5_2':0.39,'3_1':0.12,'7_2':0.09,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0},(122,694):{'5_2':0.36,'3_1':0.15,'7_4':0.06,'-3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(122,693):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'7_2':0.09,'7_3':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(122,692):{'5_2':0.33,'3_1':0.12,'7_2':0.06,'7_3':0.06,'7_4':0.06,'-3':0.06,'6_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(122,691):{'5_2':0.36,'3_1':0.18,'-3':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(122,690):{'5_2':0.45,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(122,689):{'5_2':0.3,'3_1':0.12,'7_3':0.06,'7_2':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0},(122,688):{'5_2':0.33,'3_1':0.24,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(122,687):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(122,686):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(122,685):{'5_2':0.27,'3_1':0.27,'7_4':0.09,'7_3':0.06,'-3':0.06,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0},(122,684):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'7_2':0.03,'-3':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0},(122,683):{'5_2':0.33,'3_1':0.15,'7_4':0.09,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0,'7_1':0.0,'3_1#5_2':0.0},(122,682):{'3_1':0.3,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(122,681):{'3_1':0.42,'5_2':0.3,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(122,680):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(122,679):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(122,678):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(122,677):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(122,676):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(122,675):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,674):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'4_1':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(122,673):{'3_1':0.42,'5_2':0.3,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0,'-3':0.0},(122,672):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(122,671):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(122,670):{'3_1':0.39,'5_2':0.18,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(122,669):{'3_1':0.42,'5_2':0.21,'4_1':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'8_19':0.0,'7_2':0.0,'8_1':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,668):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(122,667):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(122,666):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(122,665):{'3_1':0.36,'5_2':0.27,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(122,664):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(122,663):{'3_1':0.39,'5_2':0.21,'7_2':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'8_15':0.0},(122,662):{'3_1':0.33,'5_2':0.27,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_13':0.0,'8_15':0.0,'-3':0.0},(122,661):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'7_7':0.0,'-3':0.0},(122,660):{'3_1':0.36,'5_2':0.27,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(122,659):{'3_1':0.36,'5_2':0.24,'5_1':0.03,'4_1':0.03,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(122,658):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(122,657):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(122,656):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(122,655):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(122,654):{'3_1':0.45,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_4':0.0},(122,653):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'7_4':0.03,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(122,652):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0},(122,651):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(122,650):{'3_1':0.36,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(122,649):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(122,648):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.03,'8_19':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(122,647):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'8_19':0.0},(122,646):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'-3':0.0,'6_3':0.0},(122,645):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_7':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(122,644):{'3_1':0.3,'5_2':0.21,'5_1':0.09,'7_1':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(122,643):{'3_1':0.18,'5_2':0.12,'7_3':0.03,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(122,642):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(122,641):{'3_1':0.21,'5_2':0.18,'5_1':0.09,'4_1':0.03,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(122,640):{'3_1':0.24,'5_2':0.24,'5_1':0.09,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(122,639):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(122,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'8_19':0.0,'4_1':0.0,'7_5':0.0},(122,637):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(122,636):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(122,635):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'6_2':0.0,'6_3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(122,634):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_1':0.0,'4_1':0.0},(122,633):{'3_1':0.33,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0},(122,632):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_19':0.0,'-3':0.0},(122,631):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0},(122,630):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(122,629):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(122,628):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'7_3':0.0},(122,627):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(122,626):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(122,625):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(122,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0},(122,623):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(122,622):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(122,621):{'3_1':0.18,'5_2':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_16':0.0},(122,620):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0},(122,619):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0},(122,618):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'-3':0.0,'4_1':0.0,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(122,617):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(122,616):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_7':0.0,'8_16':0.0},(122,615):{'3_1':0.27,'5_2':0.06,'5_1':0.03},(122,614):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(122,613):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(122,612):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0},(122,611):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'-3':0.03,'5_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0},(122,610):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(122,609):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(122,608):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0},(122,607):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(122,606):{'3_1':0.27,'5_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(122,605):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(122,604):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(122,603):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(122,602):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(122,601):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0},(122,600):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0},(122,599):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0},(122,598):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(122,597):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'6_2':0.0},(122,596):{'3_1':0.15,'4_1':0.09},(122,595):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(122,594):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(122,593):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0},(122,592):{'3_1':0.24,'4_1':0.09,'5_1':0.0},(122,591):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(122,590):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0},(122,589):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(122,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(122,587):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(122,586):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_6':0.0,'8_19':0.0},(122,585):{'3_1':0.15,'4_1':0.15,'5_1':0.0},(122,584):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0},(122,583):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(122,582):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0},(122,581):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(122,580):{'3_1':0.15,'4_1':0.06,'5_1':0.03},(122,579):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(122,578):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(122,577):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(122,576):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'9_1':0.0,'5_1':0.0,'7_1':0.0},(122,575):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(122,574):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_2':0.0},(122,573):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(122,572):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(122,571):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(122,570):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_3':0.0},(122,569):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_1':0.0},(122,568):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(122,567):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0},(122,566):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(122,565):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(122,564):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(122,563):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'8_6':0.0,'8_11':0.0},(122,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(122,561):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(122,560):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(122,559):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(122,558):{'3_1':0.15,'4_1':0.0,'7_5':0.0},(122,557):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(122,556):{'3_1':0.09,'4_1':0.0,'7_3':0.0,'6_1':0.0},(122,555):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(122,554):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_2':0.0},(122,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(122,552):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(122,551):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0},(122,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(122,549):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(122,548):{'3_1':0.24,'5_2':0.0,'-3':0.0},(122,547):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(122,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(122,545):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(122,544):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(122,543):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(122,542):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(122,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(122,540):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(122,539):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(122,538):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(122,537):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(122,536):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_5':0.0},(122,535):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(122,534):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(122,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(122,532):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(122,531):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(122,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(122,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(122,528):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(122,527):{'3_1':0.09,'4_1':0.0},(122,526):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0},(122,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(122,524):{'3_1':0.12,'5_1':0.0},(122,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(122,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(122,521):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(122,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(122,519):{'3_1':0.12,'4_1':0.0},(122,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,516):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(122,515):{'3_1':0.03},(122,514):{'3_1':0.06,'4_1':0.0},(122,513):{'3_1':0.06,'4_1':0.0},(122,512):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(122,511):{'3_1':0.06,'4_1':0.0},(122,510):{'3_1':0.06,'4_1':0.0},(122,509):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(122,508):{'3_1':0.06},(122,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,506):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(122,505):{'3_1':0.06,'5_2':0.0},(122,504):{'4_1':0.0,'3_1':0.0},(122,503):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(122,502):{'3_1':0.03,'4_1':0.0},(122,501):{'3_1':0.03,'4_1':0.0},(122,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(122,499):{'3_1':0.03},(122,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(122,497):{'3_1':0.0},(122,496):{'3_1':0.0,'4_1':0.0},(122,495):{'3_1':0.0},(122,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(122,493):{'3_1':0.12,'5_2':0.0},(122,492):{'3_1':0.03},(122,491):{'3_1':0.03},(122,490):{'3_1':0.06,'5_2':0.0},(122,489):{'3_1':0.06,'5_2':0.0},(122,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(122,487):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(122,486):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(122,485):{'3_1':0.12,'4_1':0.0},(122,484):{'3_1':0.06},(122,483):{'3_1':0.06},(122,482):{'3_1':0.06,'9_1':0.0},(122,481):{'3_1':0.09,'9_1':0.0},(122,480):{'3_1':0.09,'4_1':0.0},(122,479):{'3_1':0.03,'4_1':0.0},(122,478):{'3_1':0.09},(122,477):{'3_1':0.06,'5_1':0.0},(122,476):{'3_1':0.06,'4_1':0.0},(122,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(122,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(122,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(122,472):{'3_1':0.06},(122,471):{'3_1':0.09,'5_2':0.0},(122,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(122,468):{'3_1':0.06,'5_1':0.0},(122,467):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,466):{'3_1':0.03},(122,465):{'3_1':0.0},(122,464):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(122,463):{'3_1':0.09},(122,462):{'3_1':0.03},(122,461):{'3_1':0.03},(122,460):{'3_1':0.06,'5_2':0.0},(122,459):{'3_1':0.06,'5_1':0.0},(122,458):{'3_1':0.06},(122,457):{'3_1':0.03},(122,456):{'3_1':0.0,'4_1':0.0},(122,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(122,454):{'3_1':0.03},(122,453):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(122,452):{'3_1':0.03},(122,451):{'3_1':0.0,'4_1':0.0},(122,450):{'3_1':0.03,'4_1':0.0},(122,449):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(122,448):{'3_1':0.03,'5_1':0.0},(122,447):{'3_1':0.03},(122,446):{'3_1':0.03,'4_1':0.0},(122,445):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(122,444):{'3_1':0.03,'5_1':0.0},(122,443):{'3_1':0.06},(122,442):{'3_1':0.0},(122,441):{'3_1':0.03},(122,440):{'3_1':0.03},(122,439):{'3_1':0.0,'4_1':0.0},(122,438):{'3_1':0.0,'7_1':0.0},(122,437):{'3_1':0.0,'4_1':0.0},(122,436):{'3_1':0.03},(122,435):{'3_1':0.03,'5_1':0.0},(122,434):{'3_1':0.03},(122,433):{'3_1':0.03},(122,432):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(122,431):{'3_1':0.03,'4_1':0.0},(122,430):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(122,429):{'3_1':0.0},(122,428):{'3_1':0.03,'4_1':0.0},(122,427):{'3_1':0.03,'4_1':0.0},(122,426):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(122,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(122,424):{'3_1':0.0},(122,423):{'3_1':0.03,'4_1':0.03},(122,422):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(122,421):{'3_1':0.03,'4_1':0.0},(122,420):{'3_1':0.03,'4_1':0.0},(122,419):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(122,418):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(122,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(122,416):{'4_1':0.0,'6_1':0.0},(122,415):{'4_1':0.03,'3_1':0.03},(122,414):{'3_1':0.0,'4_1':0.0},(122,413):{'4_1':0.0},(122,412):{'4_1':0.0},(122,411):{'3_1':0.0,'4_1':0.0},(122,410):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(122,409):{'3_1':0.0},(122,407):{'4_1':0.0,'5_1':0.0},(122,406):{'3_1':0.0,'4_1':0.0},(122,405):{'3_1':0.0,'6_1':0.0},(122,404):{'3_1':0.0},(122,403):{'3_1':0.0},(122,402):{'3_1':0.0},(122,401):{'3_1':0.0},(122,400):{'3_1':0.0},(122,399):{'3_1':0.0},(122,398):{'3_1':0.0,'4_1':0.0},(122,397):{'3_1':0.0},(122,394):{'3_1':0.0},(122,393):{'3_1':0.0},(122,392):{'3_1':0.0,'4_1':0.0},(122,391):{'3_1':0.0},(122,390):{'3_1':0.0},(122,389):{'3_1':0.0},(122,388):{'3_1':0.0},(122,387):{'3_1':0.0},(122,386):{'3_1':0.0},(122,385):{'4_1':0.0,'8_1':0.0},(122,384):{'3_1':0.0},(122,383):{'3_1':0.0},(122,382):{'3_1':0.0},(122,381):{'3_1':0.0},(122,380):{'3_1':0.03,'5_1':0.0},(122,378):{'3_1':0.03},(122,377):{'3_1':0.03,'6_1':0.0},(122,376):{'3_1':0.03},(122,374):{'3_1':0.06},(122,373):{'3_1':0.03},(122,372):{'3_1':0.03},(122,371):{'3_1':0.0,'5_1':0.0},(122,370):{'3_1':0.0},(122,369):{'3_1':0.03,'5_1':0.0},(122,368):{'3_1':0.03},(122,367):{'3_1':0.0},(122,366):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(122,365):{'3_1':0.0,'5_1':0.0},(122,364):{'3_1':0.0},(122,363):{'3_1':0.03},(122,362):{'3_1':0.0},(122,361):{'3_1':0.0},(122,360):{'3_1':0.0},(122,359):{'3_1':0.03},(122,358):{'3_1':0.03},(122,357):{'3_1':0.0},(122,356):{'3_1':0.0,'5_1':0.0},(122,355):{'3_1':0.03,'7_1':0.0},(122,354):{'3_1':0.0,'5_1':0.0},(122,353):{'3_1':0.03},(122,352):{'3_1':0.0},(122,351):{'3_1':0.0},(122,350):{'3_1':0.0},(122,349):{'3_1':0.0},(122,348):{'3_1':0.03},(122,347):{'3_1':0.03},(122,346):{'3_1':0.0},(122,345):{'3_1':0.06},(122,344):{'3_1':0.0},(122,343):{'3_1':0.0},(122,342):{'5_1':0.0},(122,341):{'3_1':0.0,'4_1':0.0},(122,340):{'3_1':0.03},(122,339):{'3_1':0.0,'5_1':0.0},(122,338):{'3_1':0.03,'4_1':0.0},(122,337):{'3_1':0.0},(122,336):{'3_1':0.0},(122,335):{'3_1':0.0},(122,334):{'3_1':0.0},(122,333):{'3_1':0.0},(122,330):{'3_1':0.0,'4_1':0.0},(122,329):{'3_1':0.0},(122,328):{'3_1':0.0},(122,326):{'3_1':0.0,'5_2':0.0},(122,325):{'3_1':0.0},(122,324):{'3_1':0.0,'5_2':0.0},(122,323):{'3_1':0.0},(122,322):{'3_1':0.0},(122,319):{'3_1':0.0,'5_1':0.0},(122,318):{'3_1':0.0},(122,317):{'3_1':0.0},(122,316):{'3_1':0.0,'4_1':0.0},(122,315):{'4_1':0.0},(122,314):{'8_20|3_1#3_1':0.0},(122,312):{'3_1':0.0,'4_1':0.0},(122,311):{'3_1':0.0},(122,310):{'3_1':0.0,'4_1':0.0},(122,309):{'3_1':0.0,'6_1':0.0},(122,308):{'3_1':0.0,'5_1':0.0},(122,307):{'4_1':0.0},(122,306):{'3_1':0.0,'4_1':0.0},(122,305):{'3_1':0.0},(122,303):{'3_1':0.0},(122,302):{'3_1':0.03,'4_1':0.0},(122,301):{'3_1':0.0},(122,300):{'3_1':0.0},(122,299):{'3_1':0.0},(122,298):{'3_1':0.0},(122,297):{'3_1':0.0},(122,296):{'3_1':0.0},(122,295):{'3_1':0.0},(122,294):{'3_1':0.0},(122,292):{'3_1':0.0,'4_1':0.0},(122,290):{'3_1':0.0},(122,288):{'3_1':0.0},(122,287):{'3_1':0.0},(122,286):{'3_1':0.0},(122,285):{'3_1':0.0},(122,284):{'3_1':0.03},(122,283):{'3_1':0.0},(122,282):{'3_1':0.0,'4_1':0.0},(122,281):{'3_1':0.0},(122,280):{'3_1':0.0},(122,279):{'3_1':0.0},(122,278):{'3_1':0.0},(122,277):{'3_1':0.0},(122,276):{'3_1':0.0},(122,275):{'3_1':0.03},(122,274):{'3_1':0.0},(122,273):{'3_1':0.0,'4_1':0.0},(122,271):{'3_1':0.0},(122,270):{'3_1':0.0},(122,269):{'3_1':0.0},(122,268):{'3_1':0.0},(122,267):{'3_1':0.0},(122,266):{'3_1':0.0},(122,265):{'3_1':0.0},(122,264):{'3_1':0.0},(122,262):{'3_1':0.0},(122,261):{'3_1':0.0},(122,260):{'3_1':0.0,'5_1':0.0},(122,259):{'3_1':0.0},(122,258):{'3_1':0.0},(122,257):{'3_1':0.0,'4_1':0.0},(122,256):{'3_1':0.0},(122,255):{'3_1':0.0},(122,254):{'3_1':0.0,'6_1':0.0},(122,253):{'3_1':0.0},(122,252):{'3_1':0.0},(122,251):{'3_1':0.0},(122,250):{'4_1':0.0},(122,249):{'3_1':0.0},(122,247):{'3_1':0.0},(122,246):{'4_1':0.0},(122,245):{'3_1':0.0},(122,244):{'3_1':0.0},(122,243):{'3_1':0.0},(122,242):{'3_1':0.03,'5_1':0.0},(122,241):{'3_1':0.0},(122,240):{'3_1':0.0},(122,239):{'3_1':0.0},(122,238):{'3_1':0.0},(122,237):{'3_1':0.0,'4_1':0.0},(122,236):{'3_1':0.0,'5_1':0.0},(122,235):{'3_1':0.0},(122,234):{'3_1':0.0},(122,233):{'3_1':0.0},(122,231):{'3_1':0.0},(122,230):{'3_1':0.0},(122,228):{'3_1':0.0},(122,226):{'4_1':0.0},(122,225):{'3_1':0.0},(122,224):{'3_1':0.0},(122,223):{'3_1':0.0},(122,219):{'3_1':0.0,'8_1':0.0},(122,218):{'3_1':0.0},(122,217):{'3_1':0.0},(122,215):{'3_1':0.0},(122,214):{'3_1':0.0},(122,213):{'3_1':0.0},(122,210):{'3_1':0.0},(122,208):{'3_1':0.0},(122,207):{'3_1':0.0},(122,202):{'3_1':0.0},(122,201):{'3_1':0.0},(122,197):{'3_1':0.0},(122,194):{'5_1':0.0},(122,193):{'3_1':0.0},(122,192):{'3_1':0.0},(122,191):{'3_1':0.0},(122,190):{'3_1':0.0},(122,171):{'3_1':0.0},(122,168):{'3_1':0.0},(122,167):{'3_1':0.0},(122,164):{'3_1':0.0},(122,162):{'3_1':0.0},(122,161):{'3_1':0.0},(122,160):{'3_1':0.0},(122,159):{'3_1':0.0},(122,158):{'3_1':0.0},(122,153):{'3_1':0.0,'5_1':0.0},(122,152):{'3_1':0.0},(122,151):{'3_1':0.0},(122,150):{'3_1':0.0},(122,149):{'3_1':0.0},(122,148):{'3_1':0.0},(122,147):{'3_1':0.0,'5_1':0.0},(122,145):{'3_1':0.0},(122,144):{'3_1':0.0},(122,126):{'3_1':0.0},(123,727):{'5_2':0.42,'6_1':0.09,'7_2':0.09,'3_1':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0},(123,726):{'5_2':0.48,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'4_1':0.0},(123,725):{'5_2':0.57,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0},(123,724):{'5_2':0.51,'7_2':0.09,'-3':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(123,723):{'5_2':0.57,'7_2':0.06,'-3':0.06,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0},(123,722):{'5_2':0.42,'7_2':0.09,'-3':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(123,721):{'5_2':0.48,'7_2':0.09,'7_4':0.06,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0},(123,720):{'5_2':0.33,'6_1':0.09,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0},(123,719):{'5_2':0.54,'7_2':0.09,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(123,718):{'5_2':0.48,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_15':0.0},(123,717):{'5_2':0.6,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(123,716):{'5_2':0.54,'7_2':0.09,'7_4':0.06,'-3':0.03,'7_6':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0},(123,715):{'5_2':0.42,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'1':-0.03},(123,714):{'5_2':0.42,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_7':0.0,'8_8':0.0},(123,713):{'5_2':0.51,'7_4':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0},(123,712):{'5_2':0.39,'7_2':0.12,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0},(123,711):{'5_2':0.42,'7_2':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0},(123,710):{'5_2':0.39,'-3':0.09,'7_2':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'2':-0.03},(123,709):{'5_2':0.33,'-3':0.15,'5_1':0.06,'7_5':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'8_13':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'1':-0.03},(123,708):{'5_2':0.54,'-3':0.09,'7_2':0.06,'5_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'6_1':0.0,'8_6':0.0,'7_1':0.0},(123,707):{'5_2':0.45,'7_2':0.06,'7_4':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'9_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(123,706):{'5_2':0.48,'3_1':0.15,'7_2':0.09,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0},(123,705):{'5_2':0.54,'3_1':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(123,704):{'5_2':0.54,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(123,703):{'5_2':0.33,'6_1':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(123,702):{'5_2':0.51,'-3':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(123,701):{'5_2':0.39,'3_1':0.09,'7_2':0.09,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0},(123,700):{'5_2':0.36,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.0,'8_11':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(123,699):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(123,698):{'5_2':0.42,'3_1':0.12,'7_4':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'8_13':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(123,697):{'5_2':0.45,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(123,696):{'5_2':0.3,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.06,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(123,695):{'5_2':0.33,'3_1':0.12,'-3':0.12,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'8_4':0.0},(123,694):{'5_2':0.33,'-3':0.12,'3_1':0.12,'7_2':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(123,693):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'7_2':0.06,'7_3':0.06,'5_1':0.03,'7_6':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(123,692):{'5_2':0.33,'3_1':0.12,'7_4':0.12,'-3':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(123,691):{'5_2':0.36,'3_1':0.18,'7_4':0.06,'7_3':0.06,'7_2':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(123,690):{'5_2':0.33,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(123,689):{'5_2':0.39,'3_1':0.24,'7_4':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0},(123,688):{'5_2':0.3,'3_1':0.24,'7_4':0.09,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(123,687):{'5_2':0.36,'3_1':0.18,'7_4':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0},(123,686):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'7_3':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(123,685):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0},(123,684):{'5_2':0.33,'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_15':0.0},(123,683):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(123,682):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'7_3':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(123,681):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'7_4':0.06,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(123,680):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0},(123,679):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(123,678):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0},(123,677):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(123,676):{'3_1':0.48,'5_2':0.15,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_8':0.0,'8_15':0.0},(123,675):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(123,674):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'4_1':0.0,'7_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(123,673):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'7_5':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(123,672):{'3_1':0.57,'5_2':0.15,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(123,671):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(123,670):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(123,669):{'3_1':0.51,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0},(123,668):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(123,667):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(123,666):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(123,665):{'3_1':0.48,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0},(123,664):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_16':0.0},(123,663):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(123,662):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(123,661):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(123,660):{'3_1':0.57,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(123,659):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(123,658):{'3_1':0.42,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(123,657):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(123,656):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(123,655):{'3_1':0.36,'5_2':0.18,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(123,654):{'3_1':0.45,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0},(123,653):{'3_1':0.42,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0,'8_16':0.0},(123,652):{'3_1':0.48,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(123,651):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_4':0.0,'4_1':0.0,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(123,650):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(123,649):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(123,648):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(123,647):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(123,646):{'3_1':0.33,'5_1':0.12,'5_2':0.09,'7_3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(123,645):{'3_1':0.36,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(123,644):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(123,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(123,642):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'3_1#5_1':0.0},(123,641):{'3_1':0.24,'5_2':0.15,'5_1':0.12,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(123,640):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'8_19':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_16':0.0},(123,639):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0},(123,638):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(123,637):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(123,636):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(123,635):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(123,634):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_19':0.0},(123,633):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_2':0.0,'8_19':0.0},(123,632):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(123,631):{'3_1':0.15,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0},(123,630):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_19':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(123,629):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'7_1':0.0,'-3':0.0},(123,628):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0},(123,627):{'3_1':0.18,'5_2':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(123,626):{'3_1':0.18,'5_2':0.09,'7_3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(123,625):{'3_1':0.27,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0},(123,624):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(123,623):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(123,622):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'6_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(123,621):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'7_5':0.0,'4_1':0.0,'7_3':0.0},(123,620):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(123,619):{'3_1':0.15,'5_1':0.09,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(123,618):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(123,617):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'-3':0.0},(123,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_4':0.0},(123,615):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(123,614):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(123,613):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(123,612):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0},(123,611):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(123,610):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_19':0.0},(123,609):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(123,608):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'8_19':0.0},(123,607):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(123,606):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(123,605):{'3_1':0.21,'4_1':0.03,'7_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(123,604):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(123,603):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0},(123,602):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(123,601):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(123,600):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'-3':0.0},(123,599):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(123,598):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(123,597):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(123,596):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(123,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(123,594):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(123,593):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(123,592):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_6':0.0},(123,591):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.0},(123,590):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'7_4':0.0},(123,589):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(123,588):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(123,587):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.0},(123,586):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(123,585):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(123,584):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(123,583):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'7_3':0.0},(123,582):{'3_1':0.15,'4_1':0.15,'5_1':0.0},(123,581):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0},(123,580):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(123,579):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(123,578):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(123,577):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(123,576):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(123,575):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0},(123,574):{'3_1':0.15,'4_1':0.09,'6_1':0.0},(123,573):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(123,572):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(123,571):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(123,570):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(123,569):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(123,568):{'4_1':0.06,'3_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(123,567):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(123,566):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(123,565):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(123,564):{'4_1':0.15,'3_1':0.03,'5_2':0.0},(123,563):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(123,562):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'-3':0.0},(123,561):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0},(123,560):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(123,559):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(123,558):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(123,557):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0},(123,556):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(123,555):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(123,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(123,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(123,552):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(123,551):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(123,550):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(123,549):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_5':0.0},(123,548):{'3_1':0.15,'5_2':0.0},(123,547):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0},(123,546):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(123,545):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_2':0.0},(123,544):{'3_1':0.18,'5_1':0.0,'6_1':0.0,'7_3':0.0},(123,543):{'3_1':0.24,'5_2':0.0},(123,542):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(123,541):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(123,540):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_1':0.0},(123,539):{'3_1':0.18,'5_2':0.0},(123,538):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(123,537):{'3_1':0.27,'5_1':0.0,'4_1':0.0},(123,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(123,535):{'3_1':0.21,'5_1':0.0},(123,534):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(123,533):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(123,532):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(123,531):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(123,530):{'3_1':0.12,'5_2':0.0},(123,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(123,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(123,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(123,526):{'3_1':0.06,'5_1':0.0},(123,525):{'3_1':0.09,'5_1':0.0},(123,524):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(123,523):{'3_1':0.06,'5_1':0.0},(123,522):{'3_1':0.09,'5_2':0.0},(123,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(123,520):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(123,519):{'3_1':0.06,'5_2':0.0},(123,518):{'3_1':0.03},(123,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(123,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(123,515):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(123,514):{'3_1':0.03,'4_1':0.03},(123,513):{'3_1':0.03,'4_1':0.0},(123,512):{'3_1':0.0,'4_1':0.0},(123,511):{'3_1':0.03,'4_1':0.0},(123,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(123,509):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,508):{'3_1':0.03,'4_1':0.0},(123,507):{'3_1':0.03,'4_1':0.0},(123,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(123,505):{'3_1':0.0},(123,504):{'3_1':0.0},(123,503):{'3_1':0.0},(123,502):{'3_1':0.03},(123,501):{'3_1':0.0},(123,500):{'3_1':0.0,'4_1':0.0},(123,499):{'3_1':0.06,'4_1':0.0},(123,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,497):{'3_1':0.0},(123,496):{'3_1':0.03},(123,495):{'3_1':0.0,'4_1':0.0},(123,494):{'3_1':0.06},(123,493):{'3_1':0.03},(123,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(123,491):{'3_1':0.03,'4_1':0.0},(123,490):{'3_1':0.06,'5_1':0.0},(123,489):{'3_1':0.03},(123,488):{'3_1':0.06,'5_1':0.0},(123,487):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(123,486):{'3_1':0.03,'5_1':0.0},(123,485):{'3_1':0.03,'5_1':0.0},(123,484):{'3_1':0.09,'4_1':0.0},(123,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(123,482):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(123,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(123,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(123,479):{'3_1':0.12,'5_2':0.0},(123,478):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(123,477):{'3_1':0.03,'4_1':0.0},(123,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(123,475):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(123,474):{'3_1':0.03,'4_1':0.0},(123,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(123,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(123,471):{'3_1':0.03},(123,470):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(123,469):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(123,468):{'3_1':0.09},(123,467):{'3_1':0.03,'5_1':0.0},(123,466):{'3_1':0.0},(123,465):{'3_1':0.03,'5_2':0.0},(123,464):{'3_1':0.03,'5_1':0.0},(123,463):{'3_1':0.03,'5_1':0.0},(123,462):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(123,461):{'3_1':0.0,'4_1':0.0},(123,460):{'3_1':0.03},(123,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(123,458):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(123,457):{'3_1':0.0,'4_1':0.0},(123,456):{'3_1':0.03},(123,455):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(123,454):{'3_1':0.03,'4_1':0.0},(123,453):{'3_1':0.03,'4_1':0.0},(123,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(123,451):{'3_1':0.03,'4_1':0.0},(123,450):{'3_1':0.0,'5_2':0.0},(123,449):{'3_1':0.03,'4_1':0.0},(123,448):{'3_1':0.06},(123,447):{'3_1':0.0,'4_1':0.0},(123,446):{'3_1':0.03,'4_1':0.0},(123,445):{'3_1':0.0,'4_1':0.0},(123,444):{'3_1':0.0,'4_1':0.0},(123,443):{'3_1':0.0},(123,442):{'3_1':0.03},(123,441):{'3_1':0.0,'4_1':0.0},(123,440):{'3_1':0.0},(123,439):{'3_1':0.0},(123,438):{'3_1':0.03,'5_1':0.0},(123,437):{'3_1':0.03,'5_2':0.0},(123,436):{'3_1':0.03,'4_1':0.0},(123,435):{'4_1':0.0,'5_2':0.0},(123,434):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,433):{'3_1':0.0,'4_1':0.0},(123,432):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(123,431):{'4_1':0.03,'3_1':0.0},(123,430):{'3_1':0.0,'4_1':0.0},(123,429):{'3_1':0.0},(123,428):{'3_1':0.06,'4_1':0.0},(123,427):{'3_1':0.06,'4_1':0.0},(123,426):{'3_1':0.06,'4_1':0.0},(123,425):{'3_1':0.0,'5_1':0.0},(123,424):{'3_1':0.03,'4_1':0.0},(123,423):{'3_1':0.03,'4_1':0.03},(123,422):{'3_1':0.03,'4_1':0.0},(123,421):{'3_1':0.03,'4_1':0.0},(123,420):{'3_1':0.0,'4_1':0.0},(123,419):{'3_1':0.09},(123,418):{'3_1':0.0,'4_1':0.0},(123,417):{'4_1':0.0,'5_2':0.0},(123,416):{'3_1':0.0,'4_1':0.0},(123,415):{'3_1':0.0},(123,414):{'3_1':0.0,'4_1':0.0},(123,413):{'3_1':0.0,'4_1':0.0},(123,412):{'3_1':0.03},(123,411):{'4_1':0.0,'7_1':0.0},(123,410):{'3_1':0.06,'4_1':0.0},(123,409):{'3_1':0.0},(123,408):{'3_1':0.0,'4_1':0.0},(123,407):{'3_1':0.0,'4_1':0.0},(123,406):{'3_1':0.0},(123,405):{'3_1':0.0,'4_1':0.0},(123,404):{'3_1':0.0,'4_1':0.0},(123,402):{'3_1':0.0},(123,401):{'3_1':0.03,'4_1':0.0},(123,400):{'3_1':0.0},(123,399):{'3_1':0.0},(123,398):{'3_1':0.0,'4_1':0.0},(123,397):{'3_1':0.0,'4_1':0.0},(123,395):{'3_1':0.0,'4_1':0.0},(123,394):{'4_1':0.0},(123,392):{'3_1':0.0},(123,391):{'3_1':0.0},(123,389):{'3_1':0.0},(123,388):{'3_1':0.0,'4_1':0.0},(123,387):{'3_1':0.0},(123,386):{'3_1':0.0,'4_1':0.0},(123,385):{'3_1':0.0},(123,384):{'3_1':0.0,'4_1':0.0},(123,382):{'3_1':0.0},(123,380):{'5_2':0.0},(123,379):{'3_1':0.0,'5_1':0.0},(123,378):{'3_1':0.03},(123,377):{'3_1':0.03},(123,376):{'3_1':0.0},(123,375):{'3_1':0.03,'4_1':0.0},(123,374):{'3_1':0.03},(123,373):{'3_1':0.03},(123,372):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(123,371):{'3_1':0.03},(123,370):{'3_1':0.03},(123,369):{'3_1':0.03,'4_1':0.0},(123,368):{'3_1':0.03},(123,367):{'3_1':0.03},(123,366):{'3_1':0.0},(123,365):{'3_1':0.0,'5_1':0.0},(123,364):{'3_1':0.0},(123,363):{'3_1':0.0},(123,362):{'3_1':0.03,'9_1':0.0,'-3':0.0},(123,361):{'3_1':0.0},(123,360):{'3_1':0.0,'5_1':0.0},(123,359):{'3_1':0.0},(123,358):{'3_1':0.0,'5_1':0.0},(123,357):{'3_1':0.0},(123,356):{'3_1':0.03},(123,355):{'3_1':0.03},(123,354):{'3_1':0.03,'5_1':0.0},(123,353):{'3_1':0.0,'5_1':0.0},(123,352):{'3_1':0.0},(123,351):{'3_1':0.0,'7_1':0.0},(123,350):{'3_1':0.0},(123,349):{'3_1':0.0},(123,348):{'3_1':0.0,'6_1':0.0},(123,346):{'3_1':0.0},(123,344):{'3_1':0.0},(123,343):{'3_1':0.0},(123,342):{'3_1':0.0,'5_1':0.0},(123,341):{'3_1':0.0},(123,339):{'5_1':0.0},(123,338):{'3_1':0.0},(123,337):{'3_1':0.0},(123,336):{'3_1':0.0},(123,334):{'3_1':0.03},(123,333):{'3_1':0.0},(123,332):{'3_1':0.0},(123,331):{'3_1':0.03},(123,330):{'3_1':0.0},(123,329):{'3_1':0.0},(123,328):{'3_1':0.0},(123,327):{'3_1':0.0},(123,326):{'3_1':0.0},(123,325):{'3_1':0.0},(123,323):{'3_1':0.0},(123,322):{'3_1':0.03},(123,321):{'3_1':0.0},(123,320):{'3_1':0.0},(123,319):{'3_1':0.0},(123,318):{'3_1':0.0},(123,317):{'3_1':0.0},(123,316):{'3_1':0.0},(123,315):{'3_1':0.0},(123,314):{'3_1':0.0,'4_1':0.0},(123,313):{'3_1':0.0},(123,311):{'3_1':0.0},(123,310):{'3_1':0.0},(123,309):{'3_1':0.0},(123,307):{'3_1':0.0},(123,305):{'3_1':0.0},(123,304):{'3_1':0.0},(123,303):{'3_1':0.0},(123,302):{'3_1':0.0},(123,301):{'3_1':0.0,'4_1':0.0},(123,300):{'3_1':0.0},(123,298):{'3_1':0.0},(123,297):{'3_1':0.03},(123,295):{'3_1':0.0},(123,294):{'3_1':0.0},(123,292):{'3_1':0.0},(123,291):{'3_1':0.0},(123,290):{'3_1':0.0},(123,288):{'3_1':0.0},(123,287):{'3_1':0.0,'4_1':0.0},(123,285):{'3_1':0.0},(123,284):{'3_1':0.0},(123,283):{'3_1':0.0},(123,282):{'3_1':0.0},(123,281):{'3_1':0.0},(123,280):{'3_1':0.0},(123,279):{'3_1':0.0},(123,277):{'3_1':0.0},(123,276):{'3_1':0.0},(123,275):{'3_1':0.0},(123,274):{'3_1':0.0},(123,271):{'3_1':0.06},(123,270):{'5_2':0.0},(123,269):{'3_1':0.0},(123,268):{'3_1':0.0},(123,267):{'3_1':0.0},(123,266):{'3_1':0.0},(123,265):{'3_1':0.03},(123,264):{'3_1':0.0},(123,263):{'3_1':0.0},(123,262):{'3_1':0.0},(123,261):{'3_1':0.0,'5_1':0.0},(123,260):{'3_1':0.0},(123,259):{'4_1':0.0},(123,258):{'3_1':0.0},(123,257):{'3_1':0.0},(123,256):{'3_1':0.0,'4_1':0.0},(123,255):{'3_1':0.0},(123,253):{'5_1':0.0},(123,248):{'3_1':0.0},(123,247):{'3_1':0.0},(123,246):{'3_1':0.0},(123,243):{'3_1':0.0},(123,242):{'3_1':0.0},(123,241):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(123,240):{'3_1':0.0},(123,239):{'3_1':0.0,'4_1':0.0},(123,238):{'3_1':0.0},(123,237):{'4_1':0.0},(123,235):{'3_1':0.0},(123,233):{'3_1':0.0},(123,230):{'3_1':0.0,'4_1':0.0},(123,229):{'3_1':0.0},(123,228):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(123,226):{'3_1':0.0},(123,223):{'3_1':0.0},(123,221):{'7_1':0.0},(123,216):{'3_1':0.0},(123,213):{'3_1':0.0},(123,212):{'3_1':0.0},(123,210):{'3_1':0.0},(123,209):{'3_1':0.0,'5_1':0.0},(123,204):{'3_1':0.0},(123,202):{'3_1':0.0},(123,200):{'3_1':0.0},(123,199):{'4_1':0.0},(123,198):{'3_1':0.0},(123,194):{'3_1':0.0},(123,193):{'5_1':0.0},(123,192):{'3_1':0.0},(123,190):{'3_1':0.0},(123,175):{'3_1':0.0},(123,174):{'3_1':0.0},(123,167):{'3_1':0.0},(123,166):{'3_1':0.0},(123,164):{'3_1':0.0},(123,162):{'3_1':0.0},(123,158):{'3_1':0.0},(123,152):{'3_1':0.0},(123,150):{'3_1':0.0},(123,149):{'3_1':0.0},(123,148):{'3_1':0.0},(123,147):{'3_1':0.0},(123,145):{'3_1':0.0},(123,130):{'3_1':0.0},(123,129):{'3_1':0.0},(123,127):{'3_1':0.0},(124,727):{'5_2':0.51,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(124,726):{'5_2':0.63,'-3':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(124,725):{'5_2':0.54,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_13':0.0},(124,724):{'5_2':0.6,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_5':0.0,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(124,723):{'5_2':0.6,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(124,722):{'5_2':0.6,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(124,721):{'5_2':0.45,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'3_1':0.0,'7_4':0.0,'8_6':0.0,'8_8':0.0,'9_1':0.0,'3_1#5_2':0.0},(124,720):{'5_2':0.51,'-3':0.12,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0},(124,719):{'5_2':0.54,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(124,718):{'5_2':0.42,'7_2':0.09,'7_6':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'3_1':0.0},(124,717):{'5_2':0.57,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(124,716):{'5_2':0.54,'7_2':0.09,'-3':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_12':0.0},(124,715):{'5_2':0.54,'7_2':0.06,'-3':0.06,'5_1':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(124,714):{'5_2':0.54,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(124,713):{'5_2':0.51,'-3':0.09,'7_4':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(124,712):{'5_2':0.42,'7_2':0.09,'-3':0.09,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(124,711):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'7_3':0.0,'5_1':0.0,'8_6':0.0},(124,710):{'5_2':0.45,'7_2':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(124,709):{'5_2':0.48,'-3':0.18,'5_1':0.06,'7_2':0.03,'3_1':0.03,'7_1':0.0,'7_5':0.0,'2':-0.03},(124,708):{'5_2':0.51,'-3':0.12,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(124,707):{'5_2':0.48,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'9_1':0.0,'6_2':0.0,'8_13':0.0},(124,706):{'5_2':0.51,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(124,705):{'5_2':0.48,'3_1':0.09,'7_2':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(124,704):{'5_2':0.45,'7_4':0.09,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(124,703):{'5_2':0.36,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(124,702):{'5_2':0.48,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.0,'6_2':0.0,'7_7':0.0,'8_14':0.0,'1':-0.03},(124,701):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0},(124,700):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(124,699):{'5_2':0.51,'-3':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(124,698):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0},(124,697):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(124,696):{'5_2':0.36,'3_1':0.15,'7_2':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0},(124,695):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(124,694):{'5_2':0.42,'-3':0.09,'3_1':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(124,693):{'5_2':0.27,'3_1':0.18,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0},(124,692):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(124,691):{'5_2':0.42,'3_1':0.21,'7_2':0.03,'7_4':0.03,'-3':0.03,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(124,690):{'5_2':0.36,'3_1':0.21,'7_4':0.06,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(124,689):{'5_2':0.33,'3_1':0.18,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(124,688):{'3_1':0.3,'5_2':0.24,'-3':0.06,'7_5':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'8_3':0.0,'8_8':0.0},(124,687):{'3_1':0.3,'5_2':0.27,'-3':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(124,686):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.0,'6_1':0.0,'8_11':0.0},(124,685):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(124,684):{'3_1':0.3,'5_2':0.27,'7_3':0.09,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(124,683):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(124,682):{'3_1':0.33,'5_2':0.3,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_6':0.0},(124,681):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(124,680):{'3_1':0.3,'5_2':0.27,'7_4':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'8_13':0.0,'8_14':0.0},(124,679):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(124,678):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_19':0.0,'3_1#5_2':0.0},(124,677):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(124,676):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(124,675):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'7_7':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(124,674):{'3_1':0.51,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(124,673):{'3_1':0.45,'5_2':0.09,'7_4':0.09,'-3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(124,672):{'3_1':0.57,'5_2':0.15,'4_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(124,671):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(124,670):{'3_1':0.51,'5_2':0.18,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(124,669):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'4_1':0.0,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'-3':0.0},(124,668):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(124,667):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(124,666):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(124,665):{'3_1':0.36,'5_2':0.27,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(124,664):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(124,663):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0},(124,662):{'3_1':0.36,'5_2':0.27,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(124,661):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_5':0.0,'8_19':0.0},(124,660):{'3_1':0.42,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(124,659):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(124,658):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(124,657):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(124,656):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0,'8_19':0.0},(124,655):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_1':0.0,'-3':0.0},(124,654):{'3_1':0.42,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(124,653):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(124,652):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(124,651):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(124,650):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'7_1':0.0,'4_1':0.0,'8_19':0.0,'7_3':0.0},(124,649):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_3':0.0,'8_5':0.0,'-3':0.0},(124,648):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(124,647):{'3_1':0.36,'5_2':0.24,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(124,646):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(124,645):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_16':0.0},(124,644):{'3_1':0.36,'5_1':0.12,'5_2':0.09,'4_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(124,643):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(124,642):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'-3':0.0},(124,641):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(124,640):{'3_1':0.21,'5_2':0.21,'5_1':0.12,'7_1':0.0,'-3':0.0,'8_19':0.0,'4_1':0.0,'7_5':0.0},(124,639):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(124,638):{'3_1':0.21,'5_2':0.12,'5_1':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(124,637):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(124,636):{'3_1':0.12,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0},(124,635):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(124,634):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(124,633):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(124,632):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(124,631):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0},(124,630):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(124,629):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'7_5':0.0,'8_20|3_1#3_1':0.0},(124,628):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(124,627):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'6_1':0.0,'7_1':0.0},(124,626):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(124,625):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(124,624):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(124,623):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(124,622):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(124,621):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(124,620):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(124,619):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(124,618):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(124,617):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_6':0.0,'8_16':0.0},(124,616):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03},(124,615):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0,'6_1':0.0,'3_1#5_1':0.0},(124,614):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(124,613):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0},(124,612):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(124,611):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0},(124,610):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(124,609):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(124,608):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0},(124,607):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(124,606):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(124,605):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(124,604):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(124,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(124,602):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(124,601):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(124,600):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(124,599):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_19':0.0},(124,598):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_8':0.0},(124,597):{'4_1':0.18,'3_1':0.09,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(124,596):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(124,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(124,594):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(124,593):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0},(124,592):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0,'7_6':0.0},(124,591):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0},(124,590):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0},(124,589):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(124,588):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(124,587):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(124,586):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(124,585):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(124,584):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(124,583):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0},(124,582):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(124,581):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(124,580):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_1':0.0},(124,579):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'-3':0.0},(124,578):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(124,577):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(124,576):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0},(124,575):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(124,574):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'7_6':0.0},(124,573):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(124,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(124,571):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(124,570):{'3_1':0.12,'4_1':0.09,'6_3':0.0},(124,569):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(124,568):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(124,567):{'3_1':0.09,'4_1':0.09,'5_1':0.03},(124,566):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0},(124,565):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0},(124,564):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(124,563):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(124,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(124,561):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(124,560):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'8_13':0.0},(124,559):{'3_1':0.15,'4_1':0.06,'8_1':0.0,'8_20|3_1#3_1':0.0},(124,558):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0,'7_3':0.0},(124,557):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(124,556):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(124,555):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(124,554):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(124,553):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,552):{'3_1':0.18,'4_1':0.03,'7_5':0.0},(124,551):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(124,550):{'3_1':0.18,'5_2':0.0},(124,549):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(124,548):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(124,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,546):{'3_1':0.12,'6_1':0.0},(124,545):{'3_1':0.21,'5_2':0.0,'6_1':0.0},(124,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(124,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(124,542):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(124,541):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(124,540):{'3_1':0.18},(124,539):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(124,538):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_2':0.0},(124,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'-3':0.0},(124,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(124,535):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(124,534):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(124,533):{'3_1':0.15,'4_1':0.0},(124,532):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(124,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(124,530):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(124,529):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(124,528):{'3_1':0.15,'5_1':0.0},(124,527):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(124,526):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(124,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(124,524):{'3_1':0.09,'5_1':0.0},(124,523):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(124,522):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(124,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(124,520):{'3_1':0.09,'5_1':0.0},(124,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(124,518):{'3_1':0.06,'4_1':0.0},(124,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(124,516):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(124,515):{'3_1':0.09},(124,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(124,513):{'3_1':0.06,'4_1':0.0},(124,512):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,511):{'3_1':0.0},(124,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,509):{'4_1':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0},(124,508):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(124,507):{'3_1':0.06,'5_1':0.0},(124,506):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(124,505):{'3_1':0.0,'4_1':0.0},(124,504):{'3_1':0.0,'5_2':0.0},(124,503):{'3_1':0.03},(124,502):{'3_1':0.03},(124,501):{'3_1':0.03},(124,500):{'3_1':0.0,'4_1':0.0},(124,499):{'3_1':0.0,'5_1':0.0},(124,498):{'3_1':0.03},(124,497):{'3_1':0.0,'4_1':0.0},(124,496):{'3_1':0.03},(124,495):{'3_1':0.03,'5_1':0.0},(124,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(124,493):{'3_1':0.03,'4_1':0.0},(124,492):{'3_1':0.03,'5_1':0.0},(124,491):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(124,490):{'3_1':0.09,'5_1':0.0},(124,489):{'3_1':0.03},(124,488):{'3_1':0.03,'5_1':0.0},(124,487):{'3_1':0.03},(124,486):{'3_1':0.03,'4_1':0.0},(124,485):{'3_1':0.06,'5_2':0.0},(124,484):{'3_1':0.03,'5_1':0.0},(124,483):{'3_1':0.06,'5_1':0.0},(124,482):{'3_1':0.06,'4_1':0.0},(124,481):{'3_1':0.06,'4_1':0.0},(124,480):{'3_1':0.06},(124,479):{'3_1':0.09,'5_1':0.0},(124,478):{'3_1':0.06},(124,477):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(124,476):{'3_1':0.06},(124,475):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(124,474):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(124,473):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(124,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(124,471):{'3_1':0.09},(124,470):{'3_1':0.03},(124,469):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(124,468):{'3_1':0.06,'5_1':0.0},(124,467):{'3_1':0.12,'5_2':0.0},(124,466):{'3_1':0.0},(124,465):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,464):{'3_1':0.06},(124,463):{'3_1':0.0,'4_1':0.0},(124,462):{'3_1':0.03,'4_1':0.0},(124,461):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(124,460):{'3_1':0.06,'5_2':0.0},(124,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,458):{'3_1':0.0},(124,457):{'3_1':0.03},(124,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(124,455):{'3_1':0.0,'6_2':0.0},(124,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(124,453):{'3_1':0.03,'4_1':0.0},(124,452):{'5_1':0.0,'3_1':0.0},(124,451):{'3_1':0.03,'4_1':0.0},(124,450):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(124,449):{'3_1':0.03,'4_1':0.0},(124,448):{'3_1':0.03},(124,447):{'3_1':0.09,'4_1':0.0},(124,446):{'3_1':0.0,'4_1':0.0},(124,445):{'3_1':0.0},(124,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(124,443):{'3_1':0.03,'4_1':0.0},(124,442):{'3_1':0.03},(124,441):{'3_1':0.0},(124,440):{'3_1':0.0,'4_1':0.0},(124,439):{'3_1':0.0,'5_2':0.0},(124,438):{'3_1':0.03},(124,437):{'3_1':0.0,'4_1':0.0},(124,436):{'3_1':0.06},(124,435):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(124,434):{'4_1':0.0},(124,433):{'4_1':0.0,'3_1':0.0},(124,432):{'3_1':0.03,'4_1':0.0},(124,431):{'3_1':0.0,'4_1':0.0},(124,430):{'3_1':0.0,'6_2':0.0},(124,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(124,428):{'3_1':0.03,'4_1':0.0},(124,427):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(124,426):{'3_1':0.09,'4_1':0.03},(124,425):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(124,423):{'3_1':0.03,'4_1':0.0},(124,422):{'3_1':0.03},(124,421):{'3_1':0.03},(124,420):{'3_1':0.0,'4_1':0.0},(124,419):{'3_1':0.0,'4_1':0.0},(124,418):{'5_2':0.0,'6_1':0.0},(124,417):{'3_1':0.0,'5_1':0.0},(124,416):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(124,415):{'3_1':0.0},(124,414):{'3_1':0.03,'4_1':0.0},(124,413):{'3_1':0.0},(124,412):{'3_1':0.0},(124,411):{'3_1':0.0},(124,410):{'3_1':0.0},(124,409):{'3_1':0.0,'4_1':0.0},(124,408):{'3_1':0.03},(124,407):{'3_1':0.0},(124,406):{'3_1':0.0,'5_1':0.0},(124,405):{'3_1':0.0},(124,404):{'3_1':0.0},(124,403):{'3_1':0.03},(124,402):{'3_1':0.0,'4_1':0.0},(124,401):{'3_1':0.0},(124,400):{'3_1':0.0},(124,399):{'8_20|3_1#3_1':0.0},(124,398):{'4_1':0.0,'3_1':0.0},(124,397):{'3_1':0.0,'4_1':0.0},(124,396):{'3_1':0.0,'4_1':0.0},(124,395):{'3_1':0.0},(124,394):{'3_1':0.0,'4_1':0.0},(124,393):{'3_1':0.0},(124,392):{'3_1':0.0},(124,391):{'4_1':0.0},(124,389):{'3_1':0.0},(124,388):{'4_1':0.0},(124,387):{'3_1':0.0},(124,386):{'3_1':0.0},(124,385):{'3_1':0.0},(124,384):{'3_1':0.03},(124,383):{'3_1':0.0,'5_2':0.0},(124,382):{'3_1':0.0,'5_1':0.0},(124,381):{'3_1':0.0},(124,380):{'3_1':0.0},(124,379):{'3_1':0.03},(124,378):{'3_1':0.0},(124,377):{'3_1':0.06},(124,376):{'3_1':0.0},(124,375):{'3_1':0.03,'5_1':0.0},(124,374):{'3_1':0.03},(124,373):{'3_1':0.03},(124,372):{'3_1':0.0},(124,371):{'3_1':0.0},(124,370):{'3_1':0.06},(124,369):{'3_1':0.03,'5_1':0.0},(124,368):{'3_1':0.0,'5_1':0.0},(124,367):{'3_1':0.03},(124,366):{'3_1':0.0},(124,365):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(124,364):{'3_1':0.0},(124,363):{'3_1':0.03},(124,361):{'3_1':0.0},(124,360):{'4_1':0.0},(124,358):{'3_1':0.0},(124,357):{'3_1':0.0},(124,356):{'3_1':0.0},(124,355):{'3_1':0.0},(124,354):{'3_1':0.0},(124,353):{'3_1':0.0},(124,351):{'3_1':0.0},(124,350):{'3_1':0.0},(124,349):{'3_1':0.0,'5_1':0.0},(124,348):{'3_1':0.0},(124,346):{'3_1':0.0},(124,345):{'3_1':0.0,'4_1':0.0},(124,344):{'3_1':0.0},(124,343):{'3_1':0.0},(124,342):{'3_1':0.0},(124,340):{'3_1':0.0},(124,339):{'3_1':0.0},(124,338):{'3_1':0.03},(124,337):{'3_1':0.0},(124,335):{'3_1':0.0,'4_1':0.0},(124,334):{'3_1':0.0},(124,331):{'3_1':0.0},(124,329):{'3_1':0.0},(124,327):{'3_1':0.0,'4_1':0.0},(124,324):{'3_1':0.0},(124,323):{'3_1':0.0},(124,322):{'3_1':0.0},(124,320):{'3_1':0.0},(124,319):{'3_1':0.0},(124,318):{'3_1':0.0},(124,317):{'3_1':0.0},(124,316):{'3_1':0.0},(124,315):{'3_1':0.0},(124,314):{'3_1':0.0},(124,313):{'4_1':0.0},(124,312):{'3_1':0.0},(124,311):{'3_1':0.0},(124,310):{'3_1':0.0},(124,309):{'3_1':0.0},(124,305):{'3_1':0.0},(124,304):{'5_1':0.0},(124,303):{'3_1':0.0},(124,302):{'3_1':0.03},(124,301):{'3_1':0.0},(124,300):{'3_1':0.0},(124,298):{'3_1':0.0},(124,297):{'3_1':0.0},(124,296):{'3_1':0.0,'5_1':0.0},(124,295):{'3_1':0.0},(124,294):{'3_1':0.0},(124,293):{'3_1':0.03},(124,291):{'3_1':0.0},(124,290):{'3_1':0.0},(124,289):{'3_1':0.0},(124,288):{'3_1':0.03},(124,287):{'3_1':0.0},(124,284):{'3_1':0.0},(124,283):{'3_1':0.03},(124,282):{'3_1':0.0},(124,281):{'3_1':0.0},(124,280):{'3_1':0.0},(124,279):{'3_1':0.0},(124,278):{'3_1':0.0},(124,277):{'3_1':0.0},(124,276):{'3_1':0.0},(124,275):{'3_1':0.0},(124,274):{'3_1':0.0},(124,273):{'3_1':0.0},(124,271):{'3_1':0.03},(124,270):{'3_1':0.03},(124,269):{'3_1':0.0},(124,268):{'3_1':0.0},(124,267):{'3_1':0.0},(124,266):{'3_1':0.0},(124,265):{'3_1':0.0},(124,264):{'3_1':0.0},(124,263):{'3_1':0.03},(124,262):{'3_1':0.03},(124,261):{'3_1':0.0},(124,260):{'3_1':0.0,'4_1':0.0},(124,259):{'3_1':0.0},(124,258):{'3_1':0.0},(124,257):{'3_1':0.0},(124,256):{'3_1':0.0},(124,254):{'3_1':0.0},(124,250):{'3_1':0.0},(124,247):{'3_1':0.0},(124,246):{'3_1':0.0},(124,245):{'3_1':0.0},(124,244):{'3_1':0.0},(124,243):{'3_1':0.03,'5_1':0.0},(124,241):{'3_1':0.0,'4_1':0.0},(124,240):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(124,239):{'3_1':0.0,'4_1':0.0},(124,238):{'3_1':0.0},(124,236):{'3_1':0.0},(124,235):{'3_1':0.0,'5_2':0.0},(124,234):{'3_1':0.0},(124,233):{'3_1':0.0},(124,230):{'3_1':0.0},(124,228):{'3_1':0.0,'5_1':0.0},(124,225):{'3_1':0.0},(124,224):{'3_1':0.0},(124,223):{'3_1':0.0},(124,221):{'3_1':0.0},(124,220):{'5_1':0.0},(124,218):{'3_1':0.0},(124,215):{'3_1':0.0},(124,210):{'3_1':0.0},(124,207):{'3_1':0.0},(124,205):{'5_1':0.0},(124,204):{'4_1':0.0},(124,202):{'3_1':0.0,'4_1':0.0},(124,200):{'3_1':0.0,'6_1':0.0},(124,199):{'3_1':0.0},(124,198):{'3_1':0.0},(124,196):{'3_1':0.0},(124,193):{'5_1':0.0},(124,192):{'3_1':0.0},(124,191):{'4_1':0.0},(124,180):{'5_1':0.0},(124,177):{'3_1':0.0},(124,170):{'3_1':0.0},(124,168):{'3_1':0.0},(124,165):{'3_1':0.0},(124,162):{'3_1':0.0,'5_1':0.0},(124,161):{'3_1':0.0},(124,160):{'3_1':0.0},(124,156):{'3_1':0.0},(124,155):{'3_1':0.0},(124,154):{'3_1':0.0,'5_1':0.0},(124,153):{'3_1':0.0},(124,152):{'3_1':0.0},(124,151):{'3_1':0.0},(124,150):{'3_1':0.0},(124,149):{'3_1':0.0,'5_1':0.0},(124,147):{'3_1':0.0},(124,146):{'3_1':0.0},(124,145):{'3_1':0.0},(124,129):{'3_1':0.0},(124,128):{'3_1':0.0},(124,127):{'3_1':0.0},(125,727):{'5_2':0.6,'7_2':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0},(125,726):{'5_2':0.6,'7_4':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'2':-0.03},(125,725):{'5_2':0.48,'7_2':0.15,'7_4':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(125,724):{'5_2':0.57,'7_2':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0},(125,723):{'5_2':0.54,'-3':0.12,'7_2':0.09,'6_1':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(125,722):{'5_2':0.54,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(125,721):{'5_2':0.51,'7_2':0.09,'7_3':0.03,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(125,720):{'5_2':0.48,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(125,719):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(125,718):{'5_2':0.45,'-3':0.09,'7_2':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0},(125,717):{'5_2':0.51,'7_2':0.12,'3_1':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'8_8':0.0,'8_14':0.0},(125,716):{'5_2':0.48,'7_2':0.09,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0},(125,715):{'5_2':0.57,'6_1':0.06,'-3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0},(125,714):{'5_2':0.48,'7_2':0.09,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(125,713):{'5_2':0.48,'7_2':0.09,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(125,712):{'5_2':0.45,'-3':0.09,'7_2':0.06,'7_4':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_1':0.0},(125,711):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_15':0.0},(125,710):{'5_2':0.39,'-3':0.09,'7_4':0.09,'7_2':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0},(125,709):{'5_2':0.42,'-3':0.12,'7_5':0.06,'5_1':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0,'2':-0.03},(125,708):{'5_2':0.48,'7_2':0.06,'-3':0.06,'5_1':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(125,707):{'5_2':0.48,'7_2':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.06,'-3':0.03,'7_5':0.0,'7_6':0.0},(125,706):{'5_2':0.39,'3_1':0.18,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(125,705):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(125,704):{'5_2':0.39,'3_1':0.09,'7_2':0.09,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(125,703):{'5_2':0.48,'7_2':0.09,'3_1':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(125,702):{'5_2':0.42,'3_1':0.12,'7_2':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(125,701):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(125,700):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'8_8':0.0},(125,699):{'5_2':0.45,'-3':0.09,'7_4':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0},(125,698):{'5_2':0.42,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(125,697):{'5_2':0.36,'3_1':0.15,'7_4':0.09,'-3':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(125,696):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0},(125,695):{'5_2':0.36,'3_1':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(125,694):{'5_2':0.42,'-3':0.12,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(125,693):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0},(125,692):{'5_2':0.33,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'8_11':0.0},(125,691):{'5_2':0.33,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0,'8_14':0.0},(125,690):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(125,689):{'5_2':0.27,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_13':0.0},(125,688):{'5_2':0.42,'3_1':0.21,'7_4':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(125,687):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.03,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(125,686):{'5_2':0.27,'3_1':0.24,'7_4':0.06,'7_3':0.06,'5_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(125,685):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(125,684):{'3_1':0.27,'5_2':0.24,'7_4':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(125,683):{'5_2':0.36,'3_1':0.27,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(125,682):{'3_1':0.39,'5_2':0.24,'7_4':0.09,'6_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(125,681):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(125,680):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0,'8_6':0.0,'8_10':0.0,'8_15':0.0},(125,679):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,678):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(125,677):{'3_1':0.36,'5_2':0.18,'7_4':0.09,'5_1':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(125,676):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'6_1':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,675):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,674):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(125,673):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(125,672):{'3_1':0.54,'5_2':0.09,'5_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0},(125,671):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'4_1':0.03,'7_3':0.03,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(125,670):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(125,669):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'7_3':0.03,'7_7':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(125,668):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(125,667):{'3_1':0.42,'5_2':0.21,'7_4':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0},(125,666):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(125,665):{'3_1':0.45,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'-3':0.0},(125,664):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(125,663):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(125,662):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'-3':0.0},(125,661):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(125,660):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_4':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(125,659):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(125,658):{'3_1':0.39,'5_2':0.24,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(125,657):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(125,656):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(125,655):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(125,654):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(125,653):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(125,652):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(125,651):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(125,650):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_13':0.0},(125,649):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(125,648):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(125,647):{'3_1':0.3,'5_2':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(125,646):{'3_1':0.27,'5_2':0.18,'7_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(125,645):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(125,644):{'3_1':0.36,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(125,643):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(125,642):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(125,641):{'3_1':0.27,'5_2':0.18,'5_1':0.12,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'7_1':0.0,'7_5':0.0},(125,640):{'3_1':0.27,'5_2':0.15,'5_1':0.15,'7_3':0.0,'7_1':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0},(125,639):{'3_1':0.27,'5_1':0.12,'5_2':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0},(125,638):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0},(125,637):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'7_3':0.0,'4_1':0.0,'7_1':0.0},(125,636):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(125,635):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(125,634):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(125,633):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(125,632):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_4':0.0},(125,631):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(125,630):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_6':0.0,'-3':0.0},(125,629):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(125,628):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0},(125,627):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0},(125,626):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0},(125,625):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(125,624):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(125,623):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(125,622):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_7':0.0,'-3':0.0},(125,621):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(125,620):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_7':0.0},(125,619):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_7':0.0},(125,618):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_19':0.0},(125,617):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_19':0.0},(125,616):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(125,615):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'8_19':0.0},(125,614):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0},(125,613):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_7':0.0},(125,612):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(125,611):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(125,610):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(125,609):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_19':0.0},(125,608):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0},(125,607):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0},(125,606):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(125,605):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(125,604):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(125,603):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(125,602):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(125,601):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_1':0.0},(125,600):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(125,599):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0},(125,598):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(125,597):{'3_1':0.18,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(125,596):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_6':0.0},(125,595):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0,'8_19':0.0},(125,594):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(125,593):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0},(125,592):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(125,591):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(125,590):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0},(125,589):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(125,588):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(125,587):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0},(125,586):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_6':0.0},(125,585):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0},(125,584):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(125,583):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(125,582):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(125,581):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(125,580):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_2':0.0},(125,579):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0},(125,578):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_3':0.0},(125,577):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(125,576):{'3_1':0.15,'4_1':0.12,'8_19':0.0,'5_2':0.0,'6_1':0.0},(125,575):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0},(125,574):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(125,573):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(125,572):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(125,571):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(125,570):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(125,569):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0},(125,568):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(125,567):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(125,566):{'3_1':0.12,'4_1':0.09},(125,565):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(125,564):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(125,563):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(125,562):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(125,561):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(125,560):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'-3':0.0},(125,559):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(125,558):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(125,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(125,556):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(125,555):{'3_1':0.12,'4_1':0.03},(125,554):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(125,553):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(125,552):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(125,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_5':0.0},(125,550):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(125,549):{'3_1':0.21,'5_2':0.0},(125,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(125,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(125,546):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(125,545):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(125,544):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'7_5':0.0},(125,543):{'3_1':0.21,'4_1':0.0},(125,542):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(125,541):{'3_1':0.15,'5_1':0.0},(125,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(125,539):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(125,538):{'3_1':0.15,'4_1':0.0},(125,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(125,536):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(125,535):{'3_1':0.18,'8_20|3_1#3_1':0.0},(125,534):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(125,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(125,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(125,531):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(125,530):{'3_1':0.09,'5_1':0.0},(125,529):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(125,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(125,527):{'3_1':0.12,'4_1':0.0},(125,526):{'3_1':0.09,'4_1':0.0},(125,525):{'3_1':0.15,'4_1':0.0},(125,524):{'3_1':0.15,'5_1':0.0},(125,523):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(125,522):{'3_1':0.06,'5_1':0.0},(125,521):{'3_1':0.18,'5_1':0.0},(125,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(125,519):{'3_1':0.09,'4_1':0.03,'7_5':0.0},(125,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,517):{'3_1':0.03,'5_1':0.0},(125,516):{'3_1':0.06},(125,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,514):{'3_1':0.03},(125,513):{'3_1':0.06,'4_1':0.0},(125,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(125,511):{'3_1':0.03,'5_2':0.0},(125,510):{'3_1':0.0,'5_1':0.0},(125,509):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(125,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(125,507):{'3_1':0.03},(125,506):{'3_1':0.03},(125,505):{'3_1':0.03},(125,504):{'3_1':0.06,'4_1':0.0},(125,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,501):{'3_1':0.0,'4_1':0.0},(125,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,499):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(125,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,497):{'3_1':0.03},(125,496):{'3_1':0.06},(125,495):{'3_1':0.03},(125,494):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(125,493):{'3_1':0.03,'5_2':0.0},(125,492):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(125,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,490):{'3_1':0.06},(125,489):{'3_1':0.06},(125,488):{'3_1':0.03,'4_1':0.0},(125,487):{'3_1':0.03,'4_1':0.0},(125,486):{'3_1':0.09,'5_1':0.0},(125,485):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(125,484):{'3_1':0.06,'5_2':0.0},(125,483):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(125,482):{'3_1':0.09,'7_1':0.0},(125,481):{'3_1':0.09,'4_1':0.0},(125,480):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(125,479):{'3_1':0.06},(125,478):{'3_1':0.09,'4_1':0.0},(125,477):{'3_1':0.09,'5_2':0.0},(125,476):{'3_1':0.03,'5_1':0.0},(125,475):{'3_1':0.03},(125,474):{'3_1':0.03},(125,473):{'3_1':0.06},(125,472):{'3_1':0.06,'4_1':0.0},(125,471):{'3_1':0.03,'5_1':0.0},(125,470):{'3_1':0.0,'5_1':0.0},(125,469):{'3_1':0.03,'4_1':0.0},(125,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,467):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(125,466):{'3_1':0.03},(125,465):{'3_1':0.0,'4_1':0.0},(125,464):{'3_1':0.03,'4_1':0.0},(125,463):{'3_1':0.03,'7_3':0.0},(125,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,461):{'3_1':0.06},(125,460):{'3_1':0.0},(125,459):{'3_1':0.0},(125,458):{'3_1':0.03},(125,457):{'3_1':0.03,'4_1':0.0},(125,456):{'3_1':0.03,'4_1':0.0},(125,455):{'3_1':0.03},(125,454):{'3_1':0.03},(125,453):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(125,452):{'3_1':0.0,'5_1':0.0},(125,451):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(125,450):{'3_1':0.0,'4_1':0.0},(125,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(125,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(125,447):{'3_1':0.03},(125,446):{'3_1':0.03,'4_1':0.0},(125,445):{'4_1':0.0,'3_1':0.0},(125,444):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(125,443):{'3_1':0.0},(125,442):{'3_1':0.03},(125,441):{'3_1':0.03},(125,440):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(125,439):{'3_1':0.03,'5_1':0.0},(125,438):{'3_1':0.0},(125,437):{'3_1':0.03},(125,436):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(125,435):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(125,434):{'3_1':0.0},(125,433):{'3_1':0.03},(125,432):{'3_1':0.0,'4_1':0.0},(125,431):{'3_1':0.0,'4_1':0.0},(125,430):{'3_1':0.03},(125,429):{'3_1':0.06},(125,428):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(125,427):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(125,426):{'3_1':0.03},(125,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(125,424):{'3_1':0.03,'4_1':0.0},(125,423):{'3_1':0.03},(125,422):{'3_1':0.0,'4_1':0.0},(125,421):{'3_1':0.0,'4_1':0.0},(125,420):{'3_1':0.0,'4_1':0.0},(125,419):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(125,418):{'3_1':0.03,'4_1':0.03},(125,417):{'3_1':0.03,'4_1':0.0},(125,416):{'3_1':0.0,'4_1':0.0},(125,415):{'3_1':0.03},(125,414):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(125,413):{'3_1':0.0,'4_1':0.0},(125,412):{'3_1':0.0,'4_1':0.0},(125,411):{'3_1':0.0},(125,410):{'4_1':0.0,'3_1':0.0},(125,409):{'3_1':0.0},(125,408):{'3_1':0.0,'4_1':0.0},(125,407):{'3_1':0.0},(125,406):{'3_1':0.0},(125,405):{'6_1':0.0},(125,404):{'3_1':0.0},(125,403):{'3_1':0.0,'4_1':0.0},(125,402):{'3_1':0.0},(125,400):{'3_1':0.0,'8_1':0.0},(125,399):{'3_1':0.0,'4_1':0.0},(125,398):{'4_1':0.0,'-3':0.0},(125,397):{'3_1':0.0,'4_1':0.0},(125,396):{'3_1':0.03},(125,395):{'4_1':0.0,'3_1':0.0},(125,394):{'3_1':0.0,'4_1':0.0},(125,393):{'3_1':0.0},(125,392):{'3_1':0.0},(125,391):{'3_1':0.0},(125,389):{'3_1':0.0},(125,388):{'3_1':0.0},(125,387):{'3_1':0.0,'8_1':0.0},(125,386):{'3_1':0.03},(125,383):{'3_1':0.0},(125,382):{'3_1':0.03},(125,381):{'3_1':0.0,'6_2':0.0},(125,380):{'3_1':0.0,'5_1':0.0},(125,379):{'3_1':0.0,'5_2':0.0},(125,378):{'3_1':0.03},(125,377):{'3_1':0.03},(125,376):{'3_1':0.03,'5_1':0.0},(125,375):{'3_1':0.0},(125,374):{'3_1':0.0},(125,373):{'3_1':0.03},(125,372):{'3_1':0.0},(125,371):{'3_1':0.03},(125,370):{'3_1':0.0},(125,368):{'3_1':0.0,'5_1':0.0},(125,367):{'3_1':0.0,'5_1':0.0},(125,366):{'3_1':0.03},(125,365):{'3_1':0.03,'7_1':0.0},(125,364):{'3_1':0.0},(125,363):{'3_1':0.0},(125,362):{'3_1':0.0},(125,361):{'3_1':0.0},(125,360):{'3_1':0.03},(125,359):{'3_1':0.0},(125,358):{'3_1':0.0},(125,357):{'3_1':0.0,'5_1':0.0},(125,356):{'3_1':0.03},(125,355):{'3_1':0.03},(125,354):{'3_1':0.0},(125,353):{'3_1':0.0},(125,352):{'3_1':0.0},(125,351):{'3_1':0.0},(125,350):{'3_1':0.0},(125,349):{'3_1':0.0,'5_1':0.0},(125,348):{'3_1':0.0},(125,347):{'3_1':0.03},(125,346):{'3_1':0.0},(125,345):{'3_1':0.03},(125,344):{'3_1':0.0},(125,342):{'3_1':0.0,'5_1':0.0},(125,341):{'3_1':0.0},(125,340):{'3_1':0.0},(125,339):{'3_1':0.0},(125,338):{'3_1':0.0},(125,337):{'3_1':0.0},(125,336):{'3_1':0.0},(125,335):{'3_1':0.03},(125,334):{'3_1':0.0},(125,332):{'3_1':0.0},(125,331):{'3_1':0.0},(125,330):{'3_1':0.0},(125,329):{'3_1':0.0},(125,327):{'3_1':0.0},(125,326):{'3_1':0.0},(125,325):{'3_1':0.0},(125,324):{'3_1':0.0},(125,323):{'3_1':0.03},(125,322):{'3_1':0.0},(125,321):{'3_1':0.0},(125,320):{'3_1':0.0},(125,319):{'3_1':0.0},(125,316):{'3_1':0.03},(125,315):{'3_1':0.0,'4_1':0.0},(125,314):{'3_1':0.0},(125,313):{'3_1':0.0},(125,312):{'3_1':0.0},(125,311):{'3_1':0.0},(125,310):{'3_1':0.0},(125,309):{'3_1':0.0},(125,308):{'3_1':0.0},(125,307):{'3_1':0.03},(125,306):{'3_1':0.0,'5_1':0.0},(125,305):{'3_1':0.0},(125,304):{'3_1':0.03},(125,303):{'3_1':0.0},(125,302):{'3_1':0.0},(125,301):{'3_1':0.0},(125,300):{'3_1':0.0},(125,299):{'3_1':0.0},(125,298):{'3_1':0.0},(125,297):{'3_1':0.0},(125,296):{'3_1':0.0},(125,295):{'3_1':0.0},(125,294):{'3_1':0.0},(125,293):{'3_1':0.0},(125,291):{'3_1':0.0},(125,290):{'3_1':0.0},(125,289):{'3_1':0.0},(125,288):{'3_1':0.0},(125,287):{'3_1':0.0},(125,286):{'5_1':0.0},(125,284):{'3_1':0.0},(125,283):{'4_1':0.0},(125,282):{'3_1':0.0},(125,281):{'3_1':0.0},(125,280):{'3_1':0.03},(125,279):{'3_1':0.0},(125,277):{'3_1':0.06},(125,276):{'3_1':0.0},(125,275):{'3_1':0.03},(125,274):{'3_1':0.0},(125,273):{'3_1':0.0},(125,271):{'3_1':0.03},(125,270):{'3_1':0.03},(125,269):{'3_1':0.0},(125,268):{'3_1':0.0},(125,267):{'3_1':0.06},(125,265):{'3_1':0.0},(125,264):{'3_1':0.0},(125,263):{'3_1':0.0},(125,262):{'3_1':0.0,'4_1':0.0},(125,260):{'3_1':0.0},(125,258):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(125,257):{'4_1':0.0},(125,255):{'3_1':0.0},(125,252):{'4_1':0.0,'3_1':0.0},(125,250):{'3_1':0.0},(125,249):{'3_1':0.0,'4_1':0.0},(125,248):{'4_1':0.0},(125,246):{'3_1':0.0,'4_1':0.0},(125,245):{'3_1':0.0,'7_1':0.0},(125,244):{'3_1':0.0,'4_1':0.0},(125,243):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(125,242):{'3_1':0.0},(125,241):{'3_1':0.03},(125,240):{'3_1':0.03},(125,237):{'3_1':0.0},(125,236):{'3_1':0.0},(125,235):{'3_1':0.0},(125,233):{'3_1':0.0},(125,230):{'3_1':0.03},(125,229):{'3_1':0.0},(125,228):{'3_1':0.0},(125,227):{'3_1':0.0},(125,224):{'3_1':0.0},(125,222):{'3_1':0.0},(125,221):{'5_1':0.0},(125,217):{'3_1':0.0},(125,216):{'3_1':0.0},(125,213):{'3_1':0.0},(125,209):{'3_1':0.0,'5_1':0.0},(125,205):{'5_1':0.0},(125,197):{'3_1':0.0,'4_1':0.0},(125,196):{'3_1':0.0},(125,192):{'3_1':0.0},(125,191):{'3_1':0.0},(125,190):{'3_1':0.0},(125,188):{'3_1':0.0},(125,177):{'3_1':0.0},(125,167):{'3_1':0.0},(125,166):{'3_1':0.0},(125,165):{'3_1':0.0},(125,162):{'3_1':0.0},(125,158):{'3_1':0.0},(125,157):{'3_1':0.0,'5_1':0.0},(125,151):{'3_1':0.0},(125,150):{'5_1':0.0},(125,149):{'3_1':0.0},(125,148):{'3_1':0.0},(125,145):{'3_1':0.03},(125,143):{'3_1':0.0},(125,129):{'3_1':0.0},(126,727):{'5_2':0.57,'7_2':0.06,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(126,726):{'5_2':0.63,'7_2':0.12,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(126,725):{'5_2':0.6,'-3':0.12,'7_2':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(126,724):{'5_2':0.57,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'3_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(126,723):{'5_2':0.6,'7_2':0.09,'3_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(126,722):{'5_2':0.48,'7_2':0.12,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'8_14':0.0,'6_1':0.0,'3':-0.03},(126,721):{'5_2':0.57,'-3':0.06,'7_2':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(126,720):{'5_2':0.45,'7_2':0.12,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0},(126,719):{'5_2':0.57,'7_2':0.09,'7_6':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'3_1':0.0,'7_3':0.0},(126,718):{'5_2':0.45,'7_2':0.09,'7_3':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'3_1':0.0,'7_6':0.0,'1':-0.03},(126,717):{'5_2':0.57,'7_2':0.09,'7_4':0.03,'3_1':0.03,'7_3':0.0,'-3':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(126,716):{'5_2':0.45,'-3':0.09,'7_2':0.09,'7_3':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(126,715):{'5_2':0.54,'7_2':0.09,'6_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(126,714):{'5_2':0.54,'7_2':0.09,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0},(126,713):{'5_2':0.45,'7_4':0.06,'7_2':0.06,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_6':0.03,'-3':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(126,712):{'5_2':0.45,'-3':0.12,'7_3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'1':-0.03},(126,711):{'5_2':0.39,'-3':0.15,'7_2':0.12,'7_4':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(126,710):{'5_2':0.33,'-3':0.18,'7_2':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(126,709):{'5_2':0.45,'-3':0.12,'7_2':0.09,'6_1':0.03,'5_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0},(126,708):{'5_2':0.42,'7_2':0.12,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(126,707):{'5_2':0.57,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_14':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(126,706):{'5_2':0.48,'3_1':0.09,'7_4':0.06,'7_2':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(126,705):{'5_2':0.48,'3_1':0.06,'7_2':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(126,704):{'5_2':0.42,'3_1':0.06,'-3':0.06,'7_4':0.06,'7_2':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_5':0.0},(126,703):{'5_2':0.48,'3_1':0.12,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(126,702):{'5_2':0.48,'3_1':0.12,'7_2':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(126,701):{'5_2':0.42,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(126,700):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(126,699):{'5_2':0.45,'3_1':0.15,'7_2':0.03,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(126,698):{'5_2':0.45,'3_1':0.12,'7_2':0.09,'7_4':0.06,'-3':0.06,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(126,697):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(126,696):{'5_2':0.42,'3_1':0.21,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(126,695):{'5_2':0.51,'3_1':0.18,'7_4':0.09,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(126,694):{'5_2':0.48,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(126,693):{'5_2':0.36,'3_1':0.09,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(126,692):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(126,691):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_2':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0},(126,690):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'6_2':0.0,'7_6':0.0,'8_13':0.0},(126,689):{'5_2':0.3,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0,'8_14':0.0},(126,688):{'5_2':0.3,'3_1':0.24,'7_3':0.06,'7_4':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'8_8':0.0},(126,687):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(126,686):{'5_2':0.33,'3_1':0.24,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(126,685):{'3_1':0.36,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0},(126,684):{'5_2':0.42,'3_1':0.24,'7_2':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(126,683):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0},(126,682):{'3_1':0.36,'5_2':0.24,'7_4':0.12,'5_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.0,'8_14':0.0},(126,681):{'3_1':0.39,'5_2':0.3,'7_4':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(126,680):{'3_1':0.3,'5_2':0.27,'7_4':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0},(126,679):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'7_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(126,678):{'3_1':0.39,'5_2':0.21,'7_3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(126,677):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'5_1':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0},(126,676):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(126,675):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(126,674):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0},(126,673):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'7_2':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(126,672):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(126,671):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'7_7':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(126,670):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(126,669):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(126,668):{'3_1':0.48,'5_2':0.24,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(126,667):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(126,666):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(126,665):{'3_1':0.45,'5_2':0.27,'7_4':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(126,664):{'3_1':0.45,'5_2':0.24,'4_1':0.06,'7_4':0.06,'5_1':0.0,'7_2':0.0,'-3':0.0},(126,663):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(126,662):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'1':-0.03},(126,661):{'3_1':0.48,'5_2':0.21,'4_1':0.03,'7_4':0.03,'7_7':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(126,660):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(126,659):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_7':0.0,'7_5':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(126,658):{'3_1':0.48,'5_2':0.15,'5_1':0.06,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(126,657):{'3_1':0.45,'5_2':0.21,'5_1':0.09,'7_4':0.03,'7_7':0.0,'7_1':0.0,'8_9':0.0},(126,656):{'3_1':0.51,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(126,655):{'3_1':0.48,'5_2':0.21,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(126,654):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(126,653):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(126,652):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(126,651):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'8_19':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(126,650):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(126,649):{'3_1':0.42,'5_2':0.15,'5_1':0.0,'8_19':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(126,648):{'3_1':0.36,'5_2':0.3,'5_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_7':0.0},(126,647):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0},(126,646):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(126,645):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(126,644):{'3_1':0.39,'5_2':0.15,'5_1':0.09,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(126,643):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'7_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'8_16':0.0,'-3':0.0},(126,642):{'3_1':0.3,'5_2':0.18,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(126,641):{'3_1':0.24,'5_2':0.15,'5_1':0.12,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(126,640):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(126,639):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(126,638):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0},(126,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(126,636):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(126,635):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0},(126,634):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(126,633):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0},(126,632):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0},(126,631):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(126,630):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(126,629):{'5_2':0.15,'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(126,628):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0},(126,627):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0},(126,626):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(126,625):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'8_19':0.0},(126,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(126,623):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0},(126,622):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(126,621):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0},(126,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0},(126,619):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(126,618):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(126,617):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(126,616):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0},(126,615):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(126,614):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(126,613):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'8_19':0.0,'8_16':0.0,'-3':0.0},(126,612):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0},(126,611):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(126,610):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0},(126,609):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(126,608):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0},(126,607):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,606):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_7':0.0},(126,605):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(126,604):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(126,603):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(126,602):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,601):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(126,600):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0},(126,599):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(126,598):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(126,597):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(126,596):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_6':0.0},(126,595):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(126,594):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0},(126,593):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0,'7_3':0.0},(126,592):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(126,591):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(126,590):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0},(126,589):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(126,588):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(126,587):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(126,586):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_19':0.0},(126,585):{'3_1':0.15,'4_1':0.06,'5_1':0.03},(126,584):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0},(126,583):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(126,582):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(126,581):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,580):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(126,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0},(126,578):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(126,577):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(126,576):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0},(126,575):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(126,574):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(126,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(126,572):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(126,571):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(126,570):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(126,569):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0},(126,568):{'3_1':0.12,'4_1':0.09,'7_2':0.0},(126,567):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(126,566):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(126,565):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(126,564):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_3':0.0},(126,563):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'6_2':0.0},(126,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(126,561):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(126,560):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(126,559):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(126,558):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(126,557):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(126,556):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(126,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(126,554):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(126,553):{'3_1':0.21,'5_2':0.0,'7_5':0.0},(126,552):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(126,551):{'3_1':0.18,'5_1':0.0},(126,550):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(126,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(126,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,547):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(126,546):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(126,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(126,544):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(126,543):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(126,542):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(126,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(126,540):{'3_1':0.18,'5_2':0.0},(126,539):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(126,538):{'3_1':0.18,'5_1':0.0},(126,537):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(126,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(126,535):{'3_1':0.12,'5_1':0.0},(126,534):{'3_1':0.21,'5_2':0.0,'7_1':0.0,'4_1':0.0,'8_2':0.0},(126,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(126,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(126,531):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(126,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(126,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(126,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(126,527):{'3_1':0.06,'4_1':0.0},(126,526):{'3_1':0.06,'4_1':0.0},(126,525):{'3_1':0.06},(126,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,523):{'3_1':0.06,'4_1':0.0},(126,522):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(126,521):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(126,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(126,518):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(126,517):{'3_1':0.0,'4_1':0.0},(126,516):{'5_1':0.03,'4_1':0.03,'3_1':0.0},(126,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(126,514):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(126,513):{'3_1':0.03},(126,512):{'3_1':0.06,'4_1':0.0},(126,511):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(126,510):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(126,509):{'3_1':0.06,'4_1':0.0},(126,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(126,507):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(126,506):{'3_1':0.03},(126,505):{'3_1':0.03},(126,504):{'3_1':0.0},(126,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,502):{'3_1':0.03,'5_1':0.0},(126,501):{'3_1':0.03},(126,500):{'3_1':0.0,'4_1':0.0},(126,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(126,498):{'3_1':0.03,'4_1':0.0},(126,497):{'3_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0},(126,496):{'3_1':0.0},(126,495):{'3_1':0.03,'4_1':0.0},(126,494):{'3_1':0.03,'4_1':0.0},(126,493):{'3_1':0.03,'5_2':0.0},(126,492):{'3_1':0.12},(126,491):{'3_1':0.03},(126,490):{'3_1':0.06,'4_1':0.0},(126,489):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(126,488):{'3_1':0.03},(126,487):{'3_1':0.0,'4_1':0.0},(126,486):{'3_1':0.06},(126,485):{'3_1':0.03,'4_1':0.0},(126,484):{'3_1':0.03,'5_2':0.0},(126,483):{'3_1':0.03,'5_1':0.0},(126,482):{'3_1':0.03,'5_1':0.0},(126,481):{'3_1':0.06,'5_2':0.0},(126,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(126,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(126,478):{'3_1':0.06,'5_2':0.0},(126,477):{'3_1':0.06},(126,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(126,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(126,474):{'3_1':0.06},(126,473):{'3_1':0.03},(126,472):{'3_1':0.09,'4_1':0.0},(126,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,470):{'3_1':0.03},(126,469):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(126,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,467):{'3_1':0.09,'5_1':0.0},(126,466):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(126,465):{'3_1':0.03},(126,464):{'3_1':0.03,'5_1':0.0},(126,463):{'3_1':0.03,'4_1':0.0},(126,462):{'3_1':0.03},(126,461):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(126,460):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(126,459):{'3_1':0.06,'4_1':0.0},(126,458):{'3_1':0.03},(126,457):{'3_1':0.06,'4_1':0.0},(126,456):{'3_1':0.03},(126,455):{'3_1':0.0,'4_1':0.0},(126,454):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(126,453):{'3_1':0.03,'4_1':0.0},(126,452):{'3_1':0.03,'4_1':0.0},(126,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(126,450):{'3_1':0.03,'4_1':0.0},(126,449):{'3_1':0.03,'5_1':0.0},(126,448):{'3_1':0.03},(126,447):{'3_1':0.03,'4_1':0.0},(126,446):{'3_1':0.03},(126,445):{'3_1':0.0,'4_1':0.0},(126,444):{'3_1':0.0},(126,443):{'3_1':0.0},(126,442):{'3_1':0.0},(126,441):{'3_1':0.0},(126,440):{'3_1':0.03},(126,439):{'3_1':0.0},(126,438):{'3_1':0.0,'5_1':0.0},(126,437):{'3_1':0.0,'4_1':0.0},(126,436):{'3_1':0.03,'4_1':0.0},(126,435):{'3_1':0.03,'4_1':0.0},(126,434):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(126,433):{'3_1':0.06,'4_1':0.0},(126,432):{'3_1':0.0,'4_1':0.0},(126,431):{'3_1':0.0,'5_2':0.0},(126,430):{'3_1':0.03,'4_1':0.0},(126,429):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(126,428):{'3_1':0.03,'4_1':0.0},(126,427):{'3_1':0.03,'4_1':0.03},(126,426):{'3_1':0.03,'4_1':0.0},(126,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(126,424):{'3_1':0.03,'4_1':0.0},(126,423):{'3_1':0.06},(126,422):{'3_1':0.0},(126,421):{'3_1':0.0,'6_1':0.0},(126,420):{'3_1':0.03,'4_1':0.0},(126,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(126,418):{'3_1':0.03,'4_1':0.0},(126,417):{'3_1':0.03},(126,416):{'3_1':0.03,'4_1':0.0},(126,415):{'3_1':0.03,'4_1':0.0},(126,414):{'3_1':0.0},(126,413):{'4_1':0.0,'3_1':0.0},(126,412):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(126,411):{'3_1':0.0,'4_1':0.0},(126,409):{'4_1':0.0},(126,408):{'3_1':0.0,'4_1':0.0},(126,407):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(126,406):{'3_1':0.0},(126,405):{'3_1':0.0},(126,404):{'3_1':0.0},(126,403):{'3_1':0.0,'4_1':0.0},(126,402):{'4_1':0.0,'3_1':0.0},(126,399):{'3_1':0.0,'4_1':0.0},(126,397):{'6_2':0.0},(126,395):{'3_1':0.0,'4_1':0.0},(126,394):{'3_1':0.0,'4_1':0.0},(126,392):{'3_1':0.0,'4_1':0.0},(126,391):{'3_1':0.0},(126,390):{'4_1':0.0},(126,389):{'3_1':0.0},(126,388):{'3_1':0.0},(126,386):{'3_1':0.03},(126,384):{'3_1':0.0},(126,383):{'3_1':0.0,'5_1':0.0},(126,382):{'3_1':0.0},(126,381):{'3_1':0.03},(126,380):{'3_1':0.0},(126,378):{'3_1':0.06},(126,377):{'3_1':0.03},(126,376):{'3_1':0.03},(126,375):{'3_1':0.0},(126,374):{'3_1':0.0},(126,373):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(126,372):{'3_1':0.0},(126,371):{'3_1':0.0},(126,370):{'3_1':0.0},(126,369):{'3_1':0.0,'5_1':0.0},(126,368):{'3_1':0.0,'5_1':0.0},(126,367):{'3_1':0.03,'5_1':0.0},(126,366):{'3_1':0.03},(126,365):{'3_1':0.03},(126,364):{'3_1':0.0},(126,362):{'3_1':0.0},(126,361):{'3_1':0.0},(126,360):{'3_1':0.0},(126,359):{'3_1':0.03},(126,357):{'3_1':0.0},(126,356):{'3_1':0.03},(126,355):{'3_1':0.0},(126,354):{'3_1':0.0},(126,353):{'3_1':0.0},(126,352):{'3_1':0.0},(126,351):{'3_1':0.0},(126,350):{'3_1':0.0},(126,349):{'3_1':0.0},(126,348):{'3_1':0.0},(126,347):{'3_1':0.0},(126,346):{'3_1':0.0},(126,345):{'3_1':0.03},(126,344):{'3_1':0.0},(126,343):{'3_1':0.0},(126,342):{'3_1':0.0},(126,341):{'3_1':0.0},(126,340):{'3_1':0.0},(126,339):{'3_1':0.0},(126,338):{'3_1':0.0},(126,337):{'3_1':0.0},(126,336):{'3_1':0.0},(126,335):{'3_1':0.03},(126,334):{'3_1':0.0},(126,333):{'3_1':0.0,'4_1':0.0},(126,332):{'3_1':0.0},(126,331):{'3_1':0.0},(126,330):{'3_1':0.0},(126,329):{'3_1':0.0},(126,328):{'3_1':0.0},(126,326):{'3_1':0.0},(126,325):{'3_1':0.0},(126,324):{'3_1':0.0,'4_1':0.0},(126,323):{'3_1':0.0},(126,322):{'3_1':0.03},(126,321):{'3_1':0.0},(126,319):{'3_1':0.0},(126,318):{'3_1':0.03},(126,317):{'3_1':0.0},(126,316):{'3_1':0.03},(126,315):{'3_1':0.0},(126,314):{'4_1':0.0},(126,313):{'3_1':0.0},(126,312):{'3_1':0.03},(126,311):{'3_1':0.0,'5_2':0.0},(126,309):{'3_1':0.0},(126,308):{'3_1':0.0},(126,307):{'3_1':0.03},(126,306):{'3_1':0.03},(126,305):{'3_1':0.0},(126,304):{'3_1':0.0},(126,303):{'3_1':0.0},(126,302):{'3_1':0.0},(126,301):{'3_1':0.0},(126,300):{'3_1':0.0},(126,299):{'3_1':0.0},(126,298):{'3_1':0.0},(126,297):{'3_1':0.0},(126,296):{'3_1':0.0},(126,290):{'3_1':0.0},(126,289):{'3_1':0.0},(126,288):{'3_1':0.0},(126,287):{'3_1':0.0},(126,285):{'3_1':0.0},(126,284):{'3_1':0.0,'5_2':0.0},(126,283):{'3_1':0.0,'4_1':0.0},(126,282):{'3_1':0.0},(126,281):{'3_1':0.0},(126,280):{'3_1':0.03},(126,279):{'3_1':0.0},(126,278):{'3_1':0.0},(126,277):{'3_1':0.0},(126,276):{'3_1':0.0},(126,275):{'3_1':0.0},(126,274):{'3_1':0.0},(126,273):{'3_1':0.0},(126,270):{'3_1':0.0},(126,269):{'3_1':0.0},(126,268):{'3_1':0.0},(126,267):{'3_1':0.0},(126,266):{'3_1':0.0},(126,264):{'3_1':0.0},(126,263):{'3_1':0.0},(126,262):{'3_1':0.0},(126,261):{'3_1':0.0},(126,260):{'3_1':0.0},(126,259):{'3_1':0.0,'4_1':0.0},(126,258):{'4_1':0.0,'3_1':0.0},(126,257):{'3_1':0.0},(126,255):{'3_1':0.0},(126,254):{'4_1':0.0},(126,253):{'3_1':0.0,'5_1':0.0},(126,250):{'3_1':0.0},(126,249):{'4_1':0.0},(126,248):{'3_1':0.0,'4_1':0.0},(126,247):{'3_1':0.0},(126,246):{'3_1':0.0},(126,245):{'7_1':0.0},(126,244):{'3_1':0.0},(126,243):{'3_1':0.0},(126,242):{'3_1':0.0},(126,241):{'4_1':0.0},(126,240):{'3_1':0.03},(126,238):{'3_1':0.0,'4_1':0.0},(126,237):{'4_1':0.0},(126,236):{'3_1':0.0},(126,235):{'3_1':0.0},(126,233):{'3_1':0.0,'4_1':0.0},(126,230):{'3_1':0.0},(126,229):{'3_1':0.03},(126,228):{'3_1':0.0,'7_1':0.0},(126,227):{'3_1':0.0},(126,225):{'3_1':0.0},(126,224):{'3_1':0.0,'5_1':0.0},(126,206):{'3_1':0.0},(126,205):{'3_1':0.0,'5_1':0.0},(126,204):{'3_1':0.0},(126,203):{'3_1':0.0},(126,202):{'3_1':0.0},(126,201):{'3_1':0.0},(126,199):{'3_1':0.0},(126,198):{'5_1':0.0},(126,197):{'3_1':0.0},(126,195):{'3_1':0.0},(126,193):{'3_1':0.0},(126,165):{'3_1':0.0},(126,162):{'3_1':0.0},(126,157):{'3_1':0.0},(126,153):{'3_1':0.0},(127,727):{'5_2':0.6,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0},(127,726):{'5_2':0.54,'7_4':0.06,'7_2':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0},(127,725):{'5_2':0.57,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(127,724):{'5_2':0.54,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'1':-0.03},(127,723):{'5_2':0.48,'7_2':0.06,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(127,722):{'5_2':0.57,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'2':-0.03},(127,721):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(127,720):{'5_2':0.54,'-3':0.09,'3_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0},(127,719):{'5_2':0.57,'7_2':0.06,'-3':0.06,'7_3':0.0,'7_4':0.0,'8_1':0.0,'6_1':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0},(127,718):{'5_2':0.45,'-3':0.09,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'1':-0.03},(127,717):{'5_2':0.57,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0},(127,716):{'5_2':0.54,'7_2':0.06,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(127,715):{'5_2':0.6,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'7_4':0.0,'3_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(127,714):{'5_2':0.51,'7_2':0.09,'7_4':0.06,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(127,713):{'5_2':0.51,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'2':-0.03},(127,712):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(127,711):{'5_2':0.36,'-3':0.15,'7_2':0.06,'7_4':0.06,'7_5':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0},(127,710):{'5_2':0.42,'-3':0.15,'5_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(127,709):{'5_2':0.45,'-3':0.15,'7_2':0.06,'6_1':0.03,'5_1':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(127,708):{'5_2':0.54,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(127,707):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(127,706):{'5_2':0.39,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0},(127,705):{'5_2':0.48,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'8_13':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(127,704):{'5_2':0.54,'3_1':0.09,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0},(127,703):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0},(127,702):{'5_2':0.48,'7_2':0.06,'3_1':0.06,'7_4':0.06,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0,'3_1#5_2':0.0},(127,701):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(127,700):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'5_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0},(127,699):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_2':0.06,'7_6':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'1':-0.03},(127,698):{'5_2':0.36,'3_1':0.12,'7_4':0.12,'7_2':0.06,'-3':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(127,697):{'5_2':0.42,'3_1':0.12,'7_2':0.06,'7_3':0.06,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(127,696):{'5_2':0.42,'3_1':0.15,'7_4':0.09,'-3':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'7_3':0.0,'7_5':0.0},(127,695):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(127,694):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(127,693):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_3':0.06,'7_2':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(127,692):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0},(127,691):{'5_2':0.36,'3_1':0.12,'-3':0.12,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(127,690):{'5_2':0.42,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(127,689):{'5_2':0.3,'3_1':0.18,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(127,688):{'5_2':0.36,'3_1':0.24,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(127,687):{'3_1':0.3,'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.03,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0},(127,686):{'5_2':0.3,'3_1':0.3,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(127,685):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'7_2':0.03,'7_7':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(127,684):{'5_2':0.36,'3_1':0.3,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0},(127,683):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'7_7':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(127,682):{'3_1':0.36,'5_2':0.21,'7_4':0.12,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(127,681):{'3_1':0.39,'5_2':0.27,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(127,680):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0},(127,679):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(127,678):{'3_1':0.51,'5_2':0.15,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(127,677):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(127,676):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(127,675):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(127,674):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'-3':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(127,673):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(127,672):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(127,671):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_8':0.0,'8_15':0.0,'-3':0.0},(127,670):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'7_7':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(127,669):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0,'-3':0.0},(127,668):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_13':0.0},(127,667):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(127,666):{'3_1':0.54,'5_2':0.15,'7_4':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(127,665):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(127,664):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'5_1':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(127,663):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.03,'7_3':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0},(127,662):{'3_1':0.51,'5_2':0.21,'5_1':0.0,'7_7':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0},(127,661):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(127,660):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(127,659):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(127,658):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'7_4':0.03,'7_7':0.03,'4_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(127,657):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(127,656):{'3_1':0.45,'5_2':0.18,'7_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(127,655):{'3_1':0.54,'5_2':0.18,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(127,654):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(127,653):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(127,652):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(127,651):{'3_1':0.42,'5_2':0.18,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(127,650):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_7':0.0},(127,649):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(127,648):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(127,647):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(127,646):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0},(127,645):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0},(127,644):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'7_5':0.0,'7_7':0.0},(127,643):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0},(127,642):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(127,641):{'5_2':0.21,'3_1':0.18,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(127,640):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(127,639):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(127,638):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(127,637):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0},(127,636):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0},(127,635):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(127,634):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(127,633):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0},(127,632):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0},(127,631):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(127,630):{'3_1':0.15,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(127,629):{'5_2':0.18,'3_1':0.15,'7_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(127,628):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(127,627):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(127,626):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0},(127,625):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(127,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0},(127,623):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0},(127,622):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(127,621):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_9':0.0},(127,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(127,619):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_16':0.0},(127,618):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(127,617):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,616):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_19':0.0,'4_1':0.0},(127,615):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0},(127,614):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(127,613):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0},(127,612):{'3_1':0.24,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_7':0.0},(127,611):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(127,610):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(127,609):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(127,608):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(127,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(127,606):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0},(127,605):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(127,604):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(127,603):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(127,602):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0},(127,601):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(127,600):{'3_1':0.27,'4_1':0.09,'5_1':0.0,'5_2':0.0},(127,599):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(127,598):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0},(127,597):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_11':0.0},(127,596):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(127,595):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(127,594):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(127,593):{'4_1':0.24,'3_1':0.15,'5_2':0.0,'7_1':0.0},(127,592):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0},(127,591):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(127,590):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(127,589):{'3_1':0.18,'4_1':0.12,'-3':0.0,'5_2':0.0,'8_19':0.0},(127,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(127,587):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_6':0.0},(127,586):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(127,585):{'4_1':0.15,'3_1':0.12,'5_2':0.0},(127,584):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(127,583):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,582):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,581):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(127,580):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(127,579):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(127,578):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(127,577):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_15':0.0},(127,576):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(127,575):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(127,574):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(127,573):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(127,572):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(127,571):{'4_1':0.09,'3_1':0.03,'5_1':0.0},(127,570):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(127,569):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(127,568):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(127,567):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(127,566):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(127,565):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0},(127,564):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(127,563):{'3_1':0.06,'4_1':0.03},(127,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(127,561):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(127,560):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(127,559):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(127,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(127,557):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_5':0.0},(127,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(127,555):{'3_1':0.12,'4_1':0.03},(127,554):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(127,553):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(127,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(127,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(127,550):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(127,549):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(127,548):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(127,547):{'3_1':0.15,'5_2':0.03},(127,546):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(127,545):{'3_1':0.15,'5_2':0.03},(127,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,543):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,542):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(127,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(127,540):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(127,539):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(127,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(127,537):{'3_1':0.15,'5_2':0.0},(127,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(127,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,534):{'3_1':0.15,'5_1':0.0},(127,533):{'3_1':0.18,'5_1':0.0,'7_5':0.0},(127,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,531):{'3_1':0.24,'5_1':0.03,'6_2':0.0},(127,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,529):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(127,528):{'3_1':0.15,'5_2':0.0},(127,527):{'3_1':0.12},(127,526):{'3_1':0.06,'4_1':0.0},(127,525):{'3_1':0.09,'5_2':0.0},(127,524):{'3_1':0.03,'5_1':0.0},(127,523):{'3_1':0.09,'5_1':0.0},(127,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,521):{'3_1':0.12,'7_5':0.0},(127,520):{'3_1':0.03,'5_1':0.0},(127,519):{'3_1':0.03,'5_1':0.0},(127,518):{'3_1':0.03,'5_1':0.0},(127,517):{'3_1':0.03,'4_1':0.0},(127,516):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(127,515):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(127,514):{'3_1':0.03},(127,513):{'3_1':0.03,'4_1':0.0},(127,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,511):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(127,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(127,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(127,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(127,507):{'3_1':0.0,'4_1':0.0},(127,506):{'3_1':0.06,'4_1':0.0},(127,505):{'3_1':0.03,'5_1':0.0},(127,504):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(127,503):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(127,502):{'3_1':0.03,'4_1':0.0},(127,501):{'3_1':0.09,'4_1':0.0},(127,500):{'3_1':0.03,'5_2':0.0},(127,499):{'3_1':0.03,'4_1':0.0},(127,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(127,497):{'3_1':0.03,'8_20|3_1#3_1':0.0},(127,496):{'3_1':0.0},(127,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(127,494):{'3_1':0.03,'4_1':0.0},(127,493):{'3_1':0.03,'5_1':0.0},(127,492):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(127,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(127,490):{'3_1':0.0,'4_1':0.0},(127,489):{'3_1':0.03,'5_1':0.0},(127,488):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(127,487):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(127,486):{'3_1':0.03,'4_1':0.0},(127,485):{'3_1':0.06,'4_1':0.0},(127,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(127,483):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(127,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(127,480):{'3_1':0.03},(127,479):{'3_1':0.06,'5_2':0.0},(127,478):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(127,477):{'3_1':0.06},(127,476):{'3_1':0.06},(127,475):{'3_1':0.12},(127,474):{'3_1':0.03},(127,473):{'3_1':0.06,'4_1':0.0},(127,472):{'3_1':0.06,'4_1':0.0},(127,471):{'3_1':0.03,'5_1':0.0},(127,470):{'3_1':0.03,'5_2':0.0},(127,469):{'3_1':0.06,'5_1':0.0},(127,468):{'3_1':0.03,'5_2':0.0},(127,467):{'3_1':0.03,'4_1':0.0},(127,466):{'3_1':0.0,'4_1':0.0},(127,465):{'3_1':0.0},(127,464):{'3_1':0.03,'4_1':0.0},(127,463):{'3_1':0.03},(127,462):{'3_1':0.03,'4_1':0.0},(127,461):{'3_1':0.03,'5_1':0.0},(127,460):{'3_1':0.06,'4_1':0.0},(127,459):{'3_1':0.0},(127,458):{'3_1':0.0},(127,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(127,456):{'3_1':0.0},(127,455):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(127,454):{'3_1':0.0},(127,453):{'3_1':0.0,'4_1':0.0},(127,452):{'3_1':0.0,'5_1':0.0},(127,451):{'3_1':0.03,'4_1':0.0},(127,450):{'3_1':0.03,'4_1':0.0},(127,449):{'3_1':0.03,'4_1':0.0},(127,448):{'3_1':0.0},(127,447):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(127,446):{'3_1':0.03},(127,445):{'3_1':0.03,'5_2':0.0},(127,444):{'3_1':0.0},(127,443):{'3_1':0.0,'5_2':0.0},(127,442):{'3_1':0.03,'4_1':0.0},(127,441):{'3_1':0.0},(127,440):{'3_1':0.0,'4_1':0.0},(127,439):{'3_1':0.0,'5_1':0.0},(127,438):{'3_1':0.03},(127,437):{'4_1':0.0,'3_1':0.0},(127,436):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(127,435):{'3_1':0.03,'4_1':0.0},(127,434):{'3_1':0.0,'4_1':0.0},(127,433):{'3_1':0.03,'4_1':0.0},(127,432):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(127,431):{'3_1':0.0},(127,430):{'3_1':0.03,'4_1':0.0},(127,429):{'3_1':0.03,'4_1':0.0},(127,428):{'3_1':0.0,'4_1':0.0},(127,427):{'3_1':0.0,'4_1':0.0},(127,426):{'3_1':0.03},(127,425):{'3_1':0.03,'4_1':0.0},(127,424):{'4_1':0.03,'3_1':0.0},(127,423):{'3_1':0.06,'4_1':0.0},(127,422):{'4_1':0.0},(127,421):{'3_1':0.0,'5_2':0.0},(127,420):{'3_1':0.0},(127,419):{'3_1':0.0,'4_1':0.0},(127,418):{'3_1':0.03},(127,417):{'3_1':0.03,'4_1':0.0},(127,416):{'4_1':0.0},(127,415):{'3_1':0.0,'4_1':0.0},(127,414):{'3_1':0.0},(127,413):{'3_1':0.0,'5_2':0.0},(127,412):{'3_1':0.0,'4_1':0.0},(127,411):{'3_1':0.0,'4_1':0.0},(127,410):{'3_1':0.0,'4_1':0.0},(127,409):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(127,408):{'3_1':0.0},(127,406):{'3_1':0.0,'4_1':0.0},(127,405):{'4_1':0.0},(127,404):{'3_1':0.0},(127,402):{'3_1':0.03},(127,399):{'3_1':0.03},(127,398):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(127,397):{'3_1':0.0,'4_1':0.0},(127,396):{'3_1':0.0},(127,395):{'5_2':0.0},(127,394):{'3_1':0.03},(127,392):{'3_1':0.0},(127,391):{'3_1':0.0},(127,390):{'3_1':0.0},(127,389):{'8_20|3_1#3_1':0.0},(127,385):{'3_1':0.0},(127,384):{'3_1':0.03},(127,382):{'3_1':0.0},(127,381):{'3_1':0.0},(127,380):{'3_1':0.0},(127,379):{'3_1':0.0},(127,378):{'3_1':0.0},(127,377):{'3_1':0.03,'5_1':0.0},(127,376):{'3_1':0.0,'8_19':0.0},(127,375):{'3_1':0.03,'5_2':0.0},(127,374):{'3_1':0.0},(127,373):{'3_1':0.06,'5_1':0.0},(127,372):{'3_1':0.03},(127,371):{'3_1':0.0,'5_1':0.0},(127,370):{'3_1':0.0},(127,369):{'3_1':0.0,'5_1':0.0},(127,368):{'3_1':0.0},(127,367):{'3_1':0.0},(127,366):{'3_1':0.0},(127,365):{'3_1':0.0,'5_1':0.0},(127,363):{'3_1':0.03},(127,362):{'3_1':0.0},(127,360):{'3_1':0.0,'7_1':0.0},(127,359):{'3_1':0.0},(127,358):{'3_1':0.0},(127,357):{'3_1':0.03},(127,355):{'3_1':0.03},(127,354):{'3_1':0.0},(127,353):{'3_1':0.0},(127,352):{'3_1':0.0},(127,350):{'3_1':0.0},(127,349):{'3_1':0.0,'8_21|3_1#4_1':0.0},(127,348):{'5_2':0.0},(127,347):{'3_1':0.03,'4_1':0.0},(127,346):{'3_1':0.0},(127,344):{'3_1':0.0},(127,343):{'3_1':0.0},(127,342):{'3_1':0.0},(127,341):{'3_1':0.0},(127,340):{'3_1':0.0},(127,339):{'3_1':0.03},(127,338):{'3_1':0.0},(127,337):{'3_1':0.0},(127,336):{'3_1':0.0},(127,335):{'3_1':0.0},(127,334):{'3_1':0.0},(127,332):{'3_1':0.0},(127,331):{'3_1':0.0},(127,330):{'3_1':0.0},(127,328):{'3_1':0.0},(127,327):{'4_1':0.0},(127,324):{'3_1':0.0},(127,323):{'3_1':0.0},(127,322):{'3_1':0.0},(127,320):{'4_1':0.0},(127,319):{'3_1':0.0},(127,318):{'3_1':0.0},(127,317):{'3_1':0.0},(127,316):{'3_1':0.0},(127,315):{'3_1':0.0},(127,314):{'3_1':0.0},(127,313):{'3_1':0.0},(127,312):{'3_1':0.0},(127,311):{'3_1':0.0},(127,310):{'3_1':0.03},(127,307):{'3_1':0.0},(127,306):{'3_1':0.0},(127,305):{'3_1':0.0},(127,304):{'3_1':0.0},(127,303):{'3_1':0.03},(127,302):{'3_1':0.0},(127,301):{'3_1':0.0},(127,300):{'3_1':0.0},(127,299):{'3_1':0.0,'4_1':0.0},(127,298):{'3_1':0.0},(127,297):{'5_1':0.0},(127,296):{'3_1':0.0},(127,293):{'3_1':0.0},(127,291):{'3_1':0.0},(127,290):{'3_1':0.0},(127,289):{'3_1':0.0},(127,287):{'3_1':0.0},(127,286):{'3_1':0.0},(127,285):{'3_1':0.0,'5_1':0.0},(127,284):{'3_1':0.0},(127,283):{'3_1':0.0},(127,282):{'3_1':0.0},(127,281):{'3_1':0.03},(127,280):{'3_1':0.0},(127,278):{'3_1':0.0},(127,277):{'3_1':0.0,'5_2':0.0},(127,276):{'3_1':0.0},(127,275):{'3_1':0.0,'4_1':0.0},(127,274):{'3_1':0.03,'4_1':0.0},(127,273):{'3_1':0.0},(127,271):{'3_1':0.03,'6_1':0.0},(127,270):{'3_1':0.0},(127,269):{'3_1':0.0},(127,268):{'3_1':0.03},(127,267):{'3_1':0.0},(127,265):{'3_1':0.0},(127,264):{'3_1':0.0},(127,263):{'3_1':0.03},(127,262):{'3_1':0.0,'4_1':0.0},(127,261):{'3_1':0.03},(127,259):{'3_1':0.0},(127,258):{'3_1':0.0},(127,257):{'3_1':0.0},(127,256):{'3_1':0.0},(127,255):{'3_1':0.0},(127,254):{'3_1':0.0},(127,253):{'3_1':0.0},(127,248):{'3_1':0.0},(127,247):{'3_1':0.0,'4_1':0.0},(127,244):{'6_1':0.0},(127,243):{'3_1':0.03},(127,242):{'3_1':0.03,'4_1':0.0},(127,240):{'3_1':0.0},(127,239):{'3_1':0.0},(127,238):{'3_1':0.03,'5_1':0.0},(127,237):{'3_1':0.0},(127,236):{'4_1':0.0},(127,234):{'3_1':0.0},(127,233):{'4_1':0.0},(127,232):{'3_1':0.0,'4_1':0.0},(127,231):{'5_1':0.0},(127,230):{'4_1':0.0},(127,229):{'3_1':0.0},(127,228):{'3_1':0.0},(127,227):{'3_1':0.0},(127,226):{'3_1':0.0,'5_1':0.0},(127,225):{'3_1':0.0},(127,221):{'3_1':0.0},(127,213):{'3_1':0.0},(127,212):{'3_1':0.0},(127,211):{'3_1':0.0},(127,210):{'3_1':0.0},(127,209):{'3_1':0.0},(127,208):{'3_1':0.0},(127,204):{'3_1':0.0},(127,203):{'3_1':0.0},(127,202):{'3_1':0.0},(127,199):{'3_1':0.0},(127,197):{'3_1':0.0},(127,196):{'3_1':0.0},(127,194):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(127,193):{'3_1':0.0},(127,188):{'3_1':0.0},(127,166):{'3_1':0.0},(127,161):{'3_1':0.0},(127,158):{'3_1':0.0},(127,155):{'3_1':0.0},(127,153):{'3_1':0.0},(127,141):{'4_1':0.0},(127,137):{'3_1':0.0},(127,135):{'3_1':0.0},(127,134):{'3_1':0.0},(128,727):{'5_2':0.63,'7_2':0.06,'-3':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(128,726):{'5_2':0.63,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'8_14':0.0},(128,725):{'5_2':0.48,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'8_15':0.0},(128,724):{'5_2':0.6,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(128,723):{'5_2':0.63,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(128,722):{'5_2':0.54,'7_4':0.09,'7_2':0.03,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(128,721):{'5_2':0.57,'3_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(128,720):{'5_2':0.51,'-3':0.06,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'8_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0},(128,719):{'5_2':0.48,'-3':0.09,'7_2':0.09,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0},(128,718):{'5_2':0.45,'-3':0.09,'7_2':0.06,'7_4':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(128,717):{'5_2':0.63,'7_2':0.06,'7_4':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(128,716):{'5_2':0.69,'7_2':0.06,'7_5':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(128,715):{'5_2':0.54,'7_2':0.06,'7_4':0.06,'6_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(128,714):{'5_2':0.48,'7_2':0.06,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(128,713):{'5_2':0.45,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0},(128,712):{'5_2':0.48,'3_1':0.09,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(128,711):{'5_2':0.45,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'8_1':0.0},(128,710):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_2':0.06,'5_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(128,709):{'5_2':0.42,'-3':0.18,'7_2':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0,'2':-0.03},(128,708):{'5_2':0.42,'-3':0.09,'7_2':0.06,'5_1':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0,'2':-0.03},(128,707):{'5_2':0.48,'7_2':0.09,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'9_1':0.0},(128,706):{'5_2':0.51,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(128,705):{'5_2':0.45,'7_4':0.09,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(128,704):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(128,703):{'5_2':0.39,'7_4':0.12,'-3':0.09,'3_1':0.09,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(128,702):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(128,701):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'8_13':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'9_1':0.0},(128,700):{'5_2':0.3,'3_1':0.21,'7_4':0.09,'-3':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(128,699):{'5_2':0.42,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(128,698):{'5_2':0.42,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(128,697):{'5_2':0.39,'3_1':0.12,'-3':0.12,'7_4':0.09,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(128,696):{'5_2':0.39,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'3_1#5_2':0.0,'7_6':0.0},(128,695):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(128,694):{'5_2':0.36,'3_1':0.12,'7_4':0.09,'-3':0.09,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(128,693):{'5_2':0.36,'3_1':0.21,'7_2':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(128,692):{'5_2':0.39,'3_1':0.12,'7_4':0.12,'-3':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(128,691):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.03,'7_6':0.03,'6_1':0.0,'7_5':0.0,'7_1':0.0,'8_11':0.0},(128,690):{'5_2':0.36,'3_1':0.15,'6_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_11':0.0},(128,689):{'5_2':0.36,'3_1':0.21,'7_4':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(128,688):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'7_2':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(128,687):{'5_2':0.36,'3_1':0.18,'-3':0.09,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0},(128,686):{'5_2':0.27,'3_1':0.12,'7_4':0.12,'5_1':0.06,'7_3':0.06,'-3':0.06,'7_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(128,685):{'5_2':0.33,'3_1':0.21,'7_4':0.12,'-3':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(128,684):{'3_1':0.33,'5_2':0.33,'7_4':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0},(128,683):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_7':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(128,682):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(128,681):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(128,680):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(128,679):{'3_1':0.39,'5_2':0.27,'7_4':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(128,678):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(128,677):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(128,676):{'3_1':0.42,'5_2':0.21,'7_4':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(128,675):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(128,674):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(128,673):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(128,672):{'3_1':0.51,'5_2':0.21,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(128,671):{'3_1':0.54,'5_2':0.09,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(128,670):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(128,669):{'3_1':0.6,'5_2':0.15,'7_4':0.06,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(128,668):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(128,667):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(128,666):{'3_1':0.54,'5_2':0.21,'7_4':0.03,'7_7':0.03,'4_1':0.0,'8_13':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(128,665):{'3_1':0.51,'5_2':0.15,'7_3':0.03,'7_1':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(128,664):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(128,663):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(128,662):{'3_1':0.39,'5_2':0.24,'4_1':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(128,661):{'3_1':0.39,'5_2':0.27,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(128,660):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0},(128,659):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(128,658):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(128,657):{'3_1':0.51,'5_2':0.21,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(128,656):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_5':0.0,'-3':0.0},(128,655):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'-3':0.0},(128,654):{'3_1':0.48,'5_2':0.18,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_2':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(128,653):{'3_1':0.39,'5_2':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(128,652):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0},(128,651):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(128,650):{'3_1':0.45,'5_2':0.12,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(128,649):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(128,648):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0},(128,647):{'3_1':0.33,'5_1':0.12,'5_2':0.12,'7_1':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(128,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(128,645):{'3_1':0.33,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(128,644):{'3_1':0.27,'5_1':0.12,'5_2':0.12,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0},(128,643):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(128,642):{'3_1':0.24,'5_2':0.09,'5_1':0.09,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(128,641):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0},(128,640):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(128,639):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_3':0.0,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(128,638):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(128,637):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_16':0.0},(128,636):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(128,635):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(128,634):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(128,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(128,632):{'3_1':0.21,'5_2':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(128,631):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_4':0.0},(128,630):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(128,629):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(128,628):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(128,627):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(128,626):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0},(128,625):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0},(128,624):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(128,623):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0},(128,622):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0},(128,621):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0},(128,620):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0},(128,619):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0},(128,618):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(128,617):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(128,616):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0},(128,615):{'3_1':0.09,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(128,614):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(128,613):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0},(128,612):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'9_1':0.0},(128,611):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(128,610):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_7':0.0},(128,609):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(128,608):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(128,607):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_7':0.0},(128,606):{'3_1':0.21,'5_2':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0},(128,605):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(128,604):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(128,603):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(128,602):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(128,601):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(128,600):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0},(128,599):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(128,598):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_6':0.0},(128,597):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_6':0.0},(128,596):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(128,595):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(128,594):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'7_6':0.0},(128,593):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'7_6':0.0,'5_2':0.0,'6_1':0.0},(128,592):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(128,591):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0},(128,590):{'3_1':0.21,'4_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0},(128,589):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0},(128,588):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(128,587):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(128,586):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(128,585):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'7_3':0.0,'5_2':0.0},(128,584):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(128,583):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_1':0.0},(128,582):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_3':0.0},(128,581):{'4_1':0.12,'3_1':0.03,'5_1':0.03},(128,580):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(128,579):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0},(128,578):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(128,577):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(128,576):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_7':0.0,'8_19':0.0},(128,575):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'7_3':0.0},(128,574):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_6':0.0},(128,573):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(128,572):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(128,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_2':0.0},(128,570):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(128,569):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0},(128,568):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(128,567):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(128,566):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0},(128,565):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(128,564):{'4_1':0.12,'3_1':0.12,'5_1':0.0},(128,563):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(128,562):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(128,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(128,560):{'3_1':0.09,'4_1':0.09,'7_2':0.0},(128,559):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(128,558):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(128,557):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_5':0.0},(128,556):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(128,555):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(128,554):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(128,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(128,552):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(128,551):{'3_1':0.15,'4_1':0.0},(128,550):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(128,549):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(128,548):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(128,547):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(128,546):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(128,545):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(128,544):{'3_1':0.18,'5_1':0.0,'6_1':0.0,'6_2':0.0},(128,543):{'3_1':0.12,'5_2':0.0,'7_5':0.0},(128,542):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(128,541):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(128,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(128,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(128,538):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(128,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(128,536):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(128,535):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(128,532):{'3_1':0.15,'5_1':0.0},(128,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(128,530):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(128,529):{'3_1':0.15,'5_2':0.0},(128,528):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(128,527):{'3_1':0.06,'5_2':0.0},(128,526):{'3_1':0.06},(128,525):{'3_1':0.12,'5_1':0.0},(128,524):{'3_1':0.09,'4_1':0.0},(128,523):{'3_1':0.03,'5_1':0.0},(128,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(128,521):{'3_1':0.09},(128,520):{'3_1':0.06,'5_1':0.0},(128,519):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(128,518):{'3_1':0.0},(128,517):{'3_1':0.06},(128,516):{'3_1':0.0,'4_1':0.0},(128,515):{'3_1':0.03},(128,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(128,513):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(128,512):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,511):{'3_1':0.06},(128,510):{'3_1':0.03,'4_1':0.0},(128,509):{'3_1':0.06,'4_1':0.0},(128,508):{'3_1':0.03,'4_1':0.0},(128,507):{'3_1':0.03,'4_1':0.0},(128,506):{'3_1':0.06},(128,505):{'3_1':0.06},(128,504):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(128,503):{'3_1':0.0,'4_1':0.0},(128,502):{'3_1':0.03},(128,501):{'3_1':0.0},(128,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(128,499):{'3_1':0.03},(128,498):{'3_1':0.03},(128,497):{'3_1':0.0,'4_1':0.0},(128,496):{'3_1':0.0,'4_1':0.0},(128,495):{'3_1':0.03,'5_1':0.0},(128,494):{'3_1':0.03,'5_1':0.0},(128,493):{'3_1':0.0,'4_1':0.0},(128,492):{'3_1':0.03,'5_1':0.0},(128,491):{'3_1':0.03,'4_1':0.0},(128,490):{'3_1':0.03,'5_1':0.0},(128,489):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(128,488):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(128,487):{'3_1':0.06},(128,486):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(128,485):{'3_1':0.03},(128,484):{'3_1':0.03,'5_2':0.0},(128,483):{'3_1':0.0,'5_1':0.0},(128,482):{'3_1':0.06},(128,481):{'3_1':0.09},(128,480):{'3_1':0.03},(128,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(128,478):{'3_1':0.09,'-3':0.0},(128,477):{'3_1':0.06,'9_1':0.0},(128,476):{'3_1':0.03,'5_2':0.0},(128,475):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(128,474):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(128,473):{'3_1':0.0},(128,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(128,471):{'3_1':0.03,'4_1':0.0},(128,470):{'3_1':0.0,'5_2':0.0},(128,469):{'3_1':0.03,'4_1':0.0},(128,468):{'3_1':0.03},(128,467):{'3_1':0.03},(128,466):{'3_1':0.03,'5_2':0.0},(128,465):{'3_1':0.0,'4_1':0.0},(128,464):{'3_1':0.0},(128,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,462):{'3_1':0.0},(128,461):{'3_1':0.0,'5_1':0.0},(128,460):{'3_1':0.03},(128,459):{'3_1':0.0},(128,458):{'3_1':0.0},(128,457):{'3_1':0.0},(128,456):{'3_1':0.0},(128,455):{'3_1':0.0},(128,454):{'3_1':0.03,'4_1':0.0},(128,453):{'3_1':0.03},(128,452):{'3_1':0.03,'5_2':0.0},(128,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(128,450):{'3_1':0.0,'4_1':0.0},(128,449):{'3_1':0.0,'5_1':0.0},(128,448):{'3_1':0.0,'7_3':0.0},(128,447):{'3_1':0.03,'4_1':0.0},(128,446):{'3_1':0.0,'4_1':0.0},(128,445):{'3_1':0.0,'5_1':0.0},(128,444):{'3_1':0.0,'4_1':0.0},(128,443):{'3_1':0.03,'4_1':0.0},(128,442):{'3_1':0.03,'4_1':0.0},(128,441):{'3_1':0.06},(128,440):{'3_1':0.0},(128,439):{'3_1':0.03},(128,438):{'3_1':0.03,'7_1':0.0},(128,437):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(128,436):{'3_1':0.0},(128,435):{'3_1':0.0},(128,434):{'3_1':0.03,'4_1':0.0},(128,433):{'3_1':0.03,'4_1':0.0},(128,432):{'3_1':0.03},(128,431):{'3_1':0.0},(128,430):{'3_1':0.0,'4_1':0.0},(128,429):{'3_1':0.06,'4_1':0.0},(128,428):{'3_1':0.03,'4_1':0.0},(128,427):{'3_1':0.0,'4_1':0.0},(128,426):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(128,425):{'3_1':0.03,'5_2':0.0},(128,424):{'3_1':0.0},(128,423):{'3_1':0.0,'4_1':0.0},(128,422):{'3_1':0.0,'4_1':0.0},(128,421):{'3_1':0.0},(128,420):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(128,419):{'3_1':0.03},(128,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(128,417):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(128,416):{'3_1':0.03,'5_1':0.0},(128,415):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(128,414):{'4_1':0.0,'5_1':0.0,'6_1':0.0},(128,413):{'3_1':0.0,'6_1':0.0},(128,412):{'3_1':0.0,'5_1':0.0},(128,411):{'3_1':0.0},(128,410):{'3_1':0.0},(128,409):{'3_1':0.0},(128,408):{'3_1':0.0,'5_1':0.0},(128,407):{'3_1':0.0},(128,406):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,405):{'3_1':0.0},(128,404):{'3_1':0.0,'4_1':0.0},(128,403):{'3_1':0.0},(128,402):{'3_1':0.03},(128,401):{'3_1':0.0},(128,400):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,399):{'3_1':0.0,'4_1':0.0},(128,398):{'4_1':0.0,'3_1':0.0},(128,397):{'3_1':0.0,'4_1':0.0},(128,396):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(128,395):{'3_1':0.0},(128,393):{'4_1':0.0},(128,391):{'3_1':0.0},(128,390):{'3_1':0.0,'4_1':0.0},(128,389):{'4_1':0.0},(128,388):{'3_1':0.0,'8_20|3_1#3_1':0.0},(128,385):{'3_1':0.0},(128,384):{'3_1':0.0},(128,383):{'3_1':0.0,'4_1':0.0},(128,382):{'3_1':0.0},(128,381):{'3_1':0.0},(128,379):{'3_1':0.03},(128,378):{'3_1':0.0},(128,377):{'3_1':0.0},(128,376):{'3_1':0.0,'5_2':0.0},(128,374):{'3_1':0.03},(128,373):{'3_1':0.0,'4_1':0.0},(128,372):{'3_1':0.0},(128,371):{'5_1':0.0,'3_1':0.0},(128,370):{'3_1':0.0},(128,369):{'3_1':0.0},(128,368):{'3_1':0.0,'5_1':0.0},(128,367):{'3_1':0.0,'5_1':0.0},(128,366):{'3_1':0.0},(128,365):{'3_1':0.0},(128,364):{'3_1':0.0},(128,363):{'3_1':0.0},(128,361):{'9_1':0.0,'3_1':0.0},(128,360):{'4_1':0.0,'7_1':0.0},(128,359):{'3_1':0.0},(128,358):{'3_1':0.0},(128,357):{'3_1':0.0,'5_1':0.0},(128,356):{'3_1':0.0},(128,355):{'4_1':0.0},(128,354):{'3_1':0.0},(128,353):{'3_1':0.0},(128,352):{'3_1':0.03},(128,351):{'3_1':0.0},(128,350):{'3_1':0.03},(128,349):{'3_1':0.0},(128,348):{'3_1':0.0,'5_1':0.0},(128,347):{'3_1':0.0},(128,346):{'3_1':0.03,'5_1':0.0},(128,345):{'3_1':0.0},(128,344):{'3_1':0.0},(128,343):{'3_1':0.0,'4_1':0.0},(128,342):{'3_1':0.0},(128,341):{'3_1':0.0},(128,340):{'3_1':0.03},(128,339):{'3_1':0.0},(128,338):{'3_1':0.0},(128,337):{'3_1':0.0,'5_1':0.0},(128,336):{'3_1':0.0},(128,335):{'3_1':0.0},(128,334):{'3_1':0.0},(128,333):{'3_1':0.0},(128,331):{'3_1':0.0,'4_1':0.0},(128,330):{'3_1':0.03},(128,329):{'3_1':0.0},(128,326):{'3_1':0.0},(128,325):{'3_1':0.0},(128,324):{'3_1':0.03},(128,323):{'3_1':0.0,'5_1':0.0},(128,322):{'3_1':0.0},(128,321):{'3_1':0.0},(128,319):{'3_1':0.03,'5_1':0.0},(128,317):{'3_1':0.0},(128,316):{'3_1':0.0,'5_2':0.0},(128,315):{'3_1':0.0},(128,313):{'3_1':0.0},(128,312):{'4_1':0.0},(128,311):{'3_1':0.0,'8_20|3_1#3_1':0.0},(128,310):{'3_1':0.0},(128,309):{'3_1':0.0,'4_1':0.0},(128,308):{'3_1':0.0},(128,307):{'3_1':0.0},(128,305):{'3_1':0.0,'4_1':0.0},(128,304):{'3_1':0.0},(128,303):{'3_1':0.0},(128,300):{'3_1':0.0},(128,299):{'3_1':0.0},(128,298):{'3_1':0.0},(128,297):{'3_1':0.03},(128,296):{'3_1':0.0},(128,295):{'3_1':0.0},(128,294):{'3_1':0.0},(128,293):{'3_1':0.0},(128,288):{'3_1':0.0},(128,286):{'3_1':0.0},(128,285):{'3_1':0.0},(128,283):{'3_1':0.0},(128,282):{'3_1':0.0},(128,281):{'3_1':0.0},(128,280):{'3_1':0.03},(128,278):{'3_1':0.0},(128,277):{'3_1':0.0},(128,276):{'3_1':0.03},(128,274):{'3_1':0.03},(128,273):{'3_1':0.0},(128,272):{'3_1':0.0},(128,271):{'3_1':0.0,'4_1':0.0},(128,270):{'3_1':0.0},(128,269):{'3_1':0.0},(128,268):{'3_1':0.0},(128,267):{'3_1':0.0},(128,266):{'3_1':0.0},(128,265):{'3_1':0.0},(128,264):{'3_1':0.0},(128,263):{'3_1':0.0},(128,262):{'3_1':0.0},(128,261):{'3_1':0.0},(128,260):{'3_1':0.0},(128,259):{'5_1':0.0},(128,258):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,257):{'3_1':0.0,'4_1':0.0},(128,256):{'3_1':0.0},(128,255):{'3_1':0.0},(128,254):{'3_1':0.0,'4_1':0.0},(128,253):{'3_1':0.0},(128,251):{'3_1':0.0,'4_1':0.0},(128,249):{'3_1':0.0},(128,248):{'3_1':0.0,'4_1':0.0},(128,246):{'3_1':0.0},(128,243):{'3_1':0.0,'4_1':0.0},(128,242):{'3_1':0.0},(128,241):{'3_1':0.0},(128,240):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(128,239):{'4_1':0.0},(128,237):{'3_1':0.0},(128,236):{'3_1':0.0},(128,234):{'3_1':0.0},(128,233):{'3_1':0.0},(128,232):{'3_1':0.0},(128,230):{'3_1':0.0},(128,228):{'3_1':0.0},(128,227):{'3_1':0.0,'4_1':0.0},(128,226):{'3_1':0.0},(128,223):{'3_1':0.0},(128,221):{'5_1':0.0},(128,220):{'3_1':0.0},(128,219):{'3_1':0.0},(128,217):{'3_1':0.0},(128,215):{'3_1':0.0},(128,214):{'3_1':0.0},(128,213):{'5_1':0.0},(128,209):{'3_1':0.0},(128,205):{'3_1':0.0},(128,204):{'3_1':0.0},(128,202):{'3_1':0.0},(128,201):{'3_1':0.0},(128,199):{'3_1':0.0},(128,198):{'5_1':0.0},(128,197):{'5_1':0.0},(128,195):{'3_1':0.0},(128,193):{'5_1':0.0},(128,190):{'3_1':0.0},(128,161):{'3_1':0.0},(128,159):{'3_1':0.0},(128,155):{'3_1':0.0},(128,154):{'3_1':0.0},(128,153):{'3_1':0.0,'5_1':0.0},(128,140):{'3_1':0.0},(129,727):{'5_2':0.66,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_13':0.0,'1':-0.03},(129,726):{'5_2':0.66,'7_2':0.06,'-3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0},(129,725):{'5_2':0.57,'7_2':0.12,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0},(129,724):{'5_2':0.57,'-3':0.09,'7_2':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(129,723):{'5_2':0.57,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(129,722):{'5_2':0.63,'-3':0.06,'6_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'3_1#5_2':0.0,'1':-0.03},(129,721):{'5_2':0.54,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0},(129,720):{'5_2':0.57,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'6_1':0.0},(129,719):{'5_2':0.63,'-3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0},(129,718):{'5_2':0.57,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(129,717):{'5_2':0.51,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(129,716):{'5_2':0.57,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(129,715):{'5_2':0.54,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'1':-0.03},(129,714):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_2':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(129,713):{'5_2':0.48,'7_2':0.09,'3_1':0.06,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0},(129,712):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'8_6':0.0},(129,711):{'5_2':0.36,'3_1':0.09,'7_4':0.09,'7_2':0.06,'7_5':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(129,710):{'5_2':0.39,'-3':0.15,'3_1':0.06,'7_5':0.06,'7_2':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0},(129,709):{'5_2':0.45,'-3':0.18,'7_5':0.06,'3_1':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(129,708):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(129,707):{'5_2':0.51,'7_2':0.09,'7_4':0.06,'3_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0},(129,706):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(129,705):{'5_2':0.45,'-3':0.09,'7_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0},(129,704):{'5_2':0.51,'-3':0.09,'7_4':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(129,703):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(129,702):{'5_2':0.54,'-3':0.09,'7_2':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'8_11':0.0},(129,701):{'5_2':0.45,'-3':0.09,'3_1':0.09,'7_4':0.09,'7_2':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(129,700):{'5_2':0.42,'3_1':0.12,'7_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(129,699):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(129,698):{'5_2':0.42,'-3':0.09,'3_1':0.06,'7_4':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'8_11':0.0},(129,697):{'5_2':0.36,'3_1':0.15,'6_1':0.06,'-3':0.06,'7_4':0.06,'7_5':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(129,696):{'5_2':0.51,'3_1':0.15,'7_4':0.06,'7_2':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(129,695):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(129,694):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(129,693):{'5_2':0.36,'-3':0.09,'7_4':0.09,'3_1':0.06,'7_3':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(129,692):{'5_2':0.39,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(129,691):{'5_2':0.27,'3_1':0.24,'-3':0.12,'7_3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(129,690):{'5_2':0.42,'3_1':0.21,'7_4':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_13':0.0},(129,689):{'5_2':0.36,'3_1':0.24,'-3':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'6_1':0.0,'8_11':0.0},(129,688):{'5_2':0.33,'3_1':0.27,'-3':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0},(129,687):{'5_2':0.27,'3_1':0.24,'7_4':0.12,'-3':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(129,686):{'5_2':0.3,'3_1':0.24,'5_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.0,'7_5':0.0,'8_11':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(129,685):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0},(129,684):{'5_2':0.33,'3_1':0.3,'7_4':0.09,'7_3':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(129,683):{'3_1':0.3,'5_2':0.27,'7_4':0.09,'7_3':0.06,'4_1':0.03,'7_2':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(129,682):{'3_1':0.42,'5_2':0.24,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(129,681):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_7':0.0},(129,680):{'3_1':0.3,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(129,679):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'7_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0},(129,678):{'3_1':0.48,'5_2':0.18,'7_3':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(129,677):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'7_5':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(129,676):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(129,675):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(129,674):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(129,673):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0},(129,672):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0},(129,671):{'3_1':0.54,'5_2':0.12,'7_4':0.12,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(129,670):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(129,669):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(129,668):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_7':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_16':0.0},(129,667):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(129,666):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'4_1':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0,'7_7':0.0},(129,665):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'7_7':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(129,664):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(129,663):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_7':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(129,662):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'7_5':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(129,661):{'3_1':0.45,'5_2':0.21,'7_4':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(129,660):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(129,659):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'6_1':0.0,'8_3':0.0},(129,658):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(129,657):{'3_1':0.45,'5_2':0.24,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(129,656):{'3_1':0.45,'5_2':0.18,'5_1':0.06,'7_4':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'3_1#5_2':0.0},(129,655):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'7_1':0.0,'7_6':0.0},(129,654):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(129,653):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(129,652):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_4':0.0,'8_19':0.0},(129,651):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0},(129,650):{'3_1':0.45,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(129,649):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(129,648):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'8_19':0.0},(129,647):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(129,646):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(129,645):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'8_19':0.0,'9_1':0.0},(129,644):{'3_1':0.24,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(129,643):{'3_1':0.3,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(129,642):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0},(129,641):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(129,640):{'3_1':0.18,'5_1':0.12,'5_2':0.12,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(129,639):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_16':0.0,'8_19':0.0},(129,638):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_7':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(129,637):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'8_4':0.0},(129,636):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0},(129,635):{'5_2':0.15,'3_1':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(129,634):{'5_2':0.15,'3_1':0.12,'5_1':0.09,'4_1':0.0,'6_1':0.0,'-3':0.0},(129,633):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0},(129,632):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0},(129,631):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(129,630):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(129,629):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(129,628):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.0,'7_1':0.0},(129,627):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(129,626):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0},(129,625):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(129,624):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(129,623):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0},(129,622):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(129,621):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(129,620):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(129,619):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0},(129,618):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(129,617):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03},(129,616):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_19':0.0},(129,615):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(129,614):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(129,613):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(129,612):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'9_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(129,611):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(129,610):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'8_19':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(129,609):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(129,608):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(129,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(129,606):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(129,605):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(129,604):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(129,603):{'3_1':0.15,'4_1':0.09,'7_3':0.0,'5_1':0.0,'5_2':0.0},(129,602):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_19':0.0},(129,601):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(129,600):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(129,599):{'3_1':0.21,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(129,598):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'8_19':0.0},(129,597):{'4_1':0.21,'3_1':0.12,'5_1':0.0,'-3':0.0,'5_2':0.0},(129,596):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(129,595):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(129,594):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'8_19':0.0,'7_3':0.0},(129,593):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'7_6':0.0,'5_2':0.0,'8_6':0.0},(129,592):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(129,591):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(129,590):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_6':0.0},(129,589):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0},(129,588):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(129,587):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(129,586):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(129,585):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0},(129,584):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_1':0.0},(129,583):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(129,582):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(129,581):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(129,580):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(129,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(129,578):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(129,577):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(129,576):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(129,575):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(129,574):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_2':0.0,'8_6':0.0},(129,573):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0},(129,572):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(129,571):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(129,570):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(129,569):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(129,568):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0},(129,567):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(129,566):{'4_1':0.12,'3_1':0.09,'6_1':0.0},(129,565):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(129,564):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(129,563):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(129,562):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(129,561):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_13':0.0},(129,560):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(129,559):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(129,558):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(129,557):{'3_1':0.12,'4_1':0.0},(129,556):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(129,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(129,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(129,553):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(129,552):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(129,551):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(129,550):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(129,549):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(129,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(129,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(129,546):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(129,545):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,544):{'3_1':0.18,'5_1':0.0},(129,543):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(129,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,541):{'3_1':0.15,'5_1':0.0},(129,540):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(129,539):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'7_1':0.0},(129,538):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(129,537):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(129,535):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(129,534):{'3_1':0.15,'5_1':0.0},(129,533):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(129,532):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0},(129,531):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(129,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(129,529):{'3_1':0.18,'5_1':0.0},(129,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(129,527):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(129,526):{'3_1':0.09,'4_1':0.0},(129,525):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(129,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(129,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(129,521):{'3_1':0.03,'4_1':0.0},(129,520):{'3_1':0.0,'5_2':0.0},(129,519):{'3_1':0.09},(129,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(129,517):{'3_1':0.03,'4_1':0.0},(129,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(129,515):{'3_1':0.03},(129,514):{'3_1':0.0,'5_1':0.0},(129,513):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(129,512):{'3_1':0.06,'5_1':0.0},(129,511):{'3_1':0.06},(129,510):{'3_1':0.06,'5_2':0.0},(129,509):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(129,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(129,507):{'3_1':0.03},(129,506):{'3_1':0.06,'5_2':0.0},(129,505):{'3_1':0.03,'4_1':0.0},(129,504):{'3_1':0.03,'4_1':0.0},(129,503):{'3_1':0.0,'5_1':0.0},(129,502):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(129,501):{'3_1':0.06,'4_1':0.0},(129,500):{'3_1':0.0,'5_2':0.0},(129,499):{'3_1':0.0,'4_1':0.0},(129,498):{'3_1':0.06},(129,497):{'3_1':0.03,'4_1':0.0},(129,496):{'3_1':0.06,'4_1':0.0},(129,495):{'3_1':0.06,'4_1':0.0},(129,494):{'3_1':0.03,'4_1':0.0},(129,493):{'3_1':0.03},(129,492):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(129,491):{'3_1':0.03,'9_1':0.0},(129,490):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(129,489):{'3_1':0.09},(129,488):{'3_1':0.06,'5_1':0.0},(129,487):{'3_1':0.06},(129,486):{'3_1':0.06},(129,485):{'3_1':0.06,'7_1':0.0},(129,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,483):{'3_1':0.06,'5_1':0.0},(129,482):{'3_1':0.03,'5_1':0.0,'7_1':0.0,'9_1':0.0},(129,481):{'3_1':0.06},(129,480):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(129,479):{'3_1':0.03,'5_2':0.0},(129,478):{'3_1':0.12,'7_1':0.0,'5_2':0.0},(129,477):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(129,476):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(129,475):{'3_1':0.03,'5_2':0.0},(129,474):{'3_1':0.03},(129,473):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(129,472):{'3_1':0.09,'4_1':0.0},(129,471):{'3_1':0.03,'4_1':0.0},(129,470):{'3_1':0.0},(129,469):{'3_1':0.06,'5_1':0.0},(129,468):{'3_1':0.03,'5_1':0.0},(129,467):{'3_1':0.06},(129,466):{'3_1':0.03},(129,465):{'3_1':0.03},(129,464):{'3_1':0.0},(129,463):{'3_1':0.0,'7_1':0.0},(129,462):{'3_1':0.03},(129,461):{'3_1':0.0,'5_1':0.0},(129,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(129,459):{'3_1':0.0},(129,458):{'3_1':0.03},(129,457):{'3_1':0.03},(129,456):{'3_1':0.0,'4_1':0.0},(129,455):{'3_1':0.03,'4_1':0.0},(129,454):{'3_1':0.0},(129,453):{'3_1':0.03,'4_1':0.0},(129,452):{'3_1':0.03},(129,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(129,450):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(129,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(129,448):{'3_1':0.0,'5_2':0.0},(129,447):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(129,446):{'4_1':0.0},(129,445):{'3_1':0.0},(129,444):{'3_1':0.0,'4_1':0.0},(129,443):{'3_1':0.0,'4_1':0.0},(129,442):{'3_1':0.03,'4_1':0.0},(129,441):{'3_1':0.0},(129,440):{'3_1':0.0,'5_1':0.0},(129,439):{'3_1':0.0},(129,438):{'3_1':0.0},(129,436):{'3_1':0.03,'4_1':0.0},(129,435):{'3_1':0.0},(129,434):{'3_1':0.0},(129,433):{'3_1':0.0,'8_1':0.0},(129,432):{'3_1':0.0,'4_1':0.0},(129,431):{'3_1':0.03,'6_2':0.0},(129,430):{'3_1':0.0,'4_1':0.0},(129,429):{'3_1':0.0},(129,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(129,427):{'3_1':0.06},(129,426):{'3_1':0.06,'4_1':0.0},(129,425):{'3_1':0.03},(129,424):{'3_1':0.0,'5_2':0.0},(129,423):{'3_1':0.0,'4_1':0.0},(129,422):{'3_1':0.03,'4_1':0.0},(129,421):{'3_1':0.06,'4_1':0.0},(129,420):{'4_1':0.0,'3_1':0.0},(129,419):{'4_1':0.0,'3_1':0.0},(129,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(129,417):{'3_1':0.0,'4_1':0.0},(129,416):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(129,415):{'3_1':0.0,'4_1':0.0},(129,414):{'3_1':0.0},(129,413):{'4_1':0.0},(129,412):{'3_1':0.0},(129,411):{'3_1':0.03},(129,410):{'3_1':0.0,'5_1':0.0},(129,409):{'3_1':0.0,'4_1':0.0},(129,408):{'3_1':0.0},(129,407):{'3_1':0.0},(129,406):{'3_1':0.0},(129,404):{'3_1':0.0,'4_1':0.0},(129,403):{'3_1':0.0},(129,402):{'3_1':0.0,'4_1':0.0},(129,401):{'3_1':0.0},(129,399):{'3_1':0.03},(129,398):{'4_1':0.0},(129,397):{'3_1':0.0},(129,396):{'3_1':0.0},(129,395):{'3_1':0.03,'5_1':0.0},(129,394):{'4_1':0.0,'6_1':0.0},(129,393):{'3_1':0.0},(129,392):{'3_1':0.0},(129,391):{'3_1':0.0,'4_1':0.0},(129,390):{'3_1':0.0},(129,389):{'3_1':0.0},(129,388):{'3_1':0.0,'6_2':0.0},(129,386):{'3_1':0.0,'5_1':0.0},(129,385):{'3_1':0.0},(129,384):{'3_1':0.0},(129,383):{'3_1':0.03},(129,382):{'3_1':0.0},(129,381):{'3_1':0.03,'8_20|3_1#3_1':0.0},(129,380):{'3_1':0.03},(129,379):{'3_1':0.03},(129,378):{'3_1':0.0},(129,377):{'3_1':0.0},(129,376):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(129,375):{'3_1':0.03,'5_1':0.0},(129,374):{'3_1':0.0},(129,373):{'3_1':0.0},(129,372):{'3_1':0.0,'4_1':0.0},(129,371):{'3_1':0.0},(129,370):{'3_1':0.0},(129,369):{'3_1':0.0},(129,368):{'3_1':0.0},(129,367):{'3_1':0.0},(129,366):{'3_1':0.0},(129,365):{'3_1':0.0},(129,364):{'3_1':0.0},(129,362):{'3_1':0.0},(129,361):{'3_1':0.0,'7_1':0.0},(129,360):{'3_1':0.0},(129,359):{'3_1':0.0},(129,358):{'3_1':0.0},(129,357):{'5_1':0.0},(129,356):{'3_1':0.0,'4_1':0.0},(129,353):{'3_1':0.0},(129,352):{'3_1':0.03,'5_1':0.0},(129,350):{'3_1':0.0},(129,349):{'3_1':0.0},(129,347):{'3_1':0.0,'5_2':0.0},(129,346):{'3_1':0.0},(129,345):{'3_1':0.0,'4_1':0.0},(129,344):{'3_1':0.0},(129,343):{'3_1':0.03},(129,342):{'3_1':0.0},(129,341):{'3_1':0.0},(129,340):{'3_1':0.0},(129,339):{'3_1':0.0},(129,338):{'3_1':0.0},(129,336):{'3_1':0.0},(129,335):{'3_1':0.0},(129,334):{'3_1':0.0},(129,332):{'3_1':0.0},(129,331):{'3_1':0.0},(129,330):{'3_1':0.0,'4_1':0.0},(129,327):{'3_1':0.0},(129,326):{'3_1':0.0},(129,325):{'3_1':0.0},(129,324):{'3_1':0.03,'4_1':0.0},(129,323):{'3_1':0.0},(129,322):{'3_1':0.0},(129,321):{'3_1':0.0},(129,320):{'3_1':0.03},(129,319):{'3_1':0.0,'4_1':0.0},(129,318):{'3_1':0.0},(129,314):{'3_1':0.0},(129,312):{'3_1':0.0},(129,311):{'3_1':0.03},(129,309):{'3_1':0.0},(129,308):{'3_1':0.0},(129,306):{'3_1':0.0},(129,305):{'3_1':0.0},(129,304):{'3_1':0.0},(129,303):{'3_1':0.0},(129,302):{'3_1':0.0},(129,301):{'3_1':0.03},(129,299):{'3_1':0.0},(129,298):{'3_1':0.0},(129,297):{'3_1':0.0},(129,296):{'3_1':0.0},(129,295):{'3_1':0.0},(129,294):{'3_1':0.0,'5_1':0.0},(129,293):{'3_1':0.0,'5_2':0.0},(129,289):{'3_1':0.0},(129,288):{'3_1':0.0},(129,287):{'3_1':0.0},(129,286):{'3_1':0.0},(129,282):{'3_1':0.0,'4_1':0.0},(129,281):{'5_1':0.0},(129,280):{'3_1':0.03},(129,279):{'3_1':0.0},(129,278):{'3_1':0.0},(129,277):{'3_1':0.0},(129,276):{'3_1':0.0},(129,275):{'3_1':0.0},(129,274):{'3_1':0.0},(129,272):{'3_1':0.03},(129,271):{'3_1':0.0},(129,270):{'3_1':0.0},(129,269):{'3_1':0.0},(129,268):{'3_1':0.0},(129,267):{'3_1':0.0},(129,266):{'3_1':0.03,'4_1':0.0},(129,265):{'4_1':0.0},(129,263):{'3_1':0.0},(129,262):{'3_1':0.0},(129,261):{'3_1':0.0},(129,260):{'3_1':0.03},(129,258):{'3_1':0.0},(129,256):{'3_1':0.0},(129,251):{'3_1':0.0},(129,250):{'3_1':0.0},(129,249):{'3_1':0.0,'4_1':0.0},(129,248):{'3_1':0.0},(129,246):{'3_1':0.0},(129,245):{'3_1':0.0},(129,244):{'3_1':0.0},(129,243):{'3_1':0.0},(129,242):{'3_1':0.0},(129,240):{'3_1':0.03,'5_1':0.0},(129,239):{'3_1':0.0,'5_1':0.0},(129,238):{'3_1':0.0},(129,236):{'3_1':0.0,'4_1':0.0},(129,234):{'3_1':0.0,'4_1':0.0},(129,233):{'3_1':0.0},(129,231):{'5_2':0.0},(129,230):{'3_1':0.0},(129,229):{'5_1':0.0,'3_1':0.0},(129,228):{'3_1':0.0},(129,223):{'3_1':0.0},(129,220):{'3_1':0.0,'5_1':0.0},(129,218):{'3_1':0.0},(129,215):{'3_1':0.0},(129,210):{'3_1':0.0},(129,206):{'3_1':0.0},(129,202):{'3_1':0.0},(129,198):{'3_1':0.0,'5_1':0.0},(129,197):{'3_1':0.0},(129,192):{'5_1':0.0},(129,191):{'3_1':0.0},(129,165):{'3_1':0.0},(129,139):{'3_1':0.0},(129,138):{'4_1':0.0},(129,133):{'3_1':0.0},(130,727):{'5_2':0.69,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(130,726):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(130,725):{'5_2':0.57,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(130,724):{'5_2':0.6,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'8_19':0.0},(130,723):{'5_2':0.57,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0},(130,722):{'5_2':0.6,'7_2':0.09,'-3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(130,721):{'5_2':0.6,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(130,720):{'5_2':0.57,'7_2':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(130,719):{'5_2':0.57,'7_2':0.12,'-3':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_8':0.0,'8_11':0.0},(130,718):{'5_2':0.57,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(130,717):{'5_2':0.51,'7_2':0.09,'7_4':0.09,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(130,716):{'5_2':0.57,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0,'8_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(130,715):{'5_2':0.51,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_11':0.0},(130,714):{'5_2':0.54,'7_4':0.06,'7_2':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(130,713):{'5_2':0.54,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_5':0.0,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0},(130,712):{'5_2':0.42,'7_2':0.09,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'7_1':0.0,'8_11':0.0},(130,711):{'5_2':0.42,'7_2':0.09,'-3':0.09,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(130,710):{'5_2':0.39,'-3':0.18,'3_1':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(130,709):{'5_2':0.48,'-3':0.15,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(130,708):{'5_2':0.45,'5_1':0.09,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_3':0.0,'3_1':0.0,'8_8':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'1':-0.03},(130,707):{'5_2':0.54,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(130,706):{'5_2':0.51,'3_1':0.15,'-3':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(130,705):{'5_2':0.54,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(130,704):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0},(130,703):{'5_2':0.42,'7_4':0.09,'3_1':0.06,'7_2':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0},(130,702):{'5_2':0.42,'3_1':0.15,'7_4':0.09,'-3':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0},(130,701):{'5_2':0.45,'7_4':0.12,'3_1':0.09,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(130,700):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0},(130,699):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(130,698):{'5_2':0.42,'3_1':0.06,'7_4':0.06,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0},(130,697):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(130,696):{'5_2':0.36,'3_1':0.15,'7_4':0.12,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(130,695):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(130,694):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_4':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0},(130,693):{'5_2':0.39,'3_1':0.15,'7_4':0.12,'-3':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(130,692):{'5_2':0.36,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.06,'4_1':0.03,'7_3':0.03,'8_11':0.0,'6_1':0.0,'7_7':0.0},(130,691):{'5_2':0.42,'3_1':0.15,'7_3':0.06,'7_4':0.03,'5_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(130,690):{'5_2':0.39,'3_1':0.15,'7_4':0.12,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_13':0.0},(130,689):{'5_2':0.36,'3_1':0.27,'-3':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(130,688):{'5_2':0.36,'3_1':0.3,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0},(130,687):{'5_2':0.39,'3_1':0.24,'7_4':0.06,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(130,686):{'3_1':0.27,'5_2':0.27,'7_4':0.09,'5_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(130,685):{'3_1':0.33,'5_2':0.27,'7_4':0.09,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0},(130,684):{'5_2':0.27,'3_1':0.27,'7_4':0.12,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'-3':0.0},(130,683):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0},(130,682):{'3_1':0.36,'5_2':0.27,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'-3':0.0},(130,681):{'3_1':0.42,'5_2':0.24,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(130,680):{'5_2':0.3,'3_1':0.3,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(130,679):{'3_1':0.39,'5_2':0.33,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0},(130,678):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0},(130,677):{'3_1':0.45,'5_2':0.21,'5_1':0.06,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(130,676):{'3_1':0.48,'5_2':0.18,'7_4':0.09,'-3':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(130,675):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(130,674):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0},(130,673):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(130,672):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_15':0.0},(130,671):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0},(130,670):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(130,669):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'7_7':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(130,668):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(130,667):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(130,666):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_7':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0,'8_19':0.0},(130,665):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(130,664):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(130,663):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(130,662):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(130,661):{'3_1':0.57,'5_2':0.15,'7_4':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(130,660):{'3_1':0.51,'5_2':0.18,'7_4':0.09,'5_1':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(130,659):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(130,658):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0},(130,657):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(130,656):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(130,655):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(130,654):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(130,653):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(130,652):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(130,651):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(130,650):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_4':0.03,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(130,649):{'3_1':0.39,'5_2':0.15,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(130,648):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0},(130,647):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(130,646):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(130,645):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(130,644):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'7_3':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(130,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_4':0.0,'7_3':0.0,'4_1':0.0,'8_19':0.0},(130,642):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(130,641):{'3_1':0.3,'5_2':0.21,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(130,640):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'7_1':0.0,'7_3':0.0},(130,639):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0},(130,638):{'3_1':0.24,'5_2':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(130,637):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(130,636):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(130,635):{'5_2':0.21,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(130,634):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(130,633):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(130,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0},(130,631):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(130,630):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0},(130,629):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0},(130,628):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(130,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0},(130,626):{'3_1':0.24,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(130,625):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(130,624):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0},(130,623):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(130,622):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0},(130,621):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(130,620):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(130,619):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0},(130,618):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_7':0.0,'-3':0.0},(130,617):{'3_1':0.12,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'-3':0.0},(130,616):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(130,615):{'3_1':0.15,'4_1':0.03,'7_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(130,614):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(130,613):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0},(130,612):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0},(130,611):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(130,610):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(130,609):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(130,608):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(130,607):{'3_1':0.24,'4_1':0.03,'7_3':0.03,'5_1':0.0},(130,606):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0},(130,605):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0},(130,604):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(130,603):{'3_1':0.21,'4_1':0.09,'7_3':0.0,'5_2':0.0},(130,602):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_4':0.0},(130,601):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_3':0.0,'7_4':0.0},(130,600):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(130,599):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_3':0.0},(130,598):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(130,597):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(130,596):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0},(130,595):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_2':0.0,'8_11':0.0},(130,594):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_3':0.0,'7_6':0.0},(130,593):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'6_1':0.0,'8_19':0.0},(130,592):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(130,591):{'3_1':0.12,'4_1':0.09,'7_6':0.0},(130,590):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(130,589):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(130,588):{'3_1':0.15,'4_1':0.15,'5_1':0.03},(130,587):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0},(130,586):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(130,585):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(130,584):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_1':0.0},(130,583):{'4_1':0.09,'3_1':0.09,'6_1':0.03,'5_2':0.0,'7_3':0.0},(130,582):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(130,581):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(130,580):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(130,579):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(130,578):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(130,577):{'4_1':0.18,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(130,576):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_4':0.0,'8_19':0.0},(130,575):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(130,574):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(130,573):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0},(130,572):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(130,571):{'4_1':0.12,'3_1':0.09,'5_1':0.0},(130,570):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(130,569):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(130,568):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0},(130,567):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(130,566):{'4_1':0.15,'3_1':0.12,'6_1':0.0},(130,565):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0},(130,564):{'4_1':0.09,'3_1':0.09,'6_1':0.0},(130,563):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0},(130,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(130,561):{'3_1':0.15,'4_1':0.06},(130,560):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(130,559):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(130,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(130,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,556):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(130,555):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(130,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(130,553):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(130,552):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(130,551):{'3_1':0.06,'5_1':0.03,'6_3':0.0},(130,550):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'7_3':0.0},(130,549):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,548):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(130,547):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(130,546):{'3_1':0.18,'5_1':0.0},(130,545):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(130,544):{'3_1':0.15},(130,543):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(130,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,541):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(130,540):{'3_1':0.18,'5_2':0.0,'7_1':0.0},(130,539):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(130,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(130,537):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0},(130,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(130,535):{'3_1':0.21,'5_1':0.03},(130,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(130,533):{'3_1':0.15,'5_2':0.0},(130,532):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(130,531):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(130,530):{'3_1':0.09},(130,529):{'3_1':0.06,'5_2':0.0},(130,528):{'3_1':0.15,'5_2':0.0},(130,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(130,526):{'3_1':0.03,'5_2':0.0},(130,525):{'3_1':0.09,'4_1':0.0},(130,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,523):{'3_1':0.09},(130,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(130,521):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(130,520):{'3_1':0.09},(130,519):{'3_1':0.06,'4_1':0.0},(130,518):{'3_1':0.03},(130,517):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(130,516):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(130,515):{'3_1':0.03,'5_1':0.0},(130,514):{'3_1':0.03},(130,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(130,512):{'3_1':0.0,'4_1':0.0},(130,511):{'4_1':0.0,'3_1':0.0},(130,510):{'3_1':0.0},(130,509):{'4_1':0.0,'3_1':0.0},(130,508):{'3_1':0.0},(130,507):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(130,506):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(130,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(130,504):{'3_1':0.03,'4_1':0.0},(130,503):{'3_1':0.03,'4_1':0.0},(130,502):{'3_1':0.0,'5_1':0.0},(130,501):{'5_1':0.0,'3_1':0.0},(130,500):{'3_1':0.0,'6_2':0.0},(130,499):{'3_1':0.03},(130,498):{'3_1':0.0},(130,497):{'3_1':0.0,'4_1':0.0},(130,496):{'3_1':0.06},(130,495):{'3_1':0.0,'4_1':0.0},(130,494):{'3_1':0.0,'5_1':0.0},(130,493):{'3_1':0.06,'4_1':0.0},(130,492):{'3_1':0.03},(130,491):{'3_1':0.06,'5_1':0.0},(130,490):{'3_1':0.06},(130,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(130,488):{'3_1':0.06},(130,487):{'3_1':0.09,'4_1':0.0},(130,486):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(130,485):{'3_1':0.06,'4_1':0.0},(130,484):{'3_1':0.09,'4_1':0.0},(130,483):{'3_1':0.06,'5_1':0.0},(130,482):{'3_1':0.09},(130,481):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(130,480):{'3_1':0.09,'5_1':0.0},(130,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(130,477):{'3_1':0.03,'9_1':0.0},(130,476):{'3_1':0.12,'5_1':0.0},(130,475):{'3_1':0.03,'5_1':0.0},(130,474):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(130,473):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(130,472):{'3_1':0.03},(130,471):{'3_1':0.03},(130,470):{'3_1':0.03,'5_2':0.0},(130,469):{'3_1':0.03},(130,468):{'3_1':0.06,'5_1':0.0},(130,467):{'3_1':0.0},(130,466):{'3_1':0.0},(130,465):{'3_1':0.03,'4_1':0.0},(130,464):{'3_1':0.0},(130,463):{'3_1':0.0},(130,462):{'3_1':0.0,'4_1':0.0},(130,461):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(130,460):{'3_1':0.0},(130,459):{'4_1':0.0},(130,458):{'3_1':0.0},(130,457):{'3_1':0.0,'4_1':0.0},(130,456):{'3_1':0.0},(130,455):{'3_1':0.0},(130,454):{'4_1':0.0,'5_2':0.0},(130,453):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(130,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(130,451):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(130,450):{'3_1':0.03,'4_1':0.03},(130,449):{'3_1':0.0},(130,448):{'3_1':0.0,'4_1':0.0},(130,447):{'3_1':0.0,'5_2':0.0},(130,446):{'3_1':0.0},(130,445):{'3_1':0.03,'4_1':0.0},(130,444):{'3_1':0.0},(130,443):{'3_1':0.0},(130,442):{'3_1':0.03},(130,441):{'3_1':0.0,'4_1':0.0},(130,440):{'3_1':0.03},(130,439):{'3_1':0.03,'4_1':0.0},(130,438):{'3_1':0.0,'4_1':0.0},(130,437):{'3_1':0.0},(130,436):{'3_1':0.03},(130,435):{'3_1':0.0},(130,434):{'3_1':0.0,'5_1':0.0},(130,433):{'3_1':0.0,'4_1':0.0},(130,432):{'3_1':0.06,'4_1':0.0},(130,431):{'3_1':0.0,'4_1':0.0},(130,430):{'3_1':0.06},(130,429):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(130,428):{'3_1':0.03,'4_1':0.0},(130,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(130,426):{'3_1':0.03,'4_1':0.03},(130,425):{'3_1':0.03},(130,424):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(130,423):{'3_1':0.06,'4_1':0.0},(130,422):{'3_1':0.03,'4_1':0.0},(130,421):{'3_1':0.06},(130,420):{'3_1':0.06,'4_1':0.0},(130,419):{'3_1':0.03,'4_1':0.0},(130,418):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(130,417):{'3_1':0.0,'4_1':0.0},(130,416):{'3_1':0.0},(130,415):{'3_1':0.03,'4_1':0.0},(130,414):{'3_1':0.0,'6_2':0.0},(130,413):{'3_1':0.0},(130,412):{'3_1':0.0,'4_1':0.0},(130,411):{'3_1':0.0},(130,409):{'3_1':0.0,'4_1':0.0},(130,408):{'3_1':0.0,'4_1':0.0},(130,407):{'3_1':0.0},(130,406):{'3_1':0.0},(130,405):{'3_1':0.0},(130,404):{'3_1':0.03},(130,403):{'3_1':0.0},(130,402):{'3_1':0.0},(130,400):{'3_1':0.0},(130,399):{'3_1':0.0},(130,398):{'3_1':0.0},(130,397):{'3_1':0.0},(130,396):{'3_1':0.0},(130,395):{'3_1':0.0},(130,394):{'3_1':0.0},(130,393):{'3_1':0.0,'4_1':0.0},(130,392):{'3_1':0.0},(130,391):{'3_1':0.0},(130,390):{'3_1':0.0},(130,389):{'4_1':0.0},(130,388):{'3_1':0.0},(130,384):{'3_1':0.0,'5_2':0.0},(130,383):{'3_1':0.0},(130,382):{'3_1':0.0},(130,381):{'3_1':0.0},(130,380):{'3_1':0.0},(130,379):{'3_1':0.0,'4_1':0.0},(130,378):{'3_1':0.0},(130,377):{'3_1':0.0},(130,376):{'3_1':0.0},(130,375):{'3_1':0.0},(130,374):{'3_1':0.0},(130,373):{'3_1':0.03},(130,372):{'3_1':0.0},(130,371):{'3_1':0.0,'5_1':0.0},(130,370):{'3_1':0.0,'7_1':0.0},(130,368):{'3_1':0.0},(130,367):{'3_1':0.0},(130,366):{'3_1':0.0},(130,365):{'3_1':0.0,'7_1':0.0},(130,364):{'3_1':0.0},(130,363):{'3_1':0.0},(130,362):{'3_1':0.0},(130,361):{'3_1':0.0},(130,360):{'4_1':0.0},(130,359):{'3_1':0.0},(130,358):{'3_1':0.0},(130,357):{'3_1':0.0,'5_1':0.0},(130,356):{'3_1':0.0},(130,355):{'3_1':0.0},(130,354):{'3_1':0.0},(130,353):{'3_1':0.0},(130,352):{'3_1':0.0},(130,351):{'3_1':0.0},(130,350):{'3_1':0.0},(130,349):{'3_1':0.0},(130,348):{'3_1':0.0},(130,347):{'3_1':0.0},(130,346):{'3_1':0.0},(130,345):{'3_1':0.0},(130,343):{'3_1':0.0},(130,339):{'3_1':0.0},(130,338):{'3_1':0.0},(130,337):{'4_1':0.0},(130,335):{'3_1':0.0},(130,334):{'3_1':0.0},(130,333):{'3_1':0.0},(130,332):{'3_1':0.0},(130,331):{'3_1':0.0,'5_1':0.0},(130,330):{'3_1':0.0,'4_1':0.0},(130,329):{'3_1':0.0},(130,327):{'3_1':0.0},(130,326):{'3_1':0.0},(130,324):{'3_1':0.0},(130,323):{'3_1':0.0},(130,322):{'3_1':0.0},(130,321):{'3_1':0.0},(130,320):{'3_1':0.0},(130,319):{'3_1':0.0},(130,318):{'3_1':0.0,'4_1':0.0},(130,316):{'3_1':0.0},(130,315):{'3_1':0.0},(130,314):{'3_1':0.0},(130,313):{'3_1':0.0},(130,311):{'3_1':0.0},(130,310):{'3_1':0.0},(130,309):{'3_1':0.0},(130,308):{'3_1':0.0},(130,307):{'3_1':0.0},(130,305):{'3_1':0.0},(130,304):{'3_1':0.0},(130,301):{'3_1':0.0},(130,300):{'3_1':0.0,'4_1':0.0},(130,299):{'3_1':0.0},(130,297):{'3_1':0.0},(130,296):{'3_1':0.0},(130,295):{'3_1':0.0},(130,294):{'3_1':0.0},(130,293):{'3_1':0.0},(130,291):{'3_1':0.0},(130,289):{'3_1':0.03},(130,287):{'3_1':0.0,'5_2':0.0},(130,286):{'3_1':0.0},(130,285):{'3_1':0.0},(130,284):{'3_1':0.0},(130,283):{'3_1':0.0},(130,282):{'3_1':0.0},(130,281):{'3_1':0.0},(130,280):{'3_1':0.03},(130,279):{'3_1':0.0},(130,278):{'3_1':0.0},(130,277):{'3_1':0.0},(130,276):{'3_1':0.0,'4_1':0.0},(130,275):{'3_1':0.0,'4_1':0.0},(130,274):{'3_1':0.0},(130,273):{'3_1':0.0,'4_1':0.0},(130,272):{'3_1':0.0},(130,271):{'3_1':0.03},(130,270):{'3_1':0.03},(130,268):{'3_1':0.0},(130,266):{'3_1':0.0},(130,265):{'3_1':0.0},(130,264):{'3_1':0.0,'5_1':0.0},(130,263):{'3_1':0.0},(130,262):{'4_1':0.0,'3_1':0.0},(130,261):{'4_1':0.0},(130,260):{'3_1':0.0},(130,259):{'3_1':0.03},(130,258):{'3_1':0.0},(130,257):{'4_1':0.0,'3_1':0.0},(130,256):{'3_1':0.03},(130,255):{'3_1':0.0},(130,250):{'3_1':0.0},(130,249):{'4_1':0.0},(130,246):{'3_1':0.0,'5_1':0.0},(130,245):{'7_1':0.0},(130,244):{'3_1':0.0,'4_1':0.0},(130,242):{'3_1':0.0},(130,241):{'3_1':0.0},(130,240):{'3_1':0.0,'4_1':0.0},(130,238):{'4_1':0.0},(130,237):{'3_1':0.0},(130,233):{'3_1':0.0},(130,231):{'3_1':0.0},(130,230):{'3_1':0.0,'4_1':0.0},(130,229):{'3_1':0.0},(130,228):{'3_1':0.0},(130,225):{'3_1':0.0},(130,224):{'3_1':0.0},(130,223):{'3_1':0.0},(130,214):{'3_1':0.0},(130,213):{'3_1':0.0},(130,211):{'3_1':0.0},(130,210):{'3_1':0.0},(130,209):{'3_1':0.0},(130,208):{'3_1':0.0},(130,205):{'3_1':0.0},(130,203):{'3_1':0.0},(130,198):{'3_1':0.0},(130,193):{'3_1':0.0},(130,189):{'3_1':0.0},(130,156):{'3_1':0.0},(130,155):{'3_1':0.0},(130,141):{'3_1':0.0},(130,137):{'4_1':0.0},(130,134):{'3_1':0.0},(131,727):{'5_2':0.54,'7_2':0.09,'-3':0.09,'7_4':0.06,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(131,726):{'5_2':0.6,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0},(131,725):{'5_2':0.48,'-3':0.09,'7_2':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(131,724):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(131,723):{'5_2':0.6,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(131,722):{'5_2':0.57,'7_4':0.06,'7_2':0.03,'5_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0},(131,721):{'5_2':0.51,'-3':0.09,'7_4':0.06,'7_5':0.03,'5_1':0.03,'7_2':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0},(131,720):{'5_2':0.57,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0},(131,719):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'1':-0.03},(131,718):{'5_2':0.54,'7_2':0.06,'-3':0.06,'7_3':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(131,717):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0},(131,716):{'5_2':0.6,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(131,715):{'5_2':0.54,'7_2':0.09,'-3':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(131,714):{'5_2':0.42,'7_2':0.09,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0,'8_11':0.0},(131,713):{'5_2':0.54,'3_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(131,712):{'5_2':0.6,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(131,711):{'5_2':0.48,'7_2':0.09,'-3':0.09,'7_4':0.06,'3_1':0.06,'7_5':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'8_13':0.0},(131,710):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_5':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'7_6':0.0},(131,709):{'5_2':0.51,'-3':0.12,'5_1':0.06,'7_5':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(131,708):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(131,707):{'5_2':0.54,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'9_1':0.0},(131,706):{'5_2':0.57,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(131,705):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(131,704):{'5_2':0.48,'7_4':0.09,'3_1':0.09,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(131,703):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(131,702):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(131,701):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(131,700):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(131,699):{'5_2':0.51,'3_1':0.21,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(131,698):{'5_2':0.45,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(131,697):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_2':0.03,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0},(131,696):{'5_2':0.48,'3_1':0.18,'-3':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_13':0.0,'8_14':0.0},(131,695):{'5_2':0.51,'3_1':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(131,694):{'5_2':0.27,'-3':0.15,'3_1':0.12,'7_4':0.09,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0},(131,693):{'5_2':0.36,'3_1':0.21,'7_2':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(131,692):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_3':0.06,'7_4':0.03,'7_6':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(131,691):{'5_2':0.45,'3_1':0.15,'-3':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(131,690):{'5_2':0.3,'3_1':0.18,'7_4':0.09,'7_3':0.06,'-3':0.06,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(131,689):{'3_1':0.3,'5_2':0.24,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_7':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(131,688):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_4':0.09,'7_3':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0},(131,687):{'5_2':0.39,'3_1':0.21,'7_4':0.09,'7_2':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(131,686):{'3_1':0.33,'5_2':0.21,'7_4':0.15,'5_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'7_7':0.0},(131,685):{'3_1':0.36,'5_2':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0},(131,684):{'5_2':0.42,'3_1':0.27,'7_4':0.06,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(131,683):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(131,682):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'7_7':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(131,681):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_13':0.0},(131,680):{'3_1':0.3,'5_2':0.3,'7_4':0.06,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(131,679):{'3_1':0.48,'5_2':0.21,'7_4':0.09,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(131,678):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_7':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(131,677):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(131,676):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'7_7':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(131,675):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0},(131,674):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'7_7':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(131,673):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(131,672):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(131,671):{'3_1':0.63,'5_2':0.15,'7_2':0.0,'7_4':0.0,'7_7':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(131,670):{'3_1':0.6,'5_2':0.15,'7_4':0.09,'4_1':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0},(131,669):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(131,668):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(131,667):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(131,666):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(131,665):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(131,664):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'5_1':0.03,'8_20|3_1#3_1':0.03,'7_1':0.0,'7_7':0.0,'8_11':0.0},(131,663):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(131,662):{'3_1':0.54,'5_2':0.24,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(131,661):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'8_15':0.0,'-3':0.0},(131,660):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(131,659):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(131,658):{'3_1':0.6,'5_2':0.09,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'1':-0.03},(131,657):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(131,656):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(131,655):{'3_1':0.42,'5_2':0.21,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(131,654):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(131,653):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(131,652):{'3_1':0.36,'5_2':0.24,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(131,651):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(131,650):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0},(131,649):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(131,648):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'7_4':0.0},(131,647):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(131,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0},(131,645):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_19':0.0},(131,644):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'7_3':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(131,643):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_13':0.0},(131,642):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0},(131,641):{'3_1':0.24,'5_2':0.24,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(131,640):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(131,639):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(131,638):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(131,637):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(131,636):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.0,'6_2':0.0},(131,635):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0},(131,634):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(131,633):{'5_2':0.18,'3_1':0.12,'5_1':0.0,'7_7':0.0,'4_1':0.0},(131,632):{'3_1':0.18,'5_2':0.12,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(131,631):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(131,630):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0},(131,629):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0},(131,628):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'7_4':0.0},(131,627):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_7':0.0},(131,626):{'3_1':0.15,'5_2':0.09,'7_1':0.0,'5_1':0.0,'7_7':0.0},(131,625):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_7':0.0},(131,624):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0},(131,623):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0},(131,622):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(131,621):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(131,620):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'-3':0.0},(131,619):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(131,618):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(131,617):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(131,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(131,615):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(131,614):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(131,613):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0},(131,612):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(131,611):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(131,610):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_16':0.0,'-3':0.0},(131,609):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(131,608):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(131,607):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0},(131,606):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(131,605):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_19':0.0},(131,604):{'3_1':0.24,'4_1':0.06,'5_2':0.03},(131,603):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(131,602):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(131,601):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(131,600):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(131,599):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(131,598):{'4_1':0.12,'3_1':0.12,'5_1':0.0},(131,597):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(131,596):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(131,595):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(131,594):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(131,593):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0},(131,592):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(131,591):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_6':0.0},(131,590):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0},(131,589):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(131,588):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(131,587):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0},(131,586):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0},(131,585):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(131,584):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,583):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0},(131,582):{'4_1':0.12,'3_1':0.09,'5_1':0.0},(131,581):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(131,580):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(131,579):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(131,578):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(131,577):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(131,576):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(131,575):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0},(131,574):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(131,573):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,572):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(131,571):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(131,570):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(131,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(131,568):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,567):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(131,566):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(131,565):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0},(131,564):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(131,563):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(131,562):{'4_1':0.12,'3_1':0.06,'6_1':0.0},(131,561):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(131,560):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(131,559):{'3_1':0.09,'4_1':0.09,'6_2':0.0},(131,558):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(131,557):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0},(131,556):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(131,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(131,554):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0},(131,553):{'3_1':0.15,'4_1':0.0},(131,552):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(131,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(131,550):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(131,549):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(131,548):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(131,547):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(131,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,545):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(131,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,543):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(131,542):{'3_1':0.18,'5_2':0.0},(131,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(131,540):{'3_1':0.18,'6_2':0.0},(131,539):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0},(131,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(131,537):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(131,536):{'3_1':0.15,'7_3':0.0},(131,535):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(131,534):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(131,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(131,532):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(131,531):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(131,530):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(131,529):{'3_1':0.18,'5_1':0.0},(131,528):{'3_1':0.12,'5_2':0.0},(131,527):{'3_1':0.12,'5_1':0.0},(131,526):{'3_1':0.06},(131,525):{'3_1':0.06,'5_2':0.0},(131,524):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(131,523):{'3_1':0.06,'5_2':0.0},(131,522):{'3_1':0.03,'4_1':0.0},(131,521):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(131,520):{'3_1':0.0,'5_2':0.0},(131,519):{'3_1':0.06,'4_1':0.0},(131,518):{'3_1':0.03,'5_1':0.0},(131,517):{'3_1':0.06,'4_1':0.0},(131,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(131,515):{'3_1':0.0,'4_1':0.0},(131,514):{'3_1':0.03},(131,513):{'3_1':0.03},(131,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(131,511):{'3_1':0.09,'4_1':0.0},(131,510):{'3_1':0.03},(131,509):{'3_1':0.03,'4_1':0.0},(131,508):{'3_1':0.0,'6_2':0.0},(131,507):{'3_1':0.03,'4_1':0.0},(131,506):{'3_1':0.03},(131,505):{'3_1':0.0,'4_1':0.0},(131,504):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(131,503):{'3_1':0.0,'6_1':0.0},(131,502):{'3_1':0.03},(131,501):{'3_1':0.03},(131,500):{'3_1':0.0},(131,499):{'3_1':0.03},(131,498):{'3_1':0.03,'4_1':0.0},(131,497):{'3_1':0.03},(131,496):{'3_1':0.03},(131,495):{'3_1':0.06,'5_2':0.0},(131,494):{'3_1':0.03,'5_2':0.0},(131,493):{'3_1':0.09,'4_1':0.0},(131,492):{'3_1':0.03,'5_1':0.0},(131,491):{'3_1':0.03,'5_1':0.0},(131,490):{'3_1':0.03,'4_1':0.0},(131,489):{'3_1':0.06},(131,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,487):{'3_1':0.06,'5_1':0.0},(131,486):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(131,485):{'3_1':0.03},(131,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(131,483):{'3_1':0.03,'5_1':0.0},(131,482):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(131,481):{'3_1':0.06},(131,480):{'3_1':0.06,'4_1':0.0},(131,479):{'3_1':0.06},(131,478):{'3_1':0.06,'4_1':0.0},(131,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(131,476):{'3_1':0.06,'5_1':0.0},(131,475):{'3_1':0.06,'4_1':0.0},(131,474):{'3_1':0.03,'5_2':0.0},(131,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(131,472):{'3_1':0.03},(131,471):{'3_1':0.03},(131,470):{'3_1':0.0},(131,469):{'3_1':0.03,'5_1':0.0},(131,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(131,467):{'3_1':0.0},(131,466):{'3_1':0.0},(131,465):{'3_1':0.0,'4_1':0.0},(131,464):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(131,463):{'3_1':0.03,'4_1':0.0},(131,462):{'3_1':0.03},(131,460):{'3_1':0.03},(131,459):{'3_1':0.03,'4_1':0.0},(131,458):{'3_1':0.0},(131,457):{'3_1':0.03},(131,456):{'3_1':0.0,'4_1':0.0},(131,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(131,454):{'3_1':0.0},(131,453):{'3_1':0.0},(131,452):{'3_1':0.03,'4_1':0.0},(131,451):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(131,450):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(131,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(131,448):{'3_1':0.0,'4_1':0.0},(131,447):{'3_1':0.0,'4_1':0.0},(131,446):{'3_1':0.0,'4_1':0.0},(131,445):{'3_1':0.0},(131,444):{'3_1':0.0,'4_1':0.0},(131,443):{'3_1':0.03},(131,442):{'3_1':0.03},(131,441):{'3_1':0.0},(131,440):{'4_1':0.0,'3_1':0.0},(131,439):{'3_1':0.0},(131,438):{'3_1':0.0},(131,437):{'3_1':0.03,'4_1':0.0},(131,436):{'3_1':0.03,'4_1':0.0},(131,435):{'3_1':0.0,'4_1':0.0},(131,434):{'3_1':0.0,'4_1':0.0},(131,433):{'4_1':0.03,'3_1':0.0},(131,432):{'3_1':0.03,'4_1':0.0},(131,431):{'3_1':0.0,'4_1':0.0},(131,430):{'3_1':0.03},(131,429):{'3_1':0.0,'4_1':0.0},(131,428):{'4_1':0.0,'3_1':0.0},(131,427):{'4_1':0.0,'3_1':0.0},(131,426):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(131,425):{'3_1':0.03,'5_2':0.0},(131,424):{'3_1':0.0},(131,423):{'3_1':0.0},(131,422):{'3_1':0.0,'4_1':0.0},(131,421):{'3_1':0.0,'6_1':0.0},(131,420):{'3_1':0.0},(131,419):{'3_1':0.0},(131,418):{'3_1':0.0,'5_1':0.0},(131,417):{'3_1':0.0,'4_1':0.0},(131,416):{'3_1':0.03,'4_1':0.0},(131,415):{'3_1':0.03},(131,414):{'3_1':0.0,'4_1':0.0},(131,413):{'4_1':0.0,'3_1':0.0},(131,412):{'4_1':0.0,'3_1':0.0},(131,411):{'3_1':0.0,'4_1':0.0},(131,410):{'3_1':0.0},(131,409):{'3_1':0.0,'4_1':0.0},(131,408):{'3_1':0.0},(131,407):{'3_1':0.0,'5_2':0.0},(131,406):{'5_1':0.0},(131,405):{'3_1':0.0},(131,404):{'3_1':0.0},(131,403):{'3_1':0.0},(131,402):{'3_1':0.03},(131,401):{'3_1':0.0},(131,400):{'3_1':0.0},(131,399):{'3_1':0.0,'6_1':0.0},(131,397):{'3_1':0.0},(131,396):{'3_1':0.0,'4_1':0.0},(131,395):{'3_1':0.0},(131,393):{'4_1':0.0},(131,392):{'3_1':0.0,'4_1':0.0},(131,391):{'3_1':0.0},(131,390):{'3_1':0.0},(131,389):{'3_1':0.0},(131,388):{'3_1':0.0},(131,386):{'3_1':0.0},(131,385):{'4_1':0.0},(131,383):{'3_1':0.0},(131,382):{'3_1':0.0},(131,381):{'3_1':0.0},(131,380):{'3_1':0.0},(131,379):{'3_1':0.0},(131,378):{'3_1':0.03},(131,377):{'3_1':0.0},(131,376):{'3_1':0.0},(131,375):{'3_1':0.06},(131,374):{'3_1':0.0},(131,373):{'3_1':0.03,'5_1':0.0},(131,372):{'3_1':0.0},(131,371):{'3_1':0.03,'5_1':0.0},(131,370):{'3_1':0.0},(131,369):{'3_1':0.0},(131,368):{'3_1':0.0},(131,367):{'3_1':0.0,'5_2':0.0},(131,366):{'3_1':0.0},(131,365):{'3_1':0.0,'7_1':0.0},(131,363):{'3_1':0.0},(131,362):{'3_1':0.0},(131,361):{'7_1':0.0},(131,360):{'3_1':0.0,'-3':0.0},(131,359):{'3_1':0.03},(131,358):{'3_1':0.03},(131,357):{'3_1':0.0},(131,355):{'3_1':0.0},(131,353):{'3_1':0.0},(131,352):{'3_1':0.0},(131,350):{'3_1':0.0},(131,349):{'3_1':0.0},(131,345):{'3_1':0.0},(131,344):{'3_1':0.0},(131,343):{'3_1':0.0},(131,342):{'3_1':0.0},(131,341):{'3_1':0.0},(131,338):{'3_1':0.0},(131,337):{'3_1':0.0},(131,336):{'3_1':0.0},(131,334):{'3_1':0.0},(131,333):{'3_1':0.0},(131,332):{'3_1':0.0},(131,331):{'3_1':0.0},(131,330):{'3_1':0.0},(131,328):{'3_1':0.0,'4_1':0.0},(131,327):{'3_1':0.0},(131,326):{'3_1':0.0},(131,325):{'3_1':0.0},(131,323):{'3_1':0.0},(131,322):{'3_1':0.0,'5_1':0.0},(131,321):{'3_1':0.0},(131,320):{'3_1':0.0,'5_2':0.0},(131,319):{'3_1':0.0},(131,318):{'3_1':0.0},(131,317):{'3_1':0.0},(131,316):{'3_1':0.0},(131,315):{'3_1':0.0},(131,314):{'3_1':0.0},(131,313):{'3_1':0.0},(131,312):{'3_1':0.0},(131,310):{'3_1':0.0,'4_1':0.0},(131,309):{'3_1':0.0,'4_1':0.0},(131,307):{'3_1':0.0},(131,306):{'3_1':0.0},(131,305):{'3_1':0.0},(131,304):{'3_1':0.0},(131,302):{'3_1':0.0},(131,301):{'3_1':0.0},(131,300):{'4_1':0.0,'3_1':0.0},(131,299):{'3_1':0.0},(131,298):{'3_1':0.0},(131,297):{'3_1':0.03},(131,296):{'3_1':0.0,'4_1':0.0},(131,294):{'3_1':0.0},(131,293):{'3_1':0.0,'5_1':0.0},(131,290):{'3_1':0.0},(131,289):{'3_1':0.0},(131,288):{'3_1':0.0},(131,287):{'3_1':0.0},(131,286):{'3_1':0.0},(131,284):{'3_1':0.03},(131,283):{'3_1':0.03},(131,282):{'3_1':0.0,'5_1':0.0},(131,280):{'3_1':0.0},(131,278):{'3_1':0.0},(131,277):{'3_1':0.03},(131,276):{'3_1':0.0},(131,275):{'3_1':0.06,'5_1':0.0},(131,273):{'3_1':0.0},(131,272):{'3_1':0.0},(131,271):{'3_1':0.0},(131,269):{'3_1':0.0},(131,268):{'3_1':0.0},(131,267):{'3_1':0.0},(131,266):{'3_1':0.0},(131,265):{'3_1':0.0},(131,264):{'3_1':0.0},(131,262):{'4_1':0.0},(131,261):{'3_1':0.0},(131,260):{'3_1':0.0,'4_1':0.0},(131,259):{'3_1':0.0},(131,258):{'3_1':0.0},(131,257):{'3_1':0.0},(131,256):{'3_1':0.0},(131,255):{'3_1':0.0},(131,254):{'3_1':0.0},(131,245):{'3_1':0.0,'5_1':0.0},(131,244):{'7_1':0.0},(131,243):{'3_1':0.0},(131,242):{'3_1':0.0},(131,241):{'5_1':0.0},(131,240):{'3_1':0.0},(131,238):{'3_1':0.0,'4_1':0.0},(131,237):{'3_1':0.0,'4_1':0.0},(131,236):{'4_1':0.0},(131,235):{'3_1':0.0},(131,233):{'3_1':0.0},(131,232):{'3_1':0.0},(131,230):{'3_1':0.0},(131,229):{'3_1':0.0},(131,228):{'3_1':0.0},(131,227):{'3_1':0.0},(131,226):{'3_1':0.0},(131,225):{'3_1':0.0},(131,223):{'3_1':0.0},(131,220):{'3_1':0.0},(131,217):{'3_1':0.0},(131,215):{'3_1':0.0},(131,214):{'3_1':0.0},(131,212):{'4_1':0.0},(131,211):{'3_1':0.0},(131,210):{'3_1':0.0},(131,208):{'3_1':0.0},(131,201):{'3_1':0.0},(131,200):{'4_1':0.0},(131,199):{'3_1':0.0},(131,198):{'3_1':0.0,'5_1':0.0},(131,197):{'4_1':0.0},(131,196):{'3_1':0.0,'4_1':0.0},(131,192):{'3_1':0.0},(131,191):{'3_1':0.0},(131,137):{'3_1':0.0},(131,135):{'3_1':0.0},(132,727):{'5_2':0.66,'7_4':0.06,'-3':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(132,726):{'5_2':0.69,'7_4':0.06,'3_1':0.03,'-3':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0},(132,725):{'5_2':0.54,'3_1':0.06,'7_2':0.06,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0},(132,724):{'5_2':0.63,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'8_15':0.0},(132,723):{'5_2':0.6,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'8_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(132,722):{'5_2':0.57,'-3':0.09,'7_2':0.06,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(132,721):{'5_2':0.54,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(132,720):{'5_2':0.63,'-3':0.06,'3_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(132,719):{'5_2':0.54,'7_2':0.09,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(132,718):{'5_2':0.54,'-3':0.09,'7_4':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(132,717):{'5_2':0.57,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'1':-0.03},(132,716):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'8_8':0.0},(132,715):{'5_2':0.57,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_13':0.0},(132,714):{'5_2':0.51,'7_2':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0},(132,713):{'5_2':0.51,'7_4':0.09,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(132,712):{'5_2':0.48,'-3':0.09,'7_2':0.06,'3_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.0,'8_1':0.0,'1':-0.03},(132,711):{'5_2':0.45,'-3':0.15,'7_2':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0,'1':-0.03},(132,710):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(132,709):{'5_2':0.36,'-3':0.24,'7_2':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(132,708):{'5_2':0.57,'-3':0.09,'7_5':0.06,'7_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'1':-0.03},(132,707):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(132,706):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_2':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(132,705):{'5_2':0.51,'3_1':0.09,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(132,704):{'5_2':0.57,'7_2':0.06,'7_4':0.06,'-3':0.06,'3_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0},(132,703):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.06,'5_1':0.03,'7_3':0.03,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(132,702):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0},(132,701):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.09,'7_3':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'8_14':0.0,'7_7':0.0,'8_11':0.0},(132,700):{'5_2':0.45,'3_1':0.12,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(132,699):{'5_2':0.54,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'8_14':0.0},(132,698):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(132,697):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_3':0.09,'7_4':0.06,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(132,696):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(132,695):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'5_1':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(132,694):{'5_2':0.42,'3_1':0.12,'7_2':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_6':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(132,693):{'5_2':0.3,'3_1':0.21,'-3':0.06,'7_4':0.06,'7_3':0.06,'7_2':0.03,'5_1':0.03,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(132,692):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(132,691):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(132,690):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(132,689):{'5_2':0.33,'3_1':0.27,'7_4':0.09,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(132,688):{'3_1':0.27,'5_2':0.27,'-3':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(132,687):{'5_2':0.36,'3_1':0.24,'7_4':0.15,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(132,686):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'7_3':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(132,685):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0,'1':-0.03},(132,684):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(132,683):{'5_2':0.33,'3_1':0.33,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(132,682):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'-3':0.03,'6_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(132,681):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'5_1':0.03,'-3':0.0,'7_2':0.0,'4_1':0.0,'8_15':0.0},(132,680):{'3_1':0.36,'5_2':0.3,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'8_15':0.0,'-3':0.0},(132,679):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0},(132,678):{'3_1':0.33,'5_2':0.27,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(132,677):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(132,676):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(132,675):{'3_1':0.48,'7_4':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(132,674):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_5':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'-3':0.0},(132,673):{'3_1':0.6,'5_2':0.12,'7_4':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(132,672):{'3_1':0.57,'5_2':0.18,'7_4':0.06,'7_7':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0},(132,671):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'7_5':0.0},(132,670):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(132,669):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_1':0.0,'-3':0.0},(132,668):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(132,667):{'3_1':0.51,'5_2':0.24,'7_4':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(132,666):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'8_19':0.0,'7_2':0.0,'8_13':0.0,'-3':0.0},(132,665):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'7_7':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(132,664):{'3_1':0.63,'5_2':0.09,'7_4':0.06,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(132,663):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'6_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'8_10':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(132,662):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(132,661):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0},(132,660):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(132,659):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(132,658):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'-3':0.0},(132,657):{'3_1':0.57,'5_2':0.15,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(132,656):{'3_1':0.39,'5_2':0.24,'5_1':0.06,'4_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(132,655):{'3_1':0.54,'5_2':0.12,'4_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(132,654):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'7_7':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(132,653):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(132,652):{'3_1':0.42,'5_2':0.12,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0},(132,651):{'3_1':0.45,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(132,650):{'3_1':0.42,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0},(132,649):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(132,648):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(132,647):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_7':0.0,'6_3':0.0,'8_9':0.0,'8_19':0.0},(132,646):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(132,645):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(132,644):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(132,643):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(132,642):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(132,641):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(132,640):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(132,639):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(132,638):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'7_1':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(132,637):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(132,636):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0},(132,635):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(132,634):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(132,633):{'3_1':0.18,'5_2':0.12,'7_7':0.0,'7_3':0.0},(132,632):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(132,631):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(132,630):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(132,629):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0},(132,628):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(132,627):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'9_1':0.0},(132,626):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(132,625):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_7':0.0,'-3':0.0},(132,624):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(132,623):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(132,622):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_7':0.0},(132,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0},(132,620):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_7':0.0,'7_3':0.0,'8_19':0.0},(132,619):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'3_1#5_1':0.0},(132,618):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(132,617):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(132,616):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(132,615):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03},(132,614):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(132,613):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0},(132,612):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(132,611):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(132,610):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(132,609):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'7_7':0.0},(132,608):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_7':0.0,'6_2':0.0},(132,607):{'3_1':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_7':0.0},(132,606):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0},(132,605):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(132,604):{'3_1':0.18,'4_1':0.03,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(132,603):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0},(132,602):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(132,601):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'7_4':0.0},(132,600):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0},(132,599):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(132,598):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(132,597):{'4_1':0.21,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0},(132,596):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'7_3':0.0,'-3':0.0},(132,595):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(132,594):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(132,593):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(132,592):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'7_6':0.0},(132,591):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_6':0.0,'5_2':0.0,'7_7':0.0},(132,590):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(132,589):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_19':0.0},(132,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(132,587):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(132,586):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_1':0.0,'6_1':0.0},(132,585):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(132,584):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(132,583):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(132,582):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0},(132,581):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(132,580):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0},(132,579):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(132,578):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(132,577):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(132,576):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0},(132,575):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(132,574):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(132,573):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(132,572):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(132,571):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(132,570):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(132,569):{'3_1':0.12,'4_1':0.09,'6_1':0.0},(132,568):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(132,567):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(132,566):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(132,565):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(132,564):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(132,563):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_6':0.0},(132,562):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_2':0.0},(132,561):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(132,560):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(132,559):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(132,558):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_1':0.0},(132,557):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(132,556):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(132,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(132,554):{'3_1':0.12,'4_1':0.0},(132,553):{'3_1':0.15,'4_1':0.0},(132,552):{'3_1':0.15,'4_1':0.0},(132,551):{'3_1':0.15,'5_2':0.0,'7_4':0.0,'7_5':0.0},(132,550):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(132,549):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(132,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(132,547):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(132,546):{'3_1':0.21,'5_1':0.0},(132,545):{'3_1':0.21,'5_2':0.0},(132,544):{'3_1':0.09,'5_2':0.0},(132,543):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(132,542):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_4':0.0},(132,541):{'3_1':0.12,'4_1':0.0,'7_3':0.0,'-3':0.0},(132,540):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(132,539):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(132,538):{'3_1':0.15,'5_1':0.0},(132,537):{'3_1':0.24,'5_1':0.0,'4_1':0.0},(132,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(132,535):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(132,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(132,533):{'3_1':0.12,'5_1':0.0},(132,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(132,531):{'3_1':0.06,'5_2':0.0},(132,530):{'3_1':0.12,'4_1':0.0},(132,529):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(132,528):{'3_1':0.18},(132,527):{'3_1':0.06},(132,526):{'3_1':0.09,'5_1':0.0},(132,525):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(132,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(132,523):{'3_1':0.03,'5_1':0.0},(132,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(132,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(132,520):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(132,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(132,518):{'3_1':0.03},(132,517):{'3_1':0.03},(132,516):{'3_1':0.03},(132,515):{'3_1':0.0,'5_1':0.0},(132,514):{'3_1':0.06,'4_1':0.0},(132,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(132,512):{'3_1':0.03,'5_2':0.0},(132,511):{'3_1':0.03,'4_1':0.0},(132,510):{'3_1':0.0},(132,509):{'3_1':0.0,'5_1':0.0},(132,508):{'3_1':0.0},(132,507):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(132,506):{'3_1':0.06,'5_1':0.0},(132,505):{'3_1':0.03,'5_1':0.0},(132,504):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(132,503):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(132,501):{'3_1':0.0},(132,500):{'3_1':0.0,'4_1':0.0},(132,499):{'3_1':0.0},(132,498):{'3_1':0.0},(132,497):{'3_1':0.03},(132,496):{'3_1':0.03},(132,495):{'3_1':0.03,'4_1':0.0},(132,494):{'3_1':0.09},(132,493):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(132,492):{'3_1':0.03,'5_1':0.0},(132,491):{'3_1':0.06,'7_3':0.0},(132,490):{'3_1':0.0},(132,489):{'3_1':0.0,'5_2':0.0},(132,488):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(132,487):{'3_1':0.06},(132,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(132,485):{'3_1':0.09},(132,484):{'3_1':0.06,'5_1':0.0},(132,483):{'3_1':0.06,'5_1':0.0},(132,482):{'3_1':0.09,'5_2':0.0},(132,481):{'3_1':0.0,'9_1':0.0},(132,480):{'3_1':0.09,'4_1':0.03},(132,479):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(132,478):{'3_1':0.03,'4_1':0.0},(132,477):{'3_1':0.03},(132,476):{'3_1':0.03,'5_1':0.0},(132,475):{'3_1':0.09},(132,474):{'3_1':0.06,'5_2':0.0},(132,473):{'3_1':0.06},(132,472):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(132,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(132,470):{'3_1':0.03},(132,469):{'3_1':0.03,'5_1':0.0},(132,468):{'3_1':0.06},(132,467):{'3_1':0.03,'4_1':0.0},(132,466):{'3_1':0.0},(132,465):{'3_1':0.0},(132,464):{'3_1':0.03},(132,463):{'3_1':0.0,'5_1':0.0},(132,462):{'3_1':0.03},(132,461):{'4_1':0.0},(132,460):{'3_1':0.03},(132,459):{'3_1':0.0},(132,458):{'3_1':0.0},(132,457):{'3_1':0.03,'4_1':0.0},(132,456):{'3_1':0.03},(132,455):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(132,454):{'3_1':0.0},(132,453):{'3_1':0.03,'4_1':0.0},(132,452):{'3_1':0.03},(132,451):{'3_1':0.0},(132,450):{'4_1':0.06,'3_1':0.03},(132,449):{'3_1':0.0},(132,448):{'3_1':0.03,'5_1':0.0},(132,447):{'3_1':0.06},(132,446):{'3_1':0.0},(132,445):{'3_1':0.03},(132,444):{'3_1':0.0},(132,443):{'3_1':0.0,'5_2':0.0},(132,442):{'3_1':0.03},(132,441):{'3_1':0.0},(132,440):{'3_1':0.03},(132,439):{'3_1':0.06},(132,438):{'3_1':0.03},(132,437):{'3_1':0.0},(132,436):{'3_1':0.03,'4_1':0.0},(132,435):{'3_1':0.0,'4_1':0.0},(132,434):{'3_1':0.03,'4_1':0.0},(132,433):{'3_1':0.0,'4_1':0.0},(132,432):{'3_1':0.06,'4_1':0.03},(132,431):{'3_1':0.03},(132,430):{'3_1':0.06},(132,429):{'3_1':0.03,'4_1':0.0},(132,428):{'3_1':0.06,'5_1':0.0},(132,427):{'3_1':0.03,'4_1':0.0},(132,426):{'3_1':0.03,'4_1':0.0},(132,425):{'3_1':0.0,'5_2':0.0},(132,424):{'3_1':0.0,'4_1':0.0},(132,423):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(132,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(132,421):{'3_1':0.03},(132,420):{'3_1':0.03},(132,419):{'3_1':0.03},(132,418):{'3_1':0.03},(132,417):{'3_1':0.0,'4_1':0.0},(132,416):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(132,415):{'3_1':0.0},(132,414):{'4_1':0.0,'3_1':0.0},(132,413):{'4_1':0.0},(132,412):{'3_1':0.0},(132,411):{'3_1':0.0,'7_1':0.0},(132,410):{'3_1':0.0,'4_1':0.0},(132,409):{'3_1':0.0,'4_1':0.0},(132,407):{'3_1':0.0},(132,406):{'3_1':0.0},(132,405):{'3_1':0.0},(132,404):{'3_1':0.0},(132,402):{'8_21|3_1#4_1':0.0},(132,401):{'3_1':0.0},(132,400):{'3_1':0.0,'4_1':0.0},(132,398):{'4_1':0.0},(132,397):{'3_1':0.0,'8_20|3_1#3_1':0.0},(132,396):{'3_1':0.0},(132,395):{'3_1':0.0,'4_1':0.0},(132,394):{'3_1':0.03},(132,393):{'3_1':0.0},(132,391):{'3_1':0.0},(132,390):{'3_1':0.0},(132,389):{'3_1':0.0,'4_1':0.0},(132,387):{'3_1':0.0,'4_1':0.0},(132,386):{'3_1':0.03},(132,384):{'3_1':0.0},(132,383):{'3_1':0.03},(132,382):{'3_1':0.0},(132,381):{'3_1':0.03,'5_1':0.0},(132,380):{'3_1':0.03},(132,379):{'3_1':0.0,'4_1':0.0},(132,378):{'3_1':0.0},(132,376):{'3_1':0.03},(132,375):{'3_1':0.03},(132,374):{'3_1':0.0},(132,373):{'3_1':0.0,'5_2':0.0},(132,372):{'3_1':0.0},(132,370):{'3_1':0.0},(132,369):{'3_1':0.0,'8_21|3_1#4_1':0.0},(132,368):{'3_1':0.0},(132,367):{'3_1':0.0},(132,366):{'3_1':0.0},(132,365):{'3_1':0.0,'7_1':0.0},(132,362):{'3_1':0.0},(132,361):{'3_1':0.0,'7_1':0.0},(132,360):{'3_1':0.0},(132,359):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(132,357):{'3_1':0.0},(132,355):{'3_1':0.0,'5_1':0.0},(132,354):{'3_1':0.0},(132,353):{'3_1':0.0},(132,352):{'3_1':0.0},(132,351):{'3_1':0.0},(132,350):{'5_1':0.0},(132,349):{'3_1':0.0},(132,348):{'3_1':0.0},(132,347):{'3_1':0.0},(132,345):{'3_1':0.0},(132,344):{'3_1':0.0},(132,343):{'3_1':0.0},(132,341):{'3_1':0.0},(132,340):{'3_1':0.0},(132,339):{'3_1':0.0},(132,338):{'3_1':0.0},(132,336):{'3_1':0.0},(132,334):{'3_1':0.0},(132,331):{'3_1':0.0},(132,330):{'5_1':0.0},(132,329):{'3_1':0.0},(132,328):{'3_1':0.0,'4_1':0.0},(132,326):{'3_1':0.0},(132,325):{'3_1':0.0},(132,324):{'5_1':0.0},(132,323):{'3_1':0.03},(132,322):{'3_1':0.0,'5_1':0.0},(132,321):{'3_1':0.0},(132,320):{'3_1':0.0},(132,319):{'3_1':0.0},(132,318):{'3_1':0.0},(132,317):{'3_1':0.0},(132,315):{'3_1':0.0},(132,314):{'3_1':0.0},(132,313):{'3_1':0.0},(132,312):{'3_1':0.0},(132,311):{'3_1':0.0},(132,310):{'3_1':0.0},(132,309):{'3_1':0.0},(132,308):{'3_1':0.0},(132,307):{'3_1':0.0},(132,305):{'3_1':0.0},(132,304):{'3_1':0.0},(132,303):{'3_1':0.0},(132,302):{'3_1':0.0},(132,301):{'3_1':0.0},(132,300):{'3_1':0.0},(132,299):{'3_1':0.0},(132,298):{'3_1':0.0},(132,295):{'3_1':0.0},(132,294):{'3_1':0.0},(132,292):{'3_1':0.03},(132,291):{'3_1':0.0},(132,289):{'3_1':0.03},(132,287):{'3_1':0.0},(132,286):{'3_1':0.03},(132,285):{'5_1':0.0},(132,284):{'3_1':0.0,'5_1':0.0},(132,283):{'3_1':0.0},(132,282):{'3_1':0.0},(132,281):{'3_1':0.0},(132,280):{'3_1':0.0,'5_1':0.0},(132,279):{'3_1':0.0,'4_1':0.0},(132,277):{'3_1':0.0},(132,276):{'3_1':0.0},(132,275):{'3_1':0.03,'4_1':0.0},(132,274):{'3_1':0.0},(132,273):{'3_1':0.0},(132,272):{'3_1':0.03},(132,270):{'3_1':0.03},(132,269):{'3_1':0.0},(132,268):{'3_1':0.0},(132,267):{'3_1':0.0},(132,266):{'3_1':0.0},(132,265):{'3_1':0.0},(132,264):{'3_1':0.0},(132,262):{'3_1':0.0},(132,261):{'3_1':0.0},(132,260):{'3_1':0.0},(132,258):{'3_1':0.0},(132,257):{'3_1':0.0},(132,255):{'3_1':0.0},(132,254):{'3_1':0.0},(132,253):{'3_1':0.0},(132,252):{'3_1':0.0},(132,250):{'3_1':0.0},(132,249):{'3_1':0.03,'4_1':0.0},(132,248):{'3_1':0.0,'4_1':0.0},(132,244):{'3_1':0.0,'4_1':0.0},(132,243):{'3_1':0.0},(132,242):{'3_1':0.0,'4_1':0.0},(132,241):{'3_1':0.0,'4_1':0.0},(132,236):{'4_1':0.0},(132,234):{'3_1':0.0},(132,233):{'3_1':0.0},(132,232):{'3_1':0.0},(132,231):{'3_1':0.0},(132,229):{'3_1':0.0},(132,228):{'3_1':0.0},(132,227):{'3_1':0.0},(132,225):{'3_1':0.0},(132,223):{'3_1':0.0},(132,221):{'3_1':0.0},(132,220):{'3_1':0.0},(132,219):{'3_1':0.0},(132,218):{'3_1':0.0},(132,217):{'3_1':0.0},(132,215):{'3_1':0.0},(132,213):{'3_1':0.0},(132,210):{'3_1':0.0},(132,209):{'3_1':0.0},(132,208):{'3_1':0.0},(132,207):{'3_1':0.0},(132,204):{'3_1':0.0},(132,199):{'3_1':0.0},(132,198):{'3_1':0.0},(132,197):{'3_1':0.0},(132,194):{'5_1':0.0},(132,192):{'5_1':0.0,'3_1':0.0},(132,135):{'3_1':0.0},(133,727):{'5_2':0.54,'7_4':0.09,'-3':0.06,'5_1':0.0,'7_2':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(133,726):{'5_2':0.57,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_6':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'6_1':0.0,'5_1':0.0,'8_8':0.0},(133,725):{'5_2':0.66,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(133,724):{'5_2':0.6,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(133,723):{'5_2':0.66,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_13':0.0},(133,722):{'5_2':0.51,'-3':0.12,'3_1':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_12':0.0},(133,721):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(133,720):{'5_2':0.63,'7_2':0.06,'-3':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(133,719):{'5_2':0.57,'7_4':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_8':0.0},(133,718):{'5_2':0.63,'-3':0.12,'7_2':0.03,'7_3':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0},(133,717):{'5_2':0.48,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.0,'8_8':0.0,'6_1':0.0,'8_6':0.0,'8_14':0.0},(133,716):{'5_2':0.48,'7_2':0.12,'7_4':0.09,'3_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(133,715):{'5_2':0.57,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0},(133,714):{'5_2':0.54,'7_2':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(133,713):{'5_2':0.51,'3_1':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'8_11':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'1':-0.03},(133,712):{'5_2':0.6,'7_4':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0},(133,711):{'5_2':0.48,'7_2':0.09,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(133,710):{'5_2':0.42,'-3':0.12,'7_5':0.09,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(133,709):{'5_2':0.57,'-3':0.12,'7_2':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'2':-0.03},(133,708):{'5_2':0.54,'7_2':0.09,'7_5':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(133,707):{'5_2':0.63,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(133,706):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(133,705):{'5_2':0.48,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(133,704):{'5_2':0.48,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'8_8':0.0,'5_1':0.0,'7_5':0.0},(133,703):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0},(133,702):{'5_2':0.48,'3_1':0.12,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(133,701):{'5_2':0.51,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(133,700):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(133,699):{'5_2':0.45,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0},(133,698):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_13':0.0},(133,697):{'5_2':0.42,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_3':0.0,'7_5':0.0,'7_2':0.0,'3_1#5_2':0.0},(133,696):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(133,695):{'5_2':0.42,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(133,694):{'5_2':0.48,'3_1':0.09,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_2':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0},(133,693):{'5_2':0.45,'3_1':0.12,'7_4':0.12,'-3':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0},(133,692):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(133,691):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(133,690):{'5_2':0.33,'3_1':0.18,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'3_1#5_2':0.0},(133,689):{'5_2':0.36,'3_1':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(133,688):{'5_2':0.36,'3_1':0.21,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0},(133,687):{'5_2':0.36,'3_1':0.21,'5_1':0.06,'7_3':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'8_14':0.0},(133,686):{'5_2':0.3,'3_1':0.27,'7_4':0.12,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(133,685):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(133,684):{'5_2':0.27,'3_1':0.27,'7_4':0.09,'7_2':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_7':0.0},(133,683):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'-3':0.06,'7_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(133,682):{'3_1':0.42,'5_2':0.18,'7_4':0.12,'7_3':0.03,'4_1':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(133,681):{'3_1':0.45,'5_2':0.27,'7_4':0.09,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(133,680):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0},(133,679):{'3_1':0.45,'5_2':0.18,'7_4':0.09,'5_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'1':-0.03},(133,678):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'8_11':0.0,'7_1':0.0,'8_6':0.0,'8_15':0.0},(133,677):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(133,676):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(133,675):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(133,674):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'4_1':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(133,673):{'3_1':0.51,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(133,672):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(133,671):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0},(133,670):{'3_1':0.57,'5_2':0.12,'7_4':0.09,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(133,669):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_5':0.0,'-3':0.0},(133,668):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'7_7':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(133,667):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0},(133,666):{'3_1':0.57,'5_2':0.12,'7_4':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0},(133,665):{'3_1':0.57,'5_2':0.15,'5_1':0.03,'7_4':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(133,664):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_1':0.0,'7_7':0.0},(133,663):{'3_1':0.54,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(133,662):{'3_1':0.33,'5_2':0.12,'7_4':0.09,'-3':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0},(133,661):{'3_1':0.57,'5_2':0.15,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(133,660):{'3_1':0.51,'5_2':0.18,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(133,659):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(133,658):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(133,657):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0},(133,656):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(133,655):{'3_1':0.51,'5_2':0.15,'5_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(133,654):{'3_1':0.57,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(133,653):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(133,652):{'3_1':0.45,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(133,651):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(133,650):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(133,649):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(133,648):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0},(133,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(133,646):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(133,645):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'8_16':0.0},(133,644):{'3_1':0.3,'5_2':0.18,'5_1':0.12,'7_3':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(133,643):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(133,642):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(133,641):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0},(133,640):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'8_19':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(133,639):{'3_1':0.24,'5_2':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(133,638):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(133,637):{'3_1':0.18,'5_2':0.12,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0},(133,636):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(133,635):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0},(133,634):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0},(133,633):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(133,632):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0},(133,631):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0},(133,630):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(133,629):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0},(133,628):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(133,627):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(133,626):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(133,625):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(133,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(133,623):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0},(133,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0},(133,621):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(133,620):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(133,619):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_19':0.0},(133,618):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(133,617):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(133,616):{'3_1':0.15,'5_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(133,615):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(133,614):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(133,613):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_7':0.0},(133,612):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(133,611):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(133,610):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(133,609):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(133,608):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(133,607):{'3_1':0.18,'5_2':0.03,'7_3':0.0,'7_7':0.0},(133,606):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(133,605):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(133,604):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(133,603):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_3':0.0},(133,602):{'3_1':0.24,'4_1':0.09,'5_1':0.0},(133,601):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(133,600):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0},(133,599):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(133,598):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'7_6':0.0,'-3':0.0},(133,597):{'3_1':0.18,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0},(133,596):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(133,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(133,594):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(133,593):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_6':0.0},(133,592):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(133,591):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(133,590):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(133,589):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(133,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(133,587):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_19':0.0},(133,586):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0},(133,585):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(133,584):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(133,583):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(133,582):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_19':0.0},(133,581):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'8_19':0.0},(133,580):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(133,579):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0},(133,578):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(133,577):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(133,576):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(133,575):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(133,574):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0},(133,573):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(133,572):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'8_19':0.0},(133,571):{'4_1':0.06,'3_1':0.06},(133,570):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(133,569):{'4_1':0.15,'3_1':0.03,'5_1':0.0},(133,568):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(133,567):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(133,566):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0},(133,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_4':0.0},(133,564):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_19':0.0},(133,563):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0},(133,562):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(133,561):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(133,560):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(133,559):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(133,558):{'3_1':0.12,'4_1':0.0},(133,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(133,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(133,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(133,554):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(133,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(133,552):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(133,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_5':0.0},(133,550):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(133,549):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(133,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,547):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(133,546):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(133,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,544):{'3_1':0.18,'4_1':0.0},(133,543):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(133,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,541):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(133,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(133,539):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(133,538):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'7_3':0.0},(133,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(133,536):{'3_1':0.18,'7_5':0.0},(133,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,534):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(133,533):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(133,532):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(133,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(133,530):{'3_1':0.09},(133,529):{'3_1':0.15,'4_1':0.0,'7_3':0.0,'7_5':0.0},(133,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(133,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,526):{'3_1':0.06,'5_1':0.0},(133,525):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(133,524):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(133,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(133,522):{'3_1':0.03},(133,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(133,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(133,519):{'3_1':0.06},(133,518):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(133,517):{'3_1':0.03,'5_2':0.0},(133,516):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(133,515):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(133,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(133,513):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(133,512):{'3_1':0.03,'4_1':0.0},(133,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,510):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(133,509):{'3_1':0.09},(133,508):{'3_1':0.03,'4_1':0.0},(133,507):{'3_1':0.03,'5_2':0.0},(133,506):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(133,505):{'3_1':0.0,'5_2':0.0},(133,504):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(133,503):{'3_1':0.0,'4_1':0.0},(133,502):{'3_1':0.0,'5_1':0.0},(133,501):{'3_1':0.0},(133,500):{'3_1':0.06},(133,499):{'3_1':0.03,'5_1':0.0},(133,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,497):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(133,496):{'3_1':0.03},(133,495):{'3_1':0.03},(133,494):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,493):{'3_1':0.03},(133,492):{'3_1':0.0,'5_1':0.0},(133,491):{'3_1':0.0,'5_2':0.0},(133,490):{'3_1':0.06},(133,489):{'3_1':0.03},(133,488):{'3_1':0.06},(133,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(133,486):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,485):{'3_1':0.03},(133,484):{'3_1':0.0,'5_2':0.0},(133,483):{'3_1':0.03,'4_1':0.0},(133,482):{'3_1':0.09,'5_2':0.0},(133,481):{'3_1':0.03,'5_1':0.0},(133,480):{'3_1':0.09,'5_2':0.0},(133,479):{'3_1':0.06},(133,478):{'3_1':0.06,'4_1':0.0},(133,477):{'3_1':0.06,'5_1':0.0,'9_1':0.0},(133,476):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(133,475):{'3_1':0.09,'5_1':0.0},(133,474):{'3_1':0.03,'5_2':0.0},(133,473):{'3_1':0.03},(133,472):{'3_1':0.03,'5_2':0.0,'-3':0.0},(133,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,470):{'3_1':0.06},(133,469):{'3_1':0.0,'5_1':0.0},(133,468):{'3_1':0.03,'5_1':0.0},(133,467):{'3_1':0.03},(133,466):{'3_1':0.0},(133,465):{'3_1':0.0},(133,464):{'3_1':0.03},(133,463):{'3_1':0.0,'5_2':0.0},(133,462):{'3_1':0.0,'5_1':0.0},(133,461):{'3_1':0.06},(133,460):{'3_1':0.03},(133,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,458):{'3_1':0.0},(133,457):{'3_1':0.03},(133,456):{'3_1':0.03},(133,455):{'3_1':0.0},(133,454):{'3_1':0.0,'5_2':0.0},(133,453):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(133,452):{'3_1':0.03},(133,451):{'3_1':0.0,'4_1':0.0},(133,450):{'3_1':0.06,'4_1':0.0},(133,449):{'3_1':0.03},(133,448):{'3_1':0.0},(133,447):{'3_1':0.0,'4_1':0.0},(133,446):{'3_1':0.0,'5_2':0.0},(133,445):{'3_1':0.03,'4_1':0.0},(133,444):{'3_1':0.0},(133,443):{'4_1':0.0},(133,442):{'3_1':0.03},(133,441):{'3_1':0.0},(133,440):{'3_1':0.03},(133,439):{'3_1':0.0,'4_1':0.0},(133,438):{'3_1':0.03},(133,437):{'3_1':0.0,'4_1':0.0},(133,436):{'3_1':0.03,'4_1':0.0},(133,435):{'3_1':0.03,'4_1':0.0},(133,434):{'3_1':0.0,'6_2':0.0},(133,433):{'3_1':0.03},(133,432):{'3_1':0.0},(133,431):{'3_1':0.03,'4_1':0.0},(133,430):{'3_1':0.03,'4_1':0.0},(133,429):{'3_1':0.06,'4_1':0.0},(133,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,426):{'3_1':0.0},(133,425):{'3_1':0.0},(133,424):{'3_1':0.0,'4_1':0.0},(133,423):{'3_1':0.0,'4_1':0.0},(133,422):{'3_1':0.06,'4_1':0.0},(133,421):{'4_1':0.0,'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(133,420):{'3_1':0.03,'4_1':0.03},(133,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(133,418):{'3_1':0.0,'4_1':0.0},(133,417):{'4_1':0.0,'3_1':0.0},(133,416):{'3_1':0.0,'4_1':0.0},(133,415):{'4_1':0.03,'3_1':0.0},(133,414):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(133,413):{'3_1':0.0},(133,412):{'3_1':0.0,'4_1':0.0},(133,411):{'3_1':0.03,'4_1':0.0},(133,410):{'3_1':0.0,'5_1':0.0},(133,409):{'3_1':0.0},(133,408):{'3_1':0.03},(133,407):{'4_1':0.0},(133,406):{'3_1':0.0},(133,405):{'3_1':0.0},(133,402):{'3_1':0.0},(133,401):{'3_1':0.0,'4_1':0.0},(133,399):{'3_1':0.0},(133,398):{'3_1':0.0,'4_1':0.0},(133,397):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(133,396):{'3_1':0.0,'4_1':0.0},(133,395):{'6_1':0.0},(133,390):{'3_1':0.0},(133,388):{'3_1':0.0},(133,387):{'3_1':0.0},(133,386):{'3_1':0.0},(133,385):{'4_1':0.0},(133,384):{'3_1':0.0},(133,383):{'3_1':0.0},(133,382):{'3_1':0.03},(133,381):{'3_1':0.0},(133,380):{'3_1':0.0},(133,379):{'3_1':0.0},(133,378):{'3_1':0.0},(133,377):{'3_1':0.0},(133,376):{'3_1':0.0,'5_1':0.0},(133,375):{'3_1':0.0,'4_1':0.0},(133,374):{'3_1':0.0},(133,373):{'3_1':0.03},(133,372):{'3_1':0.0},(133,371):{'3_1':0.0},(133,370):{'3_1':0.03},(133,369):{'3_1':0.0},(133,368):{'3_1':0.0},(133,367):{'3_1':0.0},(133,366):{'3_1':0.03},(133,365):{'7_1':0.0},(133,364):{'3_1':0.03},(133,363):{'3_1':0.0},(133,362):{'3_1':0.0},(133,360):{'3_1':0.0},(133,359):{'3_1':0.0},(133,357):{'3_1':0.0,'5_1':0.0},(133,356):{'3_1':0.0},(133,355):{'3_1':0.0},(133,354):{'3_1':0.0},(133,353):{'3_1':0.0},(133,351):{'3_1':0.0},(133,350):{'3_1':0.0},(133,349):{'3_1':0.0},(133,348):{'3_1':0.0},(133,346):{'3_1':0.03},(133,345):{'3_1':0.0},(133,344):{'3_1':0.0},(133,338):{'3_1':0.0},(133,337):{'3_1':0.0},(133,336):{'3_1':0.0},(133,335):{'3_1':0.0},(133,334):{'3_1':0.0},(133,332):{'3_1':0.0},(133,331):{'3_1':0.0,'5_1':0.0},(133,330):{'3_1':0.0},(133,327):{'3_1':0.0},(133,326):{'3_1':0.0},(133,325):{'3_1':0.0},(133,324):{'3_1':0.0},(133,323):{'3_1':0.0},(133,322):{'3_1':0.0},(133,321):{'3_1':0.0,'4_1':0.0},(133,320):{'3_1':0.0},(133,319):{'3_1':0.0},(133,318):{'3_1':0.0},(133,317):{'3_1':0.0},(133,316):{'3_1':0.0},(133,315):{'3_1':0.0,'5_1':0.0},(133,314):{'3_1':0.0,'4_1':0.0},(133,312):{'3_1':0.0},(133,311):{'4_1':0.0},(133,310):{'3_1':0.0},(133,309):{'3_1':0.0},(133,308):{'3_1':0.0},(133,307):{'3_1':0.0},(133,305):{'3_1':0.0},(133,304):{'3_1':0.03,'4_1':0.0},(133,303):{'3_1':0.0},(133,302):{'3_1':0.0},(133,301):{'3_1':0.03},(133,300):{'3_1':0.0},(133,299):{'3_1':0.0},(133,298):{'4_1':0.0},(133,297):{'3_1':0.0},(133,296):{'3_1':0.0,'4_1':0.0},(133,295):{'3_1':0.0},(133,294):{'3_1':0.0},(133,293):{'3_1':0.03},(133,292):{'3_1':0.0},(133,291):{'3_1':0.0},(133,290):{'3_1':0.03},(133,289):{'3_1':0.0},(133,287):{'3_1':0.0},(133,286):{'3_1':0.0},(133,285):{'3_1':0.0},(133,284):{'3_1':0.03},(133,283):{'3_1':0.0},(133,282):{'3_1':0.0},(133,281):{'3_1':0.0},(133,280):{'3_1':0.0},(133,279):{'3_1':0.0,'5_1':0.0},(133,278):{'3_1':0.0},(133,277):{'3_1':0.0},(133,276):{'3_1':0.0},(133,275):{'3_1':0.0},(133,274):{'3_1':0.0},(133,272):{'3_1':0.0},(133,271):{'3_1':0.03},(133,270):{'3_1':0.06,'5_2':0.0},(133,269):{'3_1':0.0},(133,268):{'3_1':0.0},(133,267):{'3_1':0.03},(133,266):{'3_1':0.0,'4_1':0.0},(133,265):{'3_1':0.03},(133,264):{'3_1':0.0},(133,263):{'4_1':0.0},(133,262):{'3_1':0.03},(133,260):{'3_1':0.0},(133,259):{'3_1':0.0},(133,258):{'3_1':0.0,'4_1':0.0},(133,257):{'3_1':0.0},(133,256):{'4_1':0.0},(133,253):{'3_1':0.0,'4_1':0.0},(133,252):{'4_1':0.0,'5_1':0.0},(133,249):{'3_1':0.0},(133,248):{'3_1':0.0,'4_1':0.0},(133,247):{'3_1':0.0},(133,246):{'3_1':0.0},(133,245):{'5_1':0.0,'7_1':0.0},(133,244):{'3_1':0.0,'5_1':0.0},(133,243):{'3_1':0.0},(133,242):{'3_1':0.0,'4_1':0.0},(133,241):{'3_1':0.0,'4_1':0.0},(133,240):{'4_1':0.0,'5_1':0.0},(133,239):{'4_1':0.0},(133,238):{'3_1':0.0},(133,237):{'3_1':0.0},(133,236):{'3_1':0.0},(133,235):{'3_1':0.0},(133,234):{'4_1':0.0},(133,233):{'3_1':0.0,'4_1':0.0},(133,232):{'3_1':0.0},(133,230):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(133,228):{'3_1':0.0},(133,227):{'3_1':0.0},(133,224):{'3_1':0.0},(133,223):{'3_1':0.0},(133,222):{'3_1':0.0},(133,220):{'3_1':0.0},(133,214):{'3_1':0.0},(133,211):{'3_1':0.0},(133,209):{'3_1':0.0},(133,206):{'5_1':0.0},(133,204):{'3_1':0.0},(133,203):{'3_1':0.0},(133,201):{'3_1':0.0,'4_1':0.0},(133,200):{'3_1':0.0},(133,199):{'4_1':0.0},(133,198):{'3_1':0.0},(133,197):{'3_1':0.0},(133,191):{'3_1':0.0},(134,727):{'5_2':0.72,'-3':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(134,726):{'5_2':0.66,'7_2':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0},(134,725):{'5_2':0.54,'-3':0.09,'7_4':0.09,'3_1':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(134,724):{'5_2':0.6,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_13':0.0,'8_19':0.0,'1':-0.03},(134,723):{'5_2':0.6,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(134,722):{'5_2':0.51,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'1':-0.03},(134,721):{'5_2':0.63,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'8_8':0.0,'3_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(134,720):{'5_2':0.54,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(134,719):{'5_2':0.45,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0},(134,718):{'5_2':0.48,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(134,717):{'5_2':0.51,'-3':0.06,'7_3':0.06,'7_2':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(134,716):{'5_2':0.66,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0},(134,715):{'5_2':0.66,'3_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0},(134,714):{'5_2':0.48,'7_2':0.09,'-3':0.09,'7_3':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_13':0.0},(134,713):{'5_2':0.54,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0},(134,712):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.0,'7_5':0.0,'5_1':0.0},(134,711):{'5_2':0.48,'-3':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(134,710):{'5_2':0.54,'-3':0.18,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(134,709):{'5_2':0.42,'-3':0.15,'7_2':0.06,'5_1':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'1':-0.03},(134,708):{'5_2':0.45,'-3':0.09,'5_1':0.06,'7_5':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0,'1':-0.03},(134,707):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0},(134,706):{'5_2':0.51,'3_1':0.09,'7_4':0.09,'7_3':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(134,705):{'5_2':0.6,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(134,704):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'8_8':0.0},(134,703):{'5_2':0.51,'3_1':0.09,'7_2':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0},(134,702):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(134,701):{'5_2':0.45,'7_4':0.12,'3_1':0.12,'7_2':0.09,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(134,700):{'5_2':0.51,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0},(134,699):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0},(134,698):{'5_2':0.45,'3_1':0.09,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(134,697):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(134,696):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(134,695):{'5_2':0.39,'3_1':0.18,'-3':0.12,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0},(134,694):{'5_2':0.36,'3_1':0.21,'7_3':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'8_11':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(134,693):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(134,692):{'5_2':0.42,'3_1':0.18,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'8_11':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(134,691):{'5_2':0.39,'3_1':0.24,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(134,690):{'5_2':0.42,'3_1':0.21,'-3':0.09,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(134,689):{'5_2':0.36,'3_1':0.21,'7_4':0.12,'-3':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(134,688):{'5_2':0.42,'3_1':0.21,'7_3':0.03,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_7':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(134,687):{'5_2':0.39,'3_1':0.21,'7_3':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(134,686):{'5_2':0.3,'3_1':0.3,'-3':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(134,685):{'3_1':0.33,'5_2':0.3,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0},(134,684):{'5_2':0.3,'3_1':0.27,'7_4':0.09,'7_3':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(134,683):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'-3':0.06,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(134,682):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_15':0.0},(134,681):{'3_1':0.51,'5_2':0.18,'7_3':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(134,680):{'3_1':0.45,'5_2':0.27,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(134,679):{'3_1':0.51,'5_2':0.21,'7_4':0.06,'7_3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0},(134,678):{'3_1':0.45,'5_2':0.24,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(134,677):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(134,676):{'3_1':0.42,'5_2':0.24,'7_4':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0},(134,675):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'7_7':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(134,674):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(134,673):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(134,672):{'3_1':0.69,'5_2':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0},(134,671):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(134,670):{'3_1':0.66,'7_4':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0},(134,669):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'7_7':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0},(134,668):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(134,667):{'3_1':0.51,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(134,666):{'3_1':0.57,'5_2':0.09,'7_4':0.06,'7_7':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0},(134,665):{'3_1':0.63,'5_2':0.12,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(134,664):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(134,663):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'-3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(134,662):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(134,661):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0},(134,660):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.06,'7_7':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(134,659):{'3_1':0.51,'5_2':0.18,'5_1':0.03,'7_4':0.03,'7_1':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(134,658):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'7_3':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(134,657):{'3_1':0.57,'5_2':0.12,'5_1':0.06,'7_4':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(134,656):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'8_19':0.0},(134,655):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'5_1':0.03,'7_7':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(134,654):{'3_1':0.54,'5_2':0.18,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(134,653):{'3_1':0.42,'5_2':0.24,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_7':0.0,'7_2':0.0,'-3':0.0},(134,652):{'3_1':0.42,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(134,651):{'3_1':0.42,'5_2':0.24,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(134,650):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(134,649):{'3_1':0.48,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(134,648):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(134,647):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_16':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(134,646):{'3_1':0.39,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(134,645):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(134,644):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'7_7':0.0,'7_3':0.0,'7_1':0.0},(134,643):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_11':0.0,'8_16':0.0},(134,642):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'7_5':0.0,'7_7':0.0},(134,641):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(134,640):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(134,639):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'7_3':0.0,'4_1':0.0,'7_7':0.0},(134,638):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_5':0.0},(134,637):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(134,636):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'3_1#5_1':0.0},(134,635):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(134,634):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0},(134,633):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(134,632):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0},(134,631):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(134,630):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(134,629):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(134,628):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(134,627):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(134,626):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(134,625):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'8_16':0.0},(134,624):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(134,623):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0},(134,622):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(134,621):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(134,620):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0},(134,619):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0,'6_1':0.0,'-3':0.0},(134,618):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0},(134,617):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(134,616):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.0,'7_7':0.0},(134,615):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03},(134,614):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0},(134,613):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0},(134,612):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_7':0.0,'5_1':0.0,'6_2':0.0},(134,611):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(134,610):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(134,609):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(134,608):{'3_1':0.15,'7_7':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(134,607):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_7':0.0},(134,606):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(134,605):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(134,604):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_7':0.0,'5_2':0.0},(134,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0},(134,602):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(134,601):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0},(134,600):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(134,599):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(134,598):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(134,597):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0},(134,596):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(134,595):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0},(134,594):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0},(134,593):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(134,592):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0},(134,591):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_6':0.0},(134,590):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(134,589):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'5_2':0.0},(134,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_5':0.0},(134,587):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(134,586):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(134,585):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(134,584):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_6':0.0,'5_1':0.0},(134,583):{'4_1':0.18,'3_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'9_1':0.0},(134,582):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(134,581):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(134,580):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(134,579):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(134,578):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(134,577):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(134,576):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(134,575):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(134,574):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(134,573):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'7_3':0.0},(134,572):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(134,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(134,570):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'6_1':0.0},(134,569):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(134,568):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(134,567):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(134,566):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'7_3':0.0},(134,565):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'7_3':0.0},(134,564):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(134,563):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0},(134,562):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_3':0.0},(134,561):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(134,560):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_6':0.0},(134,559):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0},(134,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(134,557):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(134,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(134,555):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0},(134,554):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(134,553):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(134,552):{'3_1':0.12,'4_1':0.03},(134,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(134,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(134,549):{'3_1':0.12,'4_1':0.0},(134,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(134,547):{'3_1':0.15,'4_1':0.0},(134,546):{'3_1':0.15,'5_2':0.0},(134,545):{'3_1':0.15,'7_3':0.0,'5_1':0.0,'5_2':0.0},(134,544):{'3_1':0.15,'4_1':0.0},(134,543):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(134,542):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(134,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(134,540):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(134,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(134,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(134,537):{'3_1':0.15,'5_1':0.0},(134,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(134,535):{'3_1':0.12,'5_2':0.0},(134,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(134,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(134,532):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(134,531):{'3_1':0.15,'5_2':0.0},(134,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(134,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(134,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(134,527):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(134,526):{'3_1':0.09,'4_1':0.0},(134,525):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(134,524):{'3_1':0.06,'5_1':0.0},(134,523):{'3_1':0.06,'4_1':0.0},(134,522):{'3_1':0.06,'4_1':0.0},(134,521):{'3_1':0.03,'4_1':0.0},(134,520):{'3_1':0.03,'5_1':0.0},(134,519):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(134,518):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,517):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(134,516):{'3_1':0.0,'5_1':0.0},(134,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(134,514):{'3_1':0.03,'4_1':0.0},(134,513):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(134,512):{'3_1':0.03,'4_1':0.0},(134,511):{'3_1':0.0,'4_1':0.0},(134,510):{'3_1':0.0},(134,509):{'3_1':0.03,'5_1':0.0},(134,508):{'3_1':0.03},(134,507):{'3_1':0.03,'4_1':0.0},(134,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(134,505):{'3_1':0.0},(134,504):{'3_1':0.03,'5_2':0.0},(134,503):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(134,502):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(134,501):{'3_1':0.0},(134,500):{'3_1':0.03,'5_1':0.0},(134,499):{'3_1':0.0},(134,498):{'3_1':0.0},(134,497):{'3_1':0.0},(134,496):{'3_1':0.0,'5_1':0.0},(134,495):{'3_1':0.03},(134,494):{'3_1':0.0,'5_2':0.0},(134,493):{'3_1':0.03},(134,492):{'3_1':0.0},(134,491):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(134,490):{'3_1':0.03,'5_1':0.0},(134,489):{'3_1':0.03},(134,488):{'3_1':0.06},(134,487):{'3_1':0.06,'5_1':0.0},(134,486):{'3_1':0.0,'5_1':0.0},(134,485):{'3_1':0.06,'7_1':0.0},(134,484):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(134,483):{'3_1':0.03},(134,482):{'3_1':0.03,'5_1':0.0},(134,481):{'3_1':0.03,'5_2':0.0},(134,480):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,479):{'3_1':0.12,'5_1':0.0},(134,478):{'3_1':0.03,'4_1':0.0},(134,477):{'3_1':0.03},(134,476):{'3_1':0.06},(134,475):{'3_1':0.03,'5_1':0.0},(134,474):{'3_1':0.03,'5_1':0.0},(134,473):{'3_1':0.0},(134,472):{'3_1':0.03,'5_1':0.0},(134,471):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(134,470):{'3_1':0.03,'4_1':0.0},(134,469):{'3_1':0.0,'5_2':0.0},(134,468):{'3_1':0.03,'5_2':0.0},(134,467):{'3_1':0.03},(134,466):{'3_1':0.0,'4_1':0.0},(134,465):{'3_1':0.0},(134,464):{'3_1':0.03,'5_2':0.0},(134,463):{'3_1':0.0},(134,462):{'3_1':0.03,'4_1':0.0},(134,461):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(134,459):{'3_1':0.0,'4_1':0.0},(134,458):{'3_1':0.0,'4_1':0.0},(134,457):{'3_1':0.0},(134,456):{'3_1':0.0},(134,455):{'3_1':0.0},(134,454):{'3_1':0.03},(134,453):{'3_1':0.0,'5_2':0.0},(134,452):{'3_1':0.0,'5_1':0.0},(134,451):{'4_1':0.0,'3_1':0.0},(134,450):{'4_1':0.0,'3_1':0.0},(134,449):{'4_1':0.0,'3_1':0.0},(134,448):{'3_1':0.0,'6_2':0.0},(134,447):{'3_1':0.0},(134,446):{'3_1':0.0},(134,445):{'3_1':0.03,'4_1':0.0},(134,444):{'3_1':0.03,'5_2':0.0},(134,442):{'3_1':0.03,'4_1':0.0},(134,441):{'4_1':0.0},(134,440):{'3_1':0.03},(134,439):{'3_1':0.03,'8_20|3_1#3_1':0.0},(134,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,437):{'3_1':0.0},(134,436):{'3_1':0.09},(134,435):{'3_1':0.06,'4_1':0.0},(134,434):{'3_1':0.03,'5_1':0.0},(134,433):{'3_1':0.0,'4_1':0.0},(134,432):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(134,431):{'3_1':0.0},(134,430):{'3_1':0.06,'6_2':0.0},(134,429):{'3_1':0.03,'4_1':0.0},(134,428):{'3_1':0.06,'4_1':0.0},(134,427):{'3_1':0.03},(134,426):{'3_1':0.09,'4_1':0.0},(134,425):{'3_1':0.0},(134,424):{'4_1':0.0,'3_1':0.0},(134,423):{'3_1':0.03,'4_1':0.03},(134,422):{'3_1':0.0,'4_1':0.0},(134,421):{'4_1':0.0},(134,420):{'4_1':0.0},(134,419):{'3_1':0.03,'4_1':0.0},(134,418):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,417):{'3_1':0.03,'4_1':0.0},(134,416):{'3_1':0.0,'5_2':0.0},(134,415):{'3_1':0.0,'4_1':0.0},(134,414):{'3_1':0.0,'5_1':0.0},(134,413):{'3_1':0.03,'4_1':0.0},(134,412):{'3_1':0.0},(134,411):{'3_1':0.0},(134,410):{'3_1':0.0},(134,409):{'3_1':0.0,'7_5':0.0},(134,407):{'3_1':0.0,'4_1':0.0},(134,406):{'3_1':0.0},(134,404):{'3_1':0.0,'4_1':0.0},(134,402):{'3_1':0.0},(134,399):{'3_1':0.0},(134,398):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(134,397):{'3_1':0.0},(134,396):{'4_1':0.0},(134,395):{'3_1':0.0},(134,394):{'4_1':0.0,'5_2':0.0},(134,393):{'4_1':0.0,'3_1':0.0},(134,391):{'4_1':0.0},(134,390):{'3_1':0.0,'4_1':0.0},(134,388):{'3_1':0.0,'4_1':0.0},(134,387):{'4_1':0.0},(134,386):{'3_1':0.0},(134,385):{'3_1':0.0},(134,384):{'3_1':0.03,'4_1':0.0},(134,383):{'3_1':0.03,'4_1':0.0},(134,381):{'3_1':0.0},(134,379):{'3_1':0.0},(134,378):{'5_1':0.0},(134,377):{'3_1':0.0},(134,376):{'3_1':0.0,'5_1':0.0},(134,375):{'3_1':0.0},(134,374):{'3_1':0.0,'5_1':0.0},(134,373):{'3_1':0.0,'5_1':0.0},(134,372):{'3_1':0.0},(134,370):{'3_1':0.03},(134,369):{'3_1':0.0,'5_1':0.0},(134,367):{'3_1':0.0},(134,363):{'5_1':0.0},(134,362):{'3_1':0.0,'4_1':0.0},(134,361):{'3_1':0.0},(134,359):{'3_1':0.0,'5_1':0.0},(134,357):{'3_1':0.0,'5_1':0.0},(134,356):{'3_1':0.0,'5_1':0.0},(134,355):{'3_1':0.0},(134,354):{'3_1':0.0},(134,353):{'3_1':0.0},(134,352):{'3_1':0.0},(134,351):{'3_1':0.0},(134,350):{'3_1':0.03},(134,348):{'3_1':0.0},(134,347):{'3_1':0.0},(134,346):{'3_1':0.0},(134,343):{'3_1':0.0},(134,342):{'3_1':0.0},(134,339):{'3_1':0.0},(134,338):{'5_1':0.0},(134,337):{'3_1':0.0},(134,336):{'3_1':0.0},(134,335):{'3_1':0.0},(134,334):{'3_1':0.0},(134,332):{'3_1':0.0},(134,331):{'3_1':0.0,'5_1':0.0},(134,330):{'3_1':0.0},(134,328):{'4_1':0.0},(134,327):{'3_1':0.0},(134,325):{'3_1':0.0},(134,324):{'3_1':0.0,'5_2':0.0},(134,322):{'3_1':0.03},(134,320):{'3_1':0.0},(134,319):{'3_1':0.0},(134,318):{'3_1':0.0,'5_1':0.0},(134,317):{'3_1':0.0},(134,315):{'3_1':0.0,'5_1':0.0},(134,314):{'3_1':0.0},(134,313):{'3_1':0.0},(134,311):{'3_1':0.0},(134,310):{'3_1':0.0},(134,309):{'3_1':0.0},(134,308):{'3_1':0.0},(134,307):{'3_1':0.0},(134,306):{'3_1':0.0},(134,305):{'3_1':0.0},(134,303):{'3_1':0.0},(134,300):{'3_1':0.0},(134,298):{'4_1':0.0},(134,297):{'3_1':0.0,'5_1':0.0},(134,296):{'3_1':0.0},(134,295):{'3_1':0.0},(134,294):{'3_1':0.0},(134,293):{'3_1':0.0},(134,292):{'3_1':0.0},(134,291):{'3_1':0.0},(134,287):{'3_1':0.0},(134,286):{'3_1':0.0},(134,285):{'3_1':0.0},(134,284):{'3_1':0.0},(134,283):{'3_1':0.0},(134,282):{'3_1':0.0},(134,280):{'3_1':0.0},(134,279):{'3_1':0.0},(134,278):{'3_1':0.0},(134,277):{'3_1':0.0},(134,276):{'3_1':0.0},(134,275):{'3_1':0.0,'4_1':0.0},(134,274):{'3_1':0.0,'4_1':0.0},(134,271):{'3_1':0.0},(134,270):{'3_1':0.0},(134,269):{'3_1':0.0},(134,268):{'3_1':0.03},(134,267):{'3_1':0.0},(134,266):{'3_1':0.0},(134,265):{'3_1':0.0},(134,263):{'3_1':0.0},(134,261):{'3_1':0.0},(134,260):{'3_1':0.0},(134,259):{'3_1':0.0},(134,258):{'3_1':0.0,'5_2':0.0},(134,257):{'3_1':0.0,'4_1':0.0},(134,254):{'4_1':0.0},(134,253):{'3_1':0.0},(134,252):{'3_1':0.0},(134,249):{'3_1':0.0},(134,248):{'3_1':0.0},(134,246):{'3_1':0.0,'4_1':0.0},(134,245):{'3_1':0.0},(134,244):{'5_1':0.0,'6_2':0.0},(134,243):{'3_1':0.0},(134,242):{'3_1':0.0,'6_2':0.0},(134,241):{'3_1':0.0},(134,240):{'3_1':0.0,'4_1':0.0},(134,239):{'3_1':0.0},(134,237):{'3_1':0.0,'4_1':0.0},(134,235):{'5_1':0.0},(134,234):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(134,233):{'3_1':0.0},(134,232):{'3_1':0.0},(134,229):{'3_1':0.0},(134,228):{'3_1':0.0},(134,224):{'4_1':0.0},(134,221):{'3_1':0.0},(134,220):{'3_1':0.0},(134,219):{'3_1':0.0},(134,217):{'3_1':0.0},(134,216):{'3_1':0.0},(134,206):{'3_1':0.0},(134,205):{'3_1':0.0,'4_1':0.0},(134,203):{'3_1':0.0},(134,201):{'3_1':0.0,'5_1':0.0},(134,200):{'3_1':0.0},(134,198):{'3_1':0.0},(134,195):{'3_1':0.0},(134,194):{'5_1':0.0},(134,192):{'5_1':0.0},(135,727):{'5_2':0.69,'7_4':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(135,726):{'5_2':0.69,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(135,725):{'5_2':0.63,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(135,724):{'5_2':0.69,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'3_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0},(135,723):{'5_2':0.57,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'5_1':0.0,'8_8':0.0},(135,722):{'5_2':0.57,'7_4':0.06,'-3':0.06,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0},(135,721):{'5_2':0.57,'-3':0.09,'7_2':0.06,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(135,720):{'5_2':0.66,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'3_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(135,719):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(135,718):{'5_2':0.54,'7_4':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0},(135,717):{'5_2':0.51,'3_1':0.09,'-3':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(135,716):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(135,715):{'5_2':0.54,'7_4':0.06,'7_2':0.06,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0},(135,714):{'5_2':0.54,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(135,713):{'5_2':0.51,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(135,712):{'5_2':0.45,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(135,711):{'5_2':0.45,'3_1':0.06,'7_2':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0},(135,710):{'5_2':0.54,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0,'1':-0.03},(135,709):{'5_2':0.42,'-3':0.27,'5_1':0.06,'7_5':0.03,'7_2':0.0,'6_1':0.0,'3_1':0.0,'7_1':0.0,'8_6':0.0},(135,708):{'5_2':0.6,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'1':-0.03},(135,707):{'5_2':0.63,'3_1':0.09,'7_4':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0,'9_1':0.0},(135,706):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.06,'4_1':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(135,705):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_3':0.06,'6_1':0.0,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(135,704):{'5_2':0.39,'3_1':0.15,'-3':0.09,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(135,703):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(135,702):{'5_2':0.54,'3_1':0.06,'7_4':0.06,'7_2':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_1':0.0},(135,701):{'5_2':0.45,'3_1':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0,'8_13':0.0},(135,700):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(135,699):{'5_2':0.48,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_7':0.0,'8_13':0.0},(135,698):{'5_2':0.48,'3_1':0.21,'7_4':0.09,'7_3':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(135,697):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'1':-0.03},(135,696):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_15':0.0},(135,695):{'5_2':0.36,'3_1':0.18,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(135,694):{'5_2':0.36,'3_1':0.15,'-3':0.12,'7_4':0.09,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(135,693):{'5_2':0.39,'3_1':0.18,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_15':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(135,692):{'5_2':0.48,'3_1':0.12,'7_4':0.06,'7_3':0.06,'-3':0.06,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(135,691):{'5_2':0.42,'3_1':0.15,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(135,690):{'5_2':0.33,'3_1':0.18,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'1':-0.03},(135,689):{'5_2':0.33,'3_1':0.27,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(135,688):{'5_2':0.33,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(135,687):{'5_2':0.33,'3_1':0.3,'7_4':0.09,'-3':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(135,686):{'3_1':0.3,'5_2':0.27,'7_4':0.12,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(135,685):{'3_1':0.36,'5_2':0.27,'7_4':0.09,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0},(135,684):{'3_1':0.33,'5_2':0.27,'5_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.03,'6_1':0.0},(135,683):{'3_1':0.33,'5_2':0.21,'7_4':0.12,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(135,682):{'3_1':0.36,'5_2':0.27,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(135,681):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_13':0.0},(135,680):{'5_2':0.33,'3_1':0.27,'7_4':0.15,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(135,679):{'3_1':0.45,'5_2':0.21,'-3':0.06,'7_4':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(135,678):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(135,677):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(135,676):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(135,675):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(135,674):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_7':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(135,673):{'3_1':0.63,'5_2':0.15,'7_4':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(135,672):{'3_1':0.63,'5_2':0.15,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(135,671):{'3_1':0.6,'5_2':0.09,'7_4':0.06,'7_7':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(135,670):{'3_1':0.6,'7_4':0.09,'5_2':0.06,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(135,669):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_7':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(135,668):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(135,667):{'3_1':0.63,'5_2':0.18,'7_4':0.06,'6_1':0.0,'7_7':0.0,'-3':0.0},(135,666):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(135,665):{'3_1':0.54,'5_2':0.15,'7_7':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(135,664):{'3_1':0.54,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(135,663):{'3_1':0.48,'5_2':0.24,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(135,662):{'3_1':0.48,'5_2':0.24,'7_4':0.06,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0},(135,661):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_13':0.0},(135,660):{'3_1':0.54,'5_2':0.09,'5_1':0.06,'7_4':0.06,'7_7':0.0,'7_6':0.0,'8_19':0.0},(135,659):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(135,658):{'3_1':0.45,'5_2':0.24,'7_4':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(135,657):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(135,656):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_19':0.0},(135,655):{'3_1':0.48,'5_2':0.12,'5_1':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'8_14':0.0},(135,654):{'3_1':0.51,'5_2':0.12,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(135,653):{'3_1':0.45,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(135,652):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(135,651):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(135,650):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_7':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(135,649):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(135,648):{'3_1':0.36,'5_2':0.18,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(135,647):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(135,646):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'7_7':0.0,'7_4':0.0,'4_1':0.0,'-3':0.0},(135,645):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_7':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(135,644):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(135,643):{'3_1':0.21,'5_2':0.21,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0},(135,642):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(135,641):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(135,640):{'5_2':0.27,'3_1':0.24,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_16':0.0},(135,639):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(135,638):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0},(135,637):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(135,636):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0},(135,635):{'3_1':0.15,'5_2':0.12,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(135,634):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_5':0.0},(135,633):{'3_1':0.21,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(135,632):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(135,631):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_4':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(135,630):{'3_1':0.18,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(135,629):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0},(135,628):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(135,627):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03},(135,626):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_9':0.0},(135,625):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.0,'8_16':0.0},(135,624):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0},(135,623):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(135,622):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0},(135,621):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(135,620):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0},(135,619):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(135,618):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(135,617):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_5':0.0,'1':-0.03},(135,616):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(135,615):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(135,614):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0},(135,613):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(135,612):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(135,611):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_5':0.0,'7_7':0.0,'-3':0.0},(135,610):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(135,609):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(135,608):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(135,607):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0},(135,606):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(135,605):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0},(135,604):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(135,603):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0},(135,602):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(135,601):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'8_19':0.0},(135,600):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(135,599):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_6':0.0},(135,598):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(135,597):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(135,596):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(135,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(135,594):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(135,593):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(135,592):{'3_1':0.15,'4_1':0.09,'5_2':0.03},(135,591):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_7':0.0},(135,590):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(135,589):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(135,588):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(135,587):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0},(135,586):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(135,585):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_7':0.0},(135,584):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0},(135,583):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0},(135,582):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0},(135,581):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0},(135,580):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(135,579):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0},(135,578):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(135,577):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(135,576):{'4_1':0.09,'3_1':0.09},(135,575):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(135,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(135,573):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(135,572):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(135,571):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(135,570):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0},(135,569):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(135,568):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(135,567):{'4_1':0.15,'3_1':0.03,'6_1':0.03,'5_1':0.0},(135,566):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_1':0.0,'8_6':0.0},(135,565):{'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0},(135,564):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0},(135,563):{'4_1':0.06,'3_1':0.03},(135,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(135,561):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(135,560):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(135,559):{'4_1':0.09,'3_1':0.06},(135,558):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(135,557):{'3_1':0.09,'4_1':0.0},(135,556):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(135,555):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(135,554):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(135,553):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(135,552):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(135,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(135,550):{'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0},(135,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(135,548):{'3_1':0.12,'4_1':0.0},(135,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(135,546):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(135,545):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(135,544):{'3_1':0.21,'4_1':0.0},(135,543):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(135,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(135,541):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(135,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(135,539):{'3_1':0.21,'5_2':0.0},(135,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(135,537):{'3_1':0.27,'5_1':0.0,'4_1':0.0},(135,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(135,535):{'3_1':0.18,'4_1':0.0},(135,534):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(135,533):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(135,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(135,531):{'3_1':0.15},(135,530):{'3_1':0.15,'5_2':0.0},(135,529):{'3_1':0.06,'5_1':0.0},(135,528):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(135,527):{'3_1':0.12},(135,526):{'3_1':0.06,'5_1':0.0},(135,525):{'3_1':0.03,'4_1':0.0},(135,524):{'3_1':0.06,'5_2':0.0},(135,523):{'3_1':0.03,'5_2':0.0},(135,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(135,521):{'3_1':0.06,'5_1':0.0},(135,520):{'3_1':0.06,'5_1':0.0},(135,519):{'3_1':0.03,'5_1':0.0},(135,518):{'3_1':0.03},(135,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,516):{'3_1':0.06,'6_1':0.0},(135,515):{'3_1':0.03},(135,514):{'3_1':0.03,'5_2':0.0},(135,513):{'3_1':0.03,'4_1':0.0},(135,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,511):{'3_1':0.0,'4_1':0.0},(135,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(135,509):{'3_1':0.03,'5_1':0.0},(135,508):{'3_1':0.0},(135,507):{'3_1':0.0,'4_1':0.0},(135,506):{'3_1':0.03},(135,505):{'3_1':0.0,'5_2':0.0},(135,504):{'3_1':0.03},(135,503):{'3_1':0.03,'4_1':0.0},(135,502):{'3_1':0.0},(135,501):{'3_1':0.03,'5_2':0.0},(135,500):{'3_1':0.0,'5_1':0.0},(135,499):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(135,498):{'3_1':0.06,'5_2':0.0},(135,497):{'3_1':0.0},(135,496):{'3_1':0.0,'5_2':0.0},(135,495):{'3_1':0.03},(135,494):{'5_1':0.0,'3_1':0.0},(135,493):{'3_1':0.0},(135,492):{'3_1':0.03,'5_1':0.0},(135,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(135,490):{'3_1':0.03,'6_2':0.0},(135,489):{'3_1':0.06,'4_1':0.0},(135,488):{'3_1':0.03,'5_1':0.0},(135,487):{'3_1':0.03,'5_2':0.0},(135,486):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,485):{'3_1':0.03,'5_2':0.0},(135,484):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(135,483):{'3_1':0.06,'4_1':0.0},(135,482):{'3_1':0.03},(135,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(135,480):{'3_1':0.06,'5_2':0.0},(135,479):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(135,478):{'3_1':0.06,'5_2':0.0},(135,477):{'3_1':0.03},(135,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(135,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(135,474):{'3_1':0.03},(135,473):{'3_1':0.0,'4_1':0.0},(135,472):{'3_1':0.06},(135,471):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(135,470):{'3_1':0.06},(135,469):{'3_1':0.0},(135,468):{'3_1':0.03,'4_1':0.0},(135,467):{'3_1':0.03},(135,466):{'3_1':0.0},(135,465):{'3_1':0.03},(135,464):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(135,463):{'3_1':0.03,'4_1':0.0},(135,462):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(135,461):{'3_1':0.0},(135,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(135,459):{'3_1':0.03,'4_1':0.0},(135,458):{'3_1':0.0},(135,457):{'3_1':0.03},(135,456):{'3_1':0.0},(135,455):{'3_1':0.0},(135,454):{'4_1':0.0,'5_1':0.0},(135,453):{'3_1':0.03,'5_1':0.0},(135,452):{'3_1':0.0,'4_1':0.0},(135,451):{'3_1':0.03,'4_1':0.0},(135,450):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(135,449):{'3_1':0.0},(135,448):{'3_1':0.0},(135,447):{'3_1':0.03},(135,446):{'3_1':0.0},(135,445):{'3_1':0.03,'5_1':0.0},(135,444):{'3_1':0.0,'4_1':0.0},(135,443):{'3_1':0.03},(135,442):{'3_1':0.03},(135,441):{'3_1':0.0},(135,440):{'3_1':0.06,'4_1':0.0},(135,439):{'3_1':0.0},(135,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,437):{'3_1':0.03,'4_1':0.0},(135,436):{'3_1':0.03},(135,435):{'3_1':0.0},(135,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(135,433):{'3_1':0.0,'4_1':0.0},(135,432):{'3_1':0.03},(135,431):{'3_1':0.03,'4_1':0.0},(135,430):{'3_1':0.0},(135,429):{'3_1':0.0},(135,428):{'3_1':0.03},(135,427):{'3_1':0.03,'4_1':0.0},(135,426):{'3_1':0.06,'4_1':0.0},(135,425):{'3_1':0.06},(135,424):{'3_1':0.03,'4_1':0.0},(135,423):{'3_1':0.0,'4_1':0.0},(135,422):{'3_1':0.03},(135,421):{'3_1':0.03},(135,420):{'3_1':0.0,'5_2':0.0},(135,419):{'3_1':0.03,'5_2':0.0},(135,418):{'3_1':0.03,'5_2':0.0},(135,417):{'3_1':0.0,'4_1':0.0},(135,416):{'3_1':0.03},(135,415):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(135,414):{'4_1':0.0,'3_1':0.0},(135,413):{'3_1':0.0},(135,412):{'3_1':0.0,'5_1':0.0},(135,411):{'3_1':0.0,'4_1':0.0},(135,410):{'3_1':0.0,'3_1#5_1':0.0},(135,409):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,408):{'4_1':0.0},(135,407):{'3_1':0.0,'4_1':0.0},(135,406):{'3_1':0.03,'4_1':0.0},(135,405):{'3_1':0.0},(135,404):{'3_1':0.0},(135,403):{'3_1':0.0,'4_1':0.0},(135,402):{'3_1':0.0},(135,401):{'3_1':0.0},(135,400):{'3_1':0.0},(135,399):{'3_1':0.0},(135,398):{'3_1':0.0,'4_1':0.0,'-3':0.0},(135,397):{'3_1':0.0},(135,396):{'3_1':0.0},(135,395):{'3_1':0.0},(135,394):{'3_1':0.0,'4_1':0.0},(135,393):{'3_1':0.0},(135,391):{'3_1':0.0,'4_1':0.0},(135,390):{'3_1':0.0},(135,387):{'3_1':0.0},(135,386):{'3_1':0.0,'4_1':0.0},(135,385):{'3_1':0.0},(135,383):{'3_1':0.0,'4_1':0.0},(135,382):{'4_1':0.0},(135,381):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(135,379):{'3_1':0.0},(135,378):{'3_1':0.03},(135,377):{'3_1':0.0},(135,376):{'3_1':0.0},(135,375):{'3_1':0.0},(135,374):{'3_1':0.0,'4_1':0.0},(135,373):{'3_1':0.0,'5_1':0.0},(135,372):{'3_1':0.0},(135,371):{'3_1':0.0},(135,370):{'3_1':0.0},(135,367):{'3_1':0.03,'5_1':0.0},(135,366):{'3_1':0.0,'5_1':0.0},(135,365):{'3_1':0.0},(135,364):{'3_1':0.0},(135,363):{'3_1':0.0,'5_1':0.0},(135,362):{'3_1':0.0},(135,361):{'3_1':0.0},(135,360):{'3_1':0.0,'7_1':0.0},(135,359):{'3_1':0.0},(135,358):{'3_1':0.0,'8_20|3_1#3_1':0.0},(135,357):{'3_1':0.03},(135,356):{'3_1':0.0},(135,355):{'3_1':0.0},(135,353):{'3_1':0.0},(135,352):{'3_1':0.0},(135,351):{'3_1':0.03},(135,350):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(135,349):{'3_1':0.0},(135,347):{'3_1':0.03},(135,345):{'3_1':0.0},(135,344):{'3_1':0.0},(135,343):{'3_1':0.0},(135,342):{'3_1':0.0},(135,341):{'3_1':0.0},(135,339):{'3_1':0.0},(135,338):{'3_1':0.0},(135,337):{'3_1':0.0},(135,336):{'3_1':0.0},(135,335):{'3_1':0.03,'5_1':0.0},(135,332):{'3_1':0.0,'5_2':0.0},(135,331):{'3_1':0.0},(135,330):{'3_1':0.0},(135,329):{'3_1':0.0},(135,328):{'3_1':0.0},(135,327):{'3_1':0.0},(135,325):{'3_1':0.0},(135,324):{'3_1':0.0},(135,323):{'3_1':0.0},(135,322):{'3_1':0.0},(135,321):{'3_1':0.0},(135,320):{'3_1':0.0},(135,318):{'3_1':0.0},(135,316):{'3_1':0.0},(135,315):{'3_1':0.0},(135,314):{'3_1':0.0},(135,313):{'3_1':0.0},(135,312):{'3_1':0.0},(135,311):{'3_1':0.0},(135,309):{'3_1':0.0},(135,308):{'3_1':0.0},(135,307):{'3_1':0.03},(135,306):{'3_1':0.0},(135,305):{'3_1':0.0,'4_1':0.0},(135,304):{'3_1':0.0},(135,303):{'3_1':0.0},(135,302):{'3_1':0.0,'4_1':0.0},(135,301):{'3_1':0.03},(135,298):{'3_1':0.03},(135,297):{'3_1':0.0,'4_1':0.0},(135,296):{'5_2':0.0},(135,294):{'3_1':0.03},(135,292):{'3_1':0.0},(135,291):{'3_1':0.0},(135,290):{'3_1':0.03},(135,289):{'3_1':0.0},(135,285):{'3_1':0.0},(135,284):{'3_1':0.0},(135,283):{'3_1':0.0},(135,282):{'3_1':0.0},(135,279):{'3_1':0.0},(135,278):{'4_1':0.0},(135,277):{'3_1':0.0},(135,276):{'3_1':0.0},(135,275):{'3_1':0.0},(135,274):{'3_1':0.0},(135,273):{'3_1':0.0},(135,272):{'3_1':0.0,'4_1':0.0},(135,269):{'3_1':0.0},(135,268):{'3_1':0.0},(135,267):{'3_1':0.0,'5_1':0.0},(135,266):{'3_1':0.0},(135,265):{'4_1':0.0},(135,263):{'3_1':0.0},(135,262):{'3_1':0.0},(135,261):{'3_1':0.0,'4_1':0.0},(135,260):{'3_1':0.0},(135,259):{'3_1':0.0},(135,258):{'3_1':0.0},(135,255):{'3_1':0.0},(135,250):{'3_1':0.0},(135,249):{'3_1':0.0,'4_1':0.0},(135,248):{'3_1':0.0},(135,246):{'3_1':0.0},(135,245):{'3_1':0.0},(135,244):{'3_1':0.0,'5_1':0.0},(135,243):{'3_1':0.0},(135,242):{'3_1':0.0},(135,241):{'3_1':0.0,'4_1':0.0},(135,240):{'3_1':0.0},(135,239):{'3_1':0.0},(135,238):{'3_1':0.0},(135,237):{'3_1':0.0,'4_1':0.0},(135,236):{'3_1':0.0},(135,235):{'3_1':0.0,'5_1':0.0},(135,234):{'3_1':0.0},(135,233):{'3_1':0.0},(135,232):{'3_1':0.0},(135,231):{'5_1':0.0},(135,230):{'3_1':0.0,'5_1':0.0},(135,226):{'3_1':0.0},(135,223):{'5_1':0.0},(135,222):{'3_1':0.0},(135,220):{'3_1':0.0},(135,218):{'3_1':0.0},(135,216):{'3_1':0.0},(135,215):{'3_1':0.0},(135,214):{'3_1':0.0},(135,212):{'3_1':0.0},(135,206):{'3_1':0.0},(135,205):{'3_1':0.0,'4_1':0.0},(135,201):{'3_1':0.0},(135,200):{'3_1':0.0},(135,199):{'3_1':0.0},(135,197):{'3_1':0.0},(135,196):{'3_1':0.0},(135,192):{'5_1':0.0},(135,191):{'3_1':0.0},(135,138):{'3_1':0.0},(136,727):{'5_2':0.72,'7_4':0.06,'3_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(136,726):{'5_2':0.6,'-3':0.12,'7_2':0.06,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(136,725):{'5_2':0.6,'-3':0.09,'7_4':0.06,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0},(136,724):{'5_2':0.66,'-3':0.06,'7_2':0.06,'7_4':0.03,'4_1':0.0,'7_5':0.0,'3_1':0.0,'6_1':0.0},(136,723):{'5_2':0.57,'-3':0.09,'7_2':0.06,'7_4':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(136,722):{'5_2':0.6,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(136,721):{'5_2':0.57,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_7':0.0,'8_8':0.0,'1':-0.03},(136,720):{'5_2':0.57,'-3':0.09,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0},(136,719):{'5_2':0.66,'3_1':0.06,'-3':0.03,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(136,718):{'5_2':0.57,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(136,717):{'5_2':0.6,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'8_13':0.0,'2':-0.03},(136,716):{'5_2':0.63,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'1':-0.03},(136,715):{'5_2':0.57,'3_1':0.06,'7_2':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(136,714):{'5_2':0.54,'3_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(136,713):{'5_2':0.51,'3_1':0.06,'7_4':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'2':-0.03},(136,712):{'5_2':0.54,'-3':0.12,'7_4':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(136,711):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(136,710):{'5_2':0.51,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(136,709):{'5_2':0.54,'-3':0.12,'5_1':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'9_1':0.0,'1':-0.03},(136,708):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(136,707):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(136,706):{'5_2':0.57,'7_4':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'8_8':0.0,'6_1':0.0,'7_5':0.0},(136,705):{'5_2':0.39,'-3':0.09,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0},(136,704):{'5_2':0.48,'3_1':0.18,'7_3':0.06,'-3':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(136,703):{'5_2':0.51,'-3':0.06,'3_1':0.06,'7_4':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(136,702):{'5_2':0.48,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(136,701):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(136,700):{'5_2':0.45,'-3':0.12,'3_1':0.12,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0},(136,699):{'5_2':0.39,'3_1':0.12,'7_4':0.09,'-3':0.09,'7_5':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(136,698):{'5_2':0.42,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_2':0.03,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(136,697):{'5_2':0.36,'3_1':0.18,'-3':0.12,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(136,696):{'5_2':0.45,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_2':0.03,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(136,695):{'5_2':0.42,'3_1':0.15,'-3':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(136,694):{'5_2':0.33,'3_1':0.12,'7_4':0.09,'-3':0.09,'7_2':0.06,'7_3':0.03,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(136,693):{'5_2':0.33,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(136,692):{'5_2':0.45,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(136,691):{'5_2':0.36,'3_1':0.24,'-3':0.09,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0},(136,690):{'5_2':0.27,'3_1':0.24,'-3':0.09,'7_4':0.09,'7_3':0.03,'7_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(136,689):{'5_2':0.39,'3_1':0.24,'7_4':0.09,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0},(136,688):{'5_2':0.39,'3_1':0.21,'-3':0.15,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0},(136,687):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'5_1':0.06,'7_2':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(136,686):{'3_1':0.3,'5_2':0.3,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(136,685):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'7_3':0.06,'-3':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(136,684):{'5_2':0.36,'3_1':0.21,'7_4':0.12,'7_2':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(136,683):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0},(136,682):{'3_1':0.39,'5_2':0.24,'7_4':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(136,681):{'3_1':0.42,'5_2':0.24,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(136,680):{'3_1':0.39,'5_2':0.24,'7_3':0.06,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(136,679):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(136,678):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'-3':0.03,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(136,677):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0},(136,676):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(136,675):{'3_1':0.6,'5_2':0.12,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(136,674):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(136,673):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(136,672):{'3_1':0.6,'5_2':0.12,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(136,671):{'3_1':0.57,'5_2':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(136,670):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'7_2':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(136,669):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(136,668):{'3_1':0.6,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0},(136,667):{'3_1':0.57,'5_2':0.09,'7_4':0.09,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,666):{'3_1':0.6,'5_2':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0},(136,665):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'7_2':0.0,'-3':0.0},(136,664):{'3_1':0.45,'5_2':0.24,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_7':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(136,663):{'3_1':0.42,'5_2':0.24,'7_4':0.03,'7_7':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,662):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(136,661):{'3_1':0.54,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0},(136,660):{'3_1':0.57,'5_2':0.09,'7_7':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(136,659):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'5_1':0.0,'8_19':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(136,658):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_7':0.0,'8_16':0.0,'8_19':0.0},(136,657):{'3_1':0.54,'5_2':0.15,'5_1':0.0,'7_7':0.0,'7_5':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,656):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,655):{'3_1':0.45,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0},(136,654):{'3_1':0.51,'5_2':0.15,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(136,653):{'3_1':0.39,'5_2':0.24,'7_4':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(136,652):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(136,651):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(136,650):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,649):{'3_1':0.48,'5_2':0.15,'5_1':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0},(136,648):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_7':0.0,'7_4':0.0},(136,647):{'3_1':0.39,'5_2':0.18,'5_1':0.09,'7_4':0.03,'8_16':0.0,'8_19':0.0,'-3':0.0},(136,646):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(136,645):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(136,644):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.0,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(136,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(136,642):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'7_3':0.0,'7_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(136,641):{'3_1':0.3,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(136,640):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0},(136,639):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0},(136,638):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(136,637):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(136,636):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0},(136,635):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(136,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(136,633):{'3_1':0.12,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_11':0.0},(136,632):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_7':0.0},(136,631):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(136,630):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0},(136,629):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(136,628):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0},(136,627):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'8_19':0.0},(136,626):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(136,625):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_7':0.0,'-3':0.0},(136,624):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'-3':0.0},(136,623):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'8_2':0.0},(136,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(136,621):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0},(136,620):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0},(136,619):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(136,618):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0,'6_1':0.0},(136,617):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(136,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'7_2':0.0},(136,615):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_6':0.0},(136,614):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(136,613):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(136,612):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(136,611):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0},(136,610):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_7':0.0,'5_2':0.0,'7_5':0.0,'8_7':0.0},(136,609):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0},(136,608):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(136,607):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_5':0.0},(136,606):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(136,605):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(136,604):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0},(136,603):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(136,602):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(136,601):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'7_2':0.0,'-3':0.0},(136,600):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(136,599):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'5_2':0.0},(136,598):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_11':0.0},(136,597):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_19':0.0},(136,596):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_7':0.0},(136,595):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(136,594):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_7':0.0},(136,593):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(136,592):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0,'7_5':0.0},(136,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0},(136,590):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(136,589):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0},(136,588):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(136,587):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0},(136,586):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(136,585):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_6':0.0},(136,584):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0},(136,583):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(136,582):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(136,581):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(136,580):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(136,579):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_3':0.0},(136,578):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(136,577):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(136,576):{'4_1':0.15,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(136,575):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(136,574):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(136,573):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(136,572):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(136,571):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0},(136,570):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(136,569):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_14':0.0},(136,568):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(136,567):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0},(136,566):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(136,565):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_2':0.0},(136,564):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0},(136,563):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(136,562):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(136,561):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0},(136,560):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0},(136,559):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(136,558):{'3_1':0.15,'4_1':0.03},(136,557):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(136,556):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(136,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(136,554):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(136,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(136,552):{'3_1':0.15,'4_1':0.0},(136,551):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(136,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(136,549):{'3_1':0.12,'4_1':0.0},(136,548):{'3_1':0.12,'5_2':0.0},(136,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(136,546):{'3_1':0.15,'4_1':0.0},(136,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(136,544):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(136,543):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(136,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0},(136,541):{'3_1':0.15,'5_2':0.0},(136,540):{'3_1':0.18,'5_2':0.0,'7_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(136,539):{'3_1':0.12,'5_2':0.0},(136,538):{'3_1':0.18,'7_1':0.0},(136,537):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(136,536):{'3_1':0.15,'5_1':0.0},(136,535):{'3_1':0.15,'7_5':0.0},(136,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(136,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(136,532):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(136,531):{'3_1':0.09,'5_1':0.0},(136,530):{'3_1':0.09,'5_2':0.0},(136,529):{'3_1':0.09,'5_1':0.0},(136,528):{'3_1':0.09,'5_2':0.0},(136,527):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(136,526):{'3_1':0.09,'5_1':0.0},(136,525):{'3_1':0.12},(136,524):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(136,523):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(136,522):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,520):{'3_1':0.03,'5_2':0.0},(136,519):{'3_1':0.09,'5_1':0.0},(136,518):{'3_1':0.0,'5_1':0.0},(136,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(136,516):{'3_1':0.06,'4_1':0.0},(136,515):{'3_1':0.03},(136,514):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(136,513):{'3_1':0.0,'5_2':0.0},(136,512):{'3_1':0.0,'4_1':0.0},(136,511):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(136,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(136,509):{'3_1':0.0},(136,508):{'3_1':0.0},(136,507):{'3_1':0.0,'4_1':0.0},(136,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(136,505):{'3_1':0.0},(136,504):{'3_1':0.06},(136,503):{'3_1':0.0,'5_2':0.0},(136,502):{'3_1':0.03},(136,501):{'3_1':0.03,'4_1':0.0},(136,500):{'3_1':0.0,'4_1':0.0},(136,499):{'3_1':0.06},(136,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(136,497):{'3_1':0.0,'5_2':0.0},(136,496):{'3_1':0.03,'4_1':0.0},(136,495):{'3_1':0.0},(136,494):{'3_1':0.03,'4_1':0.0},(136,493):{'3_1':0.06},(136,492):{'3_1':0.06},(136,491):{'3_1':0.03,'4_1':0.0},(136,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,489):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(136,488):{'3_1':0.06,'5_2':0.0},(136,487):{'3_1':0.06},(136,486):{'3_1':0.09,'5_2':0.0},(136,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(136,484):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(136,483):{'3_1':0.06},(136,482):{'3_1':0.09},(136,481):{'3_1':0.06},(136,480):{'3_1':0.03,'5_2':0.0},(136,479):{'3_1':0.06,'5_2':0.0},(136,478):{'3_1':0.06,'4_1':0.0},(136,477):{'3_1':0.0,'4_1':0.0},(136,476):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(136,475):{'3_1':0.06},(136,474):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(136,473):{'3_1':0.03},(136,472):{'3_1':0.06,'7_3':0.0},(136,471):{'3_1':0.03,'5_2':0.0},(136,470):{'3_1':0.03},(136,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(136,468):{'3_1':0.03,'4_1':0.0},(136,467):{'3_1':0.06,'5_2':0.0},(136,466):{'3_1':0.0},(136,465):{'3_1':0.0},(136,464):{'3_1':0.03,'4_1':0.0},(136,463):{'3_1':0.0},(136,462):{'3_1':0.0,'5_1':0.0},(136,461):{'3_1':0.03,'4_1':0.0},(136,460):{'3_1':0.03,'4_1':0.0},(136,459):{'3_1':0.0,'5_2':0.0},(136,458):{'3_1':0.03},(136,457):{'3_1':0.0,'6_1':0.0},(136,456):{'3_1':0.0,'4_1':0.0},(136,455):{'3_1':0.0,'4_1':0.0},(136,454):{'4_1':0.0},(136,453):{'3_1':0.03},(136,452):{'3_1':0.0,'4_1':0.0},(136,451):{'3_1':0.03,'5_1':0.0},(136,450):{'3_1':0.03,'4_1':0.0},(136,449):{'3_1':0.06},(136,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(136,447):{'3_1':0.0,'6_1':0.0},(136,446):{'3_1':0.0},(136,445):{'3_1':0.0},(136,444):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(136,443):{'3_1':0.03,'4_1':0.0},(136,442):{'3_1':0.0,'4_1':0.0},(136,441):{'3_1':0.03},(136,440):{'3_1':0.03,'4_1':0.0},(136,439):{'3_1':0.03},(136,438):{'3_1':0.06},(136,437):{'3_1':0.0},(136,436):{'3_1':0.0},(136,435):{'3_1':0.0},(136,434):{'3_1':0.0},(136,433):{'3_1':0.03,'4_1':0.0},(136,432):{'3_1':0.0,'4_1':0.0},(136,431):{'4_1':0.03,'3_1':0.0},(136,430):{'3_1':0.0,'4_1':0.0},(136,429):{'3_1':0.03,'6_1':0.0},(136,428):{'3_1':0.0,'4_1':0.0},(136,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(136,426):{'3_1':0.03,'4_1':0.0},(136,425):{'3_1':0.0,'4_1':0.0},(136,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(136,423):{'3_1':0.12},(136,422):{'3_1':0.06,'4_1':0.0},(136,421):{'3_1':0.0},(136,419):{'3_1':0.03,'4_1':0.0},(136,418):{'3_1':0.0,'6_1':0.0},(136,417):{'3_1':0.03,'5_1':0.0},(136,416):{'3_1':0.0,'4_1':0.0},(136,415):{'3_1':0.03,'4_1':0.0},(136,414):{'3_1':0.03},(136,413):{'3_1':0.0,'4_1':0.0},(136,412):{'4_1':0.0},(136,411):{'3_1':0.0},(136,410):{'3_1':0.03,'7_1':0.0},(136,409):{'3_1':0.0},(136,408):{'3_1':0.0},(136,407):{'3_1':0.0},(136,406):{'3_1':0.0},(136,405):{'3_1':0.0,'5_2':0.0},(136,404):{'3_1':0.03},(136,403):{'3_1':0.03,'4_1':0.0},(136,395):{'3_1':0.0},(136,394):{'3_1':0.03,'4_1':0.0},(136,393):{'3_1':0.0},(136,392):{'4_1':0.0},(136,391):{'3_1':0.0,'4_1':0.0},(136,390):{'3_1':0.0},(136,389):{'3_1':0.0},(136,388):{'3_1':0.0,'4_1':0.0},(136,387):{'3_1':0.0},(136,386):{'3_1':0.0},(136,385):{'3_1':0.0},(136,383):{'3_1':0.0},(136,382):{'3_1':0.0},(136,381):{'3_1':0.0},(136,380):{'3_1':0.06},(136,378):{'3_1':0.0},(136,377):{'3_1':0.0},(136,376):{'3_1':0.06,'5_1':0.0},(136,375):{'3_1':0.0},(136,374):{'3_1':0.0,'5_1':0.0},(136,373):{'3_1':0.03},(136,372):{'3_1':0.03,'4_1':0.0},(136,371):{'3_1':0.0},(136,370):{'3_1':0.03},(136,369):{'3_1':0.03,'5_1':0.0},(136,368):{'3_1':0.0,'5_1':0.0},(136,367):{'3_1':0.0},(136,366):{'3_1':0.0,'5_1':0.0},(136,365):{'3_1':0.0},(136,364):{'3_1':0.03,'4_1':0.0},(136,363):{'3_1':0.0},(136,361):{'3_1':0.0,'7_1':0.0},(136,360):{'3_1':0.0,'5_1':0.0},(136,359):{'3_1':0.0},(136,357):{'3_1':0.0},(136,356):{'3_1':0.0},(136,355):{'3_1':0.0},(136,352):{'3_1':0.0},(136,351):{'3_1':0.0,'4_1':0.0},(136,350):{'3_1':0.0},(136,349):{'3_1':0.0,'4_1':0.0},(136,348):{'3_1':0.0,'5_1':0.0},(136,347):{'3_1':0.0,'4_1':0.0},(136,346):{'4_1':0.0},(136,345):{'3_1':0.0},(136,343):{'3_1':0.0},(136,337):{'3_1':0.0},(136,335):{'3_1':0.0},(136,334):{'3_1':0.0},(136,332):{'3_1':0.0},(136,331):{'3_1':0.0,'5_1':0.0},(136,330):{'3_1':0.0},(136,329):{'4_1':0.0},(136,327):{'3_1':0.0},(136,324):{'3_1':0.0},(136,323):{'3_1':0.0},(136,322):{'3_1':0.0},(136,319):{'3_1':0.0},(136,317):{'3_1':0.0},(136,314):{'3_1':0.0,'5_1':0.0},(136,313):{'3_1':0.0},(136,310):{'3_1':0.0},(136,309):{'3_1':0.0},(136,308):{'3_1':0.0},(136,307):{'3_1':0.0,'3_1#5_2':0.0},(136,306):{'3_1':0.0},(136,305):{'3_1':0.0},(136,303):{'3_1':0.0},(136,301):{'3_1':0.0,'6_2':0.0},(136,300):{'3_1':0.0},(136,298):{'4_1':0.0,'5_1':0.0},(136,297):{'3_1':0.0,'5_2':0.0},(136,296):{'3_1':0.0},(136,294):{'3_1':0.0},(136,293):{'3_1':0.0},(136,292):{'3_1':0.0},(136,291):{'3_1':0.0},(136,290):{'3_1':0.0},(136,289):{'3_1':0.0},(136,288):{'3_1':0.0},(136,287):{'3_1':0.0},(136,286):{'3_1':0.0},(136,285):{'3_1':0.0},(136,284):{'3_1':0.0},(136,283):{'3_1':0.0},(136,282):{'3_1':0.0},(136,280):{'3_1':0.0},(136,279):{'3_1':0.0},(136,277):{'3_1':0.0,'4_1':0.0},(136,276):{'3_1':0.03},(136,275):{'3_1':0.03},(136,274):{'3_1':0.03},(136,273):{'3_1':0.0},(136,272):{'3_1':0.0},(136,271):{'3_1':0.0},(136,270):{'3_1':0.03},(136,269):{'3_1':0.0,'4_1':0.0},(136,268):{'3_1':0.0},(136,266):{'3_1':0.0},(136,265):{'3_1':0.0},(136,264):{'3_1':0.03},(136,263):{'3_1':0.0},(136,262):{'3_1':0.03},(136,261):{'3_1':0.0},(136,260):{'3_1':0.0},(136,259):{'3_1':0.0},(136,258):{'3_1':0.0},(136,255):{'3_1':0.0,'5_1':0.0},(136,253):{'3_1':0.0},(136,252):{'4_1':0.0},(136,251):{'4_1':0.0},(136,250):{'3_1':0.0},(136,248):{'3_1':0.0},(136,247):{'3_1':0.0},(136,246):{'3_1':0.0},(136,245):{'3_1':0.0},(136,244):{'3_1':0.0},(136,243):{'3_1':0.0},(136,242):{'3_1':0.0},(136,240):{'4_1':0.0},(136,239):{'4_1':0.0},(136,238):{'3_1':0.0},(136,237):{'4_1':0.0},(136,236):{'3_1':0.0},(136,234):{'3_1':0.0},(136,233):{'3_1':0.0},(136,231):{'3_1':0.0},(136,230):{'3_1':0.0,'5_1':0.0},(136,229):{'3_1':0.0},(136,228):{'3_1':0.0},(136,227):{'3_1':0.0},(136,226):{'3_1':0.0},(136,224):{'3_1':0.0},(136,222):{'3_1':0.0},(136,221):{'3_1':0.0},(136,218):{'3_1':0.0},(136,217):{'3_1':0.0},(136,216):{'5_1':0.0},(136,213):{'3_1':0.0},(136,209):{'3_1':0.0},(136,207):{'3_1':0.0},(136,206):{'3_1':0.0},(136,205):{'3_1':0.0},(136,204):{'3_1':0.0},(136,203):{'3_1':0.0},(136,201):{'3_1':0.03},(136,200):{'3_1':0.0},(136,197):{'3_1':0.0},(136,192):{'3_1':0.0},(136,191):{'3_1':0.0},(137,727):{'5_2':0.66,'-3':0.06,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(137,726):{'5_2':0.6,'-3':0.09,'7_5':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(137,725):{'5_2':0.66,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_19':0.0},(137,724):{'5_2':0.6,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0},(137,723):{'5_2':0.63,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(137,722):{'5_2':0.51,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(137,721):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(137,720):{'5_2':0.57,'7_2':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'3':-0.03},(137,719):{'5_2':0.57,'-3':0.12,'7_4':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'6_3':0.0,'7_1':0.0},(137,718):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(137,717):{'5_2':0.6,'3_1':0.09,'-3':0.06,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(137,716):{'5_2':0.6,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_8':0.0},(137,715):{'5_2':0.63,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(137,714):{'5_2':0.51,'-3':0.06,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_6':0.0,'6_1':0.0},(137,713):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0},(137,712):{'5_2':0.45,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(137,711):{'5_2':0.54,'7_2':0.09,'-3':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(137,710):{'5_2':0.54,'-3':0.18,'7_2':0.06,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(137,709):{'5_2':0.51,'-3':0.15,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_8':0.0,'8_19':0.0},(137,708):{'5_2':0.54,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(137,707):{'5_2':0.57,'7_4':0.09,'-3':0.09,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_1':0.0},(137,706):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(137,705):{'5_2':0.42,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(137,704):{'5_2':0.39,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(137,703):{'5_2':0.48,'3_1':0.12,'7_4':0.09,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(137,702):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'7_5':0.0},(137,701):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(137,700):{'5_2':0.42,'3_1':0.12,'7_3':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(137,699):{'5_2':0.51,'3_1':0.09,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(137,698):{'5_2':0.39,'3_1':0.18,'-3':0.15,'7_4':0.09,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(137,697):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(137,696):{'5_2':0.42,'-3':0.12,'3_1':0.09,'7_3':0.06,'7_4':0.06,'7_5':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(137,695):{'5_2':0.45,'3_1':0.15,'-3':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'3_1#5_2':0.0},(137,694):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0},(137,693):{'5_2':0.39,'3_1':0.18,'7_4':0.09,'-3':0.09,'7_5':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(137,692):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'8_11':0.0},(137,691):{'5_2':0.36,'3_1':0.18,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(137,690):{'5_2':0.45,'3_1':0.21,'-3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0},(137,689):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'3_1#5_2':0.0},(137,688):{'5_2':0.33,'3_1':0.27,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0},(137,687):{'5_2':0.36,'3_1':0.24,'7_4':0.09,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0,'8_14':0.0},(137,686):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'5_1':0.06,'7_3':0.06,'-3':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(137,685):{'3_1':0.3,'5_2':0.24,'7_4':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'6_1':0.0},(137,684):{'3_1':0.3,'5_2':0.3,'7_4':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0},(137,683):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'7_3':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(137,682):{'3_1':0.33,'5_2':0.24,'7_4':0.15,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(137,681):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_16':0.0},(137,680):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_11':0.0},(137,679):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(137,678):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(137,677):{'3_1':0.39,'5_2':0.21,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(137,676):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(137,675):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(137,674):{'3_1':0.48,'7_4':0.09,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(137,673):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_7':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(137,672):{'3_1':0.45,'5_2':0.21,'7_4':0.06,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(137,671):{'3_1':0.54,'7_4':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'1':-0.03},(137,670):{'3_1':0.54,'5_2':0.12,'7_7':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0,'6_1':0.0,'8_13':0.0,'-3':0.0},(137,669):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'4_1':0.0,'7_7':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(137,668):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(137,667):{'3_1':0.57,'5_2':0.15,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(137,666):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(137,665):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(137,664):{'3_1':0.51,'5_2':0.12,'7_4':0.09,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(137,663):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(137,662):{'3_1':0.39,'5_2':0.27,'7_4':0.12,'4_1':0.0,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(137,661):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(137,660):{'3_1':0.51,'5_2':0.12,'5_1':0.06,'7_7':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(137,659):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(137,658):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(137,657):{'3_1':0.48,'5_2':0.15,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(137,656):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(137,655):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'5_1':0.03,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(137,654):{'3_1':0.57,'5_2':0.18,'5_1':0.03,'6_3':0.0,'7_1':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(137,653):{'3_1':0.39,'5_2':0.12,'5_1':0.09,'4_1':0.0,'8_19':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(137,652):{'3_1':0.42,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(137,651):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_1':0.0,'7_7':0.0},(137,650):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'8_9':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(137,649):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(137,648):{'3_1':0.27,'5_2':0.18,'7_4':0.03,'7_7':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(137,647):{'3_1':0.33,'5_2':0.18,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(137,646):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(137,645):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0,'1':-0.03},(137,644):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0},(137,643):{'3_1':0.3,'5_2':0.09,'7_3':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(137,642):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(137,641):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(137,640):{'5_2':0.21,'3_1':0.21,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(137,639):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0},(137,638):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(137,637):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(137,636):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(137,635):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0},(137,634):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(137,633):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.0},(137,632):{'3_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(137,631):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0},(137,630):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(137,629):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(137,628):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(137,627):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(137,626):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0},(137,625):{'3_1':0.15,'5_2':0.09,'7_3':0.0,'4_1':0.0,'5_1':0.0},(137,624):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(137,623):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_6':0.0},(137,622):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(137,621):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'7_3':0.0},(137,620):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(137,619):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(137,618):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0},(137,617):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0},(137,616):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03},(137,615):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(137,614):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(137,613):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(137,612):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(137,611):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(137,610):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_3':0.0},(137,609):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(137,608):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0},(137,607):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0},(137,606):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0},(137,605):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(137,604):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0},(137,603):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0},(137,602):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(137,601):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(137,600):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(137,599):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(137,598):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(137,597):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0},(137,596):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(137,595):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0},(137,594):{'3_1':0.12,'4_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0},(137,593):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(137,592):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(137,591):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0},(137,590):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'5_1':0.0},(137,589):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(137,588):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(137,587):{'4_1':0.18,'3_1':0.09,'5_2':0.0},(137,586):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_19':0.0},(137,585):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.03},(137,584):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0},(137,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(137,582):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(137,581):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0},(137,580):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(137,579):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(137,578):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(137,577):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(137,576):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(137,575):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(137,574):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(137,573):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(137,572):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(137,571):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(137,570):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'5_2':0.0},(137,569):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(137,568):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_1':0.0},(137,567):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(137,566):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_6':0.0},(137,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(137,564):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(137,563):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(137,562):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(137,561):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(137,560):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(137,559):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(137,558):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(137,557):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(137,556):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(137,555):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(137,554):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(137,553):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_5':0.0},(137,552):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(137,551):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(137,550):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(137,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(137,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,547):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(137,546):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(137,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(137,544):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(137,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(137,542):{'3_1':0.15,'5_1':0.0},(137,541):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(137,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,539):{'3_1':0.15,'7_3':0.0},(137,538):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(137,537):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(137,536):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0},(137,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(137,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,533):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(137,532):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(137,531):{'3_1':0.12,'4_1':0.0},(137,530):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(137,529):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(137,528):{'3_1':0.09,'4_1':0.0},(137,527):{'3_1':0.06,'5_2':0.0},(137,526):{'3_1':0.09,'5_2':0.0},(137,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(137,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(137,523):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(137,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,521):{'3_1':0.03,'4_1':0.0},(137,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(137,519):{'3_1':0.06,'4_1':0.0},(137,518):{'3_1':0.03,'4_1':0.0},(137,517):{'3_1':0.0},(137,516):{'3_1':0.03,'5_1':0.0},(137,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,514):{'3_1':0.0,'4_1':0.0},(137,513):{'3_1':0.03,'5_1':0.0},(137,512):{'3_1':0.03},(137,511):{'3_1':0.06},(137,510):{'3_1':0.03,'5_1':0.0},(137,509):{'3_1':0.0,'4_1':0.0},(137,508):{'3_1':0.09},(137,507):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,506):{'3_1':0.0,'4_1':0.0},(137,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,504):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(137,503):{'3_1':0.03,'4_1':0.0},(137,502):{'3_1':0.0},(137,501):{'3_1':0.03,'4_1':0.0},(137,500):{'3_1':0.0},(137,499):{'3_1':0.0},(137,498):{'3_1':0.03,'5_1':0.0},(137,497):{'3_1':0.0,'4_1':0.0},(137,496):{'3_1':0.03},(137,495):{'3_1':0.0},(137,494):{'3_1':0.06},(137,493):{'3_1':0.06,'4_1':0.0},(137,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(137,491):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(137,490):{'3_1':0.03},(137,489):{'3_1':0.09,'4_1':0.0},(137,488):{'3_1':0.03,'7_1':0.0},(137,487):{'3_1':0.06,'5_2':0.0},(137,486):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(137,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(137,484):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(137,483):{'3_1':0.03,'5_1':0.0},(137,482):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(137,481):{'3_1':0.15},(137,480):{'3_1':0.03,'4_1':0.0},(137,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,478):{'3_1':0.09,'7_1':0.0},(137,477):{'3_1':0.06},(137,476):{'3_1':0.09,'5_2':0.0},(137,475):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(137,474):{'3_1':0.0,'4_1':0.0},(137,473):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(137,472):{'3_1':0.09},(137,471):{'3_1':0.03,'5_1':0.0},(137,470):{'3_1':0.03,'5_2':0.0},(137,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,467):{'3_1':0.06},(137,466):{'3_1':0.0},(137,465):{'3_1':0.0},(137,464):{'3_1':0.03,'4_1':0.0},(137,463):{'3_1':0.06,'4_1':0.0},(137,462):{'3_1':0.03,'5_1':0.0},(137,461):{'3_1':0.03},(137,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(137,459):{'3_1':0.0},(137,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(137,457):{'3_1':0.03,'4_1':0.0},(137,455):{'3_1':0.0},(137,454):{'3_1':0.0},(137,453):{'3_1':0.03,'5_1':0.0},(137,452):{'3_1':0.0},(137,451):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(137,450):{'3_1':0.03,'4_1':0.0},(137,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(137,448):{'3_1':0.0,'4_1':0.0},(137,447):{'3_1':0.03},(137,446):{'3_1':0.0},(137,445):{'3_1':0.03,'4_1':0.0},(137,444):{'3_1':0.03},(137,443):{'3_1':0.03},(137,442):{'3_1':0.03},(137,441):{'3_1':0.03},(137,440):{'3_1':0.06,'4_1':0.0},(137,439):{'3_1':0.06,'4_1':0.0},(137,438):{'3_1':0.0,'4_1':0.0},(137,437):{'3_1':0.03},(137,436):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(137,435):{'3_1':0.0},(137,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(137,433):{'3_1':0.03},(137,432):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(137,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(137,430):{'3_1':0.03},(137,429):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(137,428):{'3_1':0.03,'4_1':0.0},(137,427):{'3_1':0.03,'4_1':0.0},(137,426):{'3_1':0.03},(137,425):{'3_1':0.03},(137,424):{'3_1':0.0,'4_1':0.0},(137,423):{'3_1':0.06,'4_1':0.0},(137,422):{'3_1':0.0,'5_2':0.0},(137,421):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(137,420):{'3_1':0.0,'4_1':0.0},(137,419):{'3_1':0.03,'4_1':0.0},(137,418):{'3_1':0.03,'5_2':0.0},(137,417):{'3_1':0.0},(137,416):{'3_1':0.0,'4_1':0.0},(137,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(137,414):{'3_1':0.0,'4_1':0.0},(137,413):{'3_1':0.0,'4_1':0.0},(137,412):{'3_1':0.0,'4_1':0.0},(137,411):{'3_1':0.0},(137,410):{'4_1':0.0,'6_1':0.0},(137,409):{'3_1':0.0,'4_1':0.0},(137,406):{'3_1':0.0},(137,404):{'3_1':0.03},(137,403):{'3_1':0.0},(137,402):{'3_1':0.0},(137,401):{'3_1':0.0},(137,399):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(137,398):{'3_1':0.0,'5_2':0.0},(137,397):{'3_1':0.0,'4_1':0.0},(137,396):{'3_1':0.0,'8_1':0.0},(137,395):{'3_1':0.0},(137,394):{'3_1':0.0},(137,393):{'3_1':0.0},(137,390):{'3_1':0.0},(137,389):{'3_1':0.0,'4_1':0.0},(137,388):{'3_1':0.0,'4_1':0.0},(137,387):{'3_1':0.0,'4_1':0.0},(137,386):{'3_1':0.03},(137,383):{'4_1':0.0},(137,382):{'4_1':0.0},(137,381):{'3_1':0.06},(137,380):{'3_1':0.03},(137,379):{'3_1':0.03},(137,378):{'3_1':0.09},(137,377):{'5_2':0.0},(137,376):{'5_1':0.0},(137,375):{'3_1':0.03},(137,374):{'5_1':0.0},(137,373):{'3_1':0.0},(137,372):{'3_1':0.0},(137,371):{'3_1':0.0,'5_1':0.0},(137,370):{'3_1':0.03},(137,369):{'3_1':0.0},(137,368):{'3_1':0.0,'5_1':0.0},(137,367):{'3_1':0.0,'7_1':0.0},(137,366):{'3_1':0.0},(137,365):{'3_1':0.0},(137,364):{'3_1':0.0},(137,363):{'3_1':0.0},(137,362):{'3_1':0.0},(137,360):{'3_1':0.0,'7_1':0.0},(137,359):{'3_1':0.03},(137,358):{'3_1':0.0},(137,357):{'3_1':0.0},(137,356):{'3_1':0.0},(137,355):{'3_1':0.0},(137,353):{'3_1':0.0},(137,352):{'3_1':0.0,'4_1':0.0},(137,350):{'3_1':0.0},(137,349):{'3_1':0.0},(137,348):{'3_1':0.0},(137,347):{'3_1':0.0},(137,346):{'3_1':0.0},(137,345):{'3_1':0.0},(137,344):{'3_1':0.0},(137,343):{'3_1':0.0},(137,341):{'3_1':0.0},(137,339):{'3_1':0.0},(137,338):{'3_1':0.0},(137,335):{'3_1':0.0},(137,334):{'3_1':0.0},(137,333):{'4_1':0.0},(137,332):{'3_1':0.0},(137,330):{'3_1':0.0},(137,328):{'3_1':0.0},(137,327):{'3_1':0.0},(137,326):{'3_1':0.0},(137,325):{'3_1':0.0},(137,324):{'3_1':0.0},(137,323):{'3_1':0.0},(137,322):{'3_1':0.0},(137,321):{'3_1':0.0},(137,320):{'3_1':0.0},(137,319):{'5_1':0.0},(137,317):{'3_1':0.0},(137,316):{'3_1':0.0},(137,315):{'3_1':0.0},(137,312):{'3_1':0.0},(137,311):{'3_1':0.0},(137,309):{'3_1':0.0,'5_1':0.0},(137,308):{'3_1':0.0},(137,307):{'3_1':0.0,'8_21|3_1#4_1':0.0},(137,306):{'3_1':0.0},(137,305):{'3_1':0.0},(137,304):{'3_1':0.03},(137,303):{'3_1':0.03},(137,302):{'3_1':0.0},(137,301):{'3_1':0.0},(137,299):{'3_1':0.0},(137,297):{'3_1':0.0},(137,296):{'3_1':0.0},(137,295):{'3_1':0.0},(137,294):{'3_1':0.0},(137,293):{'3_1':0.0},(137,292):{'3_1':0.0},(137,291):{'3_1':0.0},(137,290):{'3_1':0.03,'4_1':0.0},(137,289):{'3_1':0.0},(137,287):{'3_1':0.0},(137,286):{'3_1':0.0},(137,285):{'3_1':0.0},(137,284):{'3_1':0.0},(137,282):{'3_1':0.0},(137,280):{'3_1':0.0},(137,279):{'3_1':0.0},(137,278):{'3_1':0.0},(137,277):{'3_1':0.0},(137,276):{'3_1':0.0},(137,275):{'3_1':0.03},(137,274):{'3_1':0.0},(137,273):{'3_1':0.0},(137,272):{'3_1':0.0},(137,271):{'3_1':0.0},(137,270):{'3_1':0.03},(137,269):{'3_1':0.0},(137,268):{'3_1':0.0,'6_2':0.0},(137,267):{'3_1':0.03},(137,266):{'3_1':0.0},(137,265):{'3_1':0.0,'4_1':0.0},(137,263):{'3_1':0.0},(137,261):{'3_1':0.0},(137,258):{'3_1':0.03},(137,252):{'3_1':0.0,'4_1':0.0},(137,250):{'3_1':0.0},(137,248):{'3_1':0.0},(137,247):{'3_1':0.0},(137,246):{'3_1':0.0},(137,245):{'3_1':0.0},(137,243):{'3_1':0.0,'4_1':0.0},(137,242):{'3_1':0.0},(137,241):{'3_1':0.0},(137,240):{'3_1':0.0},(137,239):{'3_1':0.0},(137,238):{'3_1':0.0},(137,237):{'3_1':0.0},(137,236):{'3_1':0.0},(137,231):{'3_1':0.0},(137,230):{'3_1':0.0,'5_1':0.0},(137,229):{'3_1':0.0},(137,227):{'3_1':0.0},(137,219):{'3_1':0.0},(137,218):{'3_1':0.0},(137,217):{'3_1':0.0,'4_1':0.0},(137,216):{'3_1':0.0},(137,214):{'3_1':0.0},(137,209):{'3_1':0.0},(137,208):{'3_1':0.0},(137,207):{'3_1':0.0},(137,205):{'3_1':0.0},(137,201):{'4_1':0.0},(137,199):{'5_1':0.0},(137,197):{'3_1':0.0},(137,194):{'3_1':0.0},(137,193):{'3_1':0.0},(137,192):{'5_1':0.0},(137,191):{'3_1':0.0},(137,190):{'3_1':0.0},(137,166):{'3_1':0.0},(138,727):{'5_2':0.66,'-3':0.06,'7_4':0.03,'5_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0},(138,726):{'5_2':0.57,'-3':0.06,'7_4':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(138,725):{'5_2':0.63,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(138,724):{'5_2':0.57,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1':0.0,'6_3':0.0},(138,723):{'5_2':0.57,'-3':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0,'6_1':0.0},(138,722):{'5_2':0.54,'-3':0.06,'5_1':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0},(138,721):{'5_2':0.6,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,720):{'5_2':0.66,'-3':0.09,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'7_5':0.0,'8_8':0.0},(138,719):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_8':0.0,'7_6':0.0,'8_11':0.0},(138,718):{'5_2':0.66,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_15':0.0},(138,717):{'5_2':0.57,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'8_8':0.0},(138,716):{'5_2':0.57,'-3':0.09,'7_4':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'1':-0.03},(138,715):{'5_2':0.54,'-3':0.09,'7_4':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(138,714):{'5_2':0.51,'7_4':0.06,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(138,713):{'5_2':0.51,'7_4':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(138,712):{'5_2':0.48,'3_1':0.12,'-3':0.12,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0},(138,711):{'5_2':0.45,'-3':0.12,'3_1':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'8_2':0.0,'8_8':0.0,'3_1#5_2':0.0},(138,710):{'5_2':0.54,'-3':0.12,'7_5':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0},(138,709):{'5_2':0.54,'-3':0.15,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'1':-0.03},(138,708):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0},(138,707):{'5_2':0.48,'7_4':0.09,'3_1':0.06,'-3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(138,706):{'5_2':0.48,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_6':0.0},(138,705):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0},(138,704):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0},(138,703):{'5_2':0.54,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_14':0.0,'1':-0.03},(138,702):{'5_2':0.57,'-3':0.09,'7_4':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(138,701):{'5_2':0.39,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_15':0.0},(138,700):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'1':-0.03},(138,699):{'5_2':0.54,'3_1':0.09,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(138,698):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(138,697):{'5_2':0.45,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(138,696):{'5_2':0.48,'3_1':0.21,'-3':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(138,695):{'5_2':0.54,'3_1':0.12,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(138,694):{'5_2':0.36,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.03,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'3':-0.03},(138,693):{'5_2':0.27,'3_1':0.15,'7_4':0.09,'-3':0.09,'7_3':0.06,'7_6':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(138,692):{'5_2':0.42,'3_1':0.21,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_7':0.0},(138,691):{'5_2':0.36,'3_1':0.18,'7_3':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_5':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(138,690):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_4':0.09,'7_3':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(138,689):{'3_1':0.33,'5_2':0.21,'7_4':0.15,'7_5':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(138,688):{'5_2':0.39,'3_1':0.27,'-3':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(138,687):{'5_2':0.33,'3_1':0.24,'7_4':0.12,'-3':0.09,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(138,686):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'5_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.0,'6_2':0.0,'7_7':0.0,'7_1':0.0,'1':-0.03},(138,685):{'3_1':0.3,'5_2':0.24,'7_4':0.12,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(138,684):{'3_1':0.33,'5_2':0.3,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(138,683):{'3_1':0.36,'5_2':0.33,'7_4':0.06,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(138,682):{'3_1':0.39,'5_2':0.24,'7_4':0.12,'7_3':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(138,681):{'3_1':0.42,'5_2':0.15,'7_3':0.09,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(138,680):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'7_5':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'7_1':0.0},(138,679):{'3_1':0.42,'5_2':0.27,'7_4':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0},(138,678):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'7_3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(138,677):{'3_1':0.39,'5_2':0.15,'7_4':0.12,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(138,676):{'3_1':0.51,'5_2':0.15,'7_4':0.09,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(138,675):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0},(138,674):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'7_2':0.0},(138,673):{'3_1':0.57,'5_2':0.15,'7_4':0.06,'4_1':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(138,672):{'3_1':0.54,'5_2':0.15,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(138,671):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,670):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(138,669):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'7_7':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(138,668):{'3_1':0.51,'5_2':0.24,'7_4':0.06,'7_7':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(138,667):{'3_1':0.54,'7_4':0.09,'5_2':0.09,'-3':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(138,666):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_2':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(138,665):{'3_1':0.48,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(138,664):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'5_1':0.0,'7_7':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(138,663):{'3_1':0.36,'5_2':0.21,'7_4':0.12,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(138,662):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0},(138,661):{'3_1':0.51,'5_2':0.18,'7_4':0.06,'5_1':0.03,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,660):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'7_7':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(138,659):{'3_1':0.39,'5_2':0.27,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(138,658):{'3_1':0.48,'5_2':0.21,'5_1':0.03,'7_7':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0},(138,657):{'3_1':0.54,'5_2':0.09,'5_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(138,656):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,655):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'8_19':0.0},(138,654):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.03,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(138,653):{'3_1':0.6,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(138,652):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(138,651):{'3_1':0.48,'5_2':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0},(138,650):{'3_1':0.48,'5_2':0.15,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(138,649):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_3':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,648):{'3_1':0.42,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(138,647):{'3_1':0.36,'5_2':0.12,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(138,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_7':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'7_3':0.0,'-3':0.0},(138,645):{'3_1':0.42,'5_2':0.09,'7_1':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_5':0.0,'-3':0.0},(138,644):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(138,643):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,642):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(138,641):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'7_4':0.03,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(138,640):{'3_1':0.24,'5_2':0.24,'5_1':0.06,'7_3':0.03,'7_7':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'8_19':0.0},(138,639):{'3_1':0.3,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(138,638):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'-3':0.03,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(138,637):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(138,636):{'3_1':0.15,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(138,635):{'5_2':0.18,'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(138,634):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(138,633):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(138,632):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(138,631):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(138,630):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(138,629):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0},(138,628):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(138,627):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(138,626):{'5_2':0.15,'3_1':0.15,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0},(138,625):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(138,624):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(138,623):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_16':0.0,'-3':0.0},(138,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(138,621):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_5':0.0,'7_7':0.0},(138,620):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0},(138,619):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(138,618):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(138,617):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_5':0.0,'8_16':0.0},(138,616):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(138,615):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(138,614):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0},(138,613):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'7_7':0.0},(138,612):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(138,611):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(138,610):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(138,609):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_16':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(138,608):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_19':0.0,'-3':0.0},(138,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(138,606):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'7_7':0.0,'6_1':0.0},(138,605):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_3':0.0},(138,604):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(138,603):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(138,602):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(138,601):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(138,600):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(138,599):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(138,598):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_5':0.0,'8_15':0.0},(138,597):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(138,596):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'7_1':0.0},(138,595):{'4_1':0.15,'3_1':0.12,'5_2':0.0},(138,594):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(138,593):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(138,592):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(138,591):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(138,590):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(138,589):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(138,588):{'3_1':0.15,'4_1':0.15,'5_2':0.03},(138,587):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(138,586):{'4_1':0.15,'3_1':0.06,'5_1':0.03,'8_6':0.0,'8_19':0.0},(138,585):{'4_1':0.18,'3_1':0.12,'5_1':0.0},(138,584):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_5':0.0},(138,583):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_2':0.0},(138,582):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(138,581):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_2':0.0},(138,580):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0},(138,579):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0},(138,578):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(138,577):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(138,576):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(138,575):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0},(138,574):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0},(138,573):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(138,572):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(138,571):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(138,570):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(138,569):{'4_1':0.06,'3_1':0.06,'5_1':0.0},(138,568):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(138,567):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(138,566):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(138,565):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'7_1':0.0,'8_1':0.0},(138,564):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'8_2':0.0,'8_6':0.0},(138,563):{'4_1':0.15,'3_1':0.06,'5_1':0.0},(138,562):{'4_1':0.09,'3_1':0.06},(138,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(138,560):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_1':0.0},(138,559):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(138,558):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(138,557):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(138,556):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(138,555):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(138,554):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(138,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(138,552):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(138,551):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(138,550):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(138,549):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(138,548):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(138,547):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(138,546):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_3':0.0},(138,545):{'3_1':0.12,'7_3':0.0},(138,544):{'3_1':0.15,'5_1':0.0},(138,543):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(138,542):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(138,541):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(138,540):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(138,539):{'3_1':0.15,'7_3':0.0,'4_1':0.0,'5_2':0.0},(138,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(138,537):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(138,536):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(138,535):{'3_1':0.09,'4_1':0.0},(138,534):{'3_1':0.15,'5_1':0.0},(138,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(138,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(138,531):{'3_1':0.12},(138,530):{'3_1':0.09,'4_1':0.0},(138,529):{'3_1':0.09,'5_2':0.0},(138,528):{'3_1':0.12,'4_1':0.0},(138,527):{'3_1':0.09,'4_1':0.0},(138,526):{'3_1':0.09,'4_1':0.0},(138,525):{'3_1':0.09,'4_1':0.0},(138,524):{'3_1':0.09},(138,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,522):{'3_1':0.06},(138,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(138,520):{'3_1':0.09,'4_1':0.0},(138,519):{'3_1':0.0},(138,518):{'3_1':0.03,'4_1':0.0},(138,517):{'3_1':0.0},(138,516):{'3_1':0.06,'4_1':0.0},(138,515):{'3_1':0.09,'4_1':0.0},(138,514):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(138,513):{'3_1':0.03},(138,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(138,511):{'3_1':0.0,'5_2':0.0},(138,510):{'3_1':0.03},(138,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(138,508):{'3_1':0.06},(138,507):{'3_1':0.03,'4_1':0.0},(138,506):{'3_1':0.03},(138,505):{'3_1':0.03,'5_1':0.0},(138,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,503):{'3_1':0.0,'5_2':0.0},(138,502):{'3_1':0.0},(138,501):{'3_1':0.0,'5_1':0.0},(138,500):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(138,499):{'3_1':0.03,'4_1':0.0},(138,498):{'3_1':0.06,'4_1':0.0},(138,497):{'3_1':0.0,'5_2':0.0},(138,496):{'4_1':0.0},(138,495):{'3_1':0.06,'5_2':0.0},(138,494):{'3_1':0.03,'4_1':0.0},(138,493):{'3_1':0.09},(138,492):{'3_1':0.03},(138,491):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(138,490):{'3_1':0.06},(138,489):{'3_1':0.0,'4_1':0.0},(138,488):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(138,487):{'3_1':0.03,'5_1':0.0},(138,486):{'3_1':0.06,'4_1':0.0},(138,485):{'3_1':0.03},(138,484):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(138,483):{'3_1':0.03,'5_1':0.0},(138,482):{'3_1':0.03,'4_1':0.0},(138,481):{'3_1':0.06,'4_1':0.0},(138,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(138,479):{'3_1':0.03,'4_1':0.0},(138,478):{'3_1':0.12,'7_3':0.0},(138,477):{'3_1':0.0},(138,476):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(138,475):{'3_1':0.09},(138,474):{'3_1':0.06},(138,473):{'3_1':0.03},(138,472):{'3_1':0.06,'4_1':0.0},(138,471):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(138,470):{'3_1':0.06,'5_2':0.0},(138,469):{'3_1':0.03},(138,468):{'3_1':0.12},(138,467):{'3_1':0.03},(138,466):{'3_1':0.0},(138,465):{'3_1':0.0},(138,464):{'3_1':0.03,'4_1':0.0},(138,463):{'3_1':0.03},(138,462):{'3_1':0.03},(138,461):{'3_1':0.0},(138,460):{'3_1':0.03},(138,459):{'3_1':0.03},(138,458):{'3_1':0.03},(138,457):{'3_1':0.03},(138,456):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(138,455):{'3_1':0.03,'5_1':0.0},(138,454):{'3_1':0.03},(138,453):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(138,452):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(138,451):{'3_1':0.0,'4_1':0.0},(138,450):{'3_1':0.0,'4_1':0.0},(138,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(138,448):{'3_1':0.03,'4_1':0.0},(138,447):{'3_1':0.0},(138,446):{'3_1':0.0},(138,445):{'3_1':0.0,'4_1':0.0},(138,444):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(138,443):{'3_1':0.0,'4_1':0.0},(138,442):{'3_1':0.03,'6_2':0.0},(138,441):{'3_1':0.0},(138,440):{'3_1':0.03},(138,439):{'3_1':0.06},(138,438):{'3_1':0.03},(138,437):{'3_1':0.03,'4_1':0.0},(138,436):{'3_1':0.06,'5_2':0.0},(138,435):{'3_1':0.0},(138,434):{'3_1':0.0,'4_1':0.0},(138,433):{'3_1':0.03},(138,432):{'3_1':0.03,'5_1':0.0},(138,431):{'3_1':0.03},(138,430):{'3_1':0.0,'4_1':0.0},(138,429):{'3_1':0.06,'4_1':0.0},(138,428):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(138,427):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(138,426):{'3_1':0.0,'5_2':0.0},(138,425):{'3_1':0.03,'5_2':0.0},(138,424):{'3_1':0.03,'5_2':0.0},(138,423):{'3_1':0.12,'4_1':0.0},(138,422):{'3_1':0.03},(138,421):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(138,420):{'3_1':0.03},(138,419):{'3_1':0.03},(138,418):{'3_1':0.03,'5_2':0.0},(138,417):{'3_1':0.06,'4_1':0.0},(138,416):{'3_1':0.03,'4_1':0.0},(138,415):{'3_1':0.03},(138,414):{'3_1':0.0},(138,413):{'3_1':0.0},(138,412):{'3_1':0.0,'4_1':0.0},(138,411):{'3_1':0.0},(138,410):{'3_1':0.06,'4_1':0.0},(138,409):{'3_1':0.0},(138,407):{'3_1':0.0},(138,406):{'3_1':0.0},(138,405):{'3_1':0.0,'4_1':0.0},(138,404):{'3_1':0.0},(138,402):{'3_1':0.0,'4_1':0.0},(138,401):{'3_1':0.0},(138,400):{'3_1':0.0,'4_1':0.0},(138,399):{'3_1':0.0},(138,398):{'3_1':0.0},(138,397):{'3_1':0.0},(138,396):{'3_1':0.0},(138,395):{'3_1':0.0},(138,394):{'3_1':0.0},(138,392):{'3_1':0.0},(138,391):{'3_1':0.0},(138,390):{'3_1':0.0},(138,389):{'3_1':0.0,'4_1':0.0},(138,388):{'3_1':0.0},(138,387):{'3_1':0.0},(138,386):{'3_1':0.03,'4_1':0.0},(138,385):{'3_1':0.03},(138,384):{'3_1':0.0},(138,383):{'3_1':0.03},(138,382):{'3_1':0.0},(138,381):{'3_1':0.03},(138,380):{'3_1':0.0},(138,379):{'3_1':0.0,'4_1':0.0},(138,378):{'3_1':0.0},(138,377):{'3_1':0.03},(138,376):{'3_1':0.03},(138,375):{'3_1':0.03},(138,374):{'3_1':0.03},(138,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(138,372):{'3_1':0.0},(138,371):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(138,369):{'3_1':0.0},(138,368):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(138,367):{'7_1':0.0},(138,366):{'3_1':0.0},(138,365):{'3_1':0.0,'5_1':0.0},(138,364):{'3_1':0.0},(138,363):{'3_1':0.0},(138,362):{'3_1':0.0,'4_1':0.0},(138,361):{'3_1':0.0},(138,360):{'3_1':0.0},(138,358):{'3_1':0.0,'4_1':0.0},(138,356):{'3_1':0.0},(138,354):{'3_1':0.0},(138,353):{'3_1':0.0},(138,352):{'3_1':0.0},(138,349):{'3_1':0.03},(138,348):{'4_1':0.0},(138,347):{'3_1':0.0,'4_1':0.0},(138,343):{'3_1':0.0,'4_1':0.0},(138,341):{'3_1':0.0},(138,340):{'3_1':0.0},(138,339):{'3_1':0.0},(138,338):{'3_1':0.0},(138,337):{'3_1':0.0},(138,336):{'3_1':0.0},(138,335):{'3_1':0.0},(138,334):{'3_1':0.0},(138,332):{'3_1':0.0},(138,331):{'4_1':0.0},(138,327):{'3_1':0.0},(138,324):{'3_1':0.0},(138,323):{'3_1':0.0},(138,322):{'3_1':0.0},(138,321):{'3_1':0.0},(138,320):{'3_1':0.0},(138,318):{'4_1':0.0,'3_1':0.0},(138,316):{'3_1':0.0},(138,314):{'3_1':0.0},(138,313):{'3_1':0.0},(138,312):{'4_1':0.0},(138,310):{'3_1':0.0},(138,309):{'3_1':0.0},(138,307):{'3_1':0.0},(138,305):{'4_1':0.0},(138,304):{'3_1':0.0},(138,303):{'3_1':0.0},(138,302):{'3_1':0.0},(138,301):{'3_1':0.03},(138,298):{'3_1':0.0},(138,296):{'3_1':0.0},(138,295):{'3_1':0.0},(138,293):{'3_1':0.0},(138,291):{'4_1':0.0},(138,290):{'3_1':0.0},(138,289):{'5_2':0.0},(138,285):{'3_1':0.0},(138,284):{'3_1':0.0},(138,283):{'3_1':0.03,'5_1':0.0},(138,282):{'4_1':0.0},(138,280):{'3_1':0.0},(138,279):{'3_1':0.0},(138,278):{'3_1':0.0},(138,277):{'5_2':0.0},(138,275):{'3_1':0.0},(138,274):{'3_1':0.0},(138,273):{'3_1':0.03},(138,272):{'3_1':0.0},(138,271):{'3_1':0.03},(138,269):{'3_1':0.0},(138,268):{'3_1':0.0},(138,266):{'3_1':0.0},(138,264):{'3_1':0.0},(138,262):{'3_1':0.0},(138,261):{'3_1':0.0},(138,260):{'3_1':0.0},(138,259):{'3_1':0.0},(138,258):{'3_1':0.0},(138,255):{'3_1':0.0},(138,254):{'4_1':0.0},(138,253):{'3_1':0.0},(138,249):{'4_1':0.0},(138,248):{'3_1':0.0},(138,247):{'3_1':0.0},(138,244):{'3_1':0.0},(138,243):{'3_1':0.0},(138,241):{'3_1':0.0},(138,240):{'3_1':0.0,'4_1':0.0},(138,239):{'3_1':0.0},(138,237):{'3_1':0.0},(138,233):{'3_1':0.0},(138,232):{'3_1':0.0},(138,230):{'3_1':0.0},(138,229):{'5_1':0.0},(138,228):{'3_1':0.0},(138,227):{'3_1':0.0},(138,226):{'3_1':0.0},(138,225):{'3_1':0.0},(138,224):{'3_1':0.0},(138,223):{'3_1':0.0},(138,220):{'3_1':0.0},(138,218):{'5_1':0.0},(138,211):{'4_1':0.0},(138,210):{'3_1':0.0},(138,209):{'3_1':0.0},(138,208):{'3_1':0.0},(138,207):{'3_1':0.0},(138,205):{'3_1':0.0},(138,201):{'3_1':0.0},(138,198):{'3_1':0.0},(138,197):{'3_1':0.0,'4_1':0.0},(138,196):{'3_1':0.0},(138,193):{'3_1':0.0,'5_1':0.0},(138,192):{'3_1':0.0},(138,191):{'3_1':0.0},(138,190):{'3_1':0.0},(138,170):{'3_1':0.0},(139,727):{'5_2':0.63,'7_4':0.06,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(139,726):{'5_2':0.6,'-3':0.06,'7_4':0.06,'7_2':0.0,'7_5':0.0,'6_1':0.0,'3_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(139,725):{'5_2':0.6,'-3':0.06,'7_3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(139,724):{'5_2':0.57,'7_4':0.06,'-3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0},(139,723):{'5_2':0.6,'-3':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0},(139,722):{'5_2':0.63,'7_5':0.03,'7_4':0.03,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(139,721):{'5_2':0.57,'-3':0.12,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0},(139,720):{'5_2':0.57,'7_2':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(139,719):{'5_2':0.6,'-3':0.09,'7_4':0.03,'3_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(139,718):{'5_2':0.54,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(139,717):{'5_2':0.51,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(139,716):{'5_2':0.54,'-3':0.12,'7_2':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(139,715):{'5_2':0.57,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(139,714):{'5_2':0.51,'-3':0.09,'7_4':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0},(139,713):{'5_2':0.51,'7_4':0.06,'3_1':0.06,'7_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(139,712):{'5_2':0.51,'-3':0.12,'3_1':0.09,'7_5':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(139,711):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'8_11':0.0},(139,710):{'5_2':0.36,'-3':0.21,'3_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'2':-0.03},(139,709):{'5_2':0.51,'-3':0.18,'5_1':0.09,'7_2':0.0,'7_5':0.0,'7_3':0.0,'3_1':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(139,708):{'5_2':0.51,'-3':0.12,'7_4':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'1':-0.03},(139,707):{'5_2':0.51,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0,'9_1':0.0,'1':-0.03},(139,706):{'5_2':0.48,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(139,705):{'5_2':0.45,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(139,704):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(139,703):{'5_2':0.45,'3_1':0.15,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(139,702):{'5_2':0.42,'3_1':0.18,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(139,701):{'5_2':0.36,'-3':0.12,'3_1':0.12,'7_4':0.09,'7_3':0.03,'6_1':0.03,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_13':0.0},(139,700):{'5_2':0.42,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(139,699):{'5_2':0.42,'3_1':0.15,'-3':0.15,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(139,698):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(139,697):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.09,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0},(139,696):{'5_2':0.42,'3_1':0.15,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_15':0.0},(139,695):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_2':0.0},(139,694):{'5_2':0.42,'7_4':0.15,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(139,693):{'5_2':0.36,'3_1':0.15,'7_4':0.09,'-3':0.09,'7_3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0},(139,692):{'5_2':0.42,'3_1':0.21,'7_3':0.09,'7_4':0.06,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'7_6':0.0},(139,691):{'5_2':0.48,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(139,690):{'5_2':0.27,'3_1':0.15,'7_4':0.12,'-3':0.09,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(139,689):{'3_1':0.27,'5_2':0.27,'7_4':0.12,'-3':0.12,'7_3':0.06,'7_7':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(139,688):{'5_2':0.36,'3_1':0.3,'7_4':0.12,'6_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(139,687):{'3_1':0.3,'5_2':0.27,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'1':-0.03},(139,686):{'3_1':0.33,'5_2':0.33,'7_4':0.09,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(139,685):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'7_2':0.0,'7_6':0.0,'8_2':0.0,'8_8':0.0},(139,684):{'3_1':0.42,'5_2':0.24,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(139,683):{'5_2':0.36,'3_1':0.27,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0},(139,682):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'-3':0.0},(139,681):{'3_1':0.42,'5_2':0.24,'7_3':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_14':0.0},(139,680):{'3_1':0.36,'5_2':0.27,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(139,679):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(139,678):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'7_7':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(139,677):{'3_1':0.45,'5_2':0.18,'7_4':0.12,'7_3':0.03,'7_7':0.0,'-3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(139,676):{'3_1':0.6,'5_2':0.15,'7_4':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0},(139,675):{'3_1':0.51,'5_2':0.18,'-3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(139,674):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(139,673):{'3_1':0.51,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(139,672):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'7_7':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(139,671):{'3_1':0.54,'5_2':0.09,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_6':0.0},(139,670):{'3_1':0.6,'5_2':0.12,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(139,669):{'3_1':0.45,'5_2':0.18,'7_4':0.09,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(139,668):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'7_7':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(139,667):{'3_1':0.54,'5_2':0.09,'7_4':0.09,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(139,666):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0},(139,665):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(139,664):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_7':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0},(139,663):{'3_1':0.45,'5_2':0.15,'7_7':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(139,662):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0,'1':-0.03},(139,661):{'3_1':0.57,'5_2':0.18,'7_4':0.03,'7_7':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(139,660):{'3_1':0.54,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_7':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(139,659):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(139,658):{'3_1':0.45,'5_2':0.18,'7_7':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'8_19':0.0},(139,657):{'3_1':0.54,'5_2':0.15,'5_1':0.03,'7_4':0.03,'7_7':0.0,'7_5':0.0},(139,656):{'3_1':0.48,'5_2':0.18,'7_7':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(139,655):{'3_1':0.45,'5_2':0.21,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0},(139,654):{'3_1':0.45,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(139,653):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'7_7':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(139,652):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'7_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(139,651):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0},(139,650):{'3_1':0.42,'5_2':0.09,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_1':0.0},(139,649):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_4':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(139,648):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(139,647):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(139,646):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0},(139,645):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(139,644):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_7':0.0,'-3':0.0},(139,643):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(139,642):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0},(139,641):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(139,640):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(139,639):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0,'8_16':0.0},(139,638):{'5_2':0.21,'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(139,637):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0,'-3':0.0},(139,636):{'3_1':0.09,'5_1':0.06,'5_2':0.06,'7_7':0.0,'4_1':0.0,'7_3':0.0},(139,635):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0},(139,634):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_6':0.0,'7_7':0.0},(139,633):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_17':0.0},(139,632):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_3':0.0},(139,631):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(139,630):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(139,629):{'5_2':0.12,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0},(139,628):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(139,627):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(139,626):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(139,625):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(139,624):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0},(139,623):{'3_1':0.12,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(139,622):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'9_1':0.0},(139,621):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(139,620):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(139,619):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'8_19':0.0},(139,618):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_7':0.0,'6_1':0.0,'8_16':0.0,'8_19':0.0,'-3':0.0},(139,617):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0},(139,616):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(139,615):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_7':0.0,'8_10':0.0},(139,614):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_1':0.0,'6_2':0.0},(139,613):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_19':0.0},(139,612):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(139,611):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(139,610):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_16':0.0},(139,609):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(139,608):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(139,607):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(139,606):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(139,605):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_3':0.0,'8_19':0.0},(139,604):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'8_16':0.0},(139,603):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(139,602):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_1':0.0,'7_3':0.0},(139,601):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0},(139,600):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_7':0.0},(139,599):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'6_2':0.0},(139,598):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_7':0.0},(139,597):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0},(139,596):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_7':0.0},(139,595):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(139,594):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_6':0.0},(139,593):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(139,592):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(139,591):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(139,590):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0},(139,589):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'7_4':0.0},(139,588):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(139,587):{'4_1':0.15,'3_1':0.09,'6_1':0.0},(139,586):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(139,585):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(139,584):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.0},(139,583):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(139,582):{'4_1':0.24,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(139,581):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(139,580):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(139,579):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_2':0.0},(139,578):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(139,577):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0},(139,576):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(139,575):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'5_1':0.0},(139,574):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0},(139,573):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(139,572):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0},(139,571):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(139,570):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(139,569):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0},(139,568):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_1':0.0},(139,567):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(139,566):{'4_1':0.06,'5_1':0.03,'3_1':0.0},(139,565):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(139,564):{'4_1':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_6':0.0},(139,563):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'6_2':0.0},(139,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(139,561):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(139,560):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(139,559):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(139,558):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(139,557):{'3_1':0.09,'4_1':0.03,'8_7':0.0},(139,556):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'7_2':0.0},(139,555):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(139,554):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(139,553):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(139,552):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(139,551):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(139,550):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(139,549):{'3_1':0.18,'5_1':0.03,'6_3':0.0},(139,548):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(139,547):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(139,546):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,545):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(139,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(139,543):{'3_1':0.15,'4_1':0.0},(139,542):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(139,541):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,540):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(139,539):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(139,538):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(139,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,536):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(139,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,534):{'3_1':0.15,'5_2':0.0},(139,533):{'3_1':0.12,'5_1':0.0},(139,532):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(139,531):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(139,530):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(139,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(139,527):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,526):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(139,525):{'3_1':0.06,'4_1':0.0},(139,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(139,523):{'3_1':0.06,'4_1':0.0},(139,522):{'3_1':0.06,'4_1':0.0},(139,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,519):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(139,518):{'4_1':0.0,'3_1':0.0},(139,517):{'3_1':0.03,'5_1':0.0},(139,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(139,515):{'3_1':0.03,'5_1':0.0},(139,514):{'3_1':0.03,'6_1':0.0},(139,513):{'3_1':0.06,'4_1':0.0},(139,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(139,511):{'3_1':0.0,'4_1':0.0},(139,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(139,509):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(139,508):{'3_1':0.06,'5_1':0.0},(139,507):{'3_1':0.03,'4_1':0.0},(139,506):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(139,505):{'3_1':0.03},(139,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(139,503):{'3_1':0.06,'4_1':0.0},(139,502):{'3_1':0.0,'4_1':0.0},(139,501):{'3_1':0.03,'4_1':0.0},(139,500):{'3_1':0.03,'4_1':0.0},(139,499):{'3_1':0.0},(139,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(139,497):{'3_1':0.06,'4_1':0.0},(139,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(139,495):{'3_1':0.03,'4_1':0.0},(139,494):{'3_1':0.06,'4_1':0.0},(139,493):{'3_1':0.03},(139,492):{'3_1':0.06,'5_2':0.0},(139,491):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(139,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(139,488):{'3_1':0.03,'7_1':0.0,'5_1':0.0},(139,487):{'3_1':0.09,'5_2':0.0},(139,486):{'3_1':0.06},(139,485):{'3_1':0.03,'4_1':0.0},(139,484):{'3_1':0.06},(139,483):{'3_1':0.06,'4_1':0.0},(139,482):{'3_1':0.06},(139,481):{'3_1':0.03,'4_1':0.0},(139,480):{'3_1':0.03,'4_1':0.0},(139,479):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(139,478):{'3_1':0.09,'5_1':0.0},(139,477):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(139,476):{'3_1':0.06,'5_2':0.0},(139,475):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(139,474):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(139,473):{'3_1':0.03,'5_2':0.0},(139,472):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(139,471):{'3_1':0.03,'5_1':0.0},(139,470):{'3_1':0.03,'4_1':0.0},(139,469):{'3_1':0.06,'5_1':0.0},(139,468):{'3_1':0.09,'4_1':0.0},(139,467):{'3_1':0.03,'4_1':0.0},(139,466):{'3_1':0.0,'4_1':0.0},(139,465):{'3_1':0.03,'4_1':0.0},(139,464):{'3_1':0.03},(139,463):{'3_1':0.03},(139,462):{'3_1':0.03},(139,461):{'3_1':0.03,'5_2':0.0},(139,460):{'3_1':0.03,'4_1':0.0},(139,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(139,458):{'3_1':0.03,'4_1':0.0},(139,457):{'3_1':0.03,'5_2':0.0},(139,456):{'3_1':0.06,'4_1':0.0},(139,455):{'3_1':0.0,'4_1':0.0},(139,454):{'3_1':0.03,'4_1':0.0},(139,453):{'3_1':0.03},(139,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(139,451):{'3_1':0.0},(139,450):{'3_1':0.0},(139,449):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(139,448):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(139,447):{'3_1':0.0,'4_1':0.0},(139,446):{'3_1':0.0,'4_1':0.0},(139,445):{'3_1':0.03,'4_1':0.0},(139,444):{'3_1':0.0,'4_1':0.0},(139,442):{'3_1':0.0},(139,441):{'3_1':0.03},(139,440):{'3_1':0.03,'5_2':0.0},(139,439):{'3_1':0.0,'5_2':0.0},(139,438):{'3_1':0.03,'4_1':0.0},(139,437):{'3_1':0.03},(139,436):{'3_1':0.0,'4_1':0.0},(139,435):{'3_1':0.03},(139,434):{'3_1':0.03,'5_1':0.0},(139,433):{'4_1':0.03,'3_1':0.0},(139,432):{'3_1':0.0,'5_2':0.0},(139,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(139,430):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(139,429):{'3_1':0.0,'4_1':0.0},(139,428):{'3_1':0.03,'4_1':0.0},(139,427):{'3_1':0.03},(139,426):{'3_1':0.03,'4_1':0.0},(139,425):{'3_1':0.03,'4_1':0.0},(139,424):{'3_1':0.0,'4_1':0.0},(139,423):{'3_1':0.06},(139,422):{'3_1':0.03},(139,421):{'3_1':0.0,'4_1':0.0},(139,420):{'3_1':0.03,'4_1':0.0},(139,419):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(139,418):{'3_1':0.06,'5_2':0.0},(139,417):{'3_1':0.03,'7_1':0.0},(139,416):{'3_1':0.03,'4_1':0.0},(139,415):{'3_1':0.03,'5_1':0.0},(139,414):{'3_1':0.0},(139,413):{'3_1':0.0,'4_1':0.0},(139,412):{'3_1':0.0,'6_1':0.0},(139,411):{'3_1':0.0},(139,410):{'3_1':0.0,'4_1':0.0},(139,409):{'3_1':0.0,'4_1':0.0},(139,408):{'3_1':0.0},(139,407):{'3_1':0.0},(139,405):{'3_1':0.0},(139,403):{'3_1':0.0},(139,402):{'3_1':0.0},(139,401):{'3_1':0.03},(139,400):{'3_1':0.0,'4_1':0.0},(139,399):{'3_1':0.0},(139,398):{'3_1':0.0},(139,397):{'3_1':0.0},(139,396):{'3_1':0.0},(139,395):{'3_1':0.0},(139,394):{'3_1':0.03},(139,393):{'3_1':0.0},(139,392):{'3_1':0.03},(139,389):{'3_1':0.0},(139,388):{'3_1':0.0},(139,387):{'3_1':0.0},(139,386):{'3_1':0.0},(139,385):{'3_1':0.0,'4_1':0.0},(139,384):{'3_1':0.0,'4_1':0.0},(139,383):{'3_1':0.03},(139,382):{'3_1':0.0},(139,381):{'3_1':0.0},(139,380):{'3_1':0.03},(139,379):{'3_1':0.0},(139,378):{'3_1':0.03,'6_2':0.0},(139,377):{'3_1':0.03},(139,375):{'3_1':0.0},(139,374):{'3_1':0.03},(139,373):{'5_1':0.0},(139,372):{'3_1':0.03},(139,371):{'3_1':0.0},(139,370):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(139,369):{'3_1':0.0},(139,368):{'3_1':0.0},(139,367):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(139,366):{'3_1':0.0},(139,365):{'3_1':0.0},(139,364):{'3_1':0.0},(139,363):{'3_1':0.0},(139,362):{'3_1':0.0,'9_1':0.0},(139,361):{'3_1':0.0},(139,360):{'3_1':0.0},(139,359):{'3_1':0.0},(139,358):{'3_1':0.0},(139,357):{'3_1':0.0,'5_1':0.0},(139,356):{'3_1':0.0},(139,355):{'3_1':0.0},(139,354):{'3_1':0.0,'5_2':0.0},(139,353):{'3_1':0.0,'4_1':0.0},(139,352):{'3_1':0.0,'4_1':0.0},(139,351):{'3_1':0.0},(139,350):{'3_1':0.0},(139,348):{'3_1':0.0},(139,347):{'3_1':0.0,'4_1':0.0},(139,346):{'3_1':0.0},(139,345):{'3_1':0.0},(139,344):{'3_1':0.0},(139,343):{'3_1':0.0,'4_1':0.0},(139,342):{'3_1':0.0},(139,340):{'3_1':0.0},(139,339):{'3_1':0.0},(139,338):{'3_1':0.0,'4_1':0.0},(139,335):{'3_1':0.0},(139,334):{'3_1':0.0},(139,333):{'3_1':0.0},(139,332):{'3_1':0.0},(139,331):{'3_1':0.0},(139,328):{'3_1':0.0},(139,327):{'3_1':0.0},(139,325):{'3_1':0.0},(139,324):{'3_1':0.0},(139,322):{'3_1':0.0},(139,320):{'3_1':0.0},(139,319):{'3_1':0.0},(139,318):{'3_1':0.0},(139,317):{'3_1':0.0},(139,316):{'3_1':0.0},(139,315):{'3_1':0.03},(139,313):{'3_1':0.0},(139,312):{'3_1':0.0},(139,308):{'3_1':0.0},(139,307):{'3_1':0.0},(139,306):{'3_1':0.0},(139,305):{'3_1':0.0},(139,304):{'3_1':0.0},(139,302):{'3_1':0.03,'4_1':0.0},(139,301):{'3_1':0.0},(139,299):{'3_1':0.0},(139,298):{'3_1':0.03},(139,297):{'3_1':0.0},(139,296):{'3_1':0.0},(139,295):{'3_1':0.0},(139,294):{'3_1':0.0,'4_1':0.0},(139,293):{'3_1':0.0},(139,292):{'3_1':0.0},(139,290):{'3_1':0.0},(139,289):{'3_1':0.0},(139,285):{'3_1':0.0,'4_1':0.0},(139,284):{'3_1':0.0},(139,283):{'3_1':0.06},(139,282):{'3_1':0.0},(139,281):{'3_1':0.0},(139,280):{'3_1':0.0},(139,279):{'3_1':0.03},(139,278):{'3_1':0.0},(139,277):{'3_1':0.0,'4_1':0.0},(139,276):{'3_1':0.03},(139,275):{'3_1':0.03},(139,274):{'3_1':0.0},(139,273):{'3_1':0.0},(139,272):{'3_1':0.0},(139,271):{'3_1':0.0},(139,270):{'3_1':0.0},(139,268):{'3_1':0.0,'6_2':0.0},(139,266):{'3_1':0.0},(139,265):{'3_1':0.0},(139,264):{'3_1':0.03},(139,263):{'3_1':0.0},(139,262):{'4_1':0.0},(139,261):{'3_1':0.0},(139,260):{'3_1':0.0,'4_1':0.0},(139,259):{'3_1':0.0},(139,256):{'3_1':0.0},(139,255):{'3_1':0.0},(139,253):{'3_1':0.0},(139,250):{'3_1':0.0},(139,248):{'3_1':0.0},(139,247):{'3_1':0.0,'4_1':0.0},(139,245):{'3_1':0.0},(139,244):{'3_1':0.0},(139,243):{'3_1':0.0},(139,242):{'3_1':0.0},(139,241):{'3_1':0.0,'5_2':0.0},(139,240):{'3_1':0.0},(139,238):{'3_1':0.0},(139,237):{'3_1':0.03},(139,236):{'3_1':0.0,'4_1':0.0},(139,235):{'3_1':0.0},(139,234):{'3_1':0.0},(139,233):{'3_1':0.0},(139,232):{'3_1':0.0},(139,230):{'3_1':0.0},(139,228):{'3_1':0.0},(139,227):{'3_1':0.0},(139,226):{'3_1':0.0,'7_1':0.0},(139,225):{'3_1':0.0},(139,224):{'3_1':0.0},(139,222):{'3_1':0.0},(139,221):{'3_1':0.0},(139,218):{'3_1':0.0},(139,217):{'6_1':0.0},(139,216):{'5_1':0.0},(139,214):{'3_1':0.0},(139,213):{'3_1':0.0},(139,207):{'3_1':0.0,'5_1':0.0},(139,205):{'3_1':0.0},(139,203):{'3_1':0.0,'4_1':0.0},(139,200):{'3_1':0.0,'5_1':0.0},(139,198):{'3_1':0.0},(139,197):{'3_1':0.0},(139,192):{'3_1':0.0},(139,191):{'3_1':0.0},(140,727):{'5_2':0.69,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(140,726):{'5_2':0.57,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(140,725):{'5_2':0.57,'-3':0.09,'7_2':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(140,724):{'5_2':0.54,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'6_3':0.0,'8_11':0.0},(140,723):{'5_2':0.6,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_7':0.0},(140,722):{'5_2':0.63,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(140,721):{'5_2':0.51,'-3':0.15,'7_5':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(140,720):{'5_2':0.51,'-3':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(140,719):{'5_2':0.54,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(140,718):{'5_2':0.57,'-3':0.09,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0},(140,717):{'5_2':0.54,'-3':0.12,'7_4':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0},(140,716):{'5_2':0.51,'-3':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(140,715):{'5_2':0.54,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_1':0.0},(140,714):{'5_2':0.54,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_7':0.0,'8_8':0.0},(140,713):{'5_2':0.42,'7_4':0.09,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0},(140,712):{'5_2':0.45,'-3':0.09,'7_5':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_1':0.0,'8_8':0.0},(140,711):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0},(140,710):{'5_2':0.39,'-3':0.18,'7_5':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(140,709):{'5_2':0.36,'-3':0.18,'5_1':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'6_2':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(140,708):{'5_2':0.48,'-3':0.09,'7_4':0.06,'3_1':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'8_6':0.0},(140,707):{'5_2':0.45,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0},(140,706):{'5_2':0.51,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(140,705):{'5_2':0.45,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'9_1':0.0},(140,704):{'5_2':0.33,'3_1':0.12,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(140,703):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0},(140,702):{'5_2':0.39,'3_1':0.09,'-3':0.09,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'3_1#5_2':0.0,'1':-0.03},(140,701):{'5_2':0.48,'-3':0.12,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(140,700):{'5_2':0.48,'7_4':0.06,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'8_11':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(140,699):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_8':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'1':-0.03},(140,698):{'5_2':0.36,'3_1':0.18,'-3':0.12,'7_4':0.06,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(140,697):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'-3':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(140,696):{'5_2':0.42,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(140,695):{'5_2':0.42,'7_4':0.12,'-3':0.12,'3_1':0.09,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(140,694):{'5_2':0.42,'3_1':0.15,'-3':0.09,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(140,693):{'5_2':0.42,'3_1':0.09,'-3':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0},(140,692):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'7_3':0.06,'-3':0.06,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(140,691):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(140,690):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'-3':0.06,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0},(140,689):{'5_2':0.27,'3_1':0.24,'-3':0.12,'7_4':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0},(140,688):{'5_2':0.3,'3_1':0.24,'7_4':0.09,'-3':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.0,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_15':0.0},(140,687):{'5_2':0.42,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(140,686):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0},(140,685):{'5_2':0.3,'3_1':0.27,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0},(140,684):{'5_2':0.33,'3_1':0.21,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(140,683):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'-3':0.06,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(140,682):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'1':-0.03},(140,681):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'7_5':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(140,680):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(140,679):{'3_1':0.42,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_7':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(140,678):{'3_1':0.54,'5_2':0.12,'7_4':0.03,'-3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'1':-0.03},(140,677):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_7':0.03,'7_3':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0},(140,676):{'3_1':0.48,'5_2':0.18,'-3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'7_5':0.0},(140,675):{'3_1':0.54,'5_2':0.15,'7_4':0.06,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(140,674):{'3_1':0.57,'5_2':0.09,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(140,673):{'3_1':0.48,'5_2':0.15,'7_4':0.06,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_1':0.0,'8_15':0.0},(140,672):{'3_1':0.48,'5_2':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(140,671):{'3_1':0.57,'5_2':0.09,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(140,670):{'3_1':0.54,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0},(140,669):{'3_1':0.51,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'9_1':0.0},(140,668):{'3_1':0.51,'5_2':0.09,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'7_7':0.0},(140,667):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'7_7':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(140,666):{'3_1':0.48,'5_2':0.09,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(140,665):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'7_7':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(140,664):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0,'3_1#5_2':0.0},(140,663):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(140,662):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(140,661):{'3_1':0.48,'5_2':0.18,'7_4':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(140,660):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(140,659):{'3_1':0.48,'5_2':0.24,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0,'7_3':0.0},(140,658):{'3_1':0.51,'5_2':0.06,'7_4':0.06,'7_7':0.03,'5_1':0.0,'7_3':0.0,'8_13':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(140,657):{'3_1':0.48,'5_2':0.18,'7_4':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(140,656):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(140,655):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_7':0.0,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(140,654):{'3_1':0.51,'5_2':0.21,'7_7':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'8_19':0.0},(140,653):{'3_1':0.45,'5_2':0.21,'5_1':0.09,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_16':0.0},(140,652):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_4':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(140,651):{'3_1':0.48,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(140,650):{'3_1':0.42,'5_2':0.21,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(140,649):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'-3':0.0},(140,648):{'3_1':0.39,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(140,647):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(140,646):{'3_1':0.36,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_3':0.0},(140,645):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(140,644):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(140,643):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(140,642):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_4':0.0,'8_19':0.0,'7_1':0.0,'7_7':0.0},(140,641):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(140,640):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.03,'7_1':0.03,'7_7':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(140,639):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(140,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0},(140,637):{'3_1':0.3,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(140,636):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_7':0.0,'7_4':0.0,'7_3':0.0},(140,635):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(140,634):{'3_1':0.18,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_16':0.0},(140,633):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_11':0.0},(140,632):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(140,631):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0},(140,630):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0},(140,629):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(140,628):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0},(140,627):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_16':0.0},(140,626):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(140,625):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0},(140,624):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(140,623):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_7':0.0},(140,622):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(140,621):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'-3':0.0},(140,620):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(140,619):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_19':0.0},(140,618):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0},(140,617):{'3_1':0.15,'5_1':0.06,'4_1':0.06,'5_2':0.03,'-3':0.0,'7_7':0.0,'3_1#5_1':0.0},(140,616):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0},(140,615):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0,'-3':0.0,'1':-0.03},(140,614):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(140,613):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(140,612):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(140,611):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(140,610):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(140,609):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(140,608):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'8_19':0.0},(140,607):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(140,606):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(140,605):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(140,604):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'7_7':0.0},(140,603):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(140,602):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0},(140,601):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0},(140,600):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(140,599):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(140,598):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(140,597):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(140,596):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(140,595):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(140,594):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(140,593):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(140,592):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(140,591):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(140,590):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(140,589):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(140,588):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(140,587):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0},(140,586):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'7_7':0.0,'8_6':0.0},(140,585):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(140,584):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0},(140,583):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(140,582):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0},(140,581):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.03},(140,580):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(140,579):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(140,578):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(140,577):{'4_1':0.21,'3_1':0.15,'5_1':0.0,'5_2':0.0},(140,576):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(140,575):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(140,574):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(140,573):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(140,572):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_19':0.0},(140,571):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(140,570):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(140,569):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(140,568):{'4_1':0.15,'3_1':0.03,'6_1':0.0},(140,567):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(140,566):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(140,565):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0},(140,564):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(140,563):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_1':0.0},(140,562):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(140,561):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(140,560):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(140,559):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(140,558):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(140,557):{'3_1':0.12,'4_1':0.0},(140,556):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0},(140,555):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0},(140,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(140,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(140,552):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,551):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(140,550):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(140,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(140,548):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_4':0.0},(140,547):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_5':0.0},(140,546):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(140,545):{'3_1':0.12,'5_1':0.0},(140,544):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(140,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(140,542):{'3_1':0.24,'4_1':0.0},(140,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(140,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'9_1':0.0},(140,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,537):{'3_1':0.27,'5_1':0.03},(140,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(140,534):{'3_1':0.21,'5_2':0.0},(140,533):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_5':0.0},(140,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(140,531):{'3_1':0.06,'4_1':0.0},(140,530):{'3_1':0.12,'5_1':0.0},(140,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(140,528):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,526):{'3_1':0.12,'5_1':0.03},(140,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(140,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,523):{'3_1':0.06,'4_1':0.0},(140,522):{'3_1':0.03,'5_2':0.0},(140,521):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(140,520):{'3_1':0.03,'4_1':0.0},(140,519):{'3_1':0.06,'4_1':0.0},(140,518):{'3_1':0.06,'5_1':0.0},(140,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(140,516):{'3_1':0.06,'4_1':0.0},(140,515):{'3_1':0.03,'4_1':0.0},(140,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,513):{'3_1':0.06,'4_1':0.0},(140,512):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0},(140,511):{'3_1':0.0},(140,510):{'3_1':0.03,'5_1':0.0},(140,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(140,508):{'3_1':0.03,'5_2':0.0},(140,507):{'3_1':0.0},(140,506):{'3_1':0.03,'5_1':0.0},(140,505):{'3_1':0.03},(140,504):{'3_1':0.0},(140,503):{'3_1':0.0,'4_1':0.0},(140,502):{'3_1':0.03,'4_1':0.0},(140,501):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(140,500):{'3_1':0.0,'4_1':0.0},(140,499):{'3_1':0.03,'8_20|3_1#3_1':0.0},(140,498):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(140,497):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(140,496):{'3_1':0.03,'5_2':0.0},(140,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(140,494):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(140,493):{'3_1':0.03,'4_1':0.0},(140,492):{'3_1':0.06,'4_1':0.0},(140,491):{'3_1':0.09,'5_1':0.0},(140,490):{'3_1':0.06,'5_1':0.0},(140,489):{'3_1':0.0},(140,488):{'3_1':0.0,'5_1':0.0},(140,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,486):{'3_1':0.03,'5_1':0.0},(140,485):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(140,484):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(140,483):{'3_1':0.09,'5_1':0.0},(140,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(140,481):{'3_1':0.09,'5_1':0.0},(140,480):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(140,479):{'3_1':0.03,'5_1':0.0},(140,478):{'3_1':0.03,'7_1':0.0,'7_5':0.0},(140,477):{'3_1':0.09},(140,476):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(140,475):{'3_1':0.03},(140,474):{'3_1':0.06,'4_1':0.0},(140,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,472):{'3_1':0.03,'4_1':0.0},(140,471):{'3_1':0.09,'5_1':0.0},(140,470):{'3_1':0.03},(140,469):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(140,468):{'3_1':0.03,'4_1':0.0},(140,467):{'3_1':0.03},(140,466):{'3_1':0.06},(140,465):{'3_1':0.06,'4_1':0.0},(140,464):{'3_1':0.06},(140,463):{'3_1':0.0,'4_1':0.0},(140,462):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(140,461):{'3_1':0.06,'4_1':0.0},(140,460):{'3_1':0.03},(140,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(140,458):{'3_1':0.0,'5_1':0.0},(140,457):{'3_1':0.03},(140,456):{'3_1':0.03,'4_1':0.0},(140,455):{'3_1':0.03,'4_1':0.0},(140,454):{'3_1':0.03},(140,453):{'3_1':0.0,'4_1':0.0},(140,452):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(140,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(140,450):{'3_1':0.03,'4_1':0.0},(140,449):{'3_1':0.0},(140,448):{'3_1':0.03},(140,447):{'3_1':0.03,'5_2':0.0},(140,446):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(140,445):{'3_1':0.06},(140,444):{'3_1':0.0,'5_1':0.0},(140,443):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(140,442):{'3_1':0.06},(140,441):{'3_1':0.03,'5_1':0.0},(140,440):{'3_1':0.03,'6_2':0.0},(140,439):{'3_1':0.0},(140,438):{'3_1':0.0,'4_1':0.0},(140,437):{'3_1':0.0},(140,436):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(140,435):{'3_1':0.03},(140,434):{'6_1':0.0},(140,433):{'3_1':0.06},(140,432):{'3_1':0.03},(140,431):{'3_1':0.06,'5_2':0.0},(140,430):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(140,429):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(140,427):{'3_1':0.03},(140,426):{'3_1':0.03,'4_1':0.0},(140,425):{'3_1':0.03},(140,424):{'3_1':0.03,'4_1':0.0},(140,423):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(140,422):{'3_1':0.03,'4_1':0.0},(140,421):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(140,420):{'3_1':0.06,'4_1':0.0},(140,419):{'3_1':0.06,'4_1':0.0},(140,418):{'3_1':0.0,'4_1':0.0},(140,417):{'3_1':0.0,'5_2':0.0},(140,416):{'3_1':0.0,'4_1':0.0},(140,415):{'3_1':0.03,'4_1':0.0},(140,414):{'3_1':0.03,'4_1':0.0},(140,413):{'3_1':0.03},(140,412):{'3_1':0.0},(140,411):{'3_1':0.0},(140,410):{'3_1':0.0},(140,409):{'3_1':0.0},(140,408):{'3_1':0.0},(140,407):{'3_1':0.0},(140,406):{'3_1':0.0},(140,405):{'3_1':0.0},(140,404):{'3_1':0.0},(140,403):{'3_1':0.0},(140,402):{'3_1':0.0},(140,401):{'3_1':0.0},(140,400):{'3_1':0.0},(140,399):{'3_1':0.06,'4_1':0.0},(140,398):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(140,397):{'3_1':0.0},(140,396):{'3_1':0.0,'4_1':0.0},(140,395):{'3_1':0.0,'4_1':0.0},(140,394):{'3_1':0.0},(140,393):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(140,392):{'3_1':0.0},(140,391):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(140,390):{'3_1':0.0,'4_1':0.0},(140,389):{'3_1':0.0},(140,388):{'3_1':0.0},(140,387):{'3_1':0.0},(140,386):{'5_2':0.0},(140,385):{'3_1':0.0,'4_1':0.0},(140,384):{'3_1':0.0},(140,383):{'3_1':0.0},(140,382):{'3_1':0.0},(140,381):{'3_1':0.0},(140,380):{'3_1':0.0},(140,379):{'3_1':0.0,'8_20|3_1#3_1':0.0},(140,378):{'3_1':0.0},(140,377):{'3_1':0.0},(140,376):{'3_1':0.0},(140,375):{'3_1':0.03},(140,374):{'3_1':0.0},(140,373):{'3_1':0.03},(140,372):{'3_1':0.03},(140,371):{'3_1':0.03},(140,370):{'3_1':0.0},(140,369):{'3_1':0.0},(140,368):{'3_1':0.0,'5_1':0.0},(140,367):{'3_1':0.0},(140,366):{'3_1':0.0},(140,365):{'3_1':0.03},(140,362):{'3_1':0.0},(140,361):{'3_1':0.0},(140,360):{'3_1':0.0},(140,359):{'3_1':0.0,'4_1':0.0},(140,358):{'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(140,357):{'3_1':0.0,'5_2':0.0},(140,355):{'3_1':0.0},(140,354):{'3_1':0.0},(140,353):{'3_1':0.0},(140,352):{'3_1':0.0},(140,350):{'3_1':0.03},(140,349):{'3_1':0.0},(140,347):{'3_1':0.0},(140,346):{'3_1':0.0},(140,345):{'3_1':0.0},(140,344):{'3_1':0.0,'4_1':0.0},(140,343):{'3_1':0.0},(140,342):{'3_1':0.03},(140,341):{'3_1':0.0},(140,340):{'3_1':0.0},(140,339):{'3_1':0.03},(140,338):{'3_1':0.0},(140,337):{'3_1':0.0},(140,336):{'3_1':0.0},(140,334):{'3_1':0.03},(140,333):{'3_1':0.0},(140,330):{'3_1':0.0,'4_1':0.0},(140,329):{'3_1':0.03},(140,328):{'3_1':0.0},(140,327):{'3_1':0.0},(140,326):{'3_1':0.0},(140,324):{'3_1':0.0},(140,323):{'3_1':0.0},(140,322):{'3_1':0.0},(140,321):{'3_1':0.0},(140,320):{'3_1':0.0},(140,318):{'3_1':0.0},(140,316):{'3_1':0.0},(140,314):{'3_1':0.0},(140,313):{'3_1':0.03},(140,312):{'3_1':0.0},(140,311):{'3_1':0.0},(140,310):{'3_1':0.0},(140,309):{'3_1':0.0},(140,308):{'3_1':0.0},(140,307):{'3_1':0.03},(140,306):{'3_1':0.0},(140,305):{'3_1':0.0},(140,304):{'3_1':0.0},(140,303):{'3_1':0.03},(140,302):{'3_1':0.0},(140,301):{'3_1':0.0},(140,300):{'3_1':0.0},(140,299):{'3_1':0.0},(140,298):{'3_1':0.0},(140,296):{'3_1':0.0},(140,295):{'3_1':0.0},(140,294):{'5_1':0.0},(140,293):{'3_1':0.0},(140,292):{'3_1':0.03},(140,291):{'3_1':0.0},(140,290):{'3_1':0.0},(140,289):{'3_1':0.0},(140,288):{'3_1':0.0},(140,286):{'3_1':0.0,'5_1':0.0},(140,284):{'3_1':0.0},(140,283):{'3_1':0.0},(140,282):{'3_1':0.0},(140,281):{'3_1':0.0},(140,280):{'3_1':0.0},(140,279):{'3_1':0.0},(140,278):{'3_1':0.0},(140,277):{'3_1':0.0},(140,276):{'3_1':0.0},(140,275):{'3_1':0.0},(140,274):{'3_1':0.0},(140,273):{'3_1':0.0},(140,271):{'3_1':0.0},(140,270):{'3_1':0.0},(140,269):{'3_1':0.0},(140,268):{'4_1':0.0},(140,267):{'3_1':0.03},(140,266):{'3_1':0.0},(140,265):{'3_1':0.0},(140,263):{'3_1':0.0},(140,262):{'3_1':0.0},(140,261):{'3_1':0.0},(140,260):{'3_1':0.0,'4_1':0.0},(140,258):{'3_1':0.0,'5_1':0.0},(140,256):{'3_1':0.0},(140,254):{'3_1':0.0},(140,253):{'3_1':0.03},(140,252):{'3_1':0.0},(140,250):{'3_1':0.0,'4_1':0.0},(140,249):{'3_1':0.0},(140,248):{'3_1':0.0},(140,247):{'3_1':0.0},(140,245):{'3_1':0.0},(140,242):{'3_1':0.0},(140,241):{'3_1':0.0},(140,240):{'3_1':0.0},(140,239):{'3_1':0.0,'4_1':0.0},(140,237):{'3_1':0.0},(140,236):{'3_1':0.0},(140,235):{'3_1':0.0},(140,234):{'3_1':0.0},(140,233):{'3_1':0.0},(140,232):{'3_1':0.0},(140,231):{'3_1':0.03},(140,230):{'3_1':0.0},(140,229):{'3_1':0.0},(140,228):{'3_1':0.0},(140,227):{'3_1':0.0},(140,226):{'3_1':0.0},(140,225):{'3_1':0.0},(140,223):{'3_1':0.0},(140,222):{'3_1':0.0},(140,220):{'3_1':0.0},(140,219):{'3_1':0.0},(140,210):{'3_1':0.0,'5_1':0.0},(140,208):{'3_1':0.0},(140,205):{'3_1':0.0},(140,204):{'3_1':0.0},(140,201):{'3_1':0.0},(140,200):{'3_1':0.0},(140,194):{'3_1':0.0},(140,192):{'3_1':0.0},(141,727):{'5_2':0.6,'3_1':0.06,'7_2':0.06,'-3':0.06,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_6':0.0},(141,726):{'5_2':0.66,'7_5':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'8_6':0.0},(141,725):{'5_2':0.54,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(141,724):{'5_2':0.54,'7_2':0.03,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0},(141,723):{'5_2':0.6,'-3':0.06,'7_2':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(141,722):{'5_2':0.51,'-3':0.12,'7_4':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0},(141,721):{'5_2':0.45,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(141,720):{'5_2':0.57,'7_3':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(141,719):{'5_2':0.54,'7_2':0.06,'3_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(141,718):{'5_2':0.6,'-3':0.09,'7_5':0.06,'6_1':0.0,'7_2':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(141,717):{'5_2':0.51,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(141,716):{'5_2':0.51,'7_2':0.06,'-3':0.06,'7_5':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0},(141,715):{'5_2':0.51,'-3':0.09,'7_2':0.03,'7_4':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0,'8_11':0.0},(141,714):{'5_2':0.48,'-3':0.12,'7_2':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0,'8_3':0.0,'8_10':0.0},(141,713):{'5_2':0.45,'7_3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(141,712):{'5_2':0.48,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(141,711):{'5_2':0.39,'-3':0.12,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_13':0.0},(141,710):{'5_2':0.3,'-3':0.18,'5_1':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(141,709):{'5_2':0.48,'-3':0.24,'7_5':0.03,'7_3':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'3_1':0.0,'7_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(141,708):{'5_2':0.45,'-3':0.12,'3_1':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0},(141,707):{'5_2':0.51,'-3':0.09,'3_1':0.09,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(141,706):{'5_2':0.51,'3_1':0.06,'7_4':0.06,'-3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_6':0.0},(141,705):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'4_1':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(141,704):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(141,703):{'5_2':0.39,'3_1':0.18,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(141,702):{'5_2':0.51,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(141,701):{'5_2':0.45,'7_4':0.12,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(141,700):{'5_2':0.45,'3_1':0.12,'-3':0.09,'7_4':0.06,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(141,699):{'5_2':0.45,'3_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0},(141,698):{'5_2':0.45,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(141,697):{'5_2':0.39,'7_4':0.12,'-3':0.12,'3_1':0.09,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'6_1':0.0,'7_7':0.0,'8_2':0.0},(141,696):{'5_2':0.33,'3_1':0.12,'7_4':0.09,'-3':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0},(141,695):{'5_2':0.42,'3_1':0.12,'7_4':0.06,'6_1':0.06,'-3':0.03,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(141,694):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(141,693):{'5_2':0.42,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(141,692):{'5_2':0.39,'3_1':0.21,'-3':0.09,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(141,691):{'5_2':0.3,'3_1':0.18,'7_4':0.09,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(141,690):{'5_2':0.42,'3_1':0.12,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'3_1#5_2':0.0},(141,689):{'5_2':0.33,'3_1':0.18,'7_4':0.06,'7_3':0.03,'5_1':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_19':0.0},(141,688):{'5_2':0.33,'3_1':0.18,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(141,687):{'5_2':0.27,'3_1':0.24,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'7_1':0.0},(141,686):{'3_1':0.21,'5_2':0.21,'7_4':0.09,'5_1':0.06,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(141,685):{'3_1':0.27,'5_2':0.27,'7_4':0.09,'7_3':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_7':0.0,'9_1':0.0,'3_1#5_2':0.0},(141,684):{'5_2':0.33,'3_1':0.3,'7_4':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'4_1':0.0},(141,683):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'8_15':0.0},(141,682):{'3_1':0.36,'5_2':0.18,'7_4':0.15,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(141,681):{'3_1':0.39,'5_2':0.21,'7_4':0.09,'5_1':0.03,'6_1':0.0,'7_7':0.0,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(141,680):{'3_1':0.36,'5_2':0.24,'7_4':0.09,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0},(141,679):{'3_1':0.33,'5_2':0.24,'7_4':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(141,678):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(141,677):{'3_1':0.51,'5_2':0.21,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(141,676):{'3_1':0.39,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_3':0.0},(141,675):{'3_1':0.45,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(141,674):{'3_1':0.48,'5_2':0.15,'7_4':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(141,673):{'3_1':0.48,'5_2':0.15,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(141,672):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'6_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0},(141,671):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'9_1':0.0,'7_5':0.0},(141,670):{'3_1':0.51,'5_2':0.12,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(141,669):{'3_1':0.48,'7_4':0.09,'5_2':0.09,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(141,668):{'3_1':0.39,'5_2':0.15,'4_1':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(141,667):{'3_1':0.51,'5_2':0.18,'7_4':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(141,666):{'3_1':0.54,'5_2':0.12,'7_4':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(141,665):{'3_1':0.42,'5_2':0.27,'7_3':0.06,'7_4':0.06,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(141,664):{'3_1':0.39,'5_2':0.18,'7_4':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(141,663):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_3':0.0},(141,662):{'3_1':0.36,'5_2':0.27,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(141,661):{'3_1':0.39,'5_2':0.21,'5_1':0.06,'7_4':0.03,'7_7':0.0,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(141,660):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'-3':0.0,'1':-0.03},(141,659):{'3_1':0.33,'5_2':0.21,'7_4':0.09,'5_1':0.03,'7_7':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(141,658):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(141,657):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(141,656):{'3_1':0.45,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(141,655):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(141,654):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(141,653):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0},(141,652):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0,'-3':0.0},(141,651):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(141,650):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(141,649):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(141,648):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'8_16':0.0},(141,647):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'7_7':0.03,'7_1':0.0,'7_4':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(141,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_7':0.03,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(141,645):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(141,644):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0},(141,643):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(141,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(141,641):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_7':0.0,'-3':0.0},(141,640):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(141,639):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'7_1':0.0},(141,638):{'3_1':0.24,'5_2':0.18,'7_1':0.0,'8_19':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_16':0.0,'-3':0.0},(141,637):{'3_1':0.15,'5_2':0.12,'5_1':0.12,'4_1':0.03,'6_1':0.0},(141,636):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'8_7':0.0},(141,635):{'5_2':0.09,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(141,634):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0},(141,633):{'3_1':0.15,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(141,632):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0},(141,631):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(141,630):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0},(141,629):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(141,628):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(141,627):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_5':0.0},(141,626):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(141,625):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(141,624):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'8_19':0.0},(141,623):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_2':0.0},(141,622):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(141,621):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_15':0.0,'-3':0.0},(141,620):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(141,619):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(141,618):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(141,617):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_7':0.0,'-3':0.0},(141,616):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(141,615):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(141,614):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(141,613):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0},(141,612):{'3_1':0.12,'4_1':0.06,'7_3':0.0,'7_7':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_10':0.0},(141,611):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(141,610):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(141,609):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0},(141,608):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(141,607):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(141,606):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(141,605):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'7_4':0.0},(141,604):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(141,603):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(141,602):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(141,601):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03},(141,600):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(141,599):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'-3':0.0},(141,598):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(141,597):{'4_1':0.24,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0},(141,596):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0},(141,595):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0},(141,594):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(141,593):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'7_1':0.0,'7_6':0.0},(141,592):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(141,591):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(141,590):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(141,589):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(141,588):{'4_1':0.21,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(141,587):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(141,586):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(141,585):{'4_1':0.18,'3_1':0.12,'5_1':0.06,'5_2':0.0,'6_2':0.0},(141,584):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0},(141,583):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(141,582):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(141,581):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(141,580):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(141,579):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(141,578):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(141,577):{'3_1':0.15,'4_1':0.09,'7_6':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(141,576):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0},(141,575):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_19':0.0},(141,574):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0},(141,573):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(141,572):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_19':0.0},(141,571):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0},(141,570):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_8':0.0},(141,569):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(141,568):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(141,567):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(141,566):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0},(141,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(141,564):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0},(141,563):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(141,562):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(141,561):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(141,560):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(141,559):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(141,558):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(141,557):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(141,556):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(141,555):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(141,554):{'3_1':0.12,'4_1':0.03,'7_5':0.0,'5_2':0.0},(141,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(141,552):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(141,551):{'3_1':0.12,'4_1':0.0},(141,550):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(141,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(141,548):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,547):{'3_1':0.12,'5_2':0.0},(141,546):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(141,544):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(141,543):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(141,541):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(141,540):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_3':0.0},(141,539):{'3_1':0.18,'5_1':0.0},(141,538):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(141,537):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(141,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(141,535):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(141,534):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(141,533):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(141,532):{'3_1':0.18,'5_1':0.0},(141,531):{'3_1':0.21,'4_1':0.0},(141,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,529):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(141,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(141,527):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(141,526):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(141,525):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,524):{'3_1':0.03},(141,523):{'3_1':0.06},(141,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(141,521):{'3_1':0.06,'4_1':0.0},(141,520):{'3_1':0.09,'4_1':0.0},(141,519):{'3_1':0.06},(141,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(141,517):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(141,516):{'3_1':0.06,'4_1':0.0},(141,515):{'3_1':0.03,'4_1':0.0},(141,514):{'3_1':0.0,'5_2':0.0},(141,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,512):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(141,511):{'3_1':0.03,'4_1':0.0},(141,510):{'3_1':0.03},(141,509):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(141,508):{'3_1':0.03},(141,507):{'3_1':0.03,'4_1':0.0},(141,506):{'5_1':0.03,'3_1':0.0,'4_1':0.0},(141,505):{'3_1':0.0,'6_1':0.0},(141,504):{'3_1':0.03,'5_1':0.0},(141,503):{'3_1':0.03},(141,502):{'3_1':0.03,'5_1':0.0},(141,501):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(141,500):{'3_1':0.0,'4_1':0.0},(141,499):{'3_1':0.06},(141,498):{'3_1':0.03,'4_1':0.0},(141,497):{'3_1':0.06,'4_1':0.03},(141,496):{'3_1':0.03},(141,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(141,494):{'3_1':0.0,'4_1':0.0},(141,493):{'3_1':0.06},(141,492):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(141,491):{'3_1':0.06,'5_1':0.0},(141,490):{'3_1':0.0,'5_1':0.0},(141,489):{'3_1':0.06},(141,488):{'3_1':0.03},(141,487):{'3_1':0.06,'5_2':0.0},(141,486):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(141,485):{'3_1':0.03,'4_1':0.0},(141,484):{'3_1':0.06},(141,483):{'3_1':0.06,'7_1':0.0},(141,482):{'3_1':0.0},(141,481):{'3_1':0.12,'4_1':0.0},(141,480):{'3_1':0.03,'5_2':0.0},(141,479):{'3_1':0.09},(141,478):{'3_1':0.06,'4_1':0.0},(141,477):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(141,476):{'3_1':0.06},(141,475):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0},(141,474):{'3_1':0.03,'4_1':0.0},(141,473):{'3_1':0.09,'4_1':0.0},(141,472):{'3_1':0.09,'5_1':0.0},(141,471):{'3_1':0.03},(141,470):{'3_1':0.06,'5_1':0.0},(141,469):{'3_1':0.03},(141,468):{'3_1':0.06,'4_1':0.0},(141,467):{'3_1':0.06,'4_1':0.0},(141,466):{'3_1':0.0},(141,465):{'3_1':0.06,'4_1':0.0},(141,464):{'3_1':0.03},(141,463):{'3_1':0.03},(141,462):{'3_1':0.0,'4_1':0.0},(141,461):{'3_1':0.0,'4_1':0.0},(141,460):{'3_1':0.03},(141,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,458):{'3_1':0.0,'5_1':0.0},(141,457):{'3_1':0.03},(141,456):{'3_1':0.0,'5_1':0.0},(141,455):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(141,454):{'3_1':0.0},(141,453):{'3_1':0.03},(141,452):{'3_1':0.0,'4_1':0.0},(141,451):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(141,450):{'3_1':0.0,'4_1':0.0},(141,449):{'3_1':0.03,'4_1':0.0},(141,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,447):{'3_1':0.0},(141,446):{'3_1':0.0},(141,445):{'3_1':0.03},(141,444):{'3_1':0.0,'4_1':0.0},(141,443):{'3_1':0.0,'6_1':0.0},(141,442):{'3_1':0.0},(141,441):{'3_1':0.0,'4_1':0.0},(141,440):{'3_1':0.03,'4_1':0.0},(141,439):{'3_1':0.03},(141,438):{'3_1':0.0,'5_2':0.0},(141,437):{'3_1':0.0,'4_1':0.0},(141,436):{'3_1':0.03,'4_1':0.0},(141,435):{'3_1':0.0},(141,434):{'3_1':0.0},(141,433):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,432):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(141,431):{'4_1':0.0,'3_1':0.0},(141,430):{'3_1':0.06},(141,429):{'3_1':0.06},(141,428):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(141,427):{'3_1':0.03,'4_1':0.0},(141,426):{'3_1':0.0},(141,425):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(141,424):{'3_1':0.03,'4_1':0.0},(141,423):{'3_1':0.03,'4_1':0.0},(141,422):{'3_1':0.06,'4_1':0.0},(141,421):{'3_1':0.0,'4_1':0.0},(141,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(141,419):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(141,418):{'3_1':0.03,'4_1':0.0},(141,417):{'4_1':0.0,'3_1':0.0},(141,416):{'3_1':0.0},(141,415):{'3_1':0.03,'4_1':0.0},(141,414):{'3_1':0.0,'4_1':0.0},(141,413):{'3_1':0.03,'4_1':0.0},(141,412):{'3_1':0.0,'4_1':0.0},(141,411):{'3_1':0.0},(141,410):{'3_1':0.0},(141,409):{'3_1':0.0},(141,408):{'3_1':0.0},(141,407):{'3_1':0.0},(141,406):{'3_1':0.0},(141,405):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(141,403):{'3_1':0.0},(141,402):{'3_1':0.0},(141,401):{'3_1':0.0,'3_1#5_2':0.0},(141,400):{'3_1':0.0},(141,399):{'3_1':0.0,'6_1':0.0},(141,398):{'3_1':0.0,'-3':0.0},(141,397):{'3_1':0.0,'4_1':0.0},(141,396):{'3_1':0.0},(141,395):{'3_1':0.03,'6_2':0.0},(141,394):{'3_1':0.0},(141,393):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(141,392):{'4_1':0.0,'3_1':0.0},(141,391):{'3_1':0.0,'4_1':0.0},(141,390):{'3_1':0.0},(141,389):{'3_1':0.0},(141,388):{'3_1':0.03,'4_1':0.0},(141,387):{'3_1':0.0,'5_1':0.0},(141,386):{'3_1':0.0},(141,385):{'3_1':0.0},(141,384):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(141,383):{'3_1':0.0},(141,381):{'3_1':0.0},(141,379):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(141,378):{'3_1':0.0,'4_1':0.0},(141,377):{'3_1':0.03,'4_1':0.0},(141,376):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(141,375):{'3_1':0.0},(141,374):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,373):{'3_1':0.03},(141,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(141,370):{'3_1':0.03,'5_1':0.0},(141,369):{'3_1':0.0,'7_1':0.0},(141,368):{'3_1':0.0},(141,367):{'3_1':0.03},(141,366):{'3_1':0.0},(141,365):{'3_1':0.0},(141,364):{'3_1':0.0},(141,363):{'3_1':0.0},(141,362):{'3_1':0.0},(141,361):{'3_1':0.0},(141,360):{'3_1':0.03,'7_1':0.0},(141,359):{'3_1':0.03},(141,358):{'3_1':0.0},(141,357):{'3_1':0.0},(141,356):{'3_1':0.0},(141,355):{'3_1':0.0},(141,354):{'3_1':0.0},(141,353):{'3_1':0.0},(141,350):{'3_1':0.03,'4_1':0.0},(141,349):{'3_1':0.0},(141,348):{'3_1':0.0},(141,347):{'3_1':0.0},(141,346):{'3_1':0.0},(141,345):{'3_1':0.0},(141,344):{'3_1':0.0},(141,343):{'3_1':0.0},(141,342):{'3_1':0.0,'5_2':0.0},(141,341):{'3_1':0.0},(141,339):{'3_1':0.0},(141,336):{'3_1':0.0},(141,335):{'3_1':0.0},(141,334):{'3_1':0.0},(141,333):{'3_1':0.0},(141,332):{'3_1':0.0},(141,331):{'3_1':0.0},(141,329):{'3_1':0.0},(141,328):{'3_1':0.0},(141,327):{'3_1':0.0},(141,326):{'3_1':0.0},(141,325):{'3_1':0.0},(141,324):{'3_1':0.0},(141,323):{'3_1':0.0,'8_21|3_1#4_1':0.0},(141,322):{'5_2':0.0,'3_1':0.0},(141,321):{'3_1':0.0},(141,319):{'3_1':0.0},(141,318):{'3_1':0.03,'5_2':0.0},(141,317):{'3_1':0.0},(141,315):{'3_1':0.0},(141,314):{'3_1':0.0},(141,313):{'3_1':0.0},(141,312):{'3_1':0.0},(141,311):{'3_1':0.03},(141,308):{'3_1':0.0},(141,307):{'3_1':0.03},(141,305):{'5_1':0.0},(141,304):{'3_1':0.03},(141,303):{'3_1':0.0},(141,302):{'3_1':0.0},(141,301):{'3_1':0.0},(141,300):{'3_1':0.0},(141,299):{'3_1':0.0},(141,295):{'3_1':0.0},(141,294):{'4_1':0.0},(141,293):{'3_1':0.0},(141,292):{'3_1':0.0},(141,290):{'3_1':0.0},(141,289):{'3_1':0.0},(141,288):{'3_1':0.0},(141,287):{'3_1':0.0},(141,286):{'3_1':0.0},(141,285):{'3_1':0.0},(141,283):{'3_1':0.0},(141,282):{'3_1':0.03},(141,281):{'3_1':0.0},(141,280):{'3_1':0.0},(141,279):{'3_1':0.0},(141,277):{'3_1':0.0,'4_1':0.0},(141,276):{'3_1':0.03},(141,275):{'3_1':0.0},(141,274):{'3_1':0.03},(141,273):{'3_1':0.0},(141,272):{'3_1':0.0},(141,271):{'3_1':0.0},(141,270):{'3_1':0.0},(141,269):{'3_1':0.0},(141,268):{'3_1':0.0},(141,267):{'3_1':0.03},(141,266):{'3_1':0.0},(141,265):{'3_1':0.0},(141,263):{'3_1':0.03},(141,262):{'3_1':0.03},(141,261):{'3_1':0.03},(141,259):{'3_1':0.03},(141,258):{'4_1':0.0},(141,250):{'4_1':0.0},(141,249):{'4_1':0.0},(141,247):{'3_1':0.0,'4_1':0.0},(141,246):{'3_1':0.0},(141,245):{'3_1':0.0},(141,244):{'3_1':0.0},(141,243):{'5_1':0.0},(141,242):{'3_1':0.0},(141,241):{'3_1':0.0},(141,240):{'3_1':0.0,'4_1':0.0},(141,239):{'3_1':0.03},(141,238):{'3_1':0.0},(141,237):{'3_1':0.03,'4_1':0.0},(141,236):{'3_1':0.0},(141,235):{'3_1':0.0},(141,234):{'3_1':0.0},(141,233):{'3_1':0.0,'5_1':0.0},(141,232):{'3_1':0.0,'7_1':0.0},(141,231):{'3_1':0.0},(141,229):{'3_1':0.03},(141,228):{'5_2':0.0},(141,225):{'3_1':0.0},(141,224):{'3_1':0.0},(141,222):{'3_1':0.0},(141,220):{'3_1':0.0},(141,219):{'3_1':0.0},(141,218):{'3_1':0.0},(141,200):{'3_1':0.0},(141,199):{'3_1':0.0,'5_1':0.0},(141,197):{'3_1':0.0},(141,194):{'3_1':0.0},(141,170):{'3_1':0.0},(141,167):{'3_1':0.0},(142,727):{'5_2':0.48,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0},(142,726):{'5_2':0.51,'6_1':0.06,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(142,725):{'5_2':0.54,'3_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(142,724):{'5_2':0.54,'-3':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(142,723):{'5_2':0.54,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_2':0.0,'7_3':0.0,'8_8':0.0},(142,722):{'5_2':0.51,'7_2':0.06,'-3':0.06,'7_4':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0},(142,721):{'5_2':0.51,'-3':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(142,720):{'5_2':0.54,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(142,719):{'5_2':0.57,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(142,718):{'5_2':0.42,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'8_19':0.0,'3_1#5_2':0.0},(142,717):{'5_2':0.57,'7_4':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(142,716):{'5_2':0.54,'-3':0.06,'7_5':0.06,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0},(142,715):{'5_2':0.39,'-3':0.09,'7_2':0.06,'7_4':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'2':-0.03},(142,714):{'5_2':0.48,'7_4':0.09,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(142,713):{'5_2':0.48,'-3':0.09,'7_4':0.09,'7_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_10':0.0},(142,712):{'5_2':0.45,'-3':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(142,711):{'5_2':0.39,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_2':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(142,710):{'5_2':0.42,'-3':0.12,'7_2':0.06,'3_1':0.06,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0,'8_8':0.0},(142,709):{'5_2':0.48,'-3':0.12,'7_5':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(142,708):{'5_2':0.45,'-3':0.15,'3_1':0.06,'7_5':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'8_14':0.0},(142,707):{'5_2':0.42,'7_4':0.12,'3_1':0.09,'-3':0.09,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'8_14':0.0,'7_1':0.0},(142,706):{'5_2':0.42,'7_4':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(142,705):{'5_2':0.36,'-3':0.15,'3_1':0.12,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'1':-0.03},(142,704):{'5_2':0.51,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(142,703):{'5_2':0.36,'3_1':0.09,'-3':0.09,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(142,702):{'5_2':0.39,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(142,701):{'5_2':0.42,'3_1':0.12,'-3':0.12,'7_4':0.03,'6_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(142,700):{'5_2':0.42,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(142,699):{'5_2':0.51,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0},(142,698):{'5_2':0.39,'3_1':0.12,'-3':0.06,'7_4':0.06,'7_5':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0},(142,697):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'-3':0.09,'7_3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(142,696):{'5_2':0.45,'3_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(142,695):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(142,694):{'5_2':0.33,'3_1':0.15,'-3':0.12,'7_4':0.09,'5_1':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0,'8_14':0.0,'3_1#5_2':0.0},(142,693):{'5_2':0.39,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.03,'4_1':0.0,'7_6':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(142,692):{'5_2':0.3,'3_1':0.18,'7_4':0.06,'-3':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(142,691):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_4':0.09,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0},(142,690):{'5_2':0.27,'3_1':0.21,'7_4':0.09,'-3':0.06,'7_3':0.06,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_15':0.0},(142,689):{'5_2':0.36,'3_1':0.15,'-3':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(142,688):{'5_2':0.39,'3_1':0.15,'-3':0.09,'7_4':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(142,687):{'5_2':0.39,'3_1':0.18,'-3':0.09,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0,'8_19':0.0},(142,686):{'3_1':0.27,'5_2':0.27,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(142,685):{'5_2':0.33,'3_1':0.24,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(142,684):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'-3':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(142,683):{'3_1':0.27,'5_2':0.24,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_7':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(142,682):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(142,681):{'3_1':0.39,'5_2':0.27,'7_4':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(142,680):{'3_1':0.33,'5_2':0.24,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0},(142,679):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(142,678):{'3_1':0.36,'5_2':0.21,'7_4':0.09,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(142,677):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_5':0.0},(142,676):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(142,675):{'3_1':0.39,'5_2':0.15,'7_4':0.12,'-3':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(142,674):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(142,673):{'3_1':0.42,'5_2':0.09,'7_4':0.09,'5_1':0.03,'-3':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(142,672):{'3_1':0.51,'5_2':0.12,'7_1':0.06,'5_1':0.03,'7_4':0.03,'7_7':0.0,'4_1':0.0,'-3':0.0},(142,671):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_7':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(142,670):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(142,669):{'3_1':0.54,'5_2':0.12,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(142,668):{'3_1':0.45,'5_2':0.12,'7_4':0.09,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(142,667):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0},(142,666):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(142,665):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(142,664):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'8_11':0.0,'9_1':0.0},(142,663):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(142,662):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'1':-0.03},(142,661):{'3_1':0.42,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_7':0.0},(142,660):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0},(142,659):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_4':0.06,'6_1':0.0,'6_2':0.0,'7_7':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(142,658):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(142,657):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_15':0.0,'-3':0.0},(142,656):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(142,655):{'3_1':0.48,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0,'7_5':0.0,'-3':0.0},(142,654):{'3_1':0.57,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0},(142,653):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'7_7':0.03,'-3':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(142,652):{'3_1':0.45,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(142,651):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(142,650):{'3_1':0.39,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_7':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(142,649):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0},(142,648):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_7':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(142,647):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(142,646):{'3_1':0.36,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(142,645):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(142,644):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_7':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(142,643):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_2':0.0,'8_16':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(142,642):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_16':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(142,641):{'5_2':0.27,'3_1':0.24,'5_1':0.06,'4_1':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(142,640):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(142,639):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'-3':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(142,638):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(142,637):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_6':0.0,'-3':0.0},(142,636):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(142,635):{'5_2':0.15,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(142,634):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0},(142,633):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_7':0.0},(142,632):{'5_2':0.09,'3_1':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0},(142,631):{'3_1':0.15,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_7':0.0,'8_19':0.0,'7_3':0.0,'7_4':0.0},(142,630):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0},(142,629):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_5':0.0,'-3':0.0},(142,628):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_3':0.0,'-3':0.0},(142,627):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(142,626):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'8_19':0.0,'6_2':0.0},(142,625):{'3_1':0.09,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(142,624):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0},(142,623):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(142,622):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(142,621):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'-3':0.0},(142,620):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0},(142,619):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'-3':0.0},(142,618):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'8_16':0.0,'8_19':0.0},(142,617):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'-3':0.0},(142,616):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(142,615):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(142,614):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'7_7':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(142,613):{'3_1':0.12,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(142,612):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0},(142,611):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(142,610):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(142,609):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(142,608):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_7':0.0},(142,607):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'-3':0.0},(142,606):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(142,605):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_1':0.0},(142,604):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0},(142,603):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(142,602):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(142,601):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(142,600):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_5':0.0},(142,599):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(142,598):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(142,597):{'4_1':0.21,'3_1':0.09,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0},(142,596):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(142,595):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_5':0.0,'7_6':0.0},(142,594):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(142,593):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(142,592):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(142,591):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(142,590):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_2':0.0},(142,589):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'7_6':0.0},(142,588):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(142,587):{'4_1':0.15,'3_1':0.15,'5_2':0.0},(142,586):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'6_2':0.0},(142,585):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(142,584):{'4_1':0.21,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(142,583):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_5':0.0,'7_6':0.0},(142,582):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(142,581):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(142,580):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0},(142,579):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(142,578):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(142,577):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(142,576):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(142,575):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(142,574):{'4_1':0.21,'3_1':0.03,'5_1':0.03,'5_2':0.0},(142,573):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0},(142,572):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(142,571):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(142,570):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(142,569):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(142,568):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_2':0.0},(142,567):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'6_1':0.0},(142,566):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(142,565):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(142,564):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(142,563):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(142,562):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_3':0.0},(142,561):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(142,560):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0},(142,559):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(142,558):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(142,557):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0},(142,556):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(142,555):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(142,554):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(142,553):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(142,552):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_5':0.0},(142,551):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(142,550):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(142,549):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(142,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,547):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(142,546):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(142,545):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(142,544):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(142,543):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(142,542):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_5':0.0},(142,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(142,540):{'3_1':0.21,'4_1':0.0},(142,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,538):{'3_1':0.21,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_2':0.0},(142,537):{'3_1':0.18,'5_1':0.03,'7_1':0.0},(142,536):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(142,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(142,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,533):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(142,532):{'3_1':0.18,'5_1':0.0},(142,531):{'3_1':0.12,'4_1':0.0},(142,530):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(142,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(142,527):{'3_1':0.15,'5_2':0.0},(142,526):{'3_1':0.03,'4_1':0.0},(142,525):{'3_1':0.09,'5_1':0.0},(142,524):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(142,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(142,522):{'3_1':0.06,'5_2':0.0},(142,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(142,520):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(142,519):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(142,518):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(142,517):{'3_1':0.03,'4_1':0.0},(142,516):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,515):{'3_1':0.06,'4_1':0.03},(142,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,513):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(142,512):{'3_1':0.03,'4_1':0.0},(142,511):{'3_1':0.09,'5_1':0.0},(142,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,509):{'3_1':0.03,'5_1':0.0},(142,508):{'3_1':0.03,'4_1':0.0},(142,507):{'3_1':0.03,'4_1':0.0},(142,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(142,505):{'3_1':0.03,'5_1':0.0},(142,504):{'3_1':0.03},(142,503):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(142,502):{'3_1':0.03},(142,501):{'3_1':0.0,'4_1':0.0},(142,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,499):{'3_1':0.0,'4_1':0.0},(142,498):{'3_1':0.0},(142,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,494):{'3_1':0.03,'4_1':0.0},(142,493):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(142,492):{'4_1':0.0,'5_2':0.0},(142,491):{'3_1':0.06,'5_1':0.0},(142,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,489):{'3_1':0.03,'4_1':0.0},(142,488):{'3_1':0.03,'5_1':0.0},(142,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(142,486):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(142,485):{'3_1':0.06,'5_1':0.0},(142,484):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(142,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(142,482):{'3_1':0.06,'5_2':0.0,'7_5':0.0},(142,481):{'3_1':0.12,'5_2':0.0},(142,480):{'3_1':0.06,'4_1':0.0},(142,479):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,478):{'3_1':0.06,'5_1':0.0},(142,477):{'3_1':0.0},(142,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(142,475):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(142,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(142,473):{'3_1':0.0,'5_1':0.0},(142,472):{'3_1':0.06,'5_1':0.0},(142,471):{'3_1':0.06,'5_1':0.0},(142,470):{'3_1':0.06},(142,469):{'3_1':0.03,'5_1':0.0},(142,468):{'3_1':0.09,'4_1':0.0},(142,467):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(142,466):{'3_1':0.06,'4_1':0.0},(142,465):{'3_1':0.0},(142,464):{'3_1':0.03,'4_1':0.0},(142,463):{'3_1':0.0,'4_1':0.0},(142,462):{'4_1':0.0,'3_1':0.0},(142,461):{'3_1':0.0,'4_1':0.0},(142,460):{'3_1':0.03,'4_1':0.0},(142,459):{'3_1':0.0,'4_1':0.0},(142,458):{'3_1':0.03},(142,457):{'3_1':0.0,'4_1':0.0},(142,456):{'3_1':0.03,'4_1':0.0},(142,455):{'3_1':0.0,'4_1':0.0},(142,454):{'3_1':0.0},(142,453):{'3_1':0.0},(142,452):{'3_1':0.09,'4_1':0.0},(142,451):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(142,450):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,449):{'3_1':0.0},(142,448):{'3_1':0.03,'4_1':0.0},(142,447):{'3_1':0.03,'4_1':0.0},(142,446):{'3_1':0.0},(142,445):{'3_1':0.0,'4_1':0.0},(142,444):{'3_1':0.03,'4_1':0.0},(142,442):{'3_1':0.0},(142,441):{'4_1':0.0},(142,440):{'3_1':0.03},(142,439):{'3_1':0.0,'4_1':0.0},(142,438):{'3_1':0.0,'4_1':0.0},(142,437):{'3_1':0.0},(142,436):{'3_1':0.06},(142,435):{'3_1':0.0,'4_1':0.0},(142,434):{'3_1':0.03,'4_1':0.0},(142,433):{'3_1':0.03,'4_1':0.0},(142,432):{'3_1':0.06,'4_1':0.0},(142,431):{'3_1':0.03,'4_1':0.0},(142,430):{'3_1':0.0,'4_1':0.0},(142,429):{'3_1':0.06,'4_1':0.0},(142,428):{'3_1':0.06,'4_1':0.0},(142,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(142,426):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,425):{'3_1':0.03},(142,424):{'3_1':0.03,'4_1':0.0},(142,423):{'3_1':0.0},(142,422):{'3_1':0.06},(142,421):{'4_1':0.0,'3_1':0.0},(142,420):{'3_1':0.0,'4_1':0.0},(142,419):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(142,418):{'3_1':0.0,'4_1':0.0},(142,417):{'3_1':0.0,'4_1':0.0},(142,416):{'3_1':0.0,'4_1':0.0},(142,415):{'3_1':0.0,'4_1':0.0},(142,414):{'3_1':0.0,'4_1':0.0},(142,413):{'3_1':0.03},(142,412):{'3_1':0.0},(142,411):{'7_1':0.0},(142,410):{'5_1':0.0,'3_1':0.0,'7_1':0.0},(142,409):{'3_1':0.0,'5_1':0.0},(142,408):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(142,407):{'3_1':0.0},(142,406):{'3_1':0.0},(142,405):{'3_1':0.03,'4_1':0.0},(142,404):{'3_1':0.0,'5_2':0.0},(142,403):{'3_1':0.0},(142,401):{'3_1':0.0},(142,400):{'3_1':0.0},(142,399):{'3_1':0.0},(142,398):{'3_1':0.0},(142,397):{'3_1':0.0,'4_1':0.0},(142,396):{'4_1':0.0},(142,395):{'3_1':0.0},(142,393):{'3_1':0.0},(142,392):{'3_1':0.0},(142,390):{'3_1':0.0},(142,389):{'3_1':0.0},(142,388):{'3_1':0.0},(142,387):{'3_1':0.03},(142,385):{'3_1':0.0,'4_1':0.0},(142,384):{'3_1':0.0,'5_1':0.0},(142,383):{'3_1':0.0},(142,382):{'3_1':0.0},(142,381):{'3_1':0.0},(142,380):{'3_1':0.0},(142,379):{'3_1':0.0},(142,378):{'3_1':0.03},(142,377):{'3_1':0.0},(142,376):{'3_1':0.06},(142,375):{'3_1':0.0,'5_2':0.0},(142,374):{'3_1':0.03,'4_1':0.0},(142,373):{'3_1':0.0},(142,372):{'3_1':0.0},(142,371):{'3_1':0.0},(142,370):{'3_1':0.0},(142,369):{'3_1':0.0},(142,368):{'3_1':0.03},(142,367):{'3_1':0.03,'4_1':0.0},(142,366):{'3_1':0.03,'4_1':0.0},(142,365):{'3_1':0.03,'5_1':0.0},(142,364):{'3_1':0.0},(142,363):{'3_1':0.03},(142,362):{'3_1':0.0,'9_1':0.0},(142,360):{'3_1':0.0,'7_1':0.0},(142,359):{'3_1':0.0},(142,358):{'3_1':0.0},(142,357):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,356):{'3_1':0.0,'4_1':0.0},(142,355):{'3_1':0.03},(142,353):{'3_1':0.03},(142,352):{'4_1':0.0,'5_1':0.0},(142,351):{'3_1':0.0,'4_1':0.0},(142,350):{'3_1':0.0},(142,349):{'3_1':0.0,'4_1':0.0},(142,348):{'3_1':0.0},(142,347):{'4_1':0.0},(142,346):{'3_1':0.0},(142,345):{'3_1':0.0},(142,344):{'3_1':0.0},(142,343):{'3_1':0.0,'5_1':0.0},(142,342):{'3_1':0.0},(142,341):{'3_1':0.03},(142,340):{'3_1':0.0,'4_1':0.0},(142,339):{'3_1':0.03,'4_1':0.0},(142,338):{'3_1':0.0,'4_1':0.0},(142,335):{'3_1':0.03},(142,334):{'3_1':0.0},(142,333):{'3_1':0.0},(142,332):{'3_1':0.0},(142,331):{'3_1':0.03},(142,330):{'3_1':0.0},(142,329):{'3_1':0.0,'4_1':0.0},(142,328):{'3_1':0.0},(142,327):{'3_1':0.0},(142,326):{'3_1':0.0},(142,325):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(142,324):{'3_1':0.0},(142,323):{'4_1':0.0},(142,322):{'3_1':0.0},(142,321):{'5_2':0.0},(142,320):{'3_1':0.0,'4_1':0.0},(142,319):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(142,318):{'3_1':0.0},(142,317):{'3_1':0.0},(142,316):{'3_1':0.0},(142,315):{'3_1':0.0},(142,314):{'3_1':0.0},(142,313):{'4_1':0.0},(142,312):{'3_1':0.0,'6_2':0.0},(142,311):{'4_1':0.0,'3_1':0.0},(142,309):{'3_1':0.0},(142,308):{'3_1':0.0},(142,307):{'3_1':0.0,'5_1':0.0},(142,306):{'5_1':0.0,'3_1':0.0},(142,304):{'3_1':0.0},(142,303):{'3_1':0.0},(142,302):{'3_1':0.0},(142,301):{'3_1':0.0},(142,300):{'3_1':0.0},(142,299):{'3_1':0.0},(142,298):{'3_1':0.0},(142,297):{'3_1':0.03},(142,296):{'3_1':0.0},(142,292):{'3_1':0.0,'4_1':0.0},(142,291):{'3_1':0.0},(142,290):{'3_1':0.0},(142,289):{'3_1':0.0},(142,288):{'3_1':0.03},(142,286):{'3_1':0.0},(142,285):{'3_1':0.03},(142,284):{'3_1':0.03},(142,283):{'3_1':0.0},(142,282):{'3_1':0.0},(142,281):{'3_1':0.03},(142,278):{'3_1':0.0},(142,277):{'3_1':0.0},(142,276):{'3_1':0.0},(142,274):{'4_1':0.0},(142,273):{'3_1':0.0},(142,272):{'3_1':0.0},(142,271):{'3_1':0.0},(142,270):{'3_1':0.0},(142,269):{'3_1':0.0},(142,268):{'3_1':0.0,'5_1':0.0},(142,267):{'3_1':0.03},(142,266):{'3_1':0.0,'-3':0.0},(142,265):{'3_1':0.03},(142,264):{'3_1':0.0},(142,262):{'3_1':0.0},(142,261):{'3_1':0.0},(142,260):{'3_1':0.0},(142,259):{'3_1':0.0},(142,258):{'3_1':0.0},(142,252):{'3_1':0.0},(142,251):{'3_1':0.0},(142,248):{'3_1':0.0},(142,247):{'3_1':0.0,'5_1':0.0},(142,246):{'3_1':0.0},(142,245):{'3_1':0.0},(142,244):{'3_1':0.0},(142,243):{'3_1':0.0},(142,242):{'3_1':0.03},(142,241):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(142,240):{'3_1':0.0},(142,239):{'3_1':0.03},(142,237):{'3_1':0.03},(142,236):{'3_1':0.0,'5_1':0.0},(142,235):{'3_1':0.0},(142,234):{'3_1':0.0},(142,233):{'3_1':0.0,'5_1':0.0},(142,232):{'3_1':0.0,'5_1':0.0},(142,230):{'3_1':0.03},(142,229):{'3_1':0.0},(142,228):{'3_1':0.0},(142,227):{'3_1':0.0},(142,226):{'3_1':0.0},(142,225):{'3_1':0.0},(142,224):{'5_1':0.0},(142,223):{'3_1':0.0},(142,222):{'3_1':0.0},(142,221):{'-3':0.0},(142,220):{'3_1':0.0},(142,217):{'3_1':0.0,'7_1':0.0},(142,215):{'3_1':0.0},(142,213):{'3_1':0.0},(142,212):{'3_1':0.0},(142,208):{'3_1':0.0},(142,205):{'3_1':0.0},(142,204):{'3_1':0.0},(142,203):{'3_1':0.0},(142,201):{'3_1':0.0},(142,196):{'3_1':0.0},(142,192):{'3_1':0.0},(142,191):{'3_1':0.0},(142,180):{'3_1':0.0},(142,153):{'3_1':0.0},(142,149):{'3_1':0.0},(143,727):{'5_2':0.45,'7_2':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(143,726):{'5_2':0.54,'-3':0.09,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(143,725):{'5_2':0.57,'6_1':0.06,'7_4':0.03,'7_2':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(143,724):{'5_2':0.6,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(143,723):{'5_2':0.48,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0,'8_14':0.0},(143,722):{'5_2':0.48,'-3':0.06,'3_1':0.06,'7_5':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'1':-0.03},(143,721):{'5_2':0.48,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(143,720):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(143,719):{'5_2':0.48,'3_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'2':-0.03},(143,718):{'5_2':0.36,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_4':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'8_19':0.0},(143,717):{'5_2':0.36,'6_1':0.09,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(143,716):{'5_2':0.54,'7_4':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(143,715):{'5_2':0.48,'-3':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'8_11':0.0},(143,714):{'5_2':0.45,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_1':0.0,'8_8':0.0,'1':-0.03},(143,713):{'5_2':0.42,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_5':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(143,712):{'5_2':0.36,'6_1':0.09,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'1':-0.03},(143,711):{'5_2':0.42,'-3':0.12,'3_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'2':-0.03},(143,710):{'5_2':0.33,'-3':0.12,'3_1':0.06,'7_5':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(143,709):{'5_2':0.33,'-3':0.18,'6_1':0.09,'3_1':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'1':-0.03},(143,708):{'5_2':0.42,'-3':0.15,'6_1':0.06,'7_4':0.03,'7_2':0.03,'3_1':0.03,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(143,707):{'5_2':0.51,'3_1':0.09,'-3':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(143,706):{'5_2':0.45,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(143,705):{'5_2':0.45,'3_1':0.06,'-3':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(143,704):{'5_2':0.33,'3_1':0.12,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0,'1':-0.03},(143,703):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_2':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(143,702):{'5_2':0.45,'3_1':0.12,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.0,'4_1':0.0,'7_1':0.0,'2':-0.03},(143,701):{'5_2':0.36,'-3':0.09,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_15':0.0,'1':-0.03},(143,700):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(143,699):{'5_2':0.51,'3_1':0.09,'7_4':0.06,'7_3':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(143,698):{'5_2':0.3,'3_1':0.12,'-3':0.09,'7_4':0.09,'7_2':0.03,'7_5':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(143,697):{'5_2':0.42,'-3':0.09,'3_1':0.09,'5_1':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(143,696):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(143,695):{'5_2':0.36,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(143,694):{'5_2':0.36,'3_1':0.09,'-3':0.09,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(143,693):{'5_2':0.3,'3_1':0.18,'-3':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(143,692):{'5_2':0.3,'3_1':0.24,'-3':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(143,691):{'5_2':0.27,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_2':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'8_14':0.0,'4_1':0.0,'8_15':0.0},(143,690):{'5_2':0.3,'3_1':0.27,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'6_2':0.0,'-3':0.0},(143,689):{'5_2':0.27,'3_1':0.12,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_15':0.0},(143,688):{'5_2':0.27,'3_1':0.12,'7_4':0.09,'-3':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(143,687):{'5_2':0.36,'3_1':0.21,'-3':0.12,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(143,686):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_3':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(143,685):{'5_2':0.21,'3_1':0.18,'7_4':0.09,'-3':0.09,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'4_1':0.0,'7_7':0.0,'8_15':0.0,'3_1#5_2':0.0},(143,684):{'3_1':0.33,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(143,683):{'3_1':0.3,'5_2':0.21,'6_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(143,682):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(143,681):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0},(143,680):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'7_3':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_9':0.0},(143,679):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(143,678):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'6_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(143,677):{'3_1':0.45,'5_2':0.21,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(143,676):{'3_1':0.36,'5_2':0.21,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(143,675):{'3_1':0.42,'5_2':0.12,'-3':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0},(143,674):{'3_1':0.45,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(143,673):{'3_1':0.48,'5_2':0.12,'7_4':0.09,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_7':0.0},(143,672):{'3_1':0.39,'5_2':0.12,'7_4':0.03,'7_7':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'9_1':0.0},(143,671):{'3_1':0.51,'5_2':0.09,'7_4':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_11':0.0,'8_15':0.0},(143,670):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'4_1':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0},(143,669):{'3_1':0.45,'7_4':0.12,'4_1':0.06,'5_2':0.06,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'8_15':0.0},(143,668):{'3_1':0.45,'7_4':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(143,667):{'3_1':0.45,'5_2':0.12,'7_4':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(143,666):{'3_1':0.48,'5_2':0.18,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(143,665):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(143,664):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(143,663):{'3_1':0.27,'5_2':0.27,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'-3':0.0},(143,662):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(143,661):{'3_1':0.48,'5_2':0.12,'7_4':0.06,'4_1':0.06,'-3':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(143,660):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(143,659):{'3_1':0.45,'5_2':0.18,'7_7':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(143,658):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(143,657):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(143,656):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(143,655):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_7':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'9_1':0.0},(143,654):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'7_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(143,653):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(143,652):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(143,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.06,'7_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(143,650):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(143,649):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(143,648):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(143,647):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0,'7_4':0.0,'8_11':0.0},(143,646):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(143,645):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_7':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0},(143,644):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(143,643):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(143,642):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'7_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(143,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_4':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_5':0.0},(143,640):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(143,639):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(143,638):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(143,637):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(143,636):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(143,635):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0},(143,634):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_15':0.0,'-3':0.0},(143,633):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(143,632):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(143,631):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_6':0.0,'-3':0.0},(143,630):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(143,629):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0},(143,628):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(143,627):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0},(143,626):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0},(143,625):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0},(143,624):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(143,623):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(143,622):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(143,621):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(143,620):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(143,619):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(143,618):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(143,617):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(143,616):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03,'8_19':0.0,'6_1':0.0,'7_7':0.0},(143,615):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(143,614):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0},(143,613):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(143,612):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0},(143,611):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_16':0.0},(143,610):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(143,609):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0},(143,608):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(143,607):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(143,606):{'3_1':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(143,605):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(143,604):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(143,603):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(143,602):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0},(143,601):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0},(143,600):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(143,599):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(143,598):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(143,597):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(143,596):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(143,595):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(143,594):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_6':0.0},(143,593):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(143,592):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(143,591):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(143,590):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(143,589):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_1':0.0,'7_1':0.0},(143,588):{'4_1':0.18,'3_1':0.12,'5_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(143,587):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(143,586):{'4_1':0.15,'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(143,585):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(143,584):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0},(143,583):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(143,582):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(143,581):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0},(143,580):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(143,579):{'4_1':0.12,'5_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(143,578):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(143,577):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(143,576):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0},(143,575):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(143,574):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0},(143,573):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(143,572):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(143,571):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0},(143,570):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(143,569):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(143,568):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(143,567):{'4_1':0.03,'3_1':0.03},(143,566):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0},(143,565):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_5':0.0,'8_14':0.0},(143,564):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(143,563):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(143,562):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(143,561):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(143,560):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_1':0.0},(143,559):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(143,558):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(143,557):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(143,556):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(143,555):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_2':0.0},(143,554):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(143,553):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_5':0.0},(143,552):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(143,551):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(143,550):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(143,549):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(143,548):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(143,547):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(143,546):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(143,545):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,543):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0},(143,542):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(143,541):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,540):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_1':0.0},(143,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(143,538):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0},(143,537):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'5_2':0.0},(143,536):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,535):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(143,534):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(143,533):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,532):{'3_1':0.15,'4_1':0.0},(143,531):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(143,530):{'3_1':0.15,'5_1':0.0},(143,529):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(143,528):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(143,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(143,526):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(143,525):{'3_1':0.12,'5_1':0.0},(143,524):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(143,523):{'3_1':0.0,'4_1':0.0},(143,522):{'3_1':0.06,'4_1':0.0},(143,521):{'3_1':0.09,'4_1':0.0},(143,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,519):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,518):{'3_1':0.0,'4_1':0.0},(143,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(143,513):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(143,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(143,510):{'3_1':0.0,'4_1':0.0},(143,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(143,508):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(143,507):{'3_1':0.0,'4_1':0.0},(143,506):{'3_1':0.06},(143,505):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(143,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,503):{'3_1':0.0},(143,502):{'3_1':0.0,'4_1':0.0},(143,501):{'3_1':0.03,'4_1':0.0},(143,500):{'3_1':0.03,'4_1':0.0},(143,499):{'3_1':0.03,'4_1':0.0},(143,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,497):{'3_1':0.03},(143,496):{'3_1':0.03,'4_1':0.0},(143,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(143,494):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(143,493):{'3_1':0.09,'4_1':0.0,'3_1#5_2':0.0},(143,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(143,491):{'3_1':0.06,'4_1':0.0},(143,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(143,489):{'3_1':0.03},(143,488):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(143,487):{'3_1':0.03,'4_1':0.03},(143,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(143,485):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,484):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(143,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(143,482):{'3_1':0.06,'7_1':0.0},(143,481):{'3_1':0.09},(143,480):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(143,479):{'3_1':0.09,'5_1':0.0},(143,478):{'3_1':0.09,'4_1':0.0},(143,477):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,476):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(143,475):{'3_1':0.03,'4_1':0.0},(143,474):{'3_1':0.06},(143,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,472):{'3_1':0.03,'5_1':0.0},(143,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(143,470):{'3_1':0.06},(143,469):{'3_1':0.03},(143,468):{'3_1':0.09,'4_1':0.0},(143,467):{'3_1':0.03},(143,466):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(143,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,464):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(143,463):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(143,462):{'3_1':0.03},(143,461):{'3_1':0.0},(143,460):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,459):{'3_1':0.0,'4_1':0.0},(143,458):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(143,457):{'3_1':0.03,'4_1':0.0},(143,456):{'3_1':0.03},(143,455):{'4_1':0.0,'3_1':0.0},(143,454):{'3_1':0.03,'4_1':0.0},(143,453):{'3_1':0.0},(143,452):{'3_1':0.03,'5_1':0.0},(143,451):{'3_1':0.0,'4_1':0.0},(143,450):{'3_1':0.03,'5_1':0.0},(143,449):{'3_1':0.0,'6_2':0.0},(143,448):{'3_1':0.06,'4_1':0.0},(143,447):{'3_1':0.0,'4_1':0.0},(143,446):{'3_1':0.0,'4_1':0.0},(143,445):{'3_1':0.03,'4_1':0.0},(143,444):{'3_1':0.03},(143,443):{'3_1':0.0},(143,442):{'3_1':0.03,'4_1':0.0},(143,441):{'3_1':0.03},(143,440):{'3_1':0.0,'4_1':0.0},(143,439):{'3_1':0.0},(143,438):{'3_1':0.0,'5_1':0.0},(143,437):{'3_1':0.06,'4_1':0.0},(143,436):{'3_1':0.03},(143,435):{'3_1':0.0},(143,434):{'3_1':0.03},(143,433):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(143,432):{'3_1':0.03,'4_1':0.0},(143,431):{'3_1':0.09},(143,430):{'3_1':0.03,'4_1':0.0},(143,429):{'3_1':0.09},(143,428):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(143,427):{'3_1':0.03,'4_1':0.0},(143,426):{'3_1':0.03,'4_1':0.0},(143,425):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(143,424):{'3_1':0.06,'4_1':0.0},(143,423):{'3_1':0.06,'4_1':0.0},(143,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(143,421):{'3_1':0.0,'4_1':0.0},(143,420):{'3_1':0.06,'4_1':0.03},(143,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(143,418):{'3_1':0.0,'4_1':0.0},(143,417):{'3_1':0.03},(143,416):{'3_1':0.06},(143,415):{'3_1':0.03,'4_1':0.0},(143,414):{'3_1':0.03,'4_1':0.0},(143,412):{'3_1':0.0},(143,411):{'3_1':0.03},(143,410):{'3_1':0.0,'5_1':0.0},(143,409):{'3_1':0.03,'5_1':0.0},(143,408):{'3_1':0.0},(143,407):{'5_2':0.0},(143,406):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(143,405):{'3_1':0.06},(143,404):{'3_1':0.0},(143,403):{'3_1':0.0},(143,401):{'3_1':0.0},(143,400):{'3_1':0.0},(143,399):{'3_1':0.0},(143,398):{'3_1':0.0,'4_1':0.0},(143,397):{'4_1':0.0},(143,396):{'3_1':0.03},(143,395):{'3_1':0.0},(143,394):{'3_1':0.0},(143,393):{'3_1':0.0},(143,392):{'3_1':0.0},(143,391):{'7_2':0.0},(143,390):{'3_1':0.0},(143,389):{'3_1':0.03,'7_2':0.0,'8_1':0.0},(143,388):{'3_1':0.0,'4_1':0.0},(143,387):{'3_1':0.0},(143,386):{'3_1':0.0},(143,385):{'3_1':0.03,'5_2':0.0},(143,384):{'3_1':0.03},(143,383):{'3_1':0.0},(143,382):{'3_1':0.0},(143,381):{'3_1':0.0},(143,380):{'3_1':0.0,'4_1':0.0},(143,379):{'3_1':0.0},(143,378):{'3_1':0.03},(143,377):{'3_1':0.03,'5_1':0.0},(143,376):{'3_1':0.0,'4_1':0.0},(143,375):{'3_1':0.0},(143,374):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(143,373):{'3_1':0.0},(143,372):{'3_1':0.0},(143,371):{'3_1':0.0},(143,370):{'3_1':0.0},(143,369):{'3_1':0.0},(143,367):{'3_1':0.0,'4_1':0.0},(143,366):{'3_1':0.0,'4_1':0.0},(143,365):{'3_1':0.0},(143,364):{'3_1':0.0,'4_1':0.0},(143,363):{'3_1':0.0,'9_1':0.0},(143,362):{'3_1':0.03},(143,360):{'3_1':0.0,'7_1':0.0},(143,359):{'3_1':0.0,'4_1':0.0},(143,358):{'3_1':0.0},(143,357):{'3_1':0.03},(143,356):{'3_1':0.0},(143,355):{'3_1':0.0},(143,354):{'3_1':0.0,'5_1':0.0},(143,353):{'4_1':0.0},(143,352):{'3_1':0.0},(143,351):{'3_1':0.0,'4_1':0.0},(143,350):{'3_1':0.0},(143,349):{'3_1':0.0},(143,348):{'3_1':0.03},(143,347):{'3_1':0.03,'4_1':0.0},(143,346):{'4_1':0.0},(143,344):{'4_1':0.0},(143,342):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(143,341):{'5_2':0.0},(143,340):{'3_1':0.0},(143,339):{'3_1':0.0},(143,338):{'3_1':0.0},(143,336):{'3_1':0.0},(143,335):{'3_1':0.03},(143,334):{'3_1':0.03},(143,333):{'3_1':0.03},(143,332):{'3_1':0.03},(143,331):{'5_1':0.0},(143,330):{'3_1':0.0,'4_1':0.0},(143,329):{'3_1':0.0},(143,328):{'3_1':0.0},(143,326):{'3_1':0.0},(143,324):{'3_1':0.0},(143,323):{'3_1':0.0},(143,322):{'3_1':0.0,'4_1':0.0},(143,321):{'3_1':0.0},(143,320):{'3_1':0.0},(143,319):{'3_1':0.0,'4_1':0.0},(143,318):{'3_1':0.0,'4_1':0.0},(143,317):{'3_1':0.03,'5_2':0.0},(143,316):{'3_1':0.03},(143,315):{'3_1':0.0,'4_1':0.0},(143,314):{'3_1':0.0},(143,313):{'3_1':0.0,'4_1':0.0},(143,312):{'3_1':0.03},(143,311):{'3_1':0.0},(143,310):{'3_1':0.0},(143,309):{'3_1':0.0},(143,308):{'3_1':0.0},(143,307):{'3_1':0.0},(143,306):{'3_1':0.03},(143,305):{'3_1':0.0},(143,304):{'3_1':0.0,'5_1':0.0},(143,303):{'3_1':0.03,'7_1':0.0},(143,302):{'3_1':0.0},(143,301):{'3_1':0.0,'4_1':0.0},(143,300):{'3_1':0.0},(143,298):{'3_1':0.03,'5_1':0.0},(143,296):{'3_1':0.0},(143,294):{'3_1':0.0,'4_1':0.0},(143,292):{'3_1':0.0},(143,291):{'3_1':0.0},(143,290):{'3_1':0.0},(143,289):{'3_1':0.0,'5_2':0.0},(143,288):{'3_1':0.0,'4_1':0.0},(143,287):{'3_1':0.0},(143,285):{'3_1':0.03,'4_1':0.0},(143,284):{'3_1':0.0},(143,283):{'3_1':0.0},(143,282):{'3_1':0.0},(143,281):{'3_1':0.03},(143,280):{'3_1':0.0},(143,279):{'3_1':0.0},(143,277):{'3_1':0.0},(143,276):{'3_1':0.0},(143,275):{'3_1':0.06},(143,274):{'3_1':0.0},(143,273):{'3_1':0.0},(143,271):{'3_1':0.0},(143,270):{'3_1':0.0},(143,269):{'3_1':0.0},(143,268):{'3_1':0.03},(143,267):{'3_1':0.0},(143,266):{'3_1':0.0},(143,265):{'3_1':0.0,'4_1':0.0},(143,264):{'3_1':0.0},(143,263):{'3_1':0.0,'4_1':0.0},(143,262):{'3_1':0.0},(143,261):{'3_1':0.0},(143,260):{'3_1':0.0,'4_1':0.0},(143,259):{'3_1':0.0},(143,258):{'3_1':0.0},(143,256):{'3_1':0.0},(143,254):{'3_1':0.0},(143,253):{'4_1':0.0},(143,251):{'3_1':0.0},(143,250):{'3_1':0.0},(143,249):{'3_1':0.0},(143,248):{'3_1':0.0},(143,247):{'3_1':0.0,'4_1':0.0},(143,246):{'3_1':0.0},(143,245):{'3_1':0.0},(143,244):{'3_1':0.0},(143,243):{'3_1':0.0},(143,242):{'3_1':0.03},(143,241):{'3_1':0.0},(143,240):{'3_1':0.03},(143,239):{'3_1':0.0,'6_2':0.0},(143,238):{'3_1':0.0},(143,237):{'3_1':0.03,'6_1':0.0},(143,236):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(143,235):{'3_1':0.0},(143,234):{'3_1':0.03},(143,233):{'3_1':0.0,'4_1':0.0},(143,232):{'3_1':0.0},(143,231):{'3_1':0.0},(143,230):{'3_1':0.0},(143,229):{'3_1':0.0},(143,228):{'3_1':0.0},(143,227):{'3_1':0.0},(143,226):{'3_1':0.0},(143,225):{'3_1':0.0},(143,224):{'3_1':0.0},(143,223):{'3_1':0.0},(143,221):{'3_1':0.0},(143,219):{'3_1':0.0},(143,218):{'3_1':0.0},(143,215):{'7_2':0.0},(143,214):{'3_1':0.0,'5_1':0.0},(143,212):{'3_1':0.0},(143,211):{'3_1':0.0},(143,210):{'3_1':0.0},(143,208):{'3_1':0.0},(143,207):{'3_1':0.0},(143,206):{'3_1':0.0},(143,204):{'3_1':0.0},(143,201):{'3_1':0.0},(143,200):{'3_1':0.0},(143,198):{'3_1':0.0},(143,197):{'3_1':0.0},(143,192):{'3_1':0.0},(143,191):{'3_1':0.0},(143,152):{'3_1':0.0},(143,149):{'3_1':0.0},(144,727):{'5_2':0.45,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0},(144,726):{'5_2':0.42,'7_2':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'1':-0.03},(144,725):{'5_2':0.51,'-3':0.09,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(144,724):{'5_2':0.48,'6_1':0.03,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(144,723):{'5_2':0.57,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(144,722):{'5_2':0.42,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'9_1':0.0},(144,721):{'5_2':0.48,'6_1':0.09,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(144,720):{'5_2':0.48,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(144,719):{'5_2':0.45,'-3':0.09,'6_1':0.06,'3_1':0.06,'7_4':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0},(144,718):{'5_2':0.45,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'3_1':0.0,'7_5':0.0,'7_6':0.0},(144,717):{'5_2':0.54,'-3':0.09,'7_5':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(144,716):{'5_2':0.48,'7_4':0.03,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(144,715):{'5_2':0.42,'-3':0.09,'6_1':0.06,'7_4':0.06,'7_5':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(144,714):{'5_2':0.39,'-3':0.06,'3_1':0.06,'7_4':0.06,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_8':0.0,'8_10':0.0,'8_13':0.0,'8_14':0.0},(144,713):{'5_2':0.48,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(144,712):{'5_2':0.42,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(144,711):{'5_2':0.33,'-3':0.15,'3_1':0.06,'7_5':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_7':0.0,'8_6':0.0,'8_14':0.0},(144,710):{'5_2':0.33,'-3':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(144,709):{'5_2':0.33,'-3':0.24,'3_1':0.06,'5_1':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(144,708):{'5_2':0.39,'-3':0.12,'3_1':0.06,'6_1':0.06,'7_5':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(144,707):{'5_2':0.39,'3_1':0.09,'-3':0.09,'6_1':0.06,'7_4':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'8_3':0.0,'8_6':0.0,'3_1#5_2':0.0},(144,706):{'5_2':0.36,'3_1':0.12,'6_1':0.06,'7_4':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'8_11':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(144,705):{'5_2':0.39,'-3':0.12,'3_1':0.09,'6_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(144,704):{'5_2':0.42,'3_1':0.09,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(144,703):{'5_2':0.42,'3_1':0.09,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(144,702):{'5_2':0.36,'3_1':0.09,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'6_2':0.0,'8_8':0.0},(144,701):{'5_2':0.39,'3_1':0.09,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(144,700):{'5_2':0.33,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'8_11':0.0},(144,699):{'5_2':0.39,'7_4':0.09,'3_1':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_3':0.0},(144,698):{'5_2':0.36,'-3':0.09,'3_1':0.09,'7_4':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(144,697):{'5_2':0.33,'3_1':0.18,'-3':0.12,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(144,696):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(144,695):{'5_2':0.33,'-3':0.12,'3_1':0.12,'6_1':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(144,694):{'5_2':0.3,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(144,693):{'5_2':0.33,'3_1':0.18,'7_4':0.09,'6_1':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'6_2':0.0,'8_13':0.0,'8_14':0.0},(144,692):{'5_2':0.39,'3_1':0.21,'7_4':0.06,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(144,691):{'5_2':0.3,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(144,690):{'5_2':0.24,'3_1':0.18,'-3':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(144,689):{'5_2':0.36,'3_1':0.15,'7_4':0.06,'-3':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(144,688):{'5_2':0.27,'3_1':0.15,'7_3':0.06,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(144,687):{'3_1':0.21,'5_2':0.21,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0},(144,686):{'5_2':0.27,'3_1':0.21,'7_4':0.15,'7_3':0.03,'6_1':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0},(144,685):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'4_1':0.03,'7_3':0.03,'7_5':0.0,'7_7':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0},(144,684):{'5_2':0.24,'3_1':0.24,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(144,683):{'3_1':0.21,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(144,682):{'3_1':0.21,'5_2':0.18,'7_4':0.09,'4_1':0.06,'-3':0.03,'6_1':0.0,'7_1':0.0,'5_1':0.0,'8_11':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(144,681):{'3_1':0.33,'5_2':0.24,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(144,680):{'3_1':0.33,'5_2':0.15,'7_4':0.09,'7_3':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0},(144,679):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(144,678):{'3_1':0.36,'5_2':0.24,'5_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_7':0.0},(144,677):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(144,676):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'7_4':0.06,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(144,675):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_8':0.0,'1':-0.03},(144,674):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(144,673):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(144,672):{'3_1':0.39,'5_2':0.12,'7_4':0.09,'-3':0.03,'7_3':0.03,'7_7':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0},(144,671):{'3_1':0.45,'5_2':0.06,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(144,670):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0},(144,669):{'3_1':0.48,'5_2':0.09,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0},(144,668):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(144,667):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(144,666):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'7_4':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(144,665):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(144,664):{'3_1':0.36,'5_2':0.09,'4_1':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(144,663):{'3_1':0.36,'5_2':0.24,'7_4':0.06,'7_7':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(144,662):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_7':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(144,661):{'3_1':0.42,'5_2':0.15,'7_4':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(144,660):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(144,659):{'3_1':0.39,'5_2':0.18,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(144,658):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(144,657):{'3_1':0.48,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(144,656):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(144,655):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(144,654):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(144,653):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(144,652):{'3_1':0.39,'5_2':0.12,'4_1':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0},(144,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(144,650):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(144,649):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(144,648):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(144,647):{'3_1':0.21,'5_2':0.21,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'3_1#5_1':0.0},(144,646):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(144,645):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'6_1':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(144,644):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_1':0.0},(144,643):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(144,642):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'6_3':0.0,'7_4':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(144,641):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(144,640):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(144,639):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.06,'-3':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(144,638):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0},(144,637):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(144,636):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(144,635):{'3_1':0.09,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(144,634):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(144,633):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(144,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0},(144,631):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0},(144,630):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0},(144,629):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(144,628):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(144,627):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0},(144,626):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(144,625):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(144,624):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(144,623):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(144,622):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(144,621):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(144,620):{'3_1':0.15,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(144,619):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(144,618):{'3_1':0.12,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_5':0.0,'8_8':0.0,'8_19':0.0},(144,617):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_16':0.0,'3_1#5_1':0.0,'-3':0.0},(144,616):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(144,615):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(144,614):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(144,613):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(144,612):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0},(144,611):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'3_1#5_1':0.0},(144,610):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(144,609):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(144,608):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(144,607):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(144,606):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(144,605):{'3_1':0.24,'4_1':0.03,'7_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(144,604):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0},(144,603):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(144,602):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(144,601):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(144,600):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(144,599):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(144,598):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(144,597):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(144,596):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(144,595):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(144,594):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(144,593):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(144,592):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(144,591):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(144,590):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_2':0.0},(144,589):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(144,588):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(144,587):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(144,586):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(144,585):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'7_6':0.0},(144,584):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(144,583):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(144,582):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(144,581):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(144,580):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0},(144,579):{'4_1':0.06,'3_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(144,578):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(144,577):{'4_1':0.12,'3_1':0.03,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(144,576):{'4_1':0.15,'5_1':0.03,'3_1':0.0},(144,575):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(144,574):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0},(144,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(144,572):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(144,571):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(144,570):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(144,569):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(144,568):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0},(144,567):{'4_1':0.12,'3_1':0.09,'6_2':0.0},(144,566):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(144,565):{'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0},(144,564):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(144,563):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(144,562):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(144,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(144,560):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'-3':0.0},(144,559):{'4_1':0.09,'5_1':0.0,'5_2':0.0,'3_1':0.0},(144,558):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(144,557):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0},(144,556):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(144,555):{'3_1':0.12,'4_1':0.06,'5_1':0.03},(144,554):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(144,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(144,552):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(144,551):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0},(144,550):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(144,549):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(144,548):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(144,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,546):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(144,545):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(144,544):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(144,543):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(144,542):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(144,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,540):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(144,539):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(144,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(144,537):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(144,536):{'3_1':0.24,'6_2':0.0,'7_3':0.0},(144,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,534):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,533):{'3_1':0.15,'5_1':0.03,'6_3':0.0},(144,532):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(144,531):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(144,530):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(144,528):{'3_1':0.06,'4_1':0.03},(144,527):{'3_1':0.15,'6_1':0.0},(144,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(144,525):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(144,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(144,523):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(144,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(144,520):{'3_1':0.06,'5_2':0.0},(144,519):{'3_1':0.09,'4_1':0.0},(144,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(144,517):{'3_1':0.03,'5_1':0.0},(144,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(144,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(144,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(144,512):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(144,511):{'3_1':0.0,'5_2':0.0},(144,510):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(144,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(144,508):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(144,507):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(144,506):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(144,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(144,502):{'3_1':0.03,'4_1':0.0},(144,501):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(144,500):{'3_1':0.0,'4_1':0.0},(144,499):{'3_1':0.0},(144,498):{'3_1':0.03,'4_1':0.0},(144,497):{'3_1':0.06,'4_1':0.0},(144,496):{'3_1':0.0,'5_2':0.0},(144,495):{'3_1':0.0},(144,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(144,493):{'3_1':0.06},(144,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(144,491):{'3_1':0.06,'4_1':0.0},(144,490):{'3_1':0.03},(144,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(144,488):{'3_1':0.06,'4_1':0.0},(144,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(144,486):{'3_1':0.03,'4_1':0.0},(144,485):{'3_1':0.12,'5_2':0.0},(144,484):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(144,483):{'3_1':0.03,'4_1':0.0},(144,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(144,481):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(144,480):{'3_1':0.03},(144,479):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(144,478):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(144,477):{'3_1':0.06,'4_1':0.0},(144,476):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,475):{'3_1':0.03},(144,474):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(144,473):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,472):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(144,471):{'3_1':0.03,'4_1':0.0},(144,470):{'3_1':0.06},(144,469):{'3_1':0.0,'4_1':0.0},(144,468):{'3_1':0.03,'4_1':0.0},(144,467):{'3_1':0.0},(144,466):{'4_1':0.0,'3_1':0.0},(144,465):{'3_1':0.03,'4_1':0.0},(144,464):{'3_1':0.03,'4_1':0.0},(144,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(144,462):{'3_1':0.0,'4_1':0.0},(144,461):{'3_1':0.03,'5_2':0.0},(144,460):{'3_1':0.03,'4_1':0.0},(144,459):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(144,458):{'3_1':0.0},(144,457):{'3_1':0.0},(144,456):{'3_1':0.0,'4_1':0.0},(144,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(144,454):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(144,453):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(144,452):{'3_1':0.0,'4_1':0.0},(144,451):{'4_1':0.03,'3_1':0.0},(144,450):{'3_1':0.0,'4_1':0.0},(144,449):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(144,448):{'3_1':0.06},(144,447):{'3_1':0.0,'4_1':0.0},(144,446):{'4_1':0.0},(144,445):{'3_1':0.03},(144,444):{'3_1':0.03,'4_1':0.0},(144,443):{'3_1':0.0,'4_1':0.0},(144,442):{'3_1':0.03},(144,441):{'3_1':0.0,'4_1':0.0},(144,440):{'3_1':0.03,'4_1':0.0},(144,439):{'3_1':0.0},(144,438):{'3_1':0.0},(144,437):{'3_1':0.0,'4_1':0.0},(144,436):{'3_1':0.0,'5_1':0.0},(144,435):{'3_1':0.0},(144,434):{'3_1':0.0},(144,433):{'3_1':0.0,'4_1':0.0},(144,432):{'3_1':0.03,'4_1':0.0},(144,431):{'3_1':0.03},(144,430):{'3_1':0.03},(144,429):{'3_1':0.0,'4_1':0.0},(144,428):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(144,427):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(144,426):{'3_1':0.03,'5_1':0.0},(144,425):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(144,424):{'3_1':0.03,'4_1':0.0},(144,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(144,422):{'3_1':0.06,'6_2':0.0},(144,421):{'3_1':0.03,'4_1':0.0},(144,420):{'3_1':0.06},(144,419):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0},(144,418):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(144,417):{'3_1':0.03,'4_1':0.0},(144,416):{'3_1':0.06,'4_1':0.0},(144,415):{'3_1':0.03},(144,414):{'3_1':0.06},(144,413):{'3_1':0.03,'4_1':0.0},(144,412):{'3_1':0.03},(144,411):{'3_1':0.0},(144,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(144,409):{'3_1':0.0},(144,408):{'3_1':0.0},(144,407):{'3_1':0.0},(144,406):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(144,405):{'3_1':0.0},(144,404):{'4_1':0.0},(144,402):{'3_1':0.0},(144,401):{'3_1':0.0},(144,400):{'3_1':0.0,'5_1':0.0},(144,399):{'3_1':0.0},(144,398):{'3_1':0.0,'4_1':0.0},(144,397):{'3_1':0.0,'6_1':0.0},(144,396):{'4_1':0.0,'3_1':0.0},(144,395):{'3_1':0.0},(144,393):{'4_1':0.0},(144,392):{'3_1':0.0},(144,391):{'3_1':0.0},(144,389):{'3_1':0.0,'4_1':0.0},(144,386):{'3_1':0.0},(144,385):{'3_1':0.0,'4_1':0.0},(144,384):{'3_1':0.0},(144,383):{'3_1':0.0,'5_2':0.0},(144,382):{'5_2':0.0},(144,381):{'3_1':0.0},(144,380):{'3_1':0.0},(144,379):{'3_1':0.03},(144,378):{'3_1':0.0},(144,377):{'3_1':0.0,'4_1':0.0},(144,376):{'3_1':0.03},(144,375):{'3_1':0.03},(144,374):{'3_1':0.0},(144,373):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(144,372):{'3_1':0.03,'5_2':0.0},(144,371):{'3_1':0.0},(144,370):{'3_1':0.03},(144,369):{'3_1':0.03,'4_1':0.0},(144,368):{'3_1':0.0,'7_1':0.0},(144,367):{'3_1':0.0,'5_1':0.0},(144,366):{'3_1':0.0},(144,365):{'3_1':0.03},(144,364):{'3_1':0.03},(144,363):{'3_1':0.0},(144,362):{'3_1':0.03,'4_1':0.0},(144,361):{'3_1':0.0},(144,360):{'3_1':0.0,'7_1':0.0},(144,359):{'3_1':0.03,'4_1':0.0},(144,358):{'3_1':0.0},(144,357):{'5_1':0.0},(144,356):{'3_1':0.03},(144,355):{'3_1':0.0,'4_1':0.0},(144,354):{'3_1':0.0},(144,353):{'3_1':0.0,'4_1':0.0},(144,351):{'3_1':0.0,'4_1':0.0},(144,350):{'3_1':0.0},(144,349):{'3_1':0.06,'4_1':0.0},(144,347):{'3_1':0.0},(144,346):{'3_1':0.0},(144,345):{'3_1':0.03},(144,344):{'3_1':0.0},(144,343):{'3_1':0.03},(144,342):{'3_1':0.0},(144,341):{'3_1':0.0},(144,340):{'3_1':0.0},(144,339):{'3_1':0.0,'4_1':0.0},(144,338):{'3_1':0.0},(144,337):{'3_1':0.0},(144,336):{'3_1':0.0,'4_1':0.0},(144,335):{'3_1':0.0},(144,333):{'3_1':0.0},(144,332):{'3_1':0.0},(144,331):{'3_1':0.03},(144,330):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(144,329):{'3_1':0.0,'4_1':0.0},(144,328):{'3_1':0.0},(144,327):{'3_1':0.0},(144,326):{'3_1':0.0},(144,325):{'3_1':0.0},(144,324):{'3_1':0.0},(144,323):{'3_1':0.0,'4_1':0.0},(144,322):{'3_1':0.0,'4_1':0.0},(144,321):{'3_1':0.0,'5_2':0.0},(144,320):{'3_1':0.0},(144,319):{'3_1':0.0},(144,318):{'3_1':0.0},(144,317):{'3_1':0.0,'5_1':0.0},(144,316):{'3_1':0.0,'4_1':0.0},(144,315):{'3_1':0.0,'4_1':0.0},(144,313):{'3_1':0.0,'4_1':0.0},(144,312):{'3_1':0.03},(144,311):{'3_1':0.0},(144,310):{'3_1':0.0,'4_1':0.0},(144,309):{'3_1':0.0,'4_1':0.0},(144,308):{'3_1':0.0},(144,307):{'3_1':0.0,'5_1':0.0},(144,306):{'3_1':0.0,'4_1':0.0},(144,305):{'3_1':0.0},(144,304):{'3_1':0.0,'4_1':0.0},(144,303):{'3_1':0.0},(144,302):{'3_1':0.03},(144,301):{'3_1':0.0},(144,300):{'3_1':0.0},(144,299):{'3_1':0.0},(144,298):{'3_1':0.0},(144,297):{'3_1':0.0},(144,296):{'3_1':0.0},(144,295):{'3_1':0.0},(144,294):{'3_1':0.0},(144,292):{'3_1':0.0},(144,291):{'3_1':0.0},(144,288):{'3_1':0.0},(144,287):{'3_1':0.0},(144,285):{'3_1':0.0,'4_1':0.0},(144,284):{'3_1':0.0},(144,283):{'3_1':0.0,'4_1':0.0},(144,281):{'3_1':0.0},(144,280):{'3_1':0.0},(144,279):{'3_1':0.0},(144,278):{'3_1':0.0},(144,277):{'3_1':0.0},(144,276):{'3_1':0.0,'8_3':0.0},(144,275):{'3_1':0.03},(144,274):{'3_1':0.03,'4_1':0.0},(144,272):{'3_1':0.0},(144,271):{'3_1':0.0},(144,270):{'3_1':0.0},(144,269):{'3_1':0.0},(144,268):{'3_1':0.0},(144,267):{'3_1':0.0},(144,266):{'3_1':0.0},(144,265):{'3_1':0.0},(144,264):{'3_1':0.0},(144,263):{'3_1':0.0,'4_1':0.0},(144,262):{'3_1':0.0},(144,261):{'3_1':0.0},(144,259):{'3_1':0.0},(144,258):{'3_1':0.0},(144,256):{'3_1':0.03},(144,255):{'3_1':0.0},(144,253):{'3_1':0.0,'5_1':0.0},(144,252):{'3_1':0.0},(144,251):{'5_2':0.0},(144,249):{'3_1':0.0},(144,248):{'3_1':0.0},(144,247):{'3_1':0.0},(144,246):{'4_1':0.0,'5_1':0.0},(144,245):{'3_1':0.0},(144,244):{'3_1':0.0},(144,243):{'3_1':0.0},(144,242):{'3_1':0.0},(144,241):{'5_1':0.0},(144,240):{'3_1':0.06},(144,239):{'3_1':0.0},(144,238):{'3_1':0.0},(144,237):{'3_1':0.0,'5_1':0.0},(144,236):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(144,235):{'3_1':0.06},(144,234):{'3_1':0.0,'5_1':0.0},(144,233):{'3_1':0.0},(144,231):{'3_1':0.03},(144,230):{'3_1':0.03},(144,229):{'3_1':0.0},(144,228):{'3_1':0.0,'7_1':0.0},(144,227):{'3_1':0.03},(144,226):{'3_1':0.0},(144,225):{'3_1':0.0},(144,223):{'3_1':0.0,'6_1':0.0},(144,221):{'3_1':0.0},(144,220):{'3_1':0.0,'4_1':0.0},(144,219):{'3_1':0.0},(144,218):{'3_1':0.0},(144,217):{'3_1':0.0},(144,216):{'3_1':0.0},(144,215):{'3_1':0.0},(144,214):{'3_1':0.0},(144,212):{'3_1':0.0,'4_1':0.0},(144,209):{'5_1':0.0},(144,205):{'3_1':0.0},(144,201):{'3_1':0.0},(144,200):{'3_1':0.0},(144,197):{'3_1':0.0},(144,194):{'3_1':0.0},(144,192):{'3_1':0.0,'5_1':0.0},(144,151):{'5_1':0.0},(144,150):{'3_1':0.0},(144,148):{'3_1':0.0},(145,727):{'5_2':0.54,'-3':0.09,'3_1':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(145,726):{'5_2':0.54,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_8':0.0},(145,725):{'5_2':0.6,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.03,'5_1':0.0,'7_6':0.0},(145,724):{'5_2':0.54,'7_4':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(145,723):{'5_2':0.39,'6_1':0.12,'-3':0.09,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(145,722):{'5_2':0.45,'-3':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_6':0.0,'8_8':0.0},(145,721):{'5_2':0.48,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(145,720):{'5_2':0.57,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(145,719):{'5_2':0.54,'7_4':0.03,'7_3':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'8_1':0.0,'8_11':0.0},(145,718):{'5_2':0.42,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'8_6':0.0,'1':-0.03},(145,717):{'5_2':0.45,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'8_13':0.0,'4_1':0.0},(145,716):{'5_2':0.54,'7_5':0.06,'6_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(145,715):{'5_2':0.54,'6_1':0.09,'7_2':0.06,'-3':0.03,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(145,714):{'5_2':0.57,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(145,713):{'5_2':0.42,'6_1':0.09,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'7_5':0.0,'1':-0.03},(145,712):{'5_2':0.42,'-3':0.06,'3_1':0.06,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0},(145,711):{'5_2':0.42,'-3':0.12,'7_5':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_6':0.0},(145,710):{'5_2':0.36,'-3':0.09,'7_5':0.09,'7_4':0.09,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'1':-0.03},(145,709):{'5_2':0.42,'-3':0.18,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0,'1':-0.03},(145,708):{'5_2':0.51,'7_5':0.06,'-3':0.06,'5_1':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'9_1':0.0},(145,707):{'5_2':0.54,'6_1':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(145,706):{'5_2':0.42,'7_4':0.09,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(145,705):{'5_2':0.39,'3_1':0.06,'7_4':0.06,'7_3':0.06,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0},(145,704):{'5_2':0.48,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(145,703):{'5_2':0.45,'3_1':0.12,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(145,702):{'5_2':0.45,'7_4':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(145,701):{'5_2':0.48,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(145,700):{'5_2':0.3,'3_1':0.15,'7_4':0.12,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(145,699):{'5_2':0.45,'3_1':0.09,'7_4':0.06,'-3':0.06,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(145,698):{'5_2':0.36,'3_1':0.12,'-3':0.09,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'8_4':0.0},(145,697):{'5_2':0.39,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(145,696):{'5_2':0.36,'3_1':0.12,'7_3':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0},(145,695):{'5_2':0.42,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(145,694):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_5':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(145,693):{'5_2':0.3,'3_1':0.21,'6_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(145,692):{'5_2':0.36,'3_1':0.21,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_7':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(145,691):{'5_2':0.36,'3_1':0.15,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0},(145,690):{'5_2':0.3,'3_1':0.21,'-3':0.09,'7_3':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(145,689):{'5_2':0.27,'3_1':0.18,'7_4':0.12,'-3':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(145,688):{'5_2':0.33,'3_1':0.15,'7_3':0.06,'-3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_15':0.0},(145,687):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_4':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(145,686):{'5_2':0.24,'3_1':0.18,'7_4':0.06,'-3':0.06,'7_3':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0},(145,685):{'3_1':0.3,'5_2':0.24,'7_4':0.15,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(145,684):{'3_1':0.3,'5_2':0.24,'7_4':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(145,683):{'5_2':0.33,'3_1':0.18,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(145,682):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(145,681):{'3_1':0.39,'5_2':0.18,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(145,680):{'3_1':0.3,'5_2':0.21,'7_4':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(145,679):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(145,678):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(145,677):{'3_1':0.36,'5_2':0.18,'7_4':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(145,676):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_11':0.0},(145,675):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(145,674):{'3_1':0.45,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'8_11':0.0},(145,673):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(145,672):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(145,671):{'3_1':0.42,'5_2':0.15,'7_4':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_19':0.0},(145,670):{'3_1':0.48,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'8_15':0.0},(145,669):{'3_1':0.42,'5_2':0.12,'7_4':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(145,668):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'-3':0.0},(145,667):{'3_1':0.45,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(145,666):{'3_1':0.51,'7_4':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(145,665):{'3_1':0.42,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_7':0.0},(145,664):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(145,663):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(145,662):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(145,661):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(145,660):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'6_1':0.0,'-3':0.0},(145,659):{'3_1':0.42,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(145,658):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(145,657):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(145,656):{'3_1':0.39,'5_2':0.09,'5_1':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(145,655):{'3_1':0.51,'5_2':0.15,'4_1':0.03,'7_7':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(145,654):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_6':0.0},(145,653):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(145,652):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(145,651):{'3_1':0.36,'5_2':0.15,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_7':0.0,'8_19':0.0},(145,650):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(145,649):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'8_19':0.0},(145,648):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(145,647):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(145,646):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(145,645):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(145,644):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(145,643):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(145,642):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(145,641):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.06,'7_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(145,640):{'3_1':0.18,'5_2':0.18,'5_1':0.15,'6_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0},(145,639):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_7':0.0},(145,638):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(145,637):{'3_1':0.15,'5_1':0.03,'7_1':0.03,'4_1':0.03,'5_2':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(145,636):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(145,635):{'5_2':0.09,'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(145,634):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_7':0.0},(145,633):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_4':0.0,'7_7':0.0},(145,632):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0},(145,631):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0},(145,630):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0},(145,629):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(145,628):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_1':0.0},(145,627):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0},(145,626):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'9_1':0.0},(145,625):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_9':0.0,'9_1':0.0},(145,624):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0},(145,623):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'9_1':0.0},(145,622):{'3_1':0.09,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(145,621):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(145,620):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(145,619):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_7':0.0},(145,618):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_7':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(145,617):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0,'-3':0.0},(145,616):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(145,615):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'8_10':0.0},(145,614):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0},(145,613):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(145,612):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0},(145,611):{'3_1':0.18,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(145,610):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(145,609):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0},(145,608):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(145,607):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'9_1':0.0,'6_1':0.0,'7_5':0.0},(145,606):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(145,605):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(145,604):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0},(145,603):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(145,602):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(145,601):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(145,600):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'6_1':0.0},(145,599):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0},(145,598):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0},(145,597):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(145,596):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(145,595):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_12':0.0},(145,594):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(145,593):{'3_1':0.18,'4_1':0.18,'5_1':0.03,'5_2':0.0,'7_6':0.0},(145,592):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(145,591):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.03},(145,590):{'3_1':0.18,'4_1':0.12,'7_6':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(145,589):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(145,588):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(145,587):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(145,586):{'4_1':0.15,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(145,585):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0},(145,584):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(145,583):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'7_3':0.0},(145,582):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_19':0.0},(145,581):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(145,580):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(145,579):{'4_1':0.12,'3_1':0.06,'5_1':0.0},(145,578):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(145,577):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(145,576):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(145,575):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(145,574):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0},(145,573):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(145,572):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(145,571):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_7':0.0},(145,570):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0},(145,569):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(145,568):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(145,567):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(145,566):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(145,565):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(145,564):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(145,563):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(145,562):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(145,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(145,560):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(145,559):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_6':0.0},(145,558):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(145,557):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(145,556):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(145,555):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(145,554):{'3_1':0.15,'4_1':0.03,'7_6':0.0,'8_14':0.0},(145,553):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(145,552):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(145,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(145,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(145,549):{'3_1':0.09,'5_1':0.0},(145,548):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0},(145,547):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(145,546):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(145,545):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_5':0.0},(145,544):{'3_1':0.15,'4_1':0.0,'-3':0.0},(145,543):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(145,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(145,541):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(145,540):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(145,539):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(145,538):{'3_1':0.06,'5_1':0.03,'5_2':0.0,'7_6':0.0},(145,537):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(145,536):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(145,535):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0},(145,534):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(145,533):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(145,532):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_1':0.0},(145,531):{'3_1':0.12,'5_1':0.03,'6_2':0.0},(145,530):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(145,529):{'3_1':0.09,'4_1':0.0},(145,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(145,527):{'3_1':0.15,'4_1':0.0},(145,526):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,525):{'3_1':0.09,'4_1':0.0},(145,524):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(145,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(145,521):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(145,520):{'3_1':0.09,'4_1':0.0},(145,519):{'3_1':0.06,'4_1':0.0},(145,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0},(145,517):{'3_1':0.03,'4_1':0.0},(145,516):{'3_1':0.06,'4_1':0.0},(145,515):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(145,514):{'3_1':0.03,'5_1':0.0},(145,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,512):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(145,511):{'3_1':0.06,'4_1':0.0},(145,510):{'3_1':0.0,'5_1':0.0},(145,509):{'3_1':0.06,'4_1':0.0},(145,508):{'3_1':0.09,'4_1':0.0},(145,507):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(145,506):{'3_1':0.03},(145,505):{'3_1':0.03,'4_1':0.0},(145,504):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(145,503):{'3_1':0.0},(145,502):{'3_1':0.12,'4_1':0.0},(145,501):{'3_1':0.03,'4_1':0.0},(145,500):{'3_1':0.06},(145,499):{'3_1':0.03,'4_1':0.0},(145,498):{'3_1':0.06,'4_1':0.0},(145,497):{'3_1':0.06,'4_1':0.0},(145,496):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(145,495):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(145,494):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(145,493):{'3_1':0.09},(145,492):{'3_1':0.03,'4_1':0.0},(145,491):{'3_1':0.0,'5_2':0.0},(145,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(145,489):{'3_1':0.06},(145,488):{'3_1':0.06,'5_1':0.0},(145,487):{'3_1':0.09,'5_2':0.0},(145,486):{'3_1':0.03,'4_1':0.0},(145,485):{'3_1':0.0,'4_1':0.0},(145,484):{'3_1':0.03,'4_1':0.0},(145,483):{'3_1':0.09,'5_2':0.0},(145,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,481):{'3_1':0.06,'4_1':0.0},(145,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(145,479):{'4_1':0.03,'3_1':0.03},(145,478):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(145,477):{'3_1':0.09},(145,476):{'3_1':0.12,'4_1':0.0},(145,475):{'3_1':0.06,'4_1':0.0},(145,474):{'3_1':0.06},(145,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(145,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,471):{'3_1':0.03,'4_1':0.0},(145,470):{'3_1':0.03,'5_2':0.0},(145,469):{'3_1':0.03},(145,468):{'3_1':0.03,'4_1':0.0},(145,467):{'3_1':0.06},(145,466):{'3_1':0.03,'4_1':0.0},(145,465):{'3_1':0.0,'5_1':0.0},(145,464):{'3_1':0.03,'4_1':0.0},(145,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(145,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(145,461):{'3_1':0.0},(145,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(145,459):{'3_1':0.06,'4_1':0.0},(145,458):{'3_1':0.03},(145,457):{'3_1':0.0},(145,456):{'3_1':0.06,'4_1':0.0},(145,455):{'3_1':0.03,'4_1':0.0},(145,454):{'3_1':0.03,'4_1':0.0},(145,453):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(145,452):{'3_1':0.0,'4_1':0.0},(145,451):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(145,450):{'3_1':0.0,'4_1':0.0},(145,449):{'3_1':0.03},(145,448):{'3_1':0.0},(145,447):{'3_1':0.0,'4_1':0.0},(145,446):{'3_1':0.03,'4_1':0.0},(145,445):{'3_1':0.03,'4_1':0.0},(145,444):{'3_1':0.03,'4_1':0.0},(145,443):{'3_1':0.0,'4_1':0.0},(145,442):{'3_1':0.0},(145,441):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(145,440):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(145,439):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,438):{'3_1':0.03,'4_1':0.0},(145,437):{'3_1':0.0,'4_1':0.0},(145,436):{'3_1':0.0},(145,435):{'3_1':0.0},(145,434):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(145,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(145,432):{'3_1':0.03},(145,431):{'3_1':0.06,'5_1':0.0},(145,430):{'3_1':0.03,'4_1':0.0},(145,429):{'3_1':0.03,'4_1':0.0},(145,428):{'3_1':0.03,'4_1':0.0},(145,427):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(145,426):{'3_1':0.06,'4_1':0.0},(145,425):{'3_1':0.03,'5_2':0.0},(145,424):{'3_1':0.0,'4_1':0.0},(145,423):{'4_1':0.0,'3_1':0.0},(145,422):{'3_1':0.03,'4_1':0.0},(145,421):{'3_1':0.03},(145,420):{'4_1':0.0,'3_1':0.0},(145,419):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(145,418):{'3_1':0.03},(145,417):{'3_1':0.0},(145,416):{'4_1':0.0,'3_1':0.0},(145,415):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(145,414):{'3_1':0.03},(145,413):{'3_1':0.03,'4_1':0.0},(145,412):{'3_1':0.0},(145,411):{'3_1':0.0,'5_1':0.0},(145,410):{'3_1':0.0,'5_1':0.0},(145,409):{'3_1':0.0,'4_1':0.0},(145,408):{'3_1':0.0},(145,406):{'3_1':0.0},(145,405):{'3_1':0.03,'4_1':0.0},(145,404):{'3_1':0.0},(145,403):{'3_1':0.0},(145,402):{'3_1':0.0,'4_1':0.0},(145,401):{'3_1':0.0},(145,400):{'3_1':0.0},(145,399):{'3_1':0.0,'4_1':0.0},(145,398):{'3_1':0.0},(145,396):{'3_1':0.0},(145,392):{'3_1':0.0},(145,390):{'3_1':0.0},(145,389):{'3_1':0.0},(145,387):{'3_1':0.0},(145,386):{'3_1':0.0},(145,385):{'3_1':0.0,'4_1':0.0},(145,383):{'3_1':0.0},(145,382):{'3_1':0.0},(145,381):{'3_1':0.03,'4_1':0.0},(145,380):{'3_1':0.06,'4_1':0.0},(145,379):{'3_1':0.0,'5_1':0.0},(145,378):{'3_1':0.0,'5_2':0.0},(145,377):{'3_1':0.03,'5_1':0.0},(145,376):{'3_1':0.03,'8_20|3_1#3_1':0.0},(145,375):{'3_1':0.03,'5_1':0.0},(145,374):{'3_1':0.0},(145,373):{'3_1':0.03},(145,372):{'3_1':0.0},(145,371):{'3_1':0.0,'4_1':0.0},(145,370):{'3_1':0.0},(145,369):{'3_1':0.0},(145,368):{'3_1':0.0,'4_1':0.0},(145,367):{'3_1':0.0,'5_1':0.0},(145,366):{'3_1':0.03},(145,365):{'3_1':0.03,'5_1':0.0},(145,364):{'3_1':0.06},(145,363):{'3_1':0.03,'4_1':0.0},(145,362):{'3_1':0.0},(145,360):{'3_1':0.0,'7_1':0.0},(145,359):{'3_1':0.03},(145,358):{'3_1':0.03},(145,356):{'3_1':0.0,'5_2':0.0},(145,355):{'3_1':0.0},(145,354):{'3_1':0.0},(145,353):{'3_1':0.0},(145,352):{'3_1':0.0,'4_1':0.0},(145,351):{'3_1':0.0},(145,349):{'3_1':0.0},(145,347):{'3_1':0.0},(145,344):{'4_1':0.0},(145,343):{'3_1':0.0,'4_1':0.0},(145,342):{'3_1':0.03,'4_1':0.0},(145,341):{'3_1':0.0},(145,340):{'3_1':0.0},(145,339):{'3_1':0.0,'4_1':0.0},(145,338):{'3_1':0.0},(145,337):{'3_1':0.0},(145,333):{'3_1':0.0},(145,332):{'3_1':0.0},(145,330):{'3_1':0.0},(145,329):{'3_1':0.0},(145,327):{'3_1':0.0},(145,326):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(145,325):{'3_1':0.0},(145,323):{'3_1':0.0},(145,322):{'3_1':0.0},(145,321):{'3_1':0.0,'5_1':0.0},(145,319):{'3_1':0.0},(145,318):{'3_1':0.0,'4_1':0.0},(145,317):{'3_1':0.0},(145,316):{'3_1':0.0},(145,312):{'3_1':0.0},(145,309):{'3_1':0.0},(145,308):{'3_1':0.03},(145,307):{'3_1':0.0},(145,306):{'3_1':0.0},(145,305):{'3_1':0.0},(145,303):{'3_1':0.0},(145,302):{'3_1':0.0},(145,299):{'3_1':0.0},(145,298):{'3_1':0.0},(145,297):{'3_1':0.03},(145,294):{'3_1':0.0},(145,293):{'3_1':0.0},(145,292):{'3_1':0.0},(145,291):{'3_1':0.0},(145,290):{'3_1':0.0},(145,289):{'3_1':0.0,'5_1':0.0},(145,288):{'4_1':0.0},(145,287):{'3_1':0.0},(145,286):{'3_1':0.0},(145,285):{'3_1':0.0},(145,284):{'3_1':0.0},(145,283):{'3_1':0.0},(145,282):{'3_1':0.0},(145,281):{'3_1':0.0},(145,280):{'3_1':0.0,'4_1':0.0},(145,279):{'3_1':0.0},(145,278):{'3_1':0.0},(145,275):{'3_1':0.03,'4_1':0.0},(145,274):{'3_1':0.0},(145,273):{'3_1':0.0},(145,272):{'3_1':0.0,'5_1':0.0},(145,271):{'3_1':0.0},(145,270):{'3_1':0.0},(145,269):{'3_1':0.0},(145,268):{'3_1':0.0},(145,267):{'3_1':0.0},(145,266):{'3_1':0.0},(145,265):{'3_1':0.0},(145,264):{'3_1':0.0},(145,262):{'3_1':0.0},(145,260):{'3_1':0.0},(145,259):{'3_1':0.0},(145,258):{'3_1':0.0},(145,256):{'3_1':0.0},(145,255):{'3_1':0.0,'4_1':0.0},(145,254):{'3_1':0.0},(145,249):{'3_1':0.0},(145,248):{'3_1':0.0},(145,245):{'4_1':0.0},(145,243):{'3_1':0.0},(145,241):{'3_1':0.0,'5_2':0.0},(145,240):{'3_1':0.0},(145,239):{'3_1':0.03},(145,238):{'3_1':0.0},(145,237):{'3_1':0.0},(145,236):{'3_1':0.0,'7_1':0.0},(145,234):{'3_1':0.0},(145,233):{'3_1':0.0},(145,232):{'3_1':0.0},(145,231):{'3_1':0.0,'4_1':0.0},(145,230):{'3_1':0.0},(145,229):{'3_1':0.0},(145,227):{'3_1':0.0,'5_1':0.0},(145,226):{'3_1':0.0},(145,225):{'3_1':0.0},(145,223):{'3_1':0.0},(145,221):{'3_1':0.0,'4_1':0.0,'-3':0.0},(145,220):{'3_1':0.0},(145,219):{'3_1':0.0},(145,217):{'3_1':0.0},(145,216):{'3_1':0.0},(145,212):{'3_1':0.0},(145,208):{'3_1':0.0},(145,207):{'3_1':0.0},(145,206):{'3_1':0.0},(145,205):{'3_1':0.0},(145,200):{'3_1':0.0},(145,199):{'3_1':0.0},(145,198):{'3_1':0.0},(145,196):{'3_1':0.0},(145,194):{'5_1':0.0},(145,191):{'3_1':0.0},(145,165):{'3_1':0.0},(145,155):{'3_1':0.0},(145,153):{'3_1':0.0},(145,152):{'3_1':0.0},(145,151):{'3_1':0.0},(145,149):{'3_1':0.0},(146,727):{'5_2':0.45,'7_2':0.09,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0},(146,726):{'5_2':0.48,'6_1':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'8_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(146,725):{'5_2':0.6,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'8_8':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(146,724):{'5_2':0.48,'7_2':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'8_11':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(146,723):{'5_2':0.39,'7_2':0.06,'7_5':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0},(146,722):{'5_2':0.45,'-3':0.09,'7_4':0.06,'6_1':0.06,'3_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0,'8_15':0.0},(146,721):{'5_2':0.39,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(146,720):{'5_2':0.42,'7_2':0.09,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'8_1':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'1':-0.03},(146,719):{'5_2':0.57,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(146,718):{'5_2':0.42,'7_2':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(146,717):{'5_2':0.42,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(146,716):{'5_2':0.42,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(146,715):{'5_2':0.33,'7_2':0.12,'7_4':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(146,714):{'5_2':0.45,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(146,713):{'5_2':0.39,'-3':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_2':0.0,'3_1#5_2':0.0},(146,712):{'5_2':0.42,'6_1':0.09,'3_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(146,711):{'5_2':0.3,'-3':0.12,'7_4':0.06,'3_1':0.06,'7_5':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(146,710):{'5_2':0.42,'-3':0.09,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.03,'7_6':0.0,'6_2':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(146,709):{'5_2':0.33,'-3':0.18,'7_5':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(146,708):{'5_2':0.33,'-3':0.12,'3_1':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_6':0.0,'1':-0.03},(146,707):{'5_2':0.51,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(146,706):{'5_2':0.42,'3_1':0.09,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_3':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(146,705):{'5_2':0.45,'3_1':0.12,'7_2':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_8':0.0,'8_15':0.0},(146,704):{'5_2':0.42,'7_4':0.09,'6_1':0.03,'7_3':0.03,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_6':0.0,'7_1':0.0,'8_13':0.0},(146,703):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_4':0.06,'7_2':0.06,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(146,702):{'5_2':0.45,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_8':0.0,'8_14':0.0},(146,701):{'5_2':0.39,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.06,'7_5':0.03,'7_3':0.0,'8_8':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(146,700):{'5_2':0.36,'3_1':0.12,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(146,699):{'5_2':0.45,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(146,698):{'5_2':0.36,'3_1':0.12,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_7':0.0,'8_11':0.0},(146,697):{'5_2':0.21,'3_1':0.18,'-3':0.12,'7_4':0.09,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(146,696):{'5_2':0.27,'3_1':0.18,'-3':0.09,'7_3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(146,695):{'5_2':0.24,'7_4':0.09,'3_1':0.09,'6_1':0.06,'7_6':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'8_14':0.0,'7_7':0.0,'8_6':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(146,694):{'5_2':0.33,'3_1':0.12,'6_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(146,693):{'5_2':0.39,'3_1':0.12,'7_4':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_1':0.0,'8_14':0.0},(146,692):{'5_2':0.36,'3_1':0.15,'7_4':0.09,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(146,691):{'5_2':0.33,'3_1':0.12,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0,'8_14':0.0},(146,690):{'5_2':0.3,'3_1':0.09,'7_4':0.09,'6_1':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'8_11':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(146,689):{'5_2':0.24,'3_1':0.15,'7_4':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(146,688):{'5_2':0.33,'3_1':0.3,'-3':0.06,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_13':0.0},(146,687):{'5_2':0.33,'3_1':0.15,'-3':0.09,'7_4':0.09,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(146,686):{'5_2':0.27,'3_1':0.18,'7_3':0.06,'-3':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0},(146,685):{'5_2':0.21,'3_1':0.21,'7_4':0.09,'-3':0.06,'4_1':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(146,684):{'3_1':0.33,'5_2':0.27,'7_4':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(146,683):{'3_1':0.27,'5_2':0.21,'-3':0.06,'7_4':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(146,682):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(146,681):{'3_1':0.27,'5_2':0.24,'4_1':0.06,'7_4':0.03,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(146,680):{'3_1':0.21,'5_2':0.21,'7_4':0.09,'4_1':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(146,679):{'3_1':0.27,'5_2':0.18,'7_4':0.09,'7_2':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(146,678):{'3_1':0.42,'5_2':0.12,'7_4':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(146,677):{'3_1':0.36,'5_2':0.18,'4_1':0.12,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(146,676):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(146,675):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(146,674):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_11':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(146,673):{'3_1':0.45,'5_2':0.15,'7_4':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_11':0.0,'-3':0.0},(146,672):{'3_1':0.42,'5_2':0.18,'7_4':0.06,'4_1':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0,'8_8':0.0,'8_11':0.0},(146,671):{'3_1':0.45,'5_2':0.15,'7_4':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(146,670):{'3_1':0.45,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(146,669):{'3_1':0.51,'5_2':0.12,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(146,668):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_15':0.0},(146,667):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(146,666):{'3_1':0.51,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0},(146,665):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0},(146,664):{'3_1':0.39,'5_2':0.15,'7_4':0.06,'4_1':0.06,'7_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0},(146,663):{'3_1':0.42,'5_2':0.21,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(146,662):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'6_2':0.0,'-3':0.0},(146,661):{'3_1':0.39,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(146,660):{'3_1':0.42,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_9':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(146,659):{'3_1':0.33,'5_2':0.24,'4_1':0.06,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(146,658):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'8_19':0.0},(146,657):{'3_1':0.42,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(146,656):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(146,655):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(146,654):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(146,653):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(146,652):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'9_1':0.0},(146,651):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0},(146,650):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(146,649):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0},(146,648):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(146,647):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(146,646):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_3':0.03,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(146,645):{'3_1':0.33,'5_2':0.24,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'8_19':0.0},(146,644):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(146,643):{'5_2':0.21,'3_1':0.21,'5_1':0.06,'4_1':0.03,'7_1':0.03,'7_4':0.0,'6_2':0.0,'8_11':0.0},(146,642):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'9_1':0.0,'1':-0.03},(146,641):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(146,640):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(146,639):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(146,638):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(146,637):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(146,636):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(146,635):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(146,634):{'5_2':0.15,'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(146,633):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(146,632):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_1':0.0,'5_1':0.0,'8_19':0.0},(146,631):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0},(146,630):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(146,629):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'7_3':0.0},(146,628):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(146,627):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(146,626):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(146,625):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(146,624):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(146,623):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0},(146,622):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(146,621):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(146,620):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'9_1':0.0},(146,619):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(146,618):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(146,617):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(146,616):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0},(146,615):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(146,614):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(146,613):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0},(146,612):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(146,611):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'8_19':0.0},(146,610):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(146,609):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_11':0.0,'8_19':0.0},(146,608):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,607):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_6':0.0,'8_16':0.0},(146,606):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(146,605):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(146,604):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03},(146,603):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'8_19':0.0},(146,602):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_6':0.0},(146,601):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(146,600):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(146,599):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(146,598):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(146,597):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(146,596):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(146,595):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(146,594):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(146,593):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'8_19':0.0},(146,592):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(146,591):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(146,590):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(146,589):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(146,588):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'7_4':0.0},(146,587):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(146,586):{'4_1':0.12,'3_1':0.09,'5_1':0.03},(146,585):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'-3':0.0},(146,584):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03},(146,583):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(146,582):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(146,581):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(146,580):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(146,579):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(146,578):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(146,577):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(146,576):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(146,575):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(146,574):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(146,573):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(146,572):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(146,571):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(146,570):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(146,569):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03},(146,568):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(146,567):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(146,566):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(146,565):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(146,564):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0},(146,563):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(146,562):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(146,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(146,560):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(146,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(146,558):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(146,557):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'8_7':0.0},(146,556):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(146,555):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(146,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,553):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(146,552):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'6_3':0.0},(146,551):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(146,550):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(146,549):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_3':0.0},(146,548):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(146,547):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(146,546):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(146,545):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(146,544):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(146,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(146,542):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(146,541):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(146,540):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(146,539):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(146,538):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(146,537):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(146,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(146,535):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(146,534):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(146,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(146,532):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(146,531):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(146,530):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'-3':0.0},(146,529):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(146,528):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(146,527):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(146,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(146,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(146,523):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(146,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(146,521):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'8_2':0.0},(146,520):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,519):{'3_1':0.03,'5_1':0.0},(146,518):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(146,517):{'3_1':0.09},(146,516):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(146,515):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(146,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(146,513):{'3_1':0.03,'4_1':0.0},(146,512):{'3_1':0.06,'4_1':0.0},(146,511):{'3_1':0.03,'4_1':0.03},(146,510):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(146,509):{'3_1':0.06,'4_1':0.0},(146,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(146,507):{'3_1':0.06,'4_1':0.0},(146,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,505):{'3_1':0.06},(146,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(146,503):{'3_1':0.03,'5_1':0.0},(146,502):{'3_1':0.03,'4_1':0.0},(146,501):{'3_1':0.03,'4_1':0.0},(146,500):{'3_1':0.03},(146,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,498):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(146,497):{'3_1':0.03},(146,496):{'3_1':0.06,'4_1':0.0},(146,495):{'3_1':0.03,'5_1':0.0},(146,494):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(146,493):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,492):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(146,491):{'3_1':0.06,'4_1':0.0},(146,490):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(146,489):{'3_1':0.03},(146,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,487):{'3_1':0.06,'4_1':0.0},(146,486):{'3_1':0.03},(146,485):{'3_1':0.03,'7_1':0.0},(146,484):{'3_1':0.06,'7_1':0.0,'5_1':0.0},(146,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(146,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(146,481):{'3_1':0.09,'4_1':0.0},(146,480):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(146,479):{'3_1':0.09,'4_1':0.0},(146,478):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(146,477):{'3_1':0.0,'7_1':0.0},(146,476):{'3_1':0.09},(146,475):{'3_1':0.12,'5_1':0.0},(146,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,473):{'3_1':0.03},(146,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(146,471):{'3_1':0.06},(146,470):{'3_1':0.12,'4_1':0.0},(146,469):{'3_1':0.03,'4_1':0.0},(146,468):{'3_1':0.06,'4_1':0.0},(146,467):{'3_1':0.03,'7_2':0.0},(146,466):{'3_1':0.0,'4_1':0.0},(146,465):{'3_1':0.03},(146,464):{'3_1':0.03,'4_1':0.0},(146,463):{'3_1':0.03,'4_1':0.0},(146,462):{'3_1':0.03,'4_1':0.0},(146,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(146,460):{'3_1':0.0},(146,459):{'4_1':0.0,'3_1':0.0},(146,458):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(146,457):{'3_1':0.0,'4_1':0.0},(146,456):{'3_1':0.03,'4_1':0.0},(146,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(146,454):{'3_1':0.03,'4_1':0.0},(146,453):{'3_1':0.0},(146,452):{'4_1':0.0},(146,451):{'3_1':0.0,'4_1':0.0},(146,450):{'3_1':0.06},(146,449):{'3_1':0.0,'4_1':0.0},(146,448):{'3_1':0.03},(146,447):{'3_1':0.0,'4_1':0.0},(146,446):{'3_1':0.0,'4_1':0.0},(146,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(146,444):{'3_1':0.0},(146,443):{'3_1':0.0,'5_1':0.0},(146,442):{'3_1':0.03,'4_1':0.0},(146,441):{'3_1':0.03,'4_1':0.0},(146,440):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,439):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(146,438):{'3_1':0.0,'5_1':0.0},(146,437):{'3_1':0.0,'4_1':0.0},(146,436):{'3_1':0.06,'5_2':0.0},(146,435):{'3_1':0.06},(146,434):{'4_1':0.0,'5_1':0.0},(146,433):{'3_1':0.03,'4_1':0.0},(146,432):{'3_1':0.03,'4_1':0.0},(146,431):{'3_1':0.03,'5_1':0.0},(146,430):{'3_1':0.0,'4_1':0.0},(146,429):{'3_1':0.03,'4_1':0.0},(146,428):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(146,427):{'3_1':0.0,'4_1':0.0},(146,426):{'3_1':0.03,'4_1':0.0},(146,425):{'3_1':0.03,'4_1':0.0},(146,424):{'3_1':0.03,'4_1':0.0},(146,423):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(146,422):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(146,421):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(146,420):{'3_1':0.03,'4_1':0.0},(146,419):{'3_1':0.0},(146,418):{'3_1':0.03,'4_1':0.0},(146,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(146,416):{'3_1':0.03,'4_1':0.0},(146,415):{'3_1':0.0,'4_1':0.0},(146,414):{'3_1':0.03},(146,413):{'3_1':0.0},(146,412):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(146,411):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(146,410):{'3_1':0.03},(146,409):{'3_1':0.0,'4_1':0.0},(146,408):{'3_1':0.03,'4_1':0.0},(146,407):{'3_1':0.0,'4_1':0.0},(146,406):{'5_1':0.0},(146,405):{'3_1':0.0},(146,403):{'3_1':0.0},(146,402):{'3_1':0.03,'8_1':0.0},(146,401):{'3_1':0.0,'4_1':0.0},(146,400):{'3_1':0.03},(146,399):{'3_1':0.03,'4_1':0.0},(146,398):{'3_1':0.0,'4_1':0.0},(146,397):{'3_1':0.0,'5_2':0.0},(146,396):{'3_1':0.0},(146,395):{'3_1':0.0},(146,394):{'4_1':0.0},(146,393):{'3_1':0.0,'4_1':0.0},(146,392):{'3_1':0.0},(146,391):{'3_1':0.0},(146,390):{'3_1':0.0,'5_1':0.0},(146,389):{'4_1':0.0},(146,388):{'3_1':0.0},(146,387):{'3_1':0.0},(146,386):{'3_1':0.0,'4_1':0.0},(146,385):{'3_1':0.0,'4_1':0.0},(146,382):{'3_1':0.0},(146,381):{'3_1':0.03,'8_20|3_1#3_1':0.0},(146,380):{'3_1':0.03},(146,379):{'3_1':0.0},(146,378):{'3_1':0.06},(146,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(146,376):{'3_1':0.0},(146,375):{'3_1':0.03,'5_1':0.0},(146,374):{'3_1':0.03,'5_1':0.0},(146,373):{'3_1':0.03,'5_1':0.0},(146,372):{'3_1':0.0},(146,371):{'3_1':0.0},(146,370):{'3_1':0.03},(146,369):{'3_1':0.0},(146,368):{'3_1':0.03},(146,367):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(146,366):{'3_1':0.0},(146,365):{'3_1':0.03},(146,364):{'3_1':0.0},(146,363):{'3_1':0.0,'8_20|3_1#3_1':0.0},(146,362):{'3_1':0.0},(146,361):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(146,360):{'3_1':0.0},(146,359):{'3_1':0.0,'5_1':0.0},(146,358):{'3_1':0.0},(146,357):{'3_1':0.0},(146,356):{'3_1':0.0},(146,355):{'3_1':0.0},(146,354):{'3_1':0.0},(146,353):{'3_1':0.0},(146,352):{'3_1':0.0,'5_2':0.0},(146,351):{'3_1':0.0},(146,350):{'3_1':0.0},(146,349):{'3_1':0.0},(146,348):{'3_1':0.0},(146,347):{'3_1':0.0},(146,346):{'3_1':0.03},(146,345):{'3_1':0.0},(146,343):{'3_1':0.0,'4_1':0.0},(146,342):{'3_1':0.03},(146,341):{'3_1':0.0},(146,340):{'3_1':0.06},(146,339):{'3_1':0.0},(146,338):{'3_1':0.0},(146,337):{'3_1':0.0,'5_1':0.0},(146,336):{'3_1':0.0},(146,335):{'3_1':0.03},(146,334):{'3_1':0.03},(146,333):{'3_1':0.0,'4_1':0.0},(146,332):{'3_1':0.0},(146,331):{'4_1':0.0,'3_1':0.0},(146,329):{'3_1':0.03},(146,328):{'3_1':0.0},(146,327):{'3_1':0.0,'4_1':0.0},(146,326):{'3_1':0.0},(146,325):{'3_1':0.0},(146,324):{'3_1':0.0},(146,323):{'3_1':0.03},(146,322):{'3_1':0.0},(146,320):{'3_1':0.0},(146,319):{'3_1':0.0,'5_1':0.0},(146,318):{'3_1':0.0},(146,316):{'3_1':0.0},(146,315):{'3_1':0.0},(146,313):{'3_1':0.0},(146,312):{'3_1':0.0},(146,311):{'3_1':0.0},(146,310):{'3_1':0.0},(146,309):{'3_1':0.0},(146,308):{'3_1':0.0},(146,307):{'3_1':0.03},(146,305):{'3_1':0.0,'4_1':0.0},(146,304):{'3_1':0.03},(146,303):{'3_1':0.0,'5_1':0.0},(146,302):{'3_1':0.03},(146,301):{'3_1':0.0},(146,300):{'3_1':0.0},(146,299):{'3_1':0.03},(146,298):{'3_1':0.0},(146,297):{'3_1':0.0},(146,296):{'3_1':0.0},(146,295):{'3_1':0.0},(146,293):{'3_1':0.0},(146,292):{'3_1':0.0},(146,291):{'3_1':0.0},(146,290):{'3_1':0.03},(146,289):{'3_1':0.0},(146,287):{'3_1':0.0},(146,286):{'3_1':0.0},(146,285):{'4_1':0.0,'3_1':0.0},(146,284):{'3_1':0.0},(146,282):{'3_1':0.0,'4_1':0.0},(146,281):{'3_1':0.0},(146,280):{'3_1':0.0},(146,279):{'3_1':0.0},(146,278):{'3_1':0.0},(146,276):{'3_1':0.0},(146,275):{'4_1':0.0},(146,274):{'3_1':0.0},(146,273):{'3_1':0.0},(146,272):{'3_1':0.0},(146,271):{'3_1':0.0},(146,270):{'3_1':0.0},(146,269):{'3_1':0.0},(146,268):{'3_1':0.0},(146,267):{'3_1':0.0},(146,266):{'3_1':0.0},(146,265):{'3_1':0.0},(146,264):{'3_1':0.0},(146,263):{'3_1':0.0},(146,256):{'3_1':0.0,'7_1':0.0},(146,255):{'3_1':0.0},(146,254):{'3_1':0.0},(146,252):{'3_1':0.0},(146,250):{'3_1':0.0},(146,246):{'3_1':0.0,'4_1':0.0},(146,244):{'3_1':0.0},(146,242):{'3_1':0.0},(146,241):{'3_1':0.0,'5_1':0.0},(146,240):{'3_1':0.0},(146,239):{'3_1':0.0,'4_1':0.0},(146,238):{'3_1':0.0,'6_1':0.0},(146,237):{'3_1':0.0},(146,236):{'3_1':0.03},(146,234):{'3_1':0.03},(146,233):{'3_1':0.03},(146,232):{'3_1':0.0,'4_1':0.0},(146,231):{'3_1':0.0,'5_1':0.0},(146,230):{'3_1':0.03},(146,229):{'3_1':0.0},(146,228):{'5_1':0.0},(146,227):{'5_1':0.0},(146,226):{'3_1':0.0},(146,225):{'3_1':0.0},(146,224):{'3_1':0.0},(146,223):{'3_1':0.0},(146,220):{'3_1':0.0},(146,219):{'3_1':0.0},(146,218):{'3_1':0.0},(146,217):{'5_1':0.0},(146,216):{'3_1':0.0,'5_1':0.0},(146,215):{'3_1':0.0},(146,212):{'3_1':0.0},(146,210):{'3_1':0.0},(146,209):{'3_1':0.0},(146,207):{'3_1':0.0},(146,203):{'3_1':0.0},(146,193):{'5_1':0.0},(146,192):{'3_1':0.0},(146,191):{'3_1':0.0},(146,182):{'3_1':0.0},(146,152):{'3_1':0.0},(146,151):{'3_1':0.0},(146,150):{'3_1':0.0},(147,727):{'5_2':0.51,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'7_4':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(147,726):{'5_2':0.48,'6_1':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'3_1':0.0},(147,725):{'5_2':0.36,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'8_8':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0},(147,724):{'5_2':0.39,'7_4':0.09,'6_1':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0},(147,723):{'5_2':0.42,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(147,722):{'5_2':0.36,'7_2':0.12,'6_1':0.09,'-3':0.06,'7_4':0.03,'5_1':0.0,'7_6':0.0,'7_5':0.0,'3_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(147,721):{'5_2':0.42,'6_1':0.09,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(147,720):{'5_2':0.45,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'8_19':0.0},(147,719):{'5_2':0.45,'-3':0.09,'7_2':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_10':0.0},(147,718):{'5_2':0.51,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'3_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0},(147,717):{'5_2':0.42,'-3':0.09,'6_1':0.06,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(147,716):{'5_2':0.48,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_19':0.0,'1':-0.03},(147,715):{'5_2':0.45,'7_5':0.06,'7_2':0.06,'-3':0.06,'6_1':0.03,'5_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'8_15':0.0},(147,714):{'5_2':0.39,'7_2':0.06,'6_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'1':-0.03},(147,713):{'5_2':0.39,'7_2':0.09,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0,'8_13':0.0,'8_15':0.0},(147,712):{'5_2':0.36,'6_1':0.09,'3_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0,'1':-0.03},(147,711):{'5_2':0.39,'6_1':0.09,'7_4':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'8_1':0.0,'4_1':0.0,'7_7':0.0,'8_6':0.0,'9_1':0.0,'1':-0.03},(147,710):{'5_2':0.39,'-3':0.12,'3_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(147,709):{'5_2':0.45,'-3':0.09,'6_1':0.03,'5_1':0.0,'7_5':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'4_1':0.0,'1':-0.03},(147,708):{'5_2':0.33,'-3':0.09,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(147,707):{'5_2':0.39,'-3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0,'8_19':0.0},(147,706):{'5_2':0.33,'3_1':0.09,'-3':0.09,'6_1':0.09,'7_4':0.03,'7_2':0.03,'3_1#5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(147,705):{'5_2':0.45,'-3':0.09,'6_1':0.06,'3_1':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_13':0.0},(147,704):{'5_2':0.45,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(147,703):{'5_2':0.39,'6_1':0.09,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(147,702):{'5_2':0.36,'3_1':0.15,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'2':-0.03},(147,701):{'5_2':0.42,'3_1':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_1':0.0,'8_1':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_1':0.0},(147,700):{'5_2':0.48,'3_1':0.09,'-3':0.06,'7_2':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(147,699):{'5_2':0.36,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(147,698):{'5_2':0.3,'7_4':0.12,'-3':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(147,697):{'5_2':0.27,'-3':0.12,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(147,696):{'5_2':0.39,'3_1':0.09,'-3':0.06,'7_3':0.06,'7_6':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'1':-0.03},(147,695):{'5_2':0.27,'3_1':0.12,'6_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.03,'7_3':0.0,'6_2':0.0,'8_14':0.0,'1':-0.03},(147,694):{'5_2':0.27,'3_1':0.12,'7_4':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.06,'4_1':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'8_19':0.0},(147,693):{'5_2':0.3,'3_1':0.18,'-3':0.09,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_9':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(147,692):{'5_2':0.33,'3_1':0.12,'7_3':0.09,'6_1':0.06,'-3':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(147,691):{'5_2':0.24,'3_1':0.12,'-3':0.09,'6_1':0.09,'7_3':0.06,'7_4':0.03,'3_1#5_2':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_15':0.0},(147,690):{'5_2':0.27,'3_1':0.12,'6_1':0.06,'7_4':0.06,'-3':0.06,'4_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(147,689):{'5_2':0.24,'3_1':0.15,'-3':0.09,'7_4':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(147,688):{'5_2':0.3,'3_1':0.15,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(147,687):{'5_2':0.24,'3_1':0.21,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(147,686):{'5_2':0.21,'3_1':0.18,'6_1':0.09,'7_2':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(147,685):{'5_2':0.27,'3_1':0.18,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_2':0.0},(147,684):{'5_2':0.33,'3_1':0.18,'7_4':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(147,683):{'5_2':0.3,'3_1':0.18,'7_4':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(147,682):{'3_1':0.24,'5_2':0.24,'7_4':0.09,'7_3':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(147,681):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(147,680):{'3_1':0.33,'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(147,679):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0},(147,678):{'3_1':0.3,'5_2':0.12,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'3_1#5_1':0.0},(147,677):{'3_1':0.3,'5_2':0.15,'4_1':0.09,'-3':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(147,676):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,675):{'3_1':0.42,'5_2':0.12,'7_4':0.06,'-3':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(147,674):{'3_1':0.36,'5_2':0.18,'7_4':0.06,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(147,673):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_4':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(147,672):{'3_1':0.42,'4_1':0.09,'5_2':0.09,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0},(147,671):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_10':0.0},(147,670):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(147,669):{'3_1':0.48,'5_2':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,668):{'3_1':0.45,'5_2':0.06,'4_1':0.06,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(147,667):{'3_1':0.45,'5_2':0.12,'7_4':0.03,'6_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(147,666):{'3_1':0.42,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(147,665):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(147,664):{'3_1':0.39,'5_2':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(147,663):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(147,662):{'3_1':0.45,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(147,661):{'3_1':0.42,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(147,660):{'3_1':0.51,'5_2':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(147,659):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(147,658):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(147,657):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'-3':0.0,'8_11':0.0},(147,656):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(147,655):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(147,654):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,653):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_5':0.0,'8_19':0.0},(147,652):{'3_1':0.36,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(147,651):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(147,650):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(147,649):{'3_1':0.39,'5_2':0.09,'5_1':0.09,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(147,648):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(147,647):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0},(147,646):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0},(147,645):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,644):{'3_1':0.21,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(147,643):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(147,642):{'3_1':0.18,'5_2':0.09,'5_1':0.09,'4_1':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0,'9_1':0.0},(147,641):{'3_1':0.18,'5_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(147,640):{'3_1':0.18,'5_1':0.12,'5_2':0.09,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(147,639):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(147,638):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0},(147,637):{'3_1':0.18,'5_2':0.12,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(147,636):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(147,635):{'3_1':0.12,'5_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0},(147,634):{'3_1':0.12,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(147,633):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(147,632):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_15':0.0},(147,631):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0},(147,630):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(147,629):{'3_1':0.12,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(147,628):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(147,627):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(147,626):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(147,625):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(147,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,623):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0},(147,622):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0,'7_1':0.0,'8_9':0.0},(147,621):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(147,620):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(147,619):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(147,618):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(147,617):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(147,616):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(147,615):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(147,614):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(147,613):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_15':0.0},(147,612):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(147,611):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(147,610):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(147,609):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'8_19':0.0},(147,608):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(147,607):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(147,606):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(147,605):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(147,604):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0},(147,603):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(147,602):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0},(147,601):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_3':0.0},(147,600):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(147,599):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(147,598):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(147,597):{'4_1':0.12,'3_1':0.12,'5_1':0.0},(147,596):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'1':-0.03},(147,595):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(147,594):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(147,593):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(147,592):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(147,591):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(147,590):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(147,589):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0},(147,588):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(147,587):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0,'6_1':0.0,'8_1':0.0},(147,586):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.03},(147,585):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(147,584):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(147,583):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(147,582):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(147,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(147,580):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0},(147,579):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(147,578):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(147,577):{'3_1':0.09,'4_1':0.06,'7_1':0.0,'5_1':0.0},(147,576):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'7_1':0.0,'-3':0.0},(147,575):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(147,574):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(147,573):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(147,572):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(147,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(147,570):{'4_1':0.12,'3_1':0.09,'5_1':0.0},(147,569):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(147,568):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(147,567):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(147,566):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_1':0.0},(147,565):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(147,564):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(147,563):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(147,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_2':0.0},(147,561):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(147,560):{'3_1':0.09,'4_1':0.06,'-3':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(147,559):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_2':0.0},(147,558):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_2':0.0},(147,557):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0},(147,556):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(147,555):{'3_1':0.12,'5_2':0.0},(147,554):{'3_1':0.15,'5_1':0.0},(147,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(147,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(147,551):{'3_1':0.09,'5_1':0.03,'7_5':0.0,'4_1':0.0,'-3':0.0},(147,550):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(147,549):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(147,548):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_5':0.0},(147,547):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_5':0.0},(147,546):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(147,545):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(147,544):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(147,543):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(147,542):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(147,541):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(147,540):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(147,539):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(147,538):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(147,537):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(147,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(147,535):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(147,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(147,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(147,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(147,531):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(147,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(147,529):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(147,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(147,527):{'3_1':0.12,'4_1':0.0},(147,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(147,525):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(147,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(147,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(147,522):{'3_1':0.06,'5_1':0.0},(147,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,520):{'3_1':0.09,'4_1':0.0},(147,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,518):{'3_1':0.06,'4_1':0.0},(147,517):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(147,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,515):{'3_1':0.03,'4_1':0.03},(147,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(147,513):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,511):{'3_1':0.0},(147,510):{'3_1':0.03,'4_1':0.0},(147,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(147,508):{'3_1':0.0,'5_1':0.0},(147,507):{'3_1':0.06,'4_1':0.0},(147,506):{'3_1':0.0,'5_2':0.0},(147,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(147,504):{'3_1':0.03,'4_1':0.0},(147,503):{'3_1':0.03,'4_1':0.0},(147,502):{'3_1':0.03,'4_1':0.0},(147,501):{'3_1':0.03,'4_1':0.0},(147,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,498):{'3_1':0.03,'4_1':0.0},(147,497):{'3_1':0.03,'4_1':0.0},(147,496):{'3_1':0.06},(147,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(147,494):{'3_1':0.09,'4_1':0.0},(147,493):{'3_1':0.09},(147,492):{'3_1':0.03,'5_2':0.0},(147,491):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(147,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(147,489):{'3_1':0.06,'5_2':0.0},(147,488):{'3_1':0.06,'5_1':0.0},(147,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(147,486):{'3_1':0.03,'4_1':0.0},(147,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(147,483):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(147,482):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(147,481):{'3_1':0.06},(147,480):{'3_1':0.12},(147,479):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(147,478):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(147,477):{'3_1':0.06,'4_1':0.0},(147,476):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,474):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(147,473):{'3_1':0.03,'5_1':0.0},(147,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(147,471):{'3_1':0.09},(147,470):{'3_1':0.06},(147,469):{'3_1':0.06},(147,468):{'3_1':0.03},(147,467):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,466):{'3_1':0.06,'4_1':0.0},(147,465):{'3_1':0.03,'4_1':0.0},(147,464):{'3_1':0.06},(147,463):{'3_1':0.03,'4_1':0.0},(147,462):{'4_1':0.0,'3_1':0.0},(147,461):{'3_1':0.03},(147,460):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(147,459):{'3_1':0.0,'4_1':0.0},(147,458):{'3_1':0.0,'4_1':0.0},(147,457):{'3_1':0.0},(147,456):{'3_1':0.0,'4_1':0.0},(147,455):{'3_1':0.03,'4_1':0.0},(147,454):{'3_1':0.03,'4_1':0.0},(147,453):{'3_1':0.03},(147,452):{'3_1':0.0},(147,451):{'3_1':0.03,'4_1':0.0},(147,450):{'3_1':0.03,'4_1':0.0},(147,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,448):{'3_1':0.0,'5_1':0.0},(147,447):{'4_1':0.0},(147,446):{'3_1':0.0},(147,445):{'3_1':0.06},(147,444):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(147,443):{'3_1':0.03},(147,442):{'3_1':0.03,'4_1':0.0},(147,441):{'3_1':0.03},(147,440):{'3_1':0.03},(147,439):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,438):{'3_1':0.0},(147,437):{'3_1':0.03,'5_2':0.0},(147,436):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(147,435):{'3_1':0.03},(147,434):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(147,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,432):{'4_1':0.03,'3_1':0.0},(147,431):{'3_1':0.03},(147,430):{'3_1':0.0,'4_1':0.0},(147,429):{'3_1':0.06,'4_1':0.0},(147,428):{'3_1':0.03,'4_1':0.0},(147,427):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(147,426):{'3_1':0.03},(147,425):{'3_1':0.0,'4_1':0.0},(147,424):{'3_1':0.0},(147,423):{'3_1':0.03,'4_1':0.0},(147,422):{'3_1':0.03,'4_1':0.0},(147,421):{'3_1':0.03,'4_1':0.0},(147,420):{'3_1':0.06,'4_1':0.0},(147,419):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(147,418):{'3_1':0.06,'4_1':0.03},(147,417):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(147,416):{'3_1':0.0,'4_1':0.0},(147,415):{'3_1':0.03},(147,414):{'3_1':0.0},(147,413):{'3_1':0.0},(147,412):{'3_1':0.0,'4_1':0.0},(147,411):{'3_1':0.0},(147,410):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(147,409):{'5_1':0.0,'3_1':0.0},(147,408):{'3_1':0.0},(147,407):{'3_1':0.0},(147,406):{'3_1':0.0},(147,405):{'3_1':0.0},(147,404):{'3_1':0.0},(147,402):{'3_1':0.0},(147,401):{'3_1':0.0},(147,400):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(147,399):{'3_1':0.0,'5_1':0.0},(147,398):{'3_1':0.06},(147,397):{'3_1':0.0},(147,396):{'3_1':0.0},(147,395):{'3_1':0.0,'4_1':0.0},(147,394):{'4_1':0.0,'3_1':0.0},(147,393):{'3_1':0.0},(147,392):{'3_1':0.0},(147,390):{'3_1':0.0,'4_1':0.0},(147,389):{'3_1':0.0,'4_1':0.0},(147,388):{'3_1':0.0},(147,387):{'3_1':0.0,'4_1':0.0},(147,386):{'3_1':0.0},(147,385):{'4_1':0.0},(147,384):{'3_1':0.0},(147,383):{'3_1':0.0},(147,382):{'3_1':0.03},(147,381):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(147,380):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(147,379):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(147,378):{'3_1':0.03},(147,377):{'3_1':0.03,'4_1':0.0},(147,376):{'3_1':0.0,'4_1':0.0},(147,375):{'3_1':0.0,'5_1':0.0},(147,374):{'3_1':0.03},(147,373):{'3_1':0.03,'5_1':0.0},(147,372):{'3_1':0.03,'4_1':0.0},(147,371):{'3_1':0.0,'4_1':0.0},(147,370):{'3_1':0.0},(147,369):{'3_1':0.03,'7_1':0.0},(147,368):{'3_1':0.0},(147,367):{'3_1':0.0,'5_2':0.0},(147,366):{'3_1':0.0},(147,365):{'3_1':0.0},(147,364):{'3_1':0.0},(147,363):{'9_1':0.0,'3_1':0.0},(147,362):{'3_1':0.0,'9_1':0.0},(147,361):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(147,360):{'3_1':0.0},(147,359):{'3_1':0.03},(147,358):{'3_1':0.03},(147,357):{'3_1':0.0,'4_1':0.0},(147,356):{'3_1':0.0,'4_1':0.0},(147,354):{'3_1':0.0},(147,353):{'3_1':0.03,'4_1':0.0},(147,351):{'3_1':0.0},(147,350):{'3_1':0.0},(147,349):{'3_1':0.0,'4_1':0.0},(147,348):{'3_1':0.0,'4_1':0.0},(147,347):{'3_1':0.0},(147,346):{'3_1':0.0},(147,345):{'3_1':0.0},(147,344):{'3_1':0.03},(147,343):{'3_1':0.0},(147,342):{'3_1':0.0},(147,341):{'3_1':0.0,'5_1':0.0},(147,340):{'3_1':0.0,'4_1':0.0},(147,339):{'3_1':0.0},(147,338):{'3_1':0.0},(147,337):{'3_1':0.0},(147,336):{'3_1':0.0},(147,335):{'3_1':0.03},(147,334):{'3_1':0.03},(147,333):{'3_1':0.0},(147,332):{'3_1':0.03,'4_1':0.0},(147,331):{'3_1':0.0},(147,330):{'3_1':0.0},(147,329):{'5_1':0.0},(147,328):{'3_1':0.0},(147,327):{'3_1':0.03,'4_1':0.0},(147,326):{'3_1':0.0,'5_2':0.0},(147,325):{'3_1':0.0,'4_1':0.0},(147,324):{'3_1':0.0},(147,322):{'3_1':0.03},(147,321):{'3_1':0.0},(147,320):{'3_1':0.0},(147,318):{'3_1':0.0},(147,317):{'3_1':0.0},(147,316):{'3_1':0.0},(147,315):{'3_1':0.0,'4_1':0.0},(147,314):{'3_1':0.0},(147,313):{'3_1':0.0},(147,311):{'3_1':0.0,'5_1':0.0},(147,310):{'3_1':0.0},(147,309):{'3_1':0.0},(147,308):{'3_1':0.0},(147,307):{'3_1':0.0},(147,306):{'3_1':0.0},(147,304):{'3_1':0.0},(147,303):{'3_1':0.0},(147,302):{'3_1':0.0},(147,301):{'3_1':0.03},(147,300):{'3_1':0.0},(147,299):{'3_1':0.06,'4_1':0.0},(147,298):{'3_1':0.0},(147,296):{'3_1':0.0},(147,295):{'3_1':0.03},(147,294):{'3_1':0.0,'4_1':0.0},(147,293):{'3_1':0.0},(147,292):{'3_1':0.0},(147,291):{'3_1':0.0},(147,290):{'3_1':0.0},(147,288):{'3_1':0.0},(147,286):{'3_1':0.03},(147,285):{'4_1':0.0},(147,283):{'3_1':0.0},(147,282):{'3_1':0.0},(147,281):{'3_1':0.0},(147,280):{'3_1':0.0},(147,279):{'3_1':0.0},(147,278):{'3_1':0.03},(147,277):{'3_1':0.0},(147,276):{'3_1':0.0,'5_1':0.0},(147,275):{'3_1':0.0},(147,274):{'3_1':0.03,'5_1':0.0},(147,273):{'3_1':0.0},(147,272):{'3_1':0.03},(147,271):{'3_1':0.0},(147,270):{'3_1':0.03},(147,269):{'3_1':0.0},(147,268):{'3_1':0.0},(147,267):{'3_1':0.0,'5_1':0.0},(147,266):{'3_1':0.0},(147,265):{'3_1':0.0},(147,263):{'3_1':0.0},(147,261):{'3_1':0.0},(147,260):{'3_1':0.0},(147,259):{'3_1':0.03},(147,257):{'3_1':0.03},(147,256):{'3_1':0.0},(147,253):{'3_1':0.0},(147,252):{'3_1':0.0},(147,248):{'3_1':0.0},(147,246):{'3_1':0.0},(147,245):{'3_1':0.0},(147,244):{'3_1':0.0},(147,242):{'3_1':0.0},(147,241):{'3_1':0.0},(147,240):{'3_1':0.0,'5_1':0.0},(147,239):{'3_1':0.0},(147,238):{'3_1':0.03},(147,237):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(147,235):{'3_1':0.0},(147,234):{'3_1':0.0,'4_1':0.0},(147,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(147,232):{'3_1':0.0,'5_1':0.0},(147,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(147,230):{'4_1':0.0,'3_1':0.0},(147,229):{'3_1':0.0},(147,228):{'3_1':0.0,'5_1':0.0},(147,227):{'3_1':0.0},(147,225):{'3_1':0.0},(147,224):{'3_1':0.0},(147,223):{'3_1':0.0},(147,222):{'3_1':0.0},(147,220):{'3_1':0.0},(147,219):{'3_1':0.0},(147,216):{'3_1':0.0},(147,213):{'3_1':0.0},(147,212):{'3_1':0.0},(147,208):{'3_1':0.0},(147,204):{'3_1':0.0},(147,203):{'3_1':0.0},(147,202):{'3_1':0.0},(147,201):{'3_1':0.0},(147,199):{'3_1':0.0},(147,198):{'3_1':0.0},(147,197):{'3_1':0.0},(147,196):{'3_1':0.0},(147,195):{'3_1':0.0},(147,192):{'3_1':0.0},(147,191):{'3_1':0.0},(147,190):{'3_1':0.0},(147,189):{'3_1':0.0},(147,188):{'3_1':0.0},(147,154):{'3_1':0.0},(147,151):{'3_1':0.0},(148,727):{'5_2':0.42,'7_2':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0},(148,726):{'5_2':0.51,'6_1':0.09,'7_3':0.03,'-3':0.03,'7_5':0.0,'3_1':0.0,'7_4':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(148,725):{'5_2':0.45,'6_1':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(148,724):{'5_2':0.45,'-3':0.12,'6_1':0.06,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0},(148,723):{'5_2':0.48,'-3':0.06,'6_1':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0},(148,722):{'5_2':0.42,'7_5':0.09,'-3':0.06,'7_4':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(148,721):{'5_2':0.36,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_6':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0},(148,720):{'5_2':0.42,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_2':0.0},(148,719):{'5_2':0.54,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'8_2':0.0},(148,718):{'5_2':0.36,'6_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'3_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0},(148,717):{'5_2':0.39,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'8_19':0.0},(148,716):{'5_2':0.45,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0},(148,715):{'5_2':0.45,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(148,714):{'5_2':0.3,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'8_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(148,713):{'5_2':0.39,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'8_8':0.0,'8_19':0.0},(148,712):{'5_2':0.39,'-3':0.09,'3_1':0.06,'5_1':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_13':0.0},(148,711):{'5_2':0.39,'6_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0},(148,710):{'5_2':0.3,'-3':0.12,'3_1':0.06,'6_1':0.06,'7_5':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'4':-0.03},(148,709):{'5_2':0.45,'-3':0.12,'3_1':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(148,708):{'5_2':0.33,'7_2':0.09,'-3':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(148,707):{'5_2':0.36,'7_4':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_6':0.0},(148,706):{'5_2':0.3,'3_1':0.12,'-3':0.09,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(148,705):{'5_2':0.39,'3_1':0.12,'-3':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(148,704):{'5_2':0.36,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0},(148,703):{'5_2':0.3,'3_1':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0},(148,702):{'5_2':0.3,'3_1':0.09,'-3':0.09,'7_5':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(148,701):{'5_2':0.3,'6_1':0.09,'7_4':0.06,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0,'8_12':0.0,'8_14':0.0,'3_1#5_2':0.0},(148,700):{'5_2':0.36,'3_1':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0},(148,699):{'5_2':0.27,'3_1':0.15,'-3':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(148,698):{'5_2':0.33,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(148,697):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'8_1':0.0,'8_8':0.0},(148,696):{'5_2':0.3,'3_1':0.12,'7_4':0.09,'-3':0.09,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0},(148,695):{'5_2':0.48,'3_1':0.09,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(148,694):{'5_2':0.33,'6_1':0.09,'-3':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(148,693):{'5_2':0.24,'3_1':0.12,'7_4':0.09,'6_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(148,692):{'5_2':0.36,'3_1':0.06,'7_3':0.03,'5_1':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(148,691):{'5_2':0.27,'3_1':0.15,'-3':0.09,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(148,690):{'3_1':0.18,'5_2':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_11':0.0},(148,689):{'5_2':0.27,'3_1':0.21,'-3':0.12,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(148,688):{'5_2':0.24,'3_1':0.18,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_11':0.0},(148,687):{'5_2':0.33,'3_1':0.21,'6_1':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(148,686):{'5_2':0.33,'3_1':0.21,'7_4':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(148,685):{'5_2':0.3,'3_1':0.15,'7_4':0.09,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'8_11':0.0,'5_1':0.0,'7_7':0.0,'8_2':0.0,'8_14':0.0,'8_19':0.0},(148,684):{'3_1':0.24,'5_2':0.24,'7_4':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(148,683):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'7_3':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'7_6':0.0,'6_2':0.0},(148,682):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0},(148,681):{'3_1':0.27,'5_2':0.18,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0},(148,680):{'3_1':0.3,'5_2':0.21,'7_4':0.09,'6_1':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(148,679):{'3_1':0.24,'5_2':0.21,'4_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(148,678):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(148,677):{'3_1':0.51,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(148,676):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(148,675):{'3_1':0.42,'5_2':0.09,'7_4':0.06,'4_1':0.06,'-3':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(148,674):{'3_1':0.39,'5_2':0.15,'7_4':0.09,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(148,673):{'3_1':0.45,'5_2':0.06,'7_4':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(148,672):{'3_1':0.39,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0,'1':-0.03},(148,671):{'3_1':0.39,'5_2':0.09,'7_4':0.09,'4_1':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(148,670):{'3_1':0.51,'5_2':0.09,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'8_11':0.0},(148,669):{'3_1':0.48,'5_2':0.12,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(148,668):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_7':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(148,667):{'3_1':0.42,'5_2':0.15,'7_4':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(148,666):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(148,665):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(148,664):{'3_1':0.48,'5_2':0.09,'4_1':0.06,'7_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'9_1':0.0},(148,663):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(148,662):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'7_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0},(148,661):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(148,660):{'3_1':0.42,'5_2':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(148,659):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(148,658):{'3_1':0.48,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(148,657):{'3_1':0.36,'5_2':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(148,656):{'3_1':0.39,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_7':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(148,655):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(148,654):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(148,653):{'3_1':0.39,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(148,652):{'3_1':0.36,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(148,651):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(148,650):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(148,649):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(148,648):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(148,647):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(148,646):{'3_1':0.18,'5_2':0.12,'4_1':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0},(148,645):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'-3':0.0,'7_7':0.0},(148,644):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(148,643):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(148,642):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(148,641):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_7':0.0,'8_19':0.0,'7_1':0.0,'7_6':0.0},(148,640):{'3_1':0.27,'5_2':0.09,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0,'8_2':0.0,'3_1#5_1':0.0},(148,639):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(148,638):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(148,637):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0},(148,636):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(148,635):{'5_2':0.15,'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(148,634):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(148,633):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0},(148,632):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_7':0.0},(148,631):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(148,630):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(148,629):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(148,628):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(148,627):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0},(148,626):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_4':0.0,'3_1#5_1':0.0},(148,625):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(148,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0},(148,623):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(148,622):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'8_19':0.0,'-3':0.0},(148,621):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(148,620):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0},(148,619):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(148,618):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0},(148,617):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(148,616):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_2':0.0},(148,615):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0},(148,614):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(148,613):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(148,612):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0},(148,611):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'3_1#5_1':0.0,'-3':0.0},(148,610):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0},(148,609):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(148,608):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(148,607):{'3_1':0.15,'4_1':0.06,'7_3':0.0,'5_1':0.0,'5_2':0.0},(148,606):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0},(148,605):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'7_3':0.0},(148,604):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(148,603):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_7':0.0},(148,602):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(148,601):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(148,600):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(148,599):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(148,598):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(148,597):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(148,596):{'4_1':0.12,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(148,595):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(148,594):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(148,593):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0},(148,592):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(148,591):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(148,590):{'4_1':0.12,'3_1':0.09,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(148,589):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_10':0.0},(148,588):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0},(148,587):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(148,586):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(148,585):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(148,584):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(148,583):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(148,582):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(148,581):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(148,580):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(148,579):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(148,578):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(148,577):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(148,576):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.03},(148,575):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(148,574):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(148,573):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(148,572):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(148,571):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(148,570):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(148,569):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(148,568):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(148,567):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0},(148,566):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(148,565):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(148,564):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(148,563):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(148,562):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(148,561):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0,'-3':0.0},(148,560):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(148,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(148,558):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(148,557):{'3_1':0.18,'5_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(148,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(148,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(148,554):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(148,553):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(148,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_2':0.0},(148,551):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(148,550):{'3_1':0.15,'7_3':0.0,'5_1':0.0},(148,549):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(148,548):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_5':0.0},(148,547):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(148,546):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(148,545):{'3_1':0.12,'5_1':0.06,'5_2':0.0,'4_1':0.0},(148,544):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(148,543):{'3_1':0.18,'5_1':0.0,'6_1':0.0,'6_2':0.0},(148,542):{'3_1':0.21,'5_1':0.0},(148,541):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(148,540):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(148,539):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(148,538):{'3_1':0.18,'5_1':0.0},(148,537):{'3_1':0.24,'5_2':0.0,'6_2':0.0},(148,536):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(148,535):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(148,534):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(148,533):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(148,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(148,531):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(148,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(148,529):{'3_1':0.06,'4_1':0.0},(148,528):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(148,527):{'3_1':0.09,'4_1':0.0},(148,526):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(148,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(148,524):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0},(148,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(148,522):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(148,521):{'3_1':0.06,'4_1':0.0},(148,520):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(148,519):{'3_1':0.09,'4_1':0.0},(148,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(148,517):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(148,516):{'3_1':0.06,'4_1':0.0},(148,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(148,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(148,513):{'3_1':0.03,'4_1':0.0},(148,512):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(148,511):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(148,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(148,509):{'3_1':0.06,'4_1':0.0},(148,508):{'3_1':0.03,'4_1':0.03},(148,507):{'3_1':0.06,'4_1':0.03},(148,506):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(148,505):{'3_1':0.03},(148,504):{'3_1':0.0},(148,503):{'3_1':0.06,'4_1':0.0},(148,502):{'3_1':0.03},(148,501):{'3_1':0.03,'4_1':0.0},(148,500):{'3_1':0.0,'4_1':0.0},(148,499):{'3_1':0.09,'5_1':0.0},(148,498):{'3_1':0.0,'4_1':0.0},(148,497):{'3_1':0.06},(148,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,495):{'3_1':0.03,'5_2':0.0},(148,494):{'3_1':0.03},(148,493):{'3_1':0.03},(148,492):{'3_1':0.03,'4_1':0.0},(148,491):{'3_1':0.06,'4_1':0.0},(148,490):{'3_1':0.03,'4_1':0.0},(148,489):{'3_1':0.03,'5_2':0.0},(148,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(148,487):{'3_1':0.06,'5_1':0.0},(148,486):{'3_1':0.09},(148,485):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(148,484):{'3_1':0.03,'4_1':0.0},(148,483):{'3_1':0.09},(148,482):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(148,481):{'3_1':0.09,'4_1':0.0},(148,480):{'3_1':0.0,'4_1':0.0},(148,479):{'3_1':0.06,'4_1':0.0},(148,478):{'3_1':0.09,'4_1':0.0},(148,477):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0},(148,476):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(148,475):{'3_1':0.03,'5_1':0.0},(148,474):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(148,473):{'3_1':0.09,'4_1':0.0},(148,472):{'3_1':0.09,'4_1':0.0},(148,471):{'3_1':0.03,'4_1':0.0},(148,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,469):{'3_1':0.03,'4_1':0.0},(148,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,467):{'3_1':0.03,'4_1':0.0},(148,466):{'3_1':0.03},(148,465):{'4_1':0.0,'3_1':0.0},(148,464):{'3_1':0.03},(148,463):{'3_1':0.0},(148,462):{'3_1':0.0,'4_1':0.0},(148,460):{'3_1':0.0,'7_2':0.0},(148,459):{'3_1':0.0,'4_1':0.0},(148,458):{'4_1':0.0},(148,457):{'3_1':0.0},(148,456):{'3_1':0.0,'4_1':0.0},(148,455):{'3_1':0.0,'4_1':0.0},(148,454):{'3_1':0.0},(148,453):{'3_1':0.03},(148,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(148,451):{'3_1':0.03,'4_1':0.0},(148,450):{'3_1':0.03,'4_1':0.0},(148,449):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(148,448):{'3_1':0.0,'4_1':0.0},(148,447):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(148,446):{'3_1':0.06,'5_1':0.0},(148,445):{'3_1':0.0,'4_1':0.0},(148,444):{'3_1':0.06,'4_1':0.0},(148,443):{'3_1':0.0},(148,442):{'3_1':0.0,'4_1':0.0},(148,441):{'3_1':0.0},(148,440):{'3_1':0.06},(148,439):{'3_1':0.03},(148,438):{'3_1':0.03,'4_1':0.0},(148,437):{'5_1':0.0},(148,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,435):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(148,434):{'3_1':0.0,'5_1':0.0},(148,433):{'3_1':0.0},(148,432):{'3_1':0.06},(148,431):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(148,430):{'3_1':0.0,'4_1':0.0},(148,429):{'3_1':0.06,'6_2':0.0},(148,428):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(148,427):{'3_1':0.0,'5_1':0.0},(148,426):{'3_1':0.03},(148,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,423):{'3_1':0.06,'4_1':0.0},(148,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(148,421):{'3_1':0.0,'4_1':0.0},(148,420):{'3_1':0.03,'4_1':0.0},(148,419):{'3_1':0.03,'4_1':0.0},(148,418):{'3_1':0.06,'5_2':0.0},(148,417):{'3_1':0.0,'5_2':0.0},(148,416):{'3_1':0.03,'4_1':0.0},(148,415):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(148,414):{'3_1':0.03,'4_1':0.0},(148,413):{'3_1':0.03,'4_1':0.0},(148,412):{'3_1':0.0,'4_1':0.0},(148,411):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(148,410):{'3_1':0.03},(148,409):{'3_1':0.0,'5_1':0.0},(148,408):{'3_1':0.0,'6_1':0.0},(148,407):{'3_1':0.03},(148,406):{'3_1':0.03},(148,405):{'3_1':0.0},(148,404):{'3_1':0.0,'5_1':0.0},(148,403):{'3_1':0.03},(148,402):{'3_1':0.0,'6_1':0.0},(148,401):{'3_1':0.0},(148,400):{'3_1':0.0},(148,399):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(148,398):{'3_1':0.0},(148,397):{'3_1':0.0},(148,396):{'3_1':0.0},(148,395):{'3_1':0.0,'8_1':0.0},(148,394):{'3_1':0.0},(148,391):{'3_1':0.0},(148,390):{'3_1':0.0},(148,388):{'3_1':0.0},(148,386):{'3_1':0.0},(148,385):{'3_1':0.0},(148,384):{'3_1':0.0},(148,383):{'3_1':0.03,'5_2':0.0},(148,382):{'3_1':0.09},(148,381):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(148,380):{'3_1':0.0},(148,379):{'3_1':0.03},(148,378):{'3_1':0.03,'5_1':0.0},(148,377):{'3_1':0.0},(148,376):{'3_1':0.03},(148,375):{'3_1':0.03,'4_1':0.0},(148,374):{'3_1':0.0},(148,373):{'3_1':0.03},(148,372):{'3_1':0.0,'5_2':0.0},(148,370):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(148,369):{'3_1':0.0},(148,368):{'3_1':0.03,'9_1':0.0},(148,367):{'3_1':0.03},(148,366):{'3_1':0.0},(148,365):{'3_1':0.03},(148,364):{'3_1':0.0,'4_1':0.0},(148,363):{'3_1':0.0,'9_1':0.0},(148,362):{'3_1':0.0},(148,361):{'3_1':0.03},(148,360):{'3_1':0.0},(148,359):{'3_1':0.0},(148,358):{'3_1':0.0},(148,356):{'3_1':0.0},(148,355):{'3_1':0.03},(148,354):{'3_1':0.0},(148,353):{'3_1':0.0},(148,352):{'3_1':0.0},(148,351):{'3_1':0.03},(148,350):{'3_1':0.0},(148,349):{'3_1':0.0},(148,348):{'3_1':0.0},(148,347):{'3_1':0.0},(148,346):{'3_1':0.0},(148,345):{'3_1':0.0,'4_1':0.0},(148,343):{'3_1':0.0},(148,341):{'3_1':0.03},(148,340):{'3_1':0.0},(148,339):{'3_1':0.0,'4_1':0.0},(148,338):{'3_1':0.0,'4_1':0.0},(148,337):{'3_1':0.0},(148,336):{'3_1':0.0},(148,335):{'3_1':0.0,'4_1':0.0},(148,333):{'3_1':0.0,'5_1':0.0},(148,332):{'3_1':0.03,'4_1':0.0},(148,331):{'3_1':0.0},(148,328):{'3_1':0.0},(148,327):{'3_1':0.0},(148,326):{'3_1':0.0},(148,325):{'3_1':0.0},(148,323):{'3_1':0.0},(148,322):{'3_1':0.0,'5_1':0.0},(148,321):{'3_1':0.0,'4_1':0.0},(148,320):{'3_1':0.0},(148,319):{'5_1':0.0},(148,318):{'3_1':0.0},(148,316):{'3_1':0.0,'5_1':0.0},(148,314):{'3_1':0.0},(148,313):{'3_1':0.0,'4_1':0.0},(148,312):{'3_1':0.0,'4_1':0.0},(148,310):{'3_1':0.0},(148,309):{'3_1':0.0,'4_1':0.0},(148,308):{'3_1':0.0},(148,307):{'3_1':0.03},(148,306):{'3_1':0.03,'5_1':0.0},(148,305):{'3_1':0.0,'4_1':0.0},(148,304):{'3_1':0.0},(148,303):{'3_1':0.0},(148,302):{'3_1':0.03},(148,301):{'3_1':0.0},(148,299):{'3_1':0.0},(148,298):{'3_1':0.0,'4_1':0.0},(148,297):{'3_1':0.0},(148,296):{'3_1':0.0},(148,295):{'3_1':0.0},(148,294):{'3_1':0.0},(148,293):{'3_1':0.0},(148,292):{'3_1':0.0,'4_1':0.0},(148,290):{'3_1':0.0},(148,289):{'3_1':0.0},(148,288):{'3_1':0.0},(148,287):{'3_1':0.0},(148,286):{'3_1':0.0},(148,285):{'3_1':0.0},(148,284):{'3_1':0.0},(148,283):{'3_1':0.0},(148,282):{'3_1':0.03},(148,280):{'3_1':0.0},(148,279):{'3_1':0.0},(148,278):{'3_1':0.0},(148,276):{'3_1':0.0},(148,275):{'3_1':0.0},(148,272):{'3_1':0.0},(148,271):{'3_1':0.0},(148,268):{'3_1':0.0},(148,267):{'3_1':0.03},(148,266):{'3_1':0.0},(148,265):{'3_1':0.0},(148,264):{'3_1':0.0},(148,263):{'3_1':0.0},(148,262):{'3_1':0.0},(148,261):{'3_1':0.0},(148,260):{'3_1':0.03},(148,259):{'3_1':0.0},(148,258):{'3_1':0.0},(148,257):{'3_1':0.0},(148,256):{'3_1':0.0},(148,255):{'3_1':0.0},(148,254):{'3_1':0.0},(148,250):{'5_1':0.0},(148,248):{'3_1':0.0},(148,247):{'3_1':0.0},(148,246):{'3_1':0.0},(148,245):{'3_1':0.0},(148,244):{'3_1':0.03},(148,243):{'5_1':0.0},(148,241):{'3_1':0.0},(148,240):{'4_1':0.0,'5_1':0.0},(148,239):{'3_1':0.0,'5_1':0.0},(148,238):{'3_1':0.03},(148,237):{'3_1':0.0},(148,236):{'3_1':0.0},(148,235):{'3_1':0.03,'5_1':0.0},(148,234):{'3_1':0.0},(148,233):{'3_1':0.0,'5_1':0.0},(148,232):{'3_1':0.0},(148,231):{'3_1':0.0,'5_1':0.0},(148,230):{'3_1':0.0},(148,228):{'5_1':0.0,'3_1':0.0},(148,227):{'3_1':0.0},(148,226):{'3_1':0.0},(148,225):{'3_1':0.0},(148,224):{'3_1':0.0},(148,223):{'3_1':0.0},(148,220):{'3_1':0.0},(148,219):{'3_1':0.0},(148,217):{'3_1':0.0},(148,216):{'3_1':0.0},(148,215):{'3_1':0.0,'4_1':0.0},(148,213):{'3_1':0.0},(148,212):{'3_1':0.0},(148,211):{'3_1':0.0},(148,208):{'3_1':0.0},(148,207):{'3_1':0.0},(148,205):{'3_1':0.0},(148,204):{'3_1':0.0},(148,203):{'4_1':0.0},(148,202):{'3_1':0.0,'5_2':0.0},(148,201):{'3_1':0.0},(148,200):{'3_1':0.0},(148,197):{'7_2':0.0},(148,195):{'3_1':0.0},(148,194):{'3_1':0.0},(148,192):{'3_1':0.0},(148,191):{'3_1':0.0},(148,190):{'3_1':0.0},(148,157):{'3_1':0.0},(148,155):{'3_1':0.0},(149,727):{'5_2':0.6,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'5_1':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0},(149,726):{'5_2':0.48,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0},(149,725):{'5_2':0.45,'-3':0.12,'7_4':0.03,'7_6':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0},(149,724):{'5_2':0.42,'7_2':0.09,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(149,723):{'5_2':0.57,'3_1':0.03,'7_6':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0},(149,722):{'5_2':0.36,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'6_2':0.0,'8_6':0.0,'8_8':0.0},(149,721):{'5_2':0.45,'-3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0,'8_19':0.0,'3_1#5_2':0.0},(149,720):{'5_2':0.45,'-3':0.06,'7_3':0.06,'7_4':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0},(149,719):{'5_2':0.45,'-3':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_10':0.0},(149,718):{'5_2':0.39,'6_1':0.06,'7_4':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'3_1':0.0,'1':-0.03},(149,717):{'5_2':0.45,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(149,716):{'5_2':0.45,'-3':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'6_1':0.0,'7_6':0.0,'8_8':0.0},(149,715):{'5_2':0.33,'7_2':0.06,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'8_8':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(149,714):{'5_2':0.36,'7_4':0.06,'7_2':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0,'8_19':0.0,'1':-0.03},(149,713):{'5_2':0.33,'6_1':0.09,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_6':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0},(149,712):{'5_2':0.39,'-3':0.09,'7_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0,'8_15':0.0},(149,711):{'5_2':0.27,'3_1':0.09,'6_1':0.09,'7_5':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(149,710):{'5_2':0.33,'6_1':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'2':-0.03},(149,709):{'5_2':0.48,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(149,708):{'5_2':0.39,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(149,707):{'5_2':0.39,'7_4':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_3':0.0},(149,706):{'5_2':0.3,'-3':0.09,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(149,705):{'5_2':0.36,'3_1':0.09,'6_1':0.06,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(149,704):{'5_2':0.36,'7_4':0.09,'7_2':0.06,'7_3':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0},(149,703):{'5_2':0.36,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(149,702):{'5_2':0.39,'3_1':0.06,'7_4':0.03,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'8_19':0.0},(149,701):{'5_2':0.3,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(149,700):{'5_2':0.36,'-3':0.15,'3_1':0.09,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(149,699):{'5_2':0.36,'3_1':0.12,'7_4':0.06,'7_2':0.06,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'8_15':0.0},(149,698):{'5_2':0.33,'7_3':0.09,'3_1':0.06,'7_2':0.03,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'8_14':0.0},(149,697):{'5_2':0.36,'3_1':0.12,'-3':0.09,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(149,696):{'5_2':0.27,'3_1':0.12,'7_2':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(149,695):{'5_2':0.24,'3_1':0.12,'-3':0.09,'7_4':0.03,'7_5':0.03,'7_3':0.03,'6_1':0.03,'5_1':0.03,'7_2':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0,'4_1':0.0,'1':-0.03},(149,694):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_4':0.06,'6_1':0.03,'4_1':0.0,'8_11':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(149,693):{'5_2':0.18,'3_1':0.12,'-3':0.06,'6_1':0.06,'7_2':0.06,'4_1':0.03,'7_4':0.03,'8_11':0.0,'7_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(149,692):{'5_2':0.39,'3_1':0.09,'7_4':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'3_1#5_2':0.0},(149,691):{'5_2':0.3,'3_1':0.06,'7_4':0.06,'-3':0.06,'7_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_12':0.0,'3_1#5_2':0.0,'1':-0.03},(149,690):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(149,689):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(149,688):{'5_2':0.24,'3_1':0.21,'-3':0.09,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(149,687):{'5_2':0.21,'3_1':0.21,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(149,686):{'5_2':0.3,'3_1':0.24,'7_4':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'1':-0.03},(149,685):{'3_1':0.24,'5_2':0.21,'7_4':0.15,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(149,684):{'3_1':0.36,'5_2':0.15,'7_2':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(149,683):{'3_1':0.27,'5_2':0.24,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(149,682):{'5_2':0.33,'3_1':0.24,'7_4':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0},(149,681):{'3_1':0.33,'5_2':0.24,'7_3':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(149,680):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(149,679):{'3_1':0.24,'5_2':0.24,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_6':0.0,'1':-0.03},(149,678):{'3_1':0.33,'5_2':0.21,'7_4':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'2':-0.03},(149,677):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_7':0.0,'7_2':0.0},(149,676):{'3_1':0.33,'5_2':0.18,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(149,675):{'3_1':0.45,'5_2':0.18,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(149,674):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(149,673):{'3_1':0.45,'7_4':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(149,672):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(149,671):{'3_1':0.45,'5_2':0.12,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_15':0.0,'9_1':0.0},(149,670):{'3_1':0.39,'5_2':0.09,'7_4':0.09,'4_1':0.03,'7_3':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0,'-3':0.0},(149,669):{'3_1':0.45,'5_2':0.09,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'1':-0.03},(149,668):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(149,667):{'3_1':0.45,'5_2':0.09,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(149,666):{'3_1':0.33,'5_2':0.18,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(149,665):{'3_1':0.48,'5_2':0.18,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(149,664):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'6_1':0.0,'-3':0.0},(149,663):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'8_3':0.0,'8_11':0.0},(149,662):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(149,661):{'3_1':0.39,'5_2':0.18,'4_1':0.09,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(149,660):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(149,659):{'3_1':0.42,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0},(149,658):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(149,657):{'3_1':0.48,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(149,656):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0},(149,655):{'3_1':0.45,'5_2':0.18,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(149,654):{'3_1':0.45,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(149,653):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(149,652):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(149,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'6_3':0.0,'7_3':0.0,'7_7':0.0},(149,650):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(149,649):{'3_1':0.36,'5_1':0.12,'5_2':0.09,'4_1':0.03,'8_19':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0},(149,648):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(149,647):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(149,646):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(149,645):{'3_1':0.24,'5_2':0.21,'5_1':0.03,'4_1':0.03,'8_19':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(149,644):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(149,643):{'5_2':0.18,'3_1':0.15,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_16':0.0,'-3':0.0,'1':-0.03},(149,642):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(149,641):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(149,640):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(149,639):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(149,638):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'7_3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(149,637):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'9_1':0.0},(149,636):{'3_1':0.12,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(149,635):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(149,634):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(149,633):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(149,632):{'3_1':0.12,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0},(149,631):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(149,630):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_5':0.0},(149,629):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(149,628):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(149,627):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0},(149,626):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(149,625):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(149,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(149,623):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(149,622):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_5':0.0},(149,621):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(149,620):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(149,619):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(149,618):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_7':0.0},(149,617):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(149,616):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(149,615):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(149,614):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0},(149,613):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(149,612):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0},(149,611):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(149,610):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(149,609):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(149,608):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_13':0.0},(149,607):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(149,606):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(149,605):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(149,604):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(149,603):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0},(149,602):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(149,601):{'3_1':0.21,'4_1':0.09,'7_3':0.0,'-3':0.0},(149,600):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(149,599):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(149,598):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_14':0.0,'-3':0.0},(149,597):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(149,596):{'3_1':0.15,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(149,595):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(149,594):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(149,593):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(149,592):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0},(149,591):{'3_1':0.21,'4_1':0.09,'5_2':0.0},(149,590):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(149,589):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_1':0.0},(149,588):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0},(149,587):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(149,586):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(149,585):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0},(149,584):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(149,583):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(149,582):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(149,581):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0},(149,580):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(149,579):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(149,578):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(149,577):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0},(149,576):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0},(149,575):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(149,574):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(149,573):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(149,572):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(149,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(149,570):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(149,569):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(149,568):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(149,567):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(149,566):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(149,565):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(149,564):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(149,563):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0},(149,562):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(149,561):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0},(149,560):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(149,559):{'3_1':0.09,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(149,558):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(149,557):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(149,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(149,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(149,554):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(149,553):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(149,552):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(149,551):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(149,550):{'3_1':0.27,'5_2':0.0,'4_1':0.0},(149,549):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(149,548):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(149,547):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(149,546):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(149,545):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,544):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(149,543):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(149,542):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(149,541):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(149,540):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(149,539):{'3_1':0.15,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0},(149,538):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(149,537):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_2':0.0},(149,536):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(149,535):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,534):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(149,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(149,532):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(149,531):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0},(149,530):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(149,529):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(149,528):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(149,527):{'3_1':0.06},(149,526):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(149,525):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(149,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,523):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(149,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(149,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(149,520):{'3_1':0.09,'5_1':0.0},(149,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(149,518):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(149,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(149,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,515):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(149,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,511):{'3_1':0.06,'4_1':0.0},(149,510):{'4_1':0.0,'3_1':0.0},(149,509):{'3_1':0.03},(149,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,507):{'3_1':0.0,'4_1':0.0},(149,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,505):{'3_1':0.03},(149,504):{'3_1':0.03},(149,503):{'3_1':0.06},(149,502):{'3_1':0.06,'5_1':0.0},(149,501):{'3_1':0.03,'5_1':0.0},(149,500):{'3_1':0.06},(149,499):{'3_1':0.0,'4_1':0.0},(149,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,497):{'3_1':0.03,'5_1':0.0},(149,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(149,495):{'3_1':0.06,'5_1':0.0},(149,494):{'3_1':0.09},(149,493):{'3_1':0.12,'4_1':0.0},(149,492):{'3_1':0.0},(149,491):{'3_1':0.09,'5_1':0.0},(149,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(149,489):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(149,488):{'3_1':0.03},(149,487):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,486):{'3_1':0.06,'4_1':0.0},(149,485):{'3_1':0.06},(149,484):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,483):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(149,482):{'3_1':0.06},(149,481):{'3_1':0.06,'5_2':0.0,'9_1':0.0},(149,480):{'3_1':0.06,'4_1':0.0},(149,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,478):{'3_1':0.09,'7_1':0.0},(149,477):{'3_1':0.06,'5_1':0.0},(149,476):{'3_1':0.09},(149,475):{'3_1':0.09,'4_1':0.0},(149,474):{'3_1':0.03,'5_1':0.0},(149,473):{'3_1':0.06,'5_2':0.0},(149,472):{'3_1':0.06},(149,471):{'3_1':0.06},(149,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,469):{'3_1':0.03,'4_1':0.0},(149,468):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(149,467):{'4_1':0.0,'3_1':0.0},(149,466):{'3_1':0.0,'4_1':0.0},(149,465):{'3_1':0.03},(149,464):{'3_1':0.0},(149,463):{'3_1':0.03,'5_1':0.0},(149,462):{'3_1':0.03,'4_1':0.0},(149,461):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(149,460):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(149,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(149,458):{'3_1':0.0},(149,457):{'3_1':0.0,'4_1':0.0},(149,456):{'3_1':0.03,'4_1':0.0},(149,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,454):{'3_1':0.06},(149,453):{'3_1':0.03},(149,452):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(149,451):{'3_1':0.0,'4_1':0.0},(149,450):{'3_1':0.03},(149,449):{'3_1':0.03,'4_1':0.0},(149,448):{'4_1':0.0},(149,447):{'4_1':0.03,'3_1':0.0},(149,446):{'3_1':0.0},(149,445):{'3_1':0.03,'4_1':0.0},(149,444):{'3_1':0.03},(149,443):{'3_1':0.0,'4_1':0.0},(149,442):{'3_1':0.0,'5_2':0.0},(149,441):{'3_1':0.0,'4_1':0.0},(149,440):{'3_1':0.03,'4_1':0.0},(149,439):{'3_1':0.0,'5_1':0.0},(149,438):{'3_1':0.0},(149,437):{'3_1':0.0},(149,436):{'3_1':0.0,'4_1':0.0},(149,435):{'3_1':0.0},(149,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(149,433):{'3_1':0.0,'4_1':0.0},(149,432):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,431):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(149,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(149,429):{'3_1':0.06,'4_1':0.0},(149,428):{'3_1':0.03},(149,427):{'3_1':0.03,'4_1':0.0},(149,426):{'3_1':0.03,'4_1':0.0},(149,425):{'3_1':0.03,'5_2':0.0},(149,424):{'3_1':0.0},(149,423):{'3_1':0.06,'4_1':0.0},(149,422):{'3_1':0.0,'4_1':0.0},(149,421):{'3_1':0.0,'5_2':0.0},(149,420):{'3_1':0.0,'4_1':0.0},(149,419):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(149,418):{'3_1':0.03,'4_1':0.0},(149,417):{'3_1':0.0,'5_1':0.0},(149,416):{'3_1':0.03,'4_1':0.0},(149,415):{'3_1':0.0,'4_1':0.0},(149,414):{'3_1':0.03,'4_1':0.0},(149,413):{'3_1':0.0},(149,412):{'3_1':0.0,'7_1':0.0},(149,411):{'3_1':0.03,'5_1':0.0},(149,410):{'3_1':0.0},(149,407):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(149,406):{'3_1':0.0,'5_1':0.0},(149,405):{'3_1':0.0},(149,404):{'3_1':0.03},(149,403):{'3_1':0.0,'5_2':0.0},(149,401):{'3_1':0.0},(149,400):{'3_1':0.0},(149,399):{'3_1':0.0},(149,398):{'3_1':0.0},(149,397):{'3_1':0.0,'4_1':0.0},(149,396):{'4_1':0.0,'3_1':0.0},(149,395):{'3_1':0.0},(149,394):{'4_1':0.0},(149,393):{'3_1':0.0},(149,391):{'3_1':0.0},(149,390):{'3_1':0.0},(149,389):{'3_1':0.0,'4_1':0.0},(149,386):{'3_1':0.0},(149,385):{'5_1':0.0},(149,384):{'3_1':0.0},(149,383):{'3_1':0.0,'5_2':0.0},(149,382):{'3_1':0.0},(149,381):{'3_1':0.0},(149,380):{'3_1':0.03},(149,379):{'3_1':0.03},(149,378):{'3_1':0.03,'5_1':0.0},(149,377):{'3_1':0.0},(149,376):{'3_1':0.03},(149,375):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(149,374):{'3_1':0.03,'5_1':0.0},(149,373):{'3_1':0.0,'5_1':0.0},(149,372):{'3_1':0.0,'5_2':0.0},(149,371):{'3_1':0.03},(149,370):{'3_1':0.03},(149,369):{'3_1':0.03},(149,368):{'3_1':0.0},(149,367):{'3_1':0.0},(149,366):{'3_1':0.0},(149,365):{'3_1':0.03},(149,364):{'3_1':0.03,'5_1':0.0},(149,363):{'3_1':0.0},(149,362):{'3_1':0.0,'9_1':0.0},(149,361):{'3_1':0.0,'4_1':0.0},(149,360):{'3_1':0.03,'5_1':0.0},(149,359):{'3_1':0.0,'5_1':0.0},(149,358):{'3_1':0.0,'4_1':0.0},(149,357):{'3_1':0.0},(149,356):{'3_1':0.03},(149,355):{'3_1':0.0},(149,354):{'3_1':0.03},(149,352):{'3_1':0.0},(149,351):{'3_1':0.03},(149,350):{'3_1':0.0},(149,349):{'3_1':0.0},(149,348):{'3_1':0.0},(149,347):{'3_1':0.0},(149,346):{'3_1':0.0,'4_1':0.0},(149,345):{'3_1':0.0,'8_4':0.0},(149,343):{'3_1':0.0},(149,341):{'3_1':0.0},(149,340):{'3_1':0.0},(149,339):{'3_1':0.0},(149,338):{'3_1':0.03},(149,337):{'3_1':0.0},(149,336):{'3_1':0.0},(149,335):{'3_1':0.0},(149,334):{'3_1':0.03},(149,333):{'3_1':0.0},(149,332):{'3_1':0.0},(149,331):{'3_1':0.0},(149,329):{'3_1':0.0},(149,325):{'3_1':0.0},(149,323):{'3_1':0.0},(149,322):{'3_1':0.0},(149,320):{'3_1':0.0},(149,318):{'5_1':0.0},(149,317):{'3_1':0.0},(149,316):{'3_1':0.0},(149,315):{'3_1':0.03},(149,314):{'3_1':0.0},(149,311):{'3_1':0.0},(149,310):{'3_1':0.0},(149,309):{'3_1':0.0},(149,308):{'3_1':0.0},(149,307):{'3_1':0.0},(149,306):{'3_1':0.0,'4_1':0.0},(149,305):{'3_1':0.0},(149,304):{'3_1':0.0},(149,303):{'3_1':0.0,'5_1':0.0},(149,302):{'3_1':0.0,'4_1':0.0},(149,301):{'3_1':0.0},(149,300):{'3_1':0.0},(149,298):{'3_1':0.0},(149,297):{'3_1':0.0},(149,296):{'3_1':0.0},(149,294):{'3_1':0.0},(149,292):{'3_1':0.0},(149,291):{'3_1':0.0},(149,290):{'3_1':0.0},(149,289):{'3_1':0.0},(149,286):{'3_1':0.0},(149,285):{'3_1':0.0,'4_1':0.0},(149,284):{'3_1':0.0},(149,283):{'3_1':0.0},(149,281):{'3_1':0.0},(149,280):{'4_1':0.0},(149,279):{'3_1':0.0},(149,278):{'3_1':0.0},(149,277):{'3_1':0.0,'4_1':0.0},(149,276):{'3_1':0.0},(149,275):{'3_1':0.0},(149,274):{'3_1':0.0},(149,273):{'3_1':0.0},(149,272):{'3_1':0.0},(149,271):{'3_1':0.0},(149,270):{'3_1':0.0},(149,268):{'3_1':0.0},(149,267):{'3_1':0.0},(149,266):{'3_1':0.0},(149,265):{'3_1':0.0},(149,264):{'3_1':0.0},(149,263):{'3_1':0.0},(149,260):{'3_1':0.0},(149,259):{'3_1':0.0},(149,257):{'3_1':0.0},(149,256):{'3_1':0.0},(149,255):{'3_1':0.0},(149,254):{'3_1':0.0},(149,253):{'3_1':0.0},(149,247):{'3_1':0.0},(149,246):{'6_2':0.0},(149,245):{'3_1':0.0},(149,243):{'3_1':0.0},(149,242):{'3_1':0.0},(149,241):{'3_1':0.0,'4_1':0.0},(149,239):{'3_1':0.0},(149,238):{'3_1':0.0},(149,237):{'3_1':0.0},(149,236):{'3_1':0.0,'5_1':0.0},(149,235):{'3_1':0.0},(149,234):{'3_1':0.0},(149,233):{'3_1':0.03},(149,232):{'3_1':0.0,'4_1':0.0},(149,231):{'3_1':0.0},(149,230):{'3_1':0.0},(149,229):{'3_1':0.0},(149,228):{'3_1':0.0},(149,227):{'3_1':0.0},(149,226):{'3_1':0.0},(149,225):{'3_1':0.0},(149,224):{'3_1':0.0,'5_1':0.0},(149,222):{'3_1':0.0},(149,221):{'3_1':0.0},(149,220):{'3_1':0.0},(149,219):{'3_1':0.0},(149,218):{'3_1':0.0},(149,216):{'3_1':0.0},(149,211):{'3_1':0.0},(149,207):{'3_1':0.0},(149,206):{'3_1':0.0},(149,205):{'3_1':0.0},(149,203):{'3_1':0.0},(149,202):{'3_1':0.0},(149,201):{'3_1':0.0},(149,200):{'3_1':0.0},(149,199):{'3_1':0.0},(149,198):{'3_1':0.0},(149,197):{'3_1':0.0},(149,195):{'3_1':0.0},(149,193):{'3_1':0.0},(149,192):{'3_1':0.0},(149,191):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(149,190):{'3_1':0.0},(149,189):{'3_1':0.0},(149,161):{'3_1':0.0},(149,157):{'3_1':0.0},(149,156):{'3_1':0.0},(150,727):{'5_2':0.36,'7_2':0.12,'6_1':0.06,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(150,726):{'5_2':0.36,'7_2':0.09,'7_5':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0},(150,725):{'5_2':0.48,'7_2':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(150,724):{'5_2':0.36,'7_2':0.12,'7_5':0.09,'-3':0.06,'6_1':0.06,'5_1':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'8_11':0.0},(150,723):{'5_2':0.45,'7_2':0.06,'7_4':0.06,'7_6':0.06,'-3':0.06,'6_1':0.03,'3_1':0.0,'7_5':0.0,'8_6':0.0},(150,722):{'5_2':0.39,'6_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(150,721):{'5_2':0.39,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(150,720):{'5_2':0.45,'7_2':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(150,719):{'5_2':0.36,'6_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_1':0.0},(150,718):{'5_2':0.36,'6_1':0.09,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(150,717):{'5_2':0.39,'7_2':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0},(150,716):{'5_2':0.48,'7_2':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'1':-0.03},(150,715):{'5_2':0.39,'7_2':0.09,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0},(150,714):{'5_2':0.39,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'8_14':0.0},(150,713):{'5_2':0.42,'7_2':0.06,'3_1':0.06,'6_1':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'8_1':0.0,'8_3':0.0},(150,712):{'5_2':0.33,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0},(150,711):{'5_2':0.27,'-3':0.12,'7_4':0.09,'7_5':0.06,'7_2':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'7_3':0.0},(150,710):{'5_2':0.33,'-3':0.12,'7_5':0.06,'3_1':0.06,'7_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0},(150,709):{'5_2':0.33,'-3':0.12,'7_2':0.09,'5_1':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'3':-0.03},(150,708):{'5_2':0.39,'-3':0.09,'7_2':0.06,'6_1':0.06,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'3_1':0.0,'6_3':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0,'8_4':0.0,'3_1#5_2':0.0,'1':-0.03},(150,707):{'5_2':0.33,'-3':0.09,'7_4':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'6_2':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0,'8_19':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(150,706):{'5_2':0.42,'3_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(150,705):{'5_2':0.33,'3_1':0.09,'6_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(150,704):{'5_2':0.3,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_14':0.0},(150,703):{'5_2':0.3,'3_1':0.06,'7_5':0.06,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_15':0.0,'9_1':0.0,'3_1#5_2':0.0,'4_1':0.0},(150,702):{'5_2':0.33,'7_2':0.06,'3_1':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(150,701):{'5_2':0.3,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0,'3_1#5_2':0.0,'8_11':0.0,'8_14':0.0},(150,700):{'5_2':0.3,'3_1':0.09,'7_2':0.06,'-3':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(150,699):{'5_2':0.42,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(150,698):{'5_2':0.39,'-3':0.12,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0},(150,697):{'5_2':0.3,'3_1':0.15,'-3':0.09,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(150,696):{'5_2':0.36,'7_4':0.06,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(150,695):{'5_2':0.3,'3_1':0.12,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(150,694):{'5_2':0.33,'3_1':0.15,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(150,693):{'5_2':0.24,'6_1':0.06,'-3':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(150,692):{'5_2':0.27,'3_1':0.18,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(150,691):{'5_2':0.36,'-3':0.09,'3_1':0.09,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'1':-0.03},(150,690):{'5_2':0.24,'3_1':0.21,'7_3':0.06,'7_4':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(150,689):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'-3':0.06,'7_3':0.06,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(150,688):{'5_2':0.27,'3_1':0.12,'-3':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(150,687):{'5_2':0.27,'3_1':0.15,'-3':0.12,'7_3':0.03,'7_4':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0},(150,686):{'5_2':0.27,'3_1':0.15,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(150,685):{'3_1':0.24,'5_2':0.24,'7_4':0.09,'7_3':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(150,684):{'5_2':0.27,'3_1':0.15,'7_4':0.09,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(150,683):{'5_2':0.27,'3_1':0.21,'5_1':0.06,'7_4':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(150,682):{'5_2':0.18,'3_1':0.18,'7_3':0.09,'7_4':0.06,'-3':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(150,681):{'3_1':0.3,'5_2':0.24,'4_1':0.06,'7_3':0.03,'-3':0.03,'8_11':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(150,680):{'3_1':0.3,'5_2':0.15,'6_1':0.06,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0},(150,679):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'4_1':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0,'8_11':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(150,678):{'3_1':0.33,'5_2':0.18,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0},(150,677):{'3_1':0.36,'5_2':0.15,'7_4':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'3_1#5_2':0.0},(150,676):{'3_1':0.27,'5_2':0.15,'7_4':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(150,675):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0},(150,674):{'3_1':0.33,'5_2':0.18,'7_4':0.09,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(150,673):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(150,672):{'3_1':0.33,'5_2':0.12,'7_4':0.09,'4_1':0.06,'-3':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0},(150,671):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(150,670):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(150,669):{'3_1':0.36,'5_2':0.12,'4_1':0.09,'7_4':0.06,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(150,668):{'3_1':0.36,'5_2':0.15,'7_4':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(150,667):{'3_1':0.36,'5_2':0.24,'7_4':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(150,666):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(150,665):{'3_1':0.36,'5_2':0.18,'4_1':0.09,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(150,664):{'3_1':0.36,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(150,663):{'3_1':0.39,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(150,662):{'3_1':0.36,'5_2':0.15,'7_3':0.03,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0},(150,661):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(150,660):{'3_1':0.42,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(150,659):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'7_4':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(150,658):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(150,657):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(150,656):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(150,655):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,654):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,653):{'3_1':0.36,'5_2':0.12,'5_1':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,652):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(150,651):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(150,650):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(150,649):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_12':0.0,'8_19':0.0},(150,648):{'3_1':0.36,'5_1':0.09,'5_2':0.09,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0},(150,647):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(150,646):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(150,645):{'3_1':0.24,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'8_19':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(150,644):{'3_1':0.27,'5_2':0.18,'5_1':0.09,'4_1':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0},(150,643):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_1':0.0},(150,642):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0,'-3':0.0},(150,641):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(150,640):{'3_1':0.21,'5_2':0.09,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(150,639):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(150,638):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(150,637):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(150,636):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(150,635):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0},(150,634):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(150,633):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(150,632):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(150,631):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,630):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(150,629):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,628):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(150,627):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(150,626):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(150,625):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(150,624):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(150,623):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0},(150,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0},(150,621):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(150,620):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(150,619):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(150,618):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(150,617):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(150,616):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0},(150,615):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(150,614):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(150,613):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'-3':0.0},(150,612):{'3_1':0.24,'5_2':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0},(150,611):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(150,610):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(150,609):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(150,608):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(150,607):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(150,606):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(150,605):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0},(150,604):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.03},(150,603):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(150,602):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(150,601):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_2':0.0},(150,600):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_1':0.0},(150,599):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(150,598):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_19':0.0},(150,597):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(150,596):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(150,595):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(150,594):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(150,593):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(150,592):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(150,591):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0},(150,590):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(150,589):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(150,588):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_4':0.0},(150,587):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(150,586):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'8_19':0.0},(150,585):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'-3':0.0},(150,584):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_1':0.0},(150,583):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(150,582):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(150,581):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'5_2':0.0,'7_1':0.0},(150,580):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(150,579):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0},(150,578):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0},(150,577):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(150,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0},(150,575):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(150,574):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(150,573):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(150,572):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(150,571):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(150,570):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0},(150,569):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(150,568):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(150,567):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,566):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(150,565):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(150,564):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_7':0.0},(150,563):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(150,562):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(150,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(150,560):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(150,559):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(150,558):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(150,557):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(150,556):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0},(150,555):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(150,554):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(150,553):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0},(150,552):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(150,551):{'3_1':0.24,'5_2':0.0,'7_5':0.0},(150,550):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(150,549):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(150,548):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(150,547):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(150,546):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(150,545):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(150,544):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,543):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(150,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,541):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(150,540):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(150,539):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(150,538):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(150,537):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(150,536):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(150,535):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(150,534):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(150,533):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(150,532):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(150,531):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(150,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(150,529):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(150,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(150,527):{'3_1':0.15,'5_1':0.0},(150,526):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(150,525):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(150,524):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(150,523):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,522):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(150,521):{'3_1':0.09,'5_1':0.0},(150,520):{'3_1':0.09,'4_1':0.03},(150,519):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(150,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(150,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(150,516):{'3_1':0.06,'4_1':0.03},(150,515):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(150,514):{'3_1':0.09,'5_2':0.0},(150,513):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(150,512):{'3_1':0.03,'4_1':0.0},(150,511):{'3_1':0.0},(150,510):{'3_1':0.03},(150,509):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(150,508):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(150,507):{'3_1':0.0,'4_1':0.0},(150,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,505):{'3_1':0.03,'4_1':0.03},(150,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(150,503):{'3_1':0.03,'5_2':0.0},(150,502):{'3_1':0.03,'5_1':0.0},(150,501):{'3_1':0.0,'4_1':0.0},(150,500):{'3_1':0.03,'4_1':0.0},(150,499):{'3_1':0.09},(150,498):{'3_1':0.09,'4_1':0.0},(150,497):{'3_1':0.06,'5_2':0.0},(150,496):{'3_1':0.0},(150,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(150,494):{'3_1':0.03},(150,493):{'3_1':0.09,'5_2':0.0},(150,492):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(150,491):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(150,490):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(150,489):{'3_1':0.06,'5_2':0.0},(150,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(150,487):{'3_1':0.12,'5_1':0.0},(150,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(150,485):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(150,484):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(150,483):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(150,482):{'3_1':0.12},(150,481):{'3_1':0.03},(150,480):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(150,479):{'3_1':0.09,'5_2':0.0},(150,478):{'3_1':0.06,'4_1':0.0},(150,477):{'3_1':0.06},(150,476):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(150,475):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(150,474):{'3_1':0.06,'5_2':0.0},(150,473):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(150,472):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(150,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(150,470):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(150,469):{'3_1':0.03},(150,468):{'3_1':0.06,'5_1':0.0},(150,467):{'3_1':0.06,'4_1':0.0},(150,466):{'3_1':0.0},(150,465):{'3_1':0.03,'4_1':0.0},(150,464):{'3_1':0.03,'4_1':0.0},(150,463):{'3_1':0.03,'4_1':0.0},(150,462):{'3_1':0.0},(150,461):{'3_1':0.0},(150,460):{'3_1':0.03,'4_1':0.0},(150,459):{'3_1':0.03},(150,458):{'4_1':0.03,'3_1':0.0},(150,457):{'3_1':0.03},(150,456):{'3_1':0.03},(150,455):{'3_1':0.03,'4_1':0.0},(150,454):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(150,453):{'3_1':0.0},(150,452):{'3_1':0.03,'4_1':0.0},(150,451):{'3_1':0.0,'4_1':0.0},(150,450):{'4_1':0.03,'3_1':0.0},(150,449):{'3_1':0.0,'4_1':0.0},(150,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(150,447):{'3_1':0.03},(150,446):{'3_1':0.03},(150,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,443):{'3_1':0.03},(150,442):{'3_1':0.03},(150,441):{'3_1':0.0},(150,440):{'3_1':0.0,'4_1':0.0},(150,439):{'3_1':0.0},(150,438):{'3_1':0.03},(150,437):{'3_1':0.0,'4_1':0.0},(150,436):{'3_1':0.06},(150,435):{'3_1':0.03,'4_1':0.0},(150,434):{'3_1':0.03,'5_1':0.0},(150,433):{'3_1':0.0,'4_1':0.0},(150,432):{'3_1':0.03,'4_1':0.0},(150,431):{'3_1':0.03,'4_1':0.0},(150,430):{'3_1':0.03,'5_1':0.0},(150,429):{'3_1':0.06,'4_1':0.0},(150,428):{'3_1':0.0,'4_1':0.0},(150,427):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(150,426):{'3_1':0.03,'4_1':0.0},(150,425):{'3_1':0.03,'4_1':0.0},(150,424):{'3_1':0.03,'4_1':0.0},(150,423):{'3_1':0.03,'4_1':0.0},(150,422):{'3_1':0.03,'4_1':0.0},(150,421):{'3_1':0.03,'4_1':0.0},(150,420):{'4_1':0.0,'3_1':0.0},(150,419):{'3_1':0.06,'4_1':0.0},(150,418):{'3_1':0.03},(150,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,416):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(150,415):{'3_1':0.0},(150,414):{'4_1':0.0},(150,413):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(150,412):{'3_1':0.0,'4_1':0.0},(150,411):{'3_1':0.0},(150,410):{'3_1':0.03,'4_1':0.0},(150,409):{'3_1':0.0},(150,408):{'4_1':0.0},(150,407):{'3_1':0.0,'8_1':0.0},(150,406):{'3_1':0.0,'4_1':0.0},(150,405):{'3_1':0.0},(150,404):{'3_1':0.03,'5_1':0.0},(150,403):{'3_1':0.0},(150,402):{'3_1':0.0,'4_1':0.0},(150,401):{'3_1':0.0,'4_1':0.0},(150,399):{'3_1':0.0,'4_1':0.0},(150,398):{'3_1':0.0},(150,397):{'3_1':0.0},(150,395):{'3_1':0.0},(150,394):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(150,393):{'4_1':0.0},(150,392):{'3_1':0.0},(150,391):{'3_1':0.0,'4_1':0.0},(150,390):{'3_1':0.0},(150,388):{'4_1':0.0,'5_1':0.0},(150,387):{'3_1':0.0,'4_1':0.0},(150,386):{'3_1':0.0},(150,385):{'3_1':0.03},(150,384):{'3_1':0.0},(150,383):{'3_1':0.0},(150,382):{'5_1':0.0},(150,381):{'3_1':0.03},(150,379):{'3_1':0.03},(150,378):{'3_1':0.03},(150,377):{'3_1':0.0},(150,376):{'3_1':0.06},(150,375):{'3_1':0.0},(150,374):{'3_1':0.0,'5_2':0.0},(150,373):{'3_1':0.0},(150,372):{'3_1':0.0},(150,371):{'3_1':0.0,'5_1':0.0},(150,370):{'3_1':0.0},(150,369):{'3_1':0.03},(150,368):{'3_1':0.0},(150,367):{'3_1':0.03},(150,366):{'3_1':0.0},(150,365):{'3_1':0.03},(150,364):{'3_1':0.0},(150,363):{'3_1':0.0},(150,362):{'3_1':0.0},(150,361):{'3_1':0.0,'7_1':0.0},(150,360):{'3_1':0.03},(150,359):{'3_1':0.03},(150,358):{'3_1':0.03},(150,356):{'3_1':0.0},(150,355):{'3_1':0.0},(150,354):{'3_1':0.0},(150,353):{'3_1':0.0},(150,352):{'3_1':0.03},(150,351):{'3_1':0.0},(150,350):{'3_1':0.0},(150,349):{'3_1':0.0},(150,348):{'3_1':0.0},(150,347):{'3_1':0.0},(150,346):{'3_1':0.0},(150,345):{'4_1':0.0},(150,344):{'3_1':0.0},(150,343):{'3_1':0.0},(150,342):{'3_1':0.0},(150,341):{'3_1':0.03},(150,339):{'3_1':0.0},(150,338):{'3_1':0.03},(150,337):{'3_1':0.03},(150,336):{'3_1':0.0},(150,335):{'3_1':0.0},(150,333):{'3_1':0.0},(150,332):{'3_1':0.0},(150,331):{'3_1':0.03},(150,330):{'3_1':0.0,'5_2':0.0},(150,329):{'3_1':0.03},(150,328):{'3_1':0.0},(150,327):{'3_1':0.0},(150,325):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(150,323):{'3_1':0.0},(150,322):{'3_1':0.0},(150,321):{'3_1':0.03},(150,320):{'3_1':0.03},(150,319):{'3_1':0.03},(150,318):{'3_1':0.0,'5_1':0.0},(150,317):{'3_1':0.0},(150,316):{'3_1':0.03},(150,315):{'3_1':0.0,'5_2':0.0},(150,314):{'3_1':0.0},(150,313):{'3_1':0.0},(150,312):{'3_1':0.0},(150,311):{'3_1':0.0,'5_2':0.0},(150,310):{'3_1':0.0},(150,309):{'3_1':0.0,'5_1':0.0},(150,308):{'3_1':0.0},(150,307):{'3_1':0.03},(150,306):{'3_1':0.0},(150,305):{'3_1':0.03},(150,304):{'3_1':0.0},(150,303):{'3_1':0.0},(150,301):{'3_1':0.03},(150,300):{'3_1':0.0},(150,299):{'3_1':0.0,'4_1':0.0},(150,298):{'3_1':0.0},(150,296):{'3_1':0.0},(150,295):{'3_1':0.0},(150,294):{'3_1':0.0},(150,293):{'3_1':0.0},(150,292):{'3_1':0.0},(150,291):{'3_1':0.0},(150,290):{'3_1':0.0},(150,289):{'3_1':0.0},(150,288):{'3_1':0.0},(150,287):{'3_1':0.0},(150,286):{'3_1':0.0},(150,285):{'3_1':0.03},(150,283):{'3_1':0.0},(150,282):{'3_1':0.0},(150,281):{'3_1':0.0},(150,280):{'3_1':0.0},(150,279):{'3_1':0.0},(150,277):{'3_1':0.0},(150,276):{'3_1':0.06},(150,275):{'3_1':0.03},(150,274):{'3_1':0.0,'7_4':0.0},(150,273):{'3_1':0.0},(150,272):{'3_1':0.0},(150,271):{'3_1':0.0},(150,270):{'3_1':0.03},(150,269):{'3_1':0.0},(150,268):{'3_1':0.03},(150,266):{'3_1':0.0},(150,265):{'4_1':0.0},(150,264):{'3_1':0.0},(150,263):{'3_1':0.03},(150,262):{'3_1':0.0},(150,261):{'3_1':0.0},(150,260):{'3_1':0.0},(150,257):{'3_1':0.0,'5_1':0.0},(150,254):{'3_1':0.0},(150,253):{'3_1':0.0},(150,252):{'3_1':0.0},(150,250):{'3_1':0.0},(150,248):{'3_1':0.0,'5_1':0.0},(150,247):{'4_1':0.0},(150,246):{'3_1':0.0},(150,245):{'3_1':0.0},(150,243):{'5_1':0.0},(150,240):{'3_1':0.0},(150,238):{'3_1':0.0},(150,237):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(150,235):{'3_1':0.0,'5_1':0.0},(150,233):{'3_1':0.0,'5_1':0.0},(150,232):{'3_1':0.03,'5_1':0.0},(150,231):{'3_1':0.03},(150,230):{'3_1':0.0},(150,229):{'3_1':0.03},(150,228):{'3_1':0.0},(150,227):{'3_1':0.0},(150,226):{'3_1':0.0,'7_1':0.0},(150,224):{'3_1':0.0},(150,222):{'3_1':0.0},(150,220):{'3_1':0.0},(150,219):{'3_1':0.0},(150,216):{'3_1':0.0},(150,215):{'3_1':0.0},(150,214):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(150,213):{'3_1':0.0},(150,211):{'3_1':0.0},(150,210):{'3_1':0.0},(150,207):{'3_1':0.0},(150,206):{'3_1':0.0},(150,202):{'3_1':0.0},(150,201):{'3_1':0.0},(150,197):{'3_1':0.0,'7_2':0.0},(150,196):{'3_1':0.0},(150,191):{'3_1':0.0},(150,190):{'3_1':0.0},(150,183):{'3_1':0.0},(150,181):{'5_1':0.0},(150,159):{'5_2':0.0},(150,157):{'3_1':0.0},(150,154):{'3_1':0.03},(151,727):{'5_2':0.33,'6_1':0.09,'-3':0.09,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(151,726):{'5_2':0.48,'6_1':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(151,725):{'5_2':0.36,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(151,724):{'5_2':0.33,'-3':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_19':0.0},(151,723):{'5_2':0.42,'-3':0.09,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0},(151,722):{'5_2':0.33,'6_1':0.06,'-3':0.06,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(151,721):{'5_2':0.3,'-3':0.12,'7_5':0.06,'7_3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_19':0.0,'1':-0.03},(151,720):{'5_2':0.39,'7_2':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_4':0.0,'8_11':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_13':0.0,'3_1#5_2':0.0},(151,719):{'5_2':0.36,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(151,718):{'5_2':0.33,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_6':0.0,'1':-0.03},(151,717):{'5_2':0.36,'6_1':0.09,'7_4':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_6':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(151,716):{'5_2':0.3,'6_1':0.15,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'8_11':0.0,'4_1':0.0},(151,715):{'5_2':0.3,'-3':0.09,'6_1':0.06,'7_5':0.06,'7_6':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0},(151,714):{'5_2':0.3,'-3':0.09,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(151,713):{'5_2':0.27,'6_1':0.09,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_8':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0},(151,712):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_13':0.0},(151,711):{'5_2':0.33,'-3':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_15':0.0,'8_19':0.0,'1':-0.03},(151,710):{'5_2':0.33,'6_1':0.12,'-3':0.09,'7_5':0.06,'7_2':0.03,'5_1':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0,'8_6':0.0,'3_1#5_2':0.0},(151,709):{'5_2':0.3,'-3':0.18,'7_5':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'8_6':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(151,708):{'5_2':0.3,'6_1':0.06,'3_1':0.06,'7_5':0.06,'-3':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(151,707):{'5_2':0.33,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0,'8_19':0.0},(151,706):{'5_2':0.33,'3_1':0.06,'6_1':0.06,'7_3':0.06,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(151,705):{'5_2':0.27,'3_1':0.06,'7_2':0.06,'7_5':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'5_1':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(151,704):{'5_2':0.3,'3_1':0.09,'6_1':0.09,'7_2':0.03,'7_5':0.03,'-3':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(151,703):{'5_2':0.27,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0},(151,702):{'5_2':0.42,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(151,701):{'5_2':0.24,'6_1':0.15,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_15':0.0},(151,700):{'5_2':0.24,'-3':0.12,'3_1':0.06,'6_1':0.06,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(151,699):{'5_2':0.24,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(151,698):{'5_2':0.24,'3_1':0.09,'6_1':0.06,'7_3':0.06,'7_4':0.03,'7_2':0.03,'-3':0.03,'4_1':0.03,'7_6':0.03,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0,'8_21|3_1#4_1':0.0},(151,697):{'5_2':0.3,'3_1':0.09,'6_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(151,696):{'5_2':0.33,'-3':0.09,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(151,695):{'5_2':0.33,'3_1':0.09,'-3':0.06,'7_2':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(151,694):{'3_1':0.18,'5_2':0.15,'7_4':0.09,'7_5':0.06,'-3':0.06,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(151,693):{'5_2':0.24,'-3':0.12,'3_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.03,'7_5':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'1':-0.03},(151,692):{'5_2':0.3,'3_1':0.09,'6_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(151,691):{'5_2':0.3,'3_1':0.12,'6_1':0.09,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(151,690):{'5_2':0.3,'3_1':0.06,'7_4':0.06,'-3':0.06,'6_1':0.06,'7_3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(151,689):{'5_2':0.21,'3_1':0.12,'-3':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(151,688):{'5_2':0.18,'3_1':0.12,'7_4':0.09,'6_1':0.03,'7_2':0.03,'4_1':0.03,'-3':0.03,'8_11':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(151,687):{'3_1':0.24,'5_2':0.24,'7_3':0.06,'6_1':0.06,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(151,686):{'3_1':0.18,'5_2':0.15,'7_3':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0},(151,685):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(151,684):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(151,683):{'3_1':0.3,'5_2':0.21,'6_1':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(151,682):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'6_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(151,681):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(151,680):{'3_1':0.21,'5_2':0.15,'7_4':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'9_1':0.0},(151,679):{'3_1':0.24,'5_2':0.12,'7_4':0.06,'6_1':0.06,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(151,678):{'3_1':0.33,'5_2':0.06,'-3':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'8_14':0.0},(151,677):{'3_1':0.24,'5_2':0.12,'7_4':0.09,'4_1':0.06,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0,'8_19':0.0,'3_1#5_2':0.0},(151,676):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'7_4':0.03,'7_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(151,675):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'7_4':0.06,'7_3':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(151,674):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0},(151,673):{'3_1':0.3,'5_2':0.21,'4_1':0.09,'6_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(151,672):{'3_1':0.42,'5_2':0.12,'4_1':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(151,671):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0},(151,670):{'3_1':0.3,'5_2':0.15,'6_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(151,669):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(151,668):{'3_1':0.39,'5_2':0.15,'4_1':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(151,667):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_2':0.0,'8_14':0.0},(151,666):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(151,665):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(151,664):{'3_1':0.33,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(151,663):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(151,662):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_2':0.0,'-3':0.0},(151,661):{'3_1':0.39,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(151,660):{'3_1':0.27,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(151,659):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(151,658):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'7_2':0.0,'7_3':0.0},(151,657):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(151,656):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_1':0.0,'8_19':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(151,655):{'3_1':0.39,'5_2':0.12,'5_1':0.09,'4_1':0.06,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(151,654):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(151,653):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(151,652):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.06,'-3':0.03,'6_3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(151,651):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(151,650):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(151,649):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(151,648):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_4':0.0,'-3':0.0,'8_19':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(151,647):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(151,646):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(151,645):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'-3':0.03,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(151,644):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(151,643):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(151,642):{'3_1':0.18,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(151,641):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'-3':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(151,640):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_5':0.0},(151,639):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(151,638):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(151,637):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(151,636):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(151,635):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0},(151,634):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(151,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0},(151,632):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(151,631):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_7':0.0},(151,630):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'6_2':0.0,'4_1':0.0,'-3':0.0,'7_6':0.0},(151,629):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(151,628):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'7_1':0.03,'7_3':0.0,'7_5':0.0},(151,627):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0},(151,626):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0},(151,625):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(151,624):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(151,623):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(151,622):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(151,621):{'3_1':0.24,'5_1':0.09,'5_2':0.0,'7_3':0.0,'6_2':0.0},(151,620):{'3_1':0.18,'5_1':0.12,'5_2':0.06,'7_1':0.0,'8_19':0.0},(151,619):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_9':0.0,'8_19':0.0},(151,618):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(151,617):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(151,616):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(151,615):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(151,614):{'3_1':0.24,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_3':0.0,'-3':0.0},(151,613):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(151,612):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(151,611):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(151,610):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(151,609):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(151,608):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_4':0.0},(151,607):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'-3':0.0},(151,606):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_14':0.0},(151,605):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(151,604):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'5_2':0.0},(151,603):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,602):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(151,601):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0},(151,600):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,599):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(151,598):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(151,597):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(151,596):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(151,595):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(151,594):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(151,593):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(151,592):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(151,591):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0},(151,590):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0},(151,589):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'7_1':0.0,'7_4':0.0},(151,588):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_1':0.0},(151,587):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(151,586):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(151,585):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0},(151,584):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(151,583):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(151,582):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0},(151,581):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(151,580):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(151,579):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(151,578):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(151,577):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'3_1#5_1':0.0},(151,576):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(151,575):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(151,574):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(151,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,571):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(151,570):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_11':0.0},(151,569):{'3_1':0.12,'4_1':0.06,'7_2':0.0,'5_2':0.0,'6_2':0.0},(151,568):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(151,567):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(151,566):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(151,565):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(151,564):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(151,563):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(151,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(151,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_5':0.0},(151,560):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(151,559):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(151,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(151,557):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0,'-3':0.0},(151,556):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(151,555):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,554):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(151,553):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_3':0.0,'5_2':0.0},(151,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(151,551):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(151,550):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,549):{'3_1':0.24,'5_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(151,548):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(151,547):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(151,546):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(151,545):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(151,544):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(151,543):{'3_1':0.21,'5_2':0.0,'7_3':0.0},(151,542):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(151,541):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(151,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(151,539):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(151,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(151,537):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(151,536):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(151,535):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_1':0.0},(151,534):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(151,533):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(151,532):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(151,531):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,530):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(151,529):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(151,528):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(151,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(151,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(151,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(151,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(151,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(151,522):{'3_1':0.12},(151,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(151,520):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(151,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,518):{'3_1':0.06},(151,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(151,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,515):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(151,514):{'3_1':0.03,'4_1':0.0},(151,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(151,512):{'3_1':0.06,'4_1':0.0},(151,511):{'3_1':0.03,'5_1':0.0},(151,510):{'3_1':0.03},(151,509):{'3_1':0.06,'7_3':0.0},(151,508):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(151,507):{'3_1':0.03,'4_1':0.0},(151,506):{'3_1':0.03,'4_1':0.0},(151,505):{'3_1':0.06,'4_1':0.0},(151,504):{'3_1':0.03,'4_1':0.0},(151,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(151,502):{'3_1':0.06},(151,501):{'3_1':0.06,'4_1':0.0},(151,500):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,499):{'3_1':0.03},(151,498):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(151,497):{'3_1':0.03,'4_1':0.0},(151,496):{'3_1':0.03,'4_1':0.0},(151,495):{'3_1':0.03,'4_1':0.0},(151,494):{'3_1':0.06,'5_2':0.0},(151,493):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(151,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,489):{'3_1':0.06,'4_1':0.0},(151,488):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(151,487):{'3_1':0.12,'5_2':0.0},(151,486):{'3_1':0.06},(151,485):{'3_1':0.06,'4_1':0.0},(151,484):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(151,483):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(151,482):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(151,481):{'3_1':0.09,'5_2':0.0},(151,480):{'3_1':0.06,'4_1':0.0},(151,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(151,478):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(151,477):{'3_1':0.06,'5_1':0.0},(151,476):{'3_1':0.03},(151,475):{'3_1':0.09},(151,474):{'3_1':0.09,'5_1':0.0},(151,473):{'3_1':0.06},(151,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(151,471):{'3_1':0.06,'4_1':0.0},(151,470):{'3_1':0.06},(151,469):{'3_1':0.06,'4_1':0.0},(151,468):{'3_1':0.06,'4_1':0.0},(151,467):{'3_1':0.03},(151,466):{'3_1':0.0,'4_1':0.0},(151,465):{'4_1':0.0,'3_1':0.0},(151,464):{'3_1':0.03,'4_1':0.0},(151,463):{'3_1':0.0},(151,462):{'3_1':0.03},(151,461):{'3_1':0.03,'4_1':0.0},(151,460):{'3_1':0.0,'7_1':0.0},(151,459):{'3_1':0.03},(151,458):{'3_1':0.0},(151,457):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,456):{'3_1':0.0,'4_1':0.0},(151,455):{'4_1':0.0,'3_1':0.0},(151,454):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(151,453):{'3_1':0.03,'4_1':0.0},(151,452):{'3_1':0.0,'4_1':0.0},(151,451):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(151,450):{'3_1':0.03},(151,449):{'3_1':0.03,'4_1':0.0},(151,448):{'3_1':0.03},(151,447):{'3_1':0.0,'4_1':0.0},(151,446):{'3_1':0.0,'4_1':0.0},(151,445):{'3_1':0.06,'4_1':0.0},(151,444):{'3_1':0.0,'4_1':0.0},(151,443):{'3_1':0.0,'4_1':0.0},(151,442):{'3_1':0.0,'4_1':0.0},(151,441):{'3_1':0.0},(151,440):{'3_1':0.06},(151,439):{'3_1':0.0},(151,438):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(151,437):{'3_1':0.0,'4_1':0.0},(151,436):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(151,435):{'3_1':0.0,'4_1':0.0},(151,434):{'3_1':0.0,'4_1':0.0},(151,433):{'3_1':0.03,'4_1':0.0},(151,432):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,431):{'3_1':0.0,'4_1':0.0},(151,430):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(151,429):{'3_1':0.03,'4_1':0.0},(151,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(151,427):{'3_1':0.0,'4_1':0.0},(151,426):{'3_1':0.03,'4_1':0.0},(151,425):{'3_1':0.03},(151,424):{'3_1':0.0},(151,423):{'3_1':0.06},(151,422):{'3_1':0.03,'4_1':0.0},(151,421):{'3_1':0.0,'4_1':0.0},(151,420):{'3_1':0.03,'4_1':0.0},(151,419):{'3_1':0.03,'4_1':0.0},(151,418):{'3_1':0.0,'4_1':0.0},(151,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,416):{'3_1':0.0},(151,415):{'3_1':0.03,'4_1':0.03},(151,414):{'3_1':0.0},(151,413):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(151,412):{'3_1':0.03,'4_1':0.0},(151,411):{'3_1':0.03,'4_1':0.0},(151,410):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(151,409):{'3_1':0.0},(151,408):{'3_1':0.03},(151,401):{'3_1':0.0},(151,399):{'3_1':0.0},(151,398):{'3_1':0.0,'4_1':0.0},(151,397):{'3_1':0.0},(151,396):{'3_1':0.0,'6_1':0.0},(151,395):{'3_1':0.0,'4_1':0.0},(151,394):{'3_1':0.0},(151,393):{'4_1':0.0},(151,392):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(151,391):{'3_1':0.0},(151,390):{'3_1':0.0},(151,388):{'3_1':0.0,'4_1':0.0},(151,387):{'3_1':0.0,'4_1':0.0},(151,386):{'3_1':0.0},(151,385):{'3_1':0.0},(151,384):{'3_1':0.0},(151,383):{'3_1':0.0},(151,382):{'3_1':0.0},(151,381):{'3_1':0.03,'4_1':0.0},(151,380):{'3_1':0.03},(151,379):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,378):{'3_1':0.03},(151,377):{'3_1':0.0,'5_1':0.0},(151,375):{'3_1':0.0},(151,374):{'3_1':0.0},(151,373):{'3_1':0.0},(151,372):{'3_1':0.03},(151,371):{'3_1':0.06,'7_1':0.0},(151,370):{'3_1':0.06},(151,369):{'3_1':0.03},(151,368):{'3_1':0.03,'7_1':0.0,'4_1':0.0},(151,367):{'3_1':0.0},(151,366):{'3_1':0.0,'4_1':0.0},(151,365):{'3_1':0.0,'5_1':0.0},(151,364):{'3_1':0.0},(151,363):{'3_1':0.03},(151,362):{'3_1':0.0},(151,361):{'3_1':0.0},(151,360):{'3_1':0.0},(151,359):{'3_1':0.03},(151,358):{'3_1':0.0},(151,357):{'3_1':0.0},(151,356):{'3_1':0.03},(151,355):{'3_1':0.0},(151,354):{'3_1':0.0},(151,353):{'3_1':0.03},(151,352):{'3_1':0.0},(151,351):{'4_1':0.0},(151,350):{'3_1':0.0},(151,349):{'3_1':0.0},(151,348):{'3_1':0.0},(151,347):{'3_1':0.0},(151,346):{'3_1':0.0},(151,345):{'3_1':0.0,'4_1':0.0},(151,344):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,343):{'3_1':0.03},(151,342):{'3_1':0.0},(151,341):{'3_1':0.0,'4_1':0.0},(151,340):{'3_1':0.03},(151,339):{'3_1':0.0},(151,338):{'3_1':0.0},(151,337):{'5_1':0.0},(151,336):{'3_1':0.0},(151,335):{'3_1':0.0},(151,333):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(151,332):{'3_1':0.0},(151,331):{'3_1':0.0},(151,330):{'3_1':0.0},(151,329):{'3_1':0.03},(151,328):{'3_1':0.0},(151,327):{'3_1':0.0},(151,326):{'3_1':0.0},(151,325):{'4_1':0.0},(151,324):{'3_1':0.0,'4_1':0.0},(151,323):{'3_1':0.0},(151,322):{'3_1':0.0,'4_1':0.0},(151,321):{'3_1':0.0},(151,320):{'3_1':0.0},(151,319):{'3_1':0.0},(151,318):{'3_1':0.0},(151,317):{'3_1':0.0},(151,316):{'3_1':0.0},(151,315):{'3_1':0.03},(151,314):{'3_1':0.0},(151,313):{'3_1':0.0},(151,312):{'3_1':0.0},(151,311):{'3_1':0.0},(151,310):{'3_1':0.03},(151,309):{'3_1':0.0,'4_1':0.0},(151,307):{'3_1':0.0},(151,306):{'3_1':0.03},(151,305):{'3_1':0.0},(151,304):{'3_1':0.03,'5_1':0.0},(151,303):{'3_1':0.0},(151,302):{'3_1':0.0,'6_1':0.0},(151,301):{'3_1':0.0},(151,300):{'3_1':0.0},(151,298):{'4_1':0.0},(151,297):{'3_1':0.0},(151,295):{'3_1':0.0},(151,294):{'3_1':0.0},(151,293):{'3_1':0.03,'6_1':0.0},(151,292):{'3_1':0.0},(151,291):{'3_1':0.0},(151,290):{'3_1':0.0},(151,289):{'3_1':0.0},(151,288):{'3_1':0.0},(151,281):{'3_1':0.0},(151,280):{'3_1':0.0},(151,279):{'3_1':0.0},(151,278):{'3_1':0.0},(151,277):{'3_1':0.0},(151,276):{'3_1':0.0},(151,275):{'3_1':0.03},(151,274):{'3_1':0.0,'4_1':0.0},(151,272):{'3_1':0.0},(151,271):{'3_1':0.03},(151,270):{'3_1':0.0},(151,269):{'3_1':0.0},(151,268):{'3_1':0.06},(151,267):{'3_1':0.0},(151,266):{'3_1':0.03},(151,265):{'3_1':0.0},(151,264):{'3_1':0.03},(151,263):{'4_1':0.0},(151,262):{'4_1':0.0},(151,261):{'3_1':0.0},(151,260):{'3_1':0.0},(151,259):{'3_1':0.0},(151,257):{'5_1':0.0},(151,255):{'3_1':0.0},(151,254):{'3_1':0.0},(151,253):{'3_1':0.0},(151,252):{'3_1':0.0},(151,249):{'5_1':0.0,'8_1':0.0},(151,248):{'5_2':0.0},(151,247):{'3_1':0.0},(151,245):{'3_1':0.0},(151,244):{'3_1':0.0},(151,243):{'3_1':0.0},(151,242):{'3_1':0.0},(151,241):{'3_1':0.0},(151,240):{'5_1':0.0},(151,239):{'3_1':0.0,'4_1':0.0},(151,238):{'3_1':0.0},(151,237):{'3_1':0.03,'6_1':0.0},(151,236):{'3_1':0.03},(151,235):{'5_1':0.0},(151,234):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(151,233):{'3_1':0.03},(151,232):{'3_1':0.0},(151,231):{'3_1':0.0,'7_1':0.0},(151,230):{'3_1':0.0,'5_1':0.0},(151,229):{'3_1':0.03},(151,228):{'3_1':0.0,'5_2':0.0},(151,226):{'3_1':0.0,'4_1':0.0},(151,225):{'3_1':0.0,'7_1':0.0},(151,223):{'3_1':0.0},(151,222):{'3_1':0.0},(151,219):{'3_1':0.0},(151,216):{'3_1':0.0},(151,214):{'5_2':0.0},(151,212):{'3_1':0.0},(151,211):{'3_1':0.0},(151,210):{'3_1':0.03,'7_2':0.0},(151,209):{'7_2':0.0},(151,208):{'3_1':0.0},(151,207):{'5_1':0.0},(151,204):{'3_1':0.0},(151,203):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(151,202):{'3_1':0.0},(151,201):{'3_1':0.0},(151,200):{'3_1':0.0},(151,199):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(151,197):{'3_1':0.0},(151,196):{'3_1':0.0,'4_1':0.0},(151,195):{'3_1':0.0},(151,194):{'3_1':0.0},(151,193):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(151,192):{'3_1':0.0},(151,191):{'3_1':0.0},(151,190):{'3_1':0.0},(151,158):{'3_1':0.0},(151,157):{'3_1':0.0},(151,156):{'3_1':0.0},(151,155):{'3_1':0.0},(152,727):{'5_2':0.48,'6_1':0.03,'7_5':0.03,'7_2':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(152,726):{'5_2':0.42,'6_1':0.09,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0},(152,725):{'5_2':0.42,'-3':0.09,'7_5':0.06,'7_2':0.03,'6_1':0.03,'5_1':0.0,'3_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0},(152,724):{'5_2':0.42,'6_1':0.12,'7_5':0.03,'3_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(152,723):{'5_2':0.45,'7_2':0.06,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'1':-0.03},(152,722):{'5_2':0.33,'-3':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(152,721):{'5_2':0.36,'-3':0.09,'6_1':0.09,'7_2':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(152,720):{'5_2':0.39,'7_2':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'8_2':0.0,'8_11':0.0},(152,719):{'5_2':0.39,'6_1':0.09,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(152,718):{'5_2':0.27,'6_1':0.12,'7_2':0.06,'3_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_19':0.0,'3_1#5_2':0.0,'1':-0.03},(152,717):{'5_2':0.39,'6_1':0.06,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(152,716):{'5_2':0.48,'6_1':0.09,'-3':0.06,'7_5':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(152,715):{'5_2':0.36,'-3':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0},(152,714):{'5_2':0.36,'6_1':0.09,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'1':-0.03},(152,713):{'5_2':0.42,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(152,712):{'5_2':0.36,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(152,711):{'5_2':0.27,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0,'1':-0.03},(152,710):{'5_2':0.3,'-3':0.12,'6_1':0.06,'7_5':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_6':0.0,'1':-0.03},(152,709):{'5_2':0.33,'-3':0.18,'7_5':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.0,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(152,708):{'5_2':0.33,'-3':0.09,'7_6':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'1':-0.03},(152,707):{'5_2':0.36,'7_2':0.06,'3_1':0.06,'-3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'8_13':0.0},(152,706):{'5_2':0.3,'3_1':0.09,'6_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'8_11':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_6':0.0,'1':-0.03},(152,705):{'5_2':0.39,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(152,704):{'5_2':0.36,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'8_11':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(152,703):{'5_2':0.3,'6_1':0.09,'-3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(152,702):{'5_2':0.33,'-3':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_13':0.0},(152,701):{'5_2':0.36,'-3':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(152,700):{'5_2':0.33,'6_1':0.09,'3_1':0.09,'-3':0.09,'7_4':0.06,'7_3':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(152,699):{'5_2':0.24,'-3':0.12,'3_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0},(152,698):{'5_2':0.24,'3_1':0.15,'-3':0.09,'6_1':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_12':0.0,'8_13':0.0},(152,697):{'5_2':0.3,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_4':0.06,'5_1':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_19':0.0,'1':-0.03},(152,696):{'5_2':0.39,'3_1':0.09,'7_3':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(152,695):{'5_2':0.36,'3_1':0.09,'-3':0.06,'7_5':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_14':0.0,'8_15':0.0},(152,694):{'5_2':0.21,'3_1':0.12,'-3':0.09,'7_4':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'8_4':0.0,'3_1#5_2':0.0},(152,693):{'5_2':0.27,'3_1':0.15,'6_1':0.06,'7_4':0.06,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0},(152,692):{'5_2':0.21,'3_1':0.15,'-3':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(152,691):{'5_2':0.3,'3_1':0.15,'6_1':0.09,'-3':0.06,'7_4':0.03,'7_3':0.0,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(152,690):{'5_2':0.18,'3_1':0.15,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0,'9_1':0.0,'3_1#5_2':0.0,'1':-0.03},(152,689):{'3_1':0.21,'5_2':0.18,'6_1':0.06,'7_4':0.06,'-3':0.06,'5_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(152,688):{'5_2':0.21,'3_1':0.15,'-3':0.09,'6_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'8_14':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(152,687):{'5_2':0.3,'3_1':0.18,'-3':0.06,'7_3':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0},(152,686):{'5_2':0.21,'3_1':0.12,'5_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_11':0.0,'9_1':0.0},(152,685):{'3_1':0.24,'5_2':0.21,'7_4':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'9_1':0.0,'3_1#5_2':0.0},(152,684):{'3_1':0.24,'5_2':0.15,'6_1':0.06,'-3':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(152,683):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0},(152,682):{'3_1':0.24,'5_2':0.18,'7_4':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.03,'3_1#5_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(152,681):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'8_15':0.0},(152,680):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(152,679):{'3_1':0.3,'5_2':0.18,'6_1':0.03,'5_1':0.03,'7_4':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0},(152,678):{'3_1':0.33,'5_2':0.12,'7_4':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0},(152,677):{'3_1':0.39,'5_2':0.18,'4_1':0.09,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(152,676):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(152,675):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'7_4':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(152,674):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_4':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0},(152,673):{'3_1':0.42,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(152,672):{'3_1':0.39,'5_2':0.27,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(152,671):{'3_1':0.3,'5_2':0.12,'7_4':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(152,670):{'3_1':0.39,'5_2':0.12,'4_1':0.06,'7_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(152,669):{'3_1':0.42,'5_2':0.12,'7_3':0.06,'7_4':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(152,668):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(152,667):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,666):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(152,665):{'3_1':0.36,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(152,664):{'3_1':0.33,'5_2':0.12,'4_1':0.09,'7_3':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(152,663):{'3_1':0.36,'5_2':0.15,'5_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(152,662):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(152,661):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,660):{'3_1':0.36,'5_2':0.18,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(152,659):{'3_1':0.42,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(152,658):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(152,657):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,656):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0},(152,655):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_7':0.0,'-3':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,654):{'3_1':0.48,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(152,653):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_19':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0},(152,652):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(152,651):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'7_7':0.0},(152,650):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(152,649):{'3_1':0.39,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,648):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(152,647):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,646):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,645):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,644):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0},(152,643):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_4':0.03,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(152,642):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(152,641):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,640):{'5_2':0.18,'3_1':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(152,639):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,638):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0},(152,637):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'7_3':0.03,'4_1':0.03},(152,636):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0},(152,635):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(152,634):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(152,633):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_2':0.0},(152,632):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(152,631):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_6':0.0},(152,630):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(152,629):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(152,628):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(152,627):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(152,626):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,625):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(152,624):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0},(152,623):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(152,622):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0},(152,621):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(152,620):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(152,619):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_7':0.0,'8_19':0.0},(152,618):{'3_1':0.15,'5_2':0.09,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(152,617):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(152,616):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0},(152,615):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(152,614):{'3_1':0.18,'5_1':0.09,'4_1':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0,'7_3':0.0},(152,613):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(152,612):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(152,611):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(152,610):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(152,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(152,608):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(152,607):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'7_3':0.0,'5_2':0.0},(152,606):{'3_1':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(152,605):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(152,604):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(152,603):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(152,602):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(152,601):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(152,600):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(152,599):{'3_1':0.12,'5_1':0.09,'4_1':0.06,'7_1':0.0},(152,598):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(152,597):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(152,596):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(152,595):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(152,594):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(152,593):{'4_1':0.09,'3_1':0.09,'5_1':0.03,'7_1':0.0,'7_6':0.0},(152,592):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(152,591):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(152,590):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(152,589):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0},(152,588):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(152,587):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(152,586):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(152,585):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0},(152,584):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0},(152,583):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(152,582):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_2':0.0},(152,581):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0},(152,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(152,579):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(152,578):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(152,577):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(152,576):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0},(152,575):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(152,574):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(152,573):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0},(152,572):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(152,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0},(152,570):{'3_1':0.15,'4_1':0.03,'5_1':0.03},(152,569):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(152,568):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(152,567):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(152,566):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(152,565):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(152,564):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(152,563):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(152,562):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(152,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_2':0.0},(152,560):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(152,559):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(152,558):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(152,557):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(152,556):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(152,555):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(152,554):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0},(152,553):{'3_1':0.21,'5_2':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(152,552):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,551):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0},(152,550):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(152,549):{'3_1':0.18,'5_1':0.0},(152,548):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(152,547):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(152,546):{'3_1':0.3,'5_1':0.0,'7_3':0.0,'7_5':0.0},(152,545):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,544):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(152,543):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(152,542):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(152,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,540):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(152,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'-3':0.0},(152,538):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(152,537):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(152,536):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_1':0.0},(152,535):{'3_1':0.21},(152,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(152,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(152,532):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(152,531):{'3_1':0.15,'5_2':0.0,'7_1':0.0,'7_3':0.0},(152,530):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'9_1':0.0},(152,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(152,528):{'3_1':0.09,'4_1':0.0},(152,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(152,526):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(152,525):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(152,524):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(152,523):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(152,522):{'3_1':0.06,'5_1':0.0},(152,521):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(152,520):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(152,519):{'3_1':0.12},(152,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0},(152,517):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(152,516):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(152,515):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,514):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,513):{'3_1':0.09,'5_1':0.0},(152,512):{'3_1':0.09,'5_2':0.0},(152,511):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,509):{'3_1':0.03},(152,508):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(152,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,506):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(152,504):{'3_1':0.06,'4_1':0.0},(152,503):{'3_1':0.06,'5_1':0.0},(152,502):{'3_1':0.03,'4_1':0.0},(152,501):{'3_1':0.03},(152,500):{'3_1':0.03,'4_1':0.0},(152,499):{'3_1':0.06,'5_1':0.0},(152,498):{'3_1':0.03,'4_1':0.0},(152,497):{'3_1':0.06,'4_1':0.0},(152,496):{'3_1':0.09,'4_1':0.0},(152,495):{'3_1':0.0},(152,494):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(152,493):{'3_1':0.0,'4_1':0.0},(152,492):{'3_1':0.06,'4_1':0.0},(152,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,490):{'3_1':0.06,'4_1':0.0},(152,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(152,488):{'3_1':0.06,'4_1':0.0},(152,487):{'3_1':0.06,'5_2':0.0},(152,486):{'3_1':0.03,'5_1':0.0},(152,485):{'3_1':0.06},(152,484):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(152,483):{'3_1':0.09,'4_1':0.0},(152,482):{'3_1':0.12,'5_1':0.0},(152,481):{'3_1':0.09,'5_2':0.0},(152,480):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(152,479):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0},(152,478):{'3_1':0.06,'4_1':0.0},(152,477):{'3_1':0.12,'4_1':0.0},(152,476):{'3_1':0.03},(152,475):{'3_1':0.06,'5_2':0.0},(152,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,473):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(152,472):{'3_1':0.06,'5_2':0.0},(152,471):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(152,470):{'3_1':0.06,'5_2':0.0},(152,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(152,468):{'3_1':0.06},(152,467):{'3_1':0.0,'4_1':0.0},(152,466):{'3_1':0.06,'4_1':0.0},(152,465):{'3_1':0.0},(152,464):{'3_1':0.03,'4_1':0.0},(152,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(152,462):{'3_1':0.0,'4_1':0.0},(152,461):{'3_1':0.0,'4_1':0.0},(152,460):{'4_1':0.0,'3_1':0.0,'7_1':0.0},(152,459):{'3_1':0.0,'4_1':0.0},(152,458):{'3_1':0.0,'5_1':0.0},(152,457):{'3_1':0.03},(152,456):{'3_1':0.03,'4_1':0.0},(152,455):{'3_1':0.03,'4_1':0.0},(152,454):{'3_1':0.03,'4_1':0.0},(152,453):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(152,452):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(152,451):{'3_1':0.03,'4_1':0.0},(152,450):{'3_1':0.03,'4_1':0.0},(152,449):{'3_1':0.0,'5_1':0.0},(152,448):{'3_1':0.0,'4_1':0.0},(152,447):{'3_1':0.03,'4_1':0.0},(152,446):{'3_1':0.03},(152,445):{'3_1':0.0},(152,444):{'3_1':0.0,'4_1':0.0},(152,443):{'3_1':0.0,'4_1':0.0},(152,442):{'3_1':0.0,'4_1':0.0},(152,441):{'3_1':0.0},(152,440):{'3_1':0.0},(152,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(152,438):{'3_1':0.0},(152,437):{'3_1':0.0,'4_1':0.0},(152,436):{'3_1':0.06,'4_1':0.0},(152,435):{'3_1':0.0,'5_1':0.0},(152,434):{'3_1':0.0,'5_1':0.0},(152,433):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(152,432):{'3_1':0.06,'4_1':0.0},(152,431):{'3_1':0.06},(152,430):{'3_1':0.0},(152,429):{'3_1':0.0,'4_1':0.0},(152,428):{'3_1':0.06,'4_1':0.0},(152,427):{'3_1':0.03,'5_1':0.0},(152,426):{'4_1':0.03,'3_1':0.03},(152,425):{'3_1':0.0},(152,424):{'4_1':0.0,'3_1':0.0},(152,423):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,422):{'3_1':0.03,'4_1':0.0},(152,421):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,420):{'3_1':0.06,'4_1':0.0},(152,419):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(152,418):{'3_1':0.0,'4_1':0.0},(152,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(152,416):{'3_1':0.0,'4_1':0.0},(152,415):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(152,414):{'3_1':0.03,'4_1':0.0},(152,413):{'3_1':0.0,'4_1':0.0},(152,412):{'3_1':0.0},(152,411):{'3_1':0.0},(152,410):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(152,409):{'3_1':0.0,'7_1':0.0},(152,408):{'3_1':0.0},(152,407):{'3_1':0.0},(152,406):{'3_1':0.0},(152,405):{'3_1':0.0},(152,404):{'3_1':0.0},(152,403):{'3_1':0.03},(152,402):{'3_1':0.03},(152,400):{'3_1':0.0},(152,399):{'3_1':0.0},(152,398):{'4_1':0.0,'5_2':0.0},(152,397):{'3_1':0.0,'4_1':0.0},(152,396):{'3_1':0.0},(152,394):{'3_1':0.0},(152,393):{'5_1':0.0},(152,392):{'3_1':0.0},(152,391):{'8_1':0.0},(152,390):{'3_1':0.0},(152,389):{'3_1':0.0},(152,388):{'3_1':0.0},(152,387):{'3_1':0.0,'5_2':0.0},(152,386):{'3_1':0.0},(152,385):{'3_1':0.0},(152,384):{'3_1':0.0,'5_1':0.0},(152,383):{'3_1':0.0},(152,382):{'3_1':0.03},(152,381):{'3_1':0.03},(152,380):{'3_1':0.03,'5_1':0.0},(152,379):{'3_1':0.0},(152,378):{'3_1':0.0,'4_1':0.0},(152,377):{'3_1':0.03,'5_1':0.0},(152,376):{'3_1':0.0},(152,375):{'3_1':0.06},(152,374):{'3_1':0.0},(152,373):{'3_1':0.0,'5_1':0.0},(152,372):{'3_1':0.0},(152,371):{'3_1':0.03},(152,369):{'3_1':0.03},(152,368):{'3_1':0.0},(152,367):{'3_1':0.0,'5_1':0.0},(152,366):{'3_1':0.0},(152,365):{'3_1':0.0},(152,364):{'3_1':0.0},(152,363):{'3_1':0.0},(152,362):{'3_1':0.0,'9_1':0.0},(152,361):{'3_1':0.0},(152,360):{'3_1':0.0},(152,359):{'3_1':0.0},(152,358):{'3_1':0.0},(152,357):{'3_1':0.0},(152,356):{'4_1':0.0},(152,355):{'3_1':0.0},(152,354):{'3_1':0.0,'4_1':0.0},(152,353):{'3_1':0.0},(152,352):{'3_1':0.0,'5_1':0.0},(152,351):{'3_1':0.03},(152,348):{'3_1':0.0},(152,347):{'3_1':0.0},(152,346):{'3_1':0.0},(152,345):{'3_1':0.0},(152,343):{'3_1':0.03},(152,342):{'5_2':0.0},(152,341):{'3_1':0.0},(152,340):{'3_1':0.0},(152,339):{'3_1':0.0},(152,337):{'3_1':0.03,'5_1':0.0},(152,336):{'3_1':0.0},(152,335):{'3_1':0.03},(152,334):{'3_1':0.0},(152,333):{'3_1':0.0},(152,332):{'3_1':0.0},(152,331):{'3_1':0.0},(152,330):{'3_1':0.0},(152,329):{'3_1':0.0},(152,328):{'3_1':0.0},(152,327):{'3_1':0.0},(152,326):{'3_1':0.0},(152,325):{'3_1':0.0},(152,322):{'3_1':0.0,'4_1':0.0},(152,320):{'3_1':0.0},(152,319):{'3_1':0.0},(152,318):{'3_1':0.0},(152,317):{'3_1':0.0},(152,316):{'3_1':0.0},(152,315):{'3_1':0.0},(152,312):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(152,311):{'3_1':0.0},(152,310):{'3_1':0.0},(152,309):{'3_1':0.0,'5_1':0.0},(152,308):{'3_1':0.0},(152,307):{'3_1':0.0,'4_1':0.0},(152,306):{'3_1':0.0},(152,305):{'3_1':0.0,'5_1':0.0},(152,304):{'3_1':0.03,'4_1':0.0},(152,303):{'3_1':0.0},(152,302):{'3_1':0.0},(152,301):{'3_1':0.0},(152,300):{'3_1':0.0},(152,299):{'3_1':0.0},(152,298):{'3_1':0.0},(152,295):{'3_1':0.0},(152,293):{'3_1':0.0},(152,292):{'3_1':0.0},(152,291):{'3_1':0.0},(152,290):{'3_1':0.0},(152,289):{'3_1':0.0},(152,288):{'3_1':0.0},(152,287):{'3_1':0.0},(152,286):{'3_1':0.03},(152,285):{'3_1':0.0},(152,284):{'3_1':0.0},(152,281):{'3_1':0.0},(152,278):{'3_1':0.0},(152,277):{'3_1':0.0},(152,276):{'3_1':0.0},(152,275):{'3_1':0.03},(152,274):{'3_1':0.0},(152,273):{'3_1':0.0},(152,272):{'3_1':0.0},(152,271):{'3_1':0.0},(152,269):{'3_1':0.0},(152,267):{'3_1':0.0},(152,266):{'3_1':0.0},(152,265):{'3_1':0.0},(152,264):{'3_1':0.0},(152,263):{'3_1':0.0},(152,261):{'3_1':0.0},(152,259):{'3_1':0.0},(152,257):{'3_1':0.0},(152,256):{'3_1':0.0},(152,255):{'3_1':0.0},(152,254):{'3_1':0.0},(152,252):{'3_1':0.0},(152,250):{'3_1':0.0},(152,249):{'3_1':0.0},(152,244):{'3_1':0.0},(152,243):{'3_1':0.0},(152,242):{'3_1':0.0},(152,241):{'3_1':0.0},(152,240):{'3_1':0.0,'5_1':0.0},(152,239):{'3_1':0.0},(152,237):{'3_1':0.0},(152,236):{'3_1':0.0},(152,233):{'5_1':0.0,'3_1':0.0},(152,231):{'3_1':0.0,'5_1':0.0},(152,230):{'3_1':0.0},(152,229):{'3_1':0.0},(152,227):{'3_1':0.0,'5_1':0.0},(152,226):{'3_1':0.0},(152,225):{'3_1':0.0},(152,224):{'3_1':0.0},(152,223):{'3_1':0.03},(152,222):{'3_1':0.0},(152,220):{'3_1':0.0,'4_1':0.0},(152,219):{'3_1':0.0},(152,218):{'3_1':0.0},(152,217):{'3_1':0.0},(152,214):{'3_1':0.0},(152,213):{'3_1':0.0},(152,212):{'3_1':0.0},(152,211):{'3_1':0.0},(152,210):{'3_1':0.03},(152,209):{'3_1':0.0},(152,208):{'3_1':0.0},(152,207):{'3_1':0.0},(152,206):{'3_1':0.0},(152,205):{'3_1':0.03},(152,204):{'3_1':0.0},(152,203):{'3_1':0.0},(152,202):{'4_1':0.0},(152,201):{'3_1':0.03},(152,200):{'3_1':0.0},(152,197):{'3_1':0.0},(152,196):{'3_1':0.0},(152,195):{'3_1':0.0},(152,194):{'5_1':0.0},(152,193):{'5_1':0.0},(152,192):{'4_1':0.0},(152,191):{'3_1':0.03},(152,189):{'7_2':0.0},(152,169):{'5_2':0.0},(152,159):{'3_1':0.0},(153,727):{'5_2':0.33,'6_1':0.09,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(153,726):{'5_2':0.48,'6_1':0.06,'-3':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0},(153,725):{'5_2':0.51,'6_1':0.09,'-3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_8':0.0,'8_14':0.0},(153,724):{'5_2':0.51,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(153,723):{'5_2':0.42,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0},(153,722):{'5_2':0.42,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_4':0.0},(153,721):{'5_2':0.42,'7_4':0.06,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(153,720):{'5_2':0.51,'6_1':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(153,719):{'5_2':0.39,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'3_1':0.0,'7_6':0.0,'6_3':0.0,'3_1#5_2':0.0},(153,718):{'5_2':0.39,'6_1':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0},(153,717):{'5_2':0.36,'7_2':0.09,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_6':0.0,'3_1#5_2':0.0},(153,716):{'5_2':0.36,'-3':0.06,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(153,715):{'5_2':0.39,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(153,714):{'5_2':0.42,'6_1':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'8_18':0.0},(153,713):{'5_2':0.36,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_6':0.03,'-3':0.03,'7_4':0.0,'6_3':0.0},(153,712):{'5_2':0.36,'7_2':0.12,'6_1':0.06,'7_4':0.06,'7_3':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(153,711):{'5_2':0.27,'-3':0.12,'3_1':0.09,'7_2':0.09,'7_3':0.03,'7_6':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(153,710):{'5_2':0.39,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(153,709):{'5_2':0.36,'-3':0.12,'3_1':0.06,'6_1':0.06,'5_1':0.03,'7_5':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_15':0.0,'1':-0.03},(153,708):{'5_2':0.27,'-3':0.09,'6_1':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_1':0.0,'8_1':0.0,'8_2':0.0,'8_6':0.0,'8_11':0.0,'9_1':0.0,'6_2':0.0,'1':-0.03},(153,707):{'5_2':0.51,'6_1':0.06,'7_6':0.06,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(153,706):{'5_2':0.24,'3_1':0.09,'-3':0.09,'7_2':0.09,'6_1':0.03,'7_5':0.03,'7_3':0.0,'8_8':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(153,705):{'5_2':0.33,'3_1':0.15,'7_2':0.06,'7_4':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_6':0.0,'4_1':0.0,'6_3':0.0,'8_1':0.0,'3_1#5_2':0.0},(153,704):{'5_2':0.33,'3_1':0.06,'6_1':0.06,'7_2':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_4':0.0,'8_14':0.0},(153,703):{'5_2':0.3,'3_1':0.12,'6_1':0.09,'-3':0.09,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(153,702):{'5_2':0.3,'6_1':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(153,701):{'5_2':0.33,'3_1':0.12,'-3':0.09,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_5':0.0},(153,700):{'5_2':0.36,'-3':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(153,699):{'5_2':0.3,'3_1':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'8_13':0.0,'9_1':0.0,'3_1#5_2':0.0},(153,698):{'5_2':0.36,'3_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0,'8_13':0.0,'2':-0.03},(153,697):{'5_2':0.39,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.03,'-3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0},(153,696):{'5_2':0.24,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_6':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(153,695):{'5_2':0.27,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(153,694):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_3':0.06,'7_4':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.03,'7_6':0.0},(153,693):{'5_2':0.27,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(153,692):{'5_2':0.39,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(153,691):{'5_2':0.27,'3_1':0.18,'7_4':0.06,'-3':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(153,690):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_13':0.0},(153,689):{'5_2':0.18,'3_1':0.15,'6_1':0.06,'-3':0.06,'7_3':0.06,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0},(153,688):{'5_2':0.21,'3_1':0.12,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_3':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(153,687):{'5_2':0.27,'3_1':0.15,'-3':0.06,'7_6':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_15':0.0},(153,686):{'5_2':0.24,'3_1':0.15,'6_1':0.09,'7_4':0.06,'7_3':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(153,685):{'5_2':0.27,'3_1':0.21,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(153,684):{'5_2':0.3,'3_1':0.18,'6_1':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0},(153,683):{'5_2':0.27,'3_1':0.21,'6_1':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0},(153,682):{'3_1':0.21,'5_2':0.18,'7_4':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(153,681):{'3_1':0.33,'5_2':0.18,'7_3':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(153,680):{'3_1':0.24,'5_2':0.21,'6_1':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(153,679):{'3_1':0.27,'5_2':0.24,'4_1':0.09,'7_4':0.09,'5_1':0.0,'7_3':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_14':0.0,'8_15':0.0,'-3':0.0},(153,678):{'3_1':0.3,'5_2':0.12,'7_4':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(153,677):{'3_1':0.3,'5_2':0.24,'7_4':0.06,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(153,676):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(153,675):{'3_1':0.42,'5_2':0.12,'4_1':0.09,'7_4':0.09,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_2':0.0},(153,674):{'3_1':0.3,'5_2':0.18,'4_1':0.09,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'9_1':0.0},(153,673):{'3_1':0.42,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(153,672):{'3_1':0.45,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0},(153,671):{'3_1':0.33,'5_2':0.12,'4_1':0.09,'7_4':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0},(153,670):{'3_1':0.45,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0},(153,669):{'3_1':0.36,'5_2':0.21,'7_4':0.03,'7_3':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(153,668):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_7':0.0},(153,667):{'3_1':0.3,'5_2':0.18,'7_4':0.06,'6_1':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(153,666):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'7_1':0.0,'7_2':0.0,'1':-0.03},(153,665):{'3_1':0.39,'5_2':0.09,'7_4':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_16':0.0,'8_21|3_1#4_1':0.0},(153,664):{'3_1':0.33,'5_2':0.27,'4_1':0.06,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0,'9_1':0.0},(153,663):{'3_1':0.27,'5_2':0.18,'4_1':0.09,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'8_14':0.0},(153,662):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(153,661):{'3_1':0.27,'5_2':0.18,'5_1':0.06,'4_1':0.06,'7_4':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(153,660):{'3_1':0.39,'5_2':0.21,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(153,659):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(153,658):{'3_1':0.3,'5_2':0.18,'4_1':0.09,'5_1':0.06,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(153,657):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(153,656):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(153,655):{'3_1':0.45,'5_2':0.09,'5_1':0.06,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0},(153,654):{'3_1':0.42,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(153,653):{'3_1':0.3,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(153,652):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_15':0.0},(153,651):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(153,650):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.03,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(153,649):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'-3':0.0},(153,648):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_9':0.0,'8_19':0.0},(153,647):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(153,646):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.06,'7_4':0.0,'7_1':0.0,'6_2':0.0,'8_19':0.0},(153,645):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.03,'8_19':0.03,'7_5':0.0,'-3':0.0,'6_3':0.0},(153,644):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(153,643):{'3_1':0.33,'5_1':0.15,'5_2':0.09,'7_1':0.0,'8_11':0.0,'4_1':0.0},(153,642):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_4':0.0},(153,641):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(153,640):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0},(153,639):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(153,638):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0},(153,637):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(153,636):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(153,635):{'5_2':0.12,'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(153,634):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(153,633):{'3_1':0.27,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(153,632):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0},(153,631):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(153,630):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(153,629):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(153,628):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0},(153,627):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0},(153,626):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(153,625):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(153,624):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(153,623):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(153,622):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0},(153,621):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_6':0.0},(153,620):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(153,619):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(153,618):{'3_1':0.15,'5_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(153,617):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(153,616):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0},(153,615):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(153,614):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(153,613):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0},(153,612):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(153,611):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(153,610):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0},(153,609):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(153,608):{'3_1':0.12,'5_2':0.0,'8_19':0.0,'4_1':0.0,'5_1':0.0},(153,607):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0},(153,606):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0},(153,605):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(153,604):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(153,603):{'3_1':0.24,'5_1':0.03,'5_2':0.03},(153,602):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(153,601):{'3_1':0.18,'4_1':0.03,'7_3':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(153,600):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0},(153,599):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(153,598):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(153,597):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(153,596):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0},(153,595):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(153,594):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_4':0.0},(153,593):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_6':0.0,'7_7':0.0},(153,592):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(153,591):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0},(153,590):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(153,589):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(153,588):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(153,587):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(153,586):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(153,585):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(153,584):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_19':0.0},(153,583):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0},(153,582):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_19':0.0},(153,581):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(153,580):{'3_1':0.15,'5_1':0.03,'4_1':0.03},(153,579):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_1':0.0,'5_2':0.0},(153,578):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(153,577):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(153,576):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_3':0.0},(153,575):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(153,574):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'7_2':0.0},(153,573):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(153,572):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(153,571):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(153,570):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(153,569):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(153,568):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(153,567):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(153,566):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(153,565):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(153,564):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(153,563):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(153,562):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(153,561):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(153,560):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(153,559):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(153,558):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_5':0.0},(153,557):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(153,556):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,555):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,554):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0},(153,553):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(153,552):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_5':0.0},(153,551):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(153,550):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(153,549):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(153,548):{'3_1':0.24,'5_1':0.0},(153,547):{'3_1':0.27,'5_2':0.03},(153,546):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(153,545):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(153,544):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(153,543):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(153,542):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(153,541):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(153,540):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0},(153,539):{'3_1':0.21,'5_1':0.0},(153,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,537):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(153,536):{'3_1':0.24,'5_2':0.0,'7_1':0.0},(153,535):{'3_1':0.24,'5_1':0.03,'6_2':0.0},(153,534):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(153,533):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0},(153,532):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_3':0.0},(153,531):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(153,530):{'3_1':0.12,'4_1':0.0},(153,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(153,528):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(153,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(153,526):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(153,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(153,524):{'3_1':0.12,'5_1':0.03,'7_1':0.0},(153,523):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(153,522):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(153,521):{'3_1':0.06,'5_1':0.0},(153,520):{'3_1':0.09,'4_1':0.0},(153,519):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(153,518):{'3_1':0.03,'4_1':0.0},(153,517):{'3_1':0.09,'4_1':0.0},(153,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(153,515):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(153,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,513):{'3_1':0.09,'4_1':0.0},(153,512):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(153,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(153,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(153,509):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(153,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(153,506):{'3_1':0.0},(153,505):{'3_1':0.06},(153,504):{'3_1':0.03,'5_2':0.0},(153,503):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(153,502):{'3_1':0.0,'4_1':0.0},(153,501):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,500):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(153,499):{'3_1':0.03},(153,498):{'3_1':0.03,'4_1':0.0},(153,497):{'3_1':0.06},(153,496):{'3_1':0.03,'4_1':0.0},(153,495):{'3_1':0.06,'4_1':0.0},(153,494):{'3_1':0.09,'5_1':0.0},(153,493):{'3_1':0.09,'5_2':0.0},(153,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(153,491):{'3_1':0.06},(153,490):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,489):{'3_1':0.03,'4_1':0.0},(153,488):{'3_1':0.06,'7_1':0.0},(153,487):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(153,486):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(153,485):{'3_1':0.09},(153,484):{'3_1':0.15,'5_2':0.0},(153,483):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(153,482):{'3_1':0.12},(153,481):{'3_1':0.12,'5_2':0.0},(153,480):{'3_1':0.09,'5_2':0.0},(153,479):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(153,478):{'3_1':0.06,'5_2':0.0},(153,477):{'3_1':0.12},(153,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,475):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(153,474):{'3_1':0.09,'4_1':0.0},(153,473):{'3_1':0.03},(153,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(153,471):{'3_1':0.06,'4_1':0.0},(153,470):{'3_1':0.06},(153,469):{'3_1':0.03},(153,468):{'3_1':0.06,'5_2':0.0},(153,467):{'3_1':0.06},(153,466):{'3_1':0.03},(153,465):{'3_1':0.0},(153,464):{'3_1':0.06,'4_1':0.0},(153,463):{'3_1':0.03,'4_1':0.0},(153,462):{'3_1':0.03},(153,461):{'3_1':0.0,'4_1':0.0},(153,460):{'3_1':0.03,'4_1':0.0},(153,459):{'3_1':0.06,'4_1':0.0},(153,458):{'3_1':0.03,'4_1':0.0},(153,457):{'3_1':0.0},(153,456):{'3_1':0.0},(153,455):{'3_1':0.03,'5_1':0.0},(153,454):{'3_1':0.03,'4_1':0.0},(153,453):{'3_1':0.03,'4_1':0.03},(153,452):{'3_1':0.03},(153,451):{'3_1':0.0,'4_1':0.0},(153,450):{'3_1':0.0,'4_1':0.0},(153,449):{'3_1':0.0,'5_1':0.0},(153,448):{'3_1':0.0,'4_1':0.0},(153,447):{'3_1':0.0,'4_1':0.0},(153,446):{'3_1':0.03},(153,445):{'3_1':0.0,'4_1':0.0},(153,444):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(153,443):{'3_1':0.0},(153,442):{'3_1':0.0},(153,441):{'3_1':0.06},(153,440):{'3_1':0.03},(153,439):{'3_1':0.0,'5_1':0.0},(153,438):{'3_1':0.03,'4_1':0.0},(153,437):{'3_1':0.0,'4_1':0.0},(153,436):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(153,435):{'3_1':0.03,'4_1':0.0},(153,434):{'3_1':0.03},(153,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(153,432):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(153,431):{'3_1':0.03},(153,430):{'3_1':0.03},(153,429):{'3_1':0.03,'4_1':0.0},(153,428):{'3_1':0.03,'4_1':0.0},(153,427):{'3_1':0.06},(153,426):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(153,425):{'3_1':0.06,'4_1':0.0},(153,424):{'4_1':0.0,'3_1':0.0},(153,423):{'3_1':0.06,'4_1':0.0},(153,422):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(153,421):{'3_1':0.03},(153,420):{'4_1':0.0,'5_1':0.0},(153,419):{'4_1':0.03,'3_1':0.0},(153,418):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(153,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(153,416):{'3_1':0.0},(153,415):{'3_1':0.0},(153,414):{'4_1':0.03,'3_1':0.0},(153,413):{'3_1':0.0,'4_1':0.0},(153,412):{'3_1':0.0},(153,411):{'3_1':0.0},(153,410):{'3_1':0.03},(153,409):{'3_1':0.03},(153,408):{'3_1':0.03,'5_2':0.0},(153,407):{'3_1':0.0},(153,406):{'3_1':0.0},(153,405):{'3_1':0.0},(153,404):{'3_1':0.0},(153,403):{'3_1':0.0,'4_1':0.0},(153,402):{'3_1':0.0},(153,401):{'3_1':0.0},(153,400):{'3_1':0.03},(153,399):{'3_1':0.0,'5_2':0.0},(153,398):{'3_1':0.0},(153,397):{'3_1':0.0},(153,396):{'3_1':0.0},(153,395):{'3_1':0.0,'4_1':0.0},(153,394):{'3_1':0.0,'4_1':0.0},(153,393):{'3_1':0.0,'4_1':0.0},(153,392):{'3_1':0.0},(153,390):{'3_1':0.0},(153,389):{'3_1':0.0,'4_1':0.0},(153,388):{'3_1':0.0},(153,386):{'3_1':0.0,'5_2':0.0},(153,385):{'3_1':0.03,'4_1':0.0},(153,384):{'3_1':0.0},(153,383):{'3_1':0.03},(153,382):{'3_1':0.0},(153,381):{'3_1':0.03,'4_1':0.0},(153,380):{'3_1':0.0},(153,379):{'3_1':0.0,'5_1':0.0},(153,378):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(153,377):{'3_1':0.0},(153,376):{'3_1':0.03},(153,375):{'3_1':0.03},(153,374):{'3_1':0.03,'4_1':0.0},(153,373):{'3_1':0.03},(153,372):{'3_1':0.03},(153,371):{'3_1':0.03},(153,370):{'3_1':0.0},(153,368):{'3_1':0.03},(153,367):{'3_1':0.03,'5_1':0.0},(153,366):{'3_1':0.03},(153,365):{'3_1':0.0},(153,364):{'3_1':0.0},(153,363):{'3_1':0.03},(153,362):{'3_1':0.0,'9_1':0.0},(153,361):{'3_1':0.0},(153,360):{'3_1':0.03,'7_1':0.0},(153,359):{'3_1':0.0},(153,358):{'3_1':0.0},(153,357):{'3_1':0.0},(153,356):{'3_1':0.0},(153,355):{'3_1':0.0},(153,354):{'3_1':0.0},(153,353):{'3_1':0.0},(153,351):{'3_1':0.0},(153,350):{'3_1':0.0,'5_2':0.0},(153,348):{'3_1':0.0},(153,346):{'3_1':0.0},(153,345):{'3_1':0.0},(153,344):{'3_1':0.0},(153,343):{'3_1':0.0},(153,342):{'3_1':0.0},(153,341):{'3_1':0.0},(153,340):{'3_1':0.0},(153,339):{'3_1':0.0},(153,338):{'3_1':0.0},(153,336):{'3_1':0.0},(153,333):{'3_1':0.03},(153,332):{'3_1':0.0},(153,331):{'3_1':0.0},(153,328):{'3_1':0.0},(153,327):{'3_1':0.0},(153,326):{'3_1':0.0},(153,325):{'3_1':0.0},(153,323):{'3_1':0.0},(153,322):{'3_1':0.0},(153,321):{'3_1':0.0},(153,320):{'3_1':0.0},(153,319):{'3_1':0.0},(153,317):{'3_1':0.0},(153,316):{'3_1':0.0},(153,315):{'3_1':0.0},(153,314):{'3_1':0.0},(153,313):{'3_1':0.0},(153,312):{'3_1':0.0},(153,311):{'3_1':0.03},(153,309):{'3_1':0.0,'5_1':0.0},(153,308):{'3_1':0.0},(153,307):{'3_1':0.0},(153,306):{'3_1':0.0},(153,305):{'3_1':0.0},(153,304):{'3_1':0.0},(153,303):{'3_1':0.03},(153,302):{'3_1':0.0},(153,300):{'3_1':0.0},(153,299):{'3_1':0.0},(153,298):{'3_1':0.0},(153,297):{'3_1':0.0},(153,296):{'3_1':0.0},(153,295):{'3_1':0.0},(153,294):{'3_1':0.0},(153,293):{'3_1':0.0},(153,292):{'3_1':0.03},(153,291):{'3_1':0.03},(153,290):{'3_1':0.0},(153,286):{'3_1':0.0},(153,285):{'3_1':0.0},(153,284):{'3_1':0.0},(153,283):{'3_1':0.0},(153,281):{'3_1':0.03},(153,280):{'3_1':0.0},(153,278):{'3_1':0.0},(153,277):{'3_1':0.03},(153,276):{'3_1':0.0},(153,275):{'3_1':0.0},(153,274):{'3_1':0.0},(153,273):{'3_1':0.0},(153,272):{'3_1':0.0},(153,269):{'3_1':0.0},(153,268):{'3_1':0.0},(153,267):{'3_1':0.0},(153,265):{'3_1':0.0},(153,264):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(153,262):{'3_1':0.0},(153,260):{'3_1':0.0},(153,259):{'3_1':0.0},(153,258):{'3_1':0.0},(153,257):{'3_1':0.0},(153,254):{'5_1':0.0},(153,251):{'3_1':0.0},(153,249):{'3_1':0.0},(153,247):{'3_1':0.0},(153,246):{'4_1':0.0},(153,244):{'3_1':0.0},(153,241):{'3_1':0.0},(153,240):{'3_1':0.0},(153,239):{'3_1':0.0},(153,238):{'3_1':0.0},(153,235):{'3_1':0.0},(153,234):{'3_1':0.0},(153,233):{'3_1':0.0,'5_1':0.0},(153,232):{'3_1':0.0},(153,230):{'3_1':0.0},(153,229):{'3_1':0.0},(153,228):{'3_1':0.0},(153,227):{'3_1':0.0},(153,226):{'3_1':0.0},(153,225):{'7_1':0.0},(153,223):{'3_1':0.0},(153,218):{'5_1':0.0},(153,215):{'3_1':0.0},(153,213):{'3_1':0.0},(153,212):{'3_1':0.0},(153,210):{'3_1':0.0},(153,209):{'3_1':0.0},(153,208):{'3_1':0.0},(153,207):{'3_1':0.0},(153,206):{'3_1':0.0},(153,205):{'3_1':0.03,'4_1':0.0},(153,204):{'3_1':0.0,'5_1':0.0},(153,203):{'3_1':0.0},(153,202):{'3_1':0.0},(153,201):{'3_1':0.0},(153,200):{'3_1':0.0},(153,199):{'3_1':0.0},(153,198):{'3_1':0.0},(153,197):{'3_1':0.0},(153,193):{'3_1':0.0,'5_1':0.0},(153,192):{'3_1':0.0},(153,191):{'3_1':0.0},(153,190):{'3_1':0.0},(153,178):{'3_1':0.0},(153,161):{'3_1':0.0},(153,160):{'3_1':0.0},(153,158):{'3_1':0.0},(153,157):{'3_1':0.0},(154,727):{'5_2':0.36,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0,'8_8':0.0,'8_10':0.0},(154,726):{'5_2':0.42,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'8_15':0.0,'7_6':0.0},(154,725):{'5_2':0.48,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'8_15':0.0},(154,724):{'5_2':0.39,'7_4':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_11':0.0},(154,723):{'5_2':0.3,'6_1':0.09,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'7_4':0.0,'8_1':0.0},(154,722):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'7_4':0.0,'8_6':0.0,'8_8':0.0,'8_11':0.0,'1':-0.03},(154,721):{'5_2':0.33,'7_2':0.09,'6_1':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(154,720):{'5_2':0.33,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0,'8_19':0.0},(154,719):{'5_2':0.36,'6_1':0.09,'7_2':0.06,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'8_14':0.0},(154,718):{'5_2':0.3,'3_1':0.06,'7_2':0.06,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0},(154,717):{'5_2':0.39,'7_3':0.06,'-3':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0},(154,716):{'5_2':0.36,'6_1':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0},(154,715):{'5_2':0.39,'7_5':0.06,'7_2':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0},(154,714):{'5_2':0.36,'7_3':0.06,'7_2':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(154,713):{'5_2':0.36,'7_2':0.09,'3_1':0.06,'7_5':0.06,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(154,712):{'5_2':0.24,'7_5':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_6':0.03,'4_1':0.0},(154,711):{'5_2':0.24,'-3':0.12,'7_2':0.09,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'4_1':0.0,'8_1':0.0},(154,710):{'5_2':0.3,'-3':0.15,'7_5':0.09,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0},(154,709):{'5_2':0.33,'-3':0.12,'7_5':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.0,'7_6':0.0,'1':-0.03},(154,708):{'5_2':0.27,'-3':0.09,'7_5':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(154,707):{'5_2':0.24,'-3':0.15,'7_2':0.12,'3_1':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_13':0.0},(154,706):{'5_2':0.24,'7_2':0.12,'3_1':0.09,'6_1':0.06,'7_3':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(154,705):{'5_2':0.3,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'8_3':0.0},(154,704):{'5_2':0.24,'6_1':0.12,'-3':0.09,'3_1':0.06,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(154,703):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(154,702):{'5_2':0.33,'-3':0.09,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(154,701):{'5_2':0.24,'3_1':0.06,'7_3':0.06,'6_1':0.06,'7_5':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'8_11':0.0,'8_15':0.0,'4_1':0.0,'7_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(154,700):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_2':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'3_1#5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(154,699):{'5_2':0.3,'6_1':0.06,'7_3':0.06,'-3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0},(154,698):{'5_2':0.27,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_2':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'8_20|3_1#3_1':0.0},(154,697):{'5_2':0.3,'-3':0.06,'3_1':0.06,'7_3':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'7_1':0.0,'5_1':0.0,'7_6':0.0},(154,696):{'5_2':0.24,'3_1':0.06,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_1':0.0,'1':-0.03},(154,695):{'5_2':0.24,'3_1':0.12,'6_1':0.09,'7_3':0.06,'7_5':0.06,'-3':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(154,694):{'5_2':0.27,'7_3':0.09,'7_2':0.06,'7_5':0.06,'3_1':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'6_2':0.0,'8_4':0.0},(154,693):{'5_2':0.36,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(154,692):{'5_2':0.27,'6_1':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'1':-0.03},(154,691):{'5_2':0.27,'-3':0.15,'3_1':0.09,'7_4':0.09,'6_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(154,690):{'5_2':0.24,'3_1':0.12,'-3':0.06,'7_2':0.06,'6_1':0.06,'7_3':0.06,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(154,689):{'5_2':0.21,'3_1':0.15,'6_1':0.06,'7_3':0.06,'4_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0},(154,688):{'5_2':0.24,'3_1':0.12,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0},(154,687):{'5_2':0.21,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(154,686):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'4_1':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(154,685):{'3_1':0.21,'5_2':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'8_19':0.0,'3_1#5_2':0.0},(154,684):{'5_2':0.27,'3_1':0.24,'7_3':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(154,683):{'5_2':0.3,'3_1':0.18,'7_4':0.06,'7_3':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(154,682):{'5_2':0.21,'3_1':0.21,'6_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'8_14':0.0},(154,681):{'5_2':0.24,'3_1':0.21,'7_4':0.09,'7_3':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_8':0.0},(154,680):{'3_1':0.24,'5_2':0.18,'7_3':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(154,679):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0},(154,678):{'3_1':0.27,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'-3':0.0,'7_7':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(154,677):{'3_1':0.21,'5_2':0.15,'7_4':0.06,'-3':0.06,'4_1':0.06,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(154,676):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'1':-0.03},(154,675):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(154,674):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(154,673):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(154,672):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(154,671):{'3_1':0.27,'4_1':0.12,'5_2':0.09,'7_4':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(154,670):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(154,669):{'3_1':0.36,'5_2':0.18,'4_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(154,668):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(154,667):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.03,'-3':0.03,'7_6':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(154,666):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(154,665):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0},(154,664):{'3_1':0.27,'5_2':0.21,'4_1':0.09,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(154,663):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'7_3':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(154,662):{'3_1':0.39,'5_2':0.21,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(154,661):{'3_1':0.33,'5_2':0.09,'5_1':0.09,'4_1':0.06,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(154,660):{'3_1':0.33,'4_1':0.09,'5_2':0.09,'7_4':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(154,659):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'7_3':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(154,658):{'3_1':0.36,'5_2':0.09,'4_1':0.06,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(154,657):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(154,656):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(154,655):{'3_1':0.36,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(154,654):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.06,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(154,653):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(154,652):{'3_1':0.42,'5_2':0.15,'5_1':0.06,'4_1':0.06,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(154,651):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(154,650):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.06,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(154,649):{'3_1':0.24,'5_1':0.12,'5_2':0.12,'-3':0.03,'7_3':0.0},(154,648):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.06,'8_19':0.0,'7_4':0.0,'-3':0.0},(154,647):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(154,646):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'8_19':0.0,'7_1':0.0,'-3':0.0},(154,645):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(154,644):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(154,643):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0,'8_19':0.0},(154,642):{'3_1':0.24,'5_1':0.15,'5_2':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0},(154,641):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(154,640):{'5_2':0.18,'3_1':0.15,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(154,639):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0},(154,638):{'5_2':0.18,'3_1':0.15,'5_1':0.06,'7_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0},(154,637):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(154,636):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0},(154,635):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(154,634):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'6_1':0.0},(154,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(154,632):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(154,631):{'3_1':0.15,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(154,630):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0},(154,629):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(154,628):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(154,627):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(154,626):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0},(154,625):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(154,624):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0},(154,623):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(154,622):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(154,621):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(154,620):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(154,619):{'3_1':0.18,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(154,618):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(154,617):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0},(154,616):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(154,615):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(154,614):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_3':0.0},(154,613):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_19':0.0},(154,612):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(154,611):{'3_1':0.21,'5_1':0.09,'8_19':0.0,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(154,610):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(154,609):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(154,608):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(154,607):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0},(154,606):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(154,605):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0},(154,604):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(154,603):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(154,602):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(154,601):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(154,600):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(154,599):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0},(154,598):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0},(154,597):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(154,596):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'7_3':0.0,'7_4':0.0},(154,595):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(154,594):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0},(154,593):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0},(154,592):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(154,591):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(154,590):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(154,589):{'3_1':0.15,'4_1':0.09,'5_1':0.03},(154,588):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,587):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(154,586):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(154,585):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(154,584):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(154,583):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(154,582):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(154,581):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'-3':0.0},(154,580):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0},(154,579):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(154,578):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(154,577):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(154,576):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0},(154,575):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(154,574):{'3_1':0.18,'4_1':0.06,'7_3':0.0},(154,573):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0},(154,572):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(154,571):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'8_2':0.0},(154,570):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(154,569):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0},(154,568):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_4':0.0},(154,567):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(154,566):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(154,565):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(154,564):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,563):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(154,562):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0,'9_1':0.0},(154,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,560):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_2':0.0},(154,559):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(154,558):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,557):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0},(154,556):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(154,555):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(154,554):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0},(154,553):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(154,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_2':0.0},(154,551):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(154,550):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(154,549):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(154,548):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(154,547):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0},(154,546):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(154,545):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,544):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(154,543):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(154,542):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(154,541):{'3_1':0.33,'5_1':0.0,'4_1':0.0,'6_2':0.0},(154,540):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(154,539):{'3_1':0.3,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(154,538):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(154,537):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0},(154,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(154,535):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0},(154,534):{'3_1':0.27,'5_1':0.03,'4_1':0.03},(154,533):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(154,532):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,531):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(154,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,529):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(154,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(154,527):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(154,526):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(154,525):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(154,524):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(154,523):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(154,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(154,521):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(154,520):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(154,519):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(154,518):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(154,517):{'3_1':0.09,'4_1':0.0},(154,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,515):{'3_1':0.06,'5_2':0.0},(154,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(154,513):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(154,512):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(154,511):{'3_1':0.06,'4_1':0.0},(154,510):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(154,509):{'3_1':0.09,'4_1':0.0},(154,508):{'3_1':0.12},(154,507):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(154,506):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0},(154,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,504):{'3_1':0.06},(154,503):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(154,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(154,501):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(154,500):{'3_1':0.0,'5_2':0.0},(154,499):{'3_1':0.0,'4_1':0.0},(154,498):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(154,497):{'3_1':0.03,'4_1':0.0},(154,496):{'3_1':0.06,'4_1':0.0},(154,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,494):{'3_1':0.0,'4_1':0.0},(154,493):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(154,491):{'3_1':0.06,'5_2':0.0},(154,490):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(154,489):{'3_1':0.06,'5_1':0.0},(154,488):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(154,487):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(154,486):{'3_1':0.09,'5_1':0.0},(154,485):{'3_1':0.09},(154,484):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(154,483):{'3_1':0.15},(154,482):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(154,481):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(154,480):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(154,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(154,478):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(154,477):{'3_1':0.0},(154,476):{'3_1':0.06,'4_1':0.0},(154,475):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(154,474):{'3_1':0.03,'4_1':0.0},(154,473):{'3_1':0.03,'4_1':0.0},(154,472):{'3_1':0.06},(154,471):{'3_1':0.0},(154,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(154,469):{'3_1':0.06,'4_1':0.0},(154,468):{'3_1':0.03,'4_1':0.0},(154,467):{'3_1':0.0,'4_1':0.0},(154,466):{'3_1':0.0},(154,465):{'3_1':0.03,'4_1':0.0},(154,464):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(154,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(154,462):{'3_1':0.0,'4_1':0.0},(154,461):{'3_1':0.0,'4_1':0.0},(154,460):{'3_1':0.03},(154,459):{'3_1':0.0},(154,458):{'3_1':0.0,'5_2':0.0},(154,457):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(154,456):{'3_1':0.0,'4_1':0.0},(154,455):{'3_1':0.03},(154,454):{'3_1':0.03,'4_1':0.0},(154,453):{'3_1':0.03,'4_1':0.0},(154,452):{'3_1':0.03,'5_1':0.0},(154,451):{'3_1':0.06,'4_1':0.0},(154,450):{'3_1':0.03,'4_1':0.0},(154,449):{'3_1':0.03},(154,448):{'3_1':0.03},(154,447):{'3_1':0.03,'4_1':0.0},(154,446):{'3_1':0.0,'5_1':0.0},(154,445):{'3_1':0.03},(154,444):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(154,443):{'3_1':0.0,'4_1':0.0},(154,442):{'3_1':0.0,'4_1':0.0},(154,441):{'3_1':0.06},(154,440):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,439):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,438):{'3_1':0.0,'5_1':0.0},(154,437):{'3_1':0.03,'4_1':0.0},(154,436):{'3_1':0.06},(154,435):{'3_1':0.03},(154,434):{'3_1':0.03,'5_1':0.0},(154,433):{'3_1':0.0,'4_1':0.0},(154,432):{'3_1':0.06},(154,431):{'3_1':0.06},(154,430):{'3_1':0.03,'4_1':0.0},(154,429):{'3_1':0.06,'4_1':0.0},(154,428):{'3_1':0.03,'5_1':0.0},(154,427):{'4_1':0.0,'3_1':0.0},(154,426):{'3_1':0.06,'4_1':0.0},(154,425):{'3_1':0.03,'4_1':0.0},(154,424):{'3_1':0.0},(154,423):{'3_1':0.03,'4_1':0.0},(154,422):{'3_1':0.03,'4_1':0.0},(154,421):{'3_1':0.03,'4_1':0.0},(154,420):{'3_1':0.03},(154,419):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(154,418):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(154,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,416):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(154,415):{'3_1':0.03},(154,414):{'3_1':0.06,'4_1':0.0},(154,413):{'3_1':0.0},(154,412):{'3_1':0.0,'4_1':0.0},(154,411):{'3_1':0.0,'5_1':0.0},(154,410):{'3_1':0.0},(154,409):{'3_1':0.0,'5_1':0.0},(154,408):{'3_1':0.0},(154,407):{'3_1':0.03},(154,406):{'3_1':0.0},(154,405):{'3_1':0.0,'5_1':0.0},(154,404):{'3_1':0.0},(154,402):{'3_1':0.0,'5_1':0.0},(154,400):{'3_1':0.03},(154,398):{'3_1':0.0,'4_1':0.0},(154,397):{'3_1':0.0,'6_1':0.0},(154,396):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(154,395):{'3_1':0.0,'6_1':0.0},(154,394):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(154,391):{'4_1':0.0},(154,389):{'3_1':0.0},(154,388):{'3_1':0.0},(154,387):{'3_1':0.0},(154,386):{'3_1':0.03},(154,385):{'3_1':0.0,'4_1':0.0},(154,384):{'3_1':0.0},(154,383):{'3_1':0.0},(154,382):{'3_1':0.0,'5_1':0.0},(154,381):{'3_1':0.0},(154,380):{'3_1':0.0,'5_1':0.0},(154,379):{'3_1':0.03,'5_1':0.0},(154,378):{'3_1':0.03,'5_1':0.0},(154,377):{'3_1':0.03,'5_1':0.0},(154,376):{'3_1':0.03},(154,375):{'3_1':0.03},(154,374):{'3_1':0.03},(154,373):{'3_1':0.06},(154,372):{'3_1':0.03},(154,371):{'3_1':0.03,'4_1':0.0},(154,370):{'3_1':0.0,'7_1':0.0},(154,369):{'3_1':0.0},(154,368):{'3_1':0.0},(154,367):{'3_1':0.03},(154,366):{'3_1':0.0,'7_1':0.0},(154,365):{'3_1':0.0},(154,363):{'3_1':0.03,'9_1':0.0},(154,362):{'3_1':0.0},(154,361):{'3_1':0.0},(154,360):{'3_1':0.0},(154,359):{'3_1':0.0},(154,358):{'3_1':0.0},(154,357):{'3_1':0.0},(154,356):{'3_1':0.03},(154,355):{'3_1':0.0},(154,354):{'3_1':0.0},(154,353):{'3_1':0.0},(154,352):{'3_1':0.0},(154,351):{'3_1':0.0},(154,350):{'3_1':0.03},(154,349):{'3_1':0.0,'4_1':0.0},(154,348):{'3_1':0.03},(154,347):{'3_1':0.0},(154,346):{'3_1':0.0},(154,345):{'3_1':0.0},(154,344):{'3_1':0.0},(154,343):{'3_1':0.0},(154,342):{'3_1':0.0},(154,341):{'3_1':0.0},(154,339):{'3_1':0.0},(154,338):{'3_1':0.0},(154,336):{'3_1':0.0,'5_1':0.0},(154,335):{'3_1':0.03},(154,334):{'3_1':0.0},(154,333):{'3_1':0.03},(154,332):{'3_1':0.0},(154,331):{'3_1':0.0},(154,329):{'3_1':0.0},(154,328):{'3_1':0.0},(154,327):{'3_1':0.0},(154,326):{'3_1':0.0},(154,325):{'3_1':0.03,'4_1':0.0},(154,324):{'3_1':0.0},(154,323):{'3_1':0.0},(154,322):{'3_1':0.0},(154,321):{'3_1':0.0},(154,320):{'3_1':0.0},(154,319):{'3_1':0.0},(154,318):{'3_1':0.03},(154,317):{'3_1':0.0},(154,316):{'5_1':0.0},(154,315):{'3_1':0.0},(154,314):{'3_1':0.0},(154,313):{'3_1':0.0},(154,312):{'3_1':0.0},(154,311):{'3_1':0.0},(154,310):{'3_1':0.03},(154,309):{'3_1':0.0},(154,308):{'3_1':0.0},(154,307):{'3_1':0.03},(154,306):{'3_1':0.0},(154,305):{'3_1':0.0},(154,304):{'3_1':0.0},(154,303):{'3_1':0.0},(154,302):{'3_1':0.0},(154,301):{'3_1':0.03},(154,298):{'3_1':0.0},(154,296):{'3_1':0.0},(154,295):{'3_1':0.0},(154,294):{'3_1':0.0},(154,293):{'3_1':0.0},(154,292):{'3_1':0.03},(154,291):{'3_1':0.0},(154,290):{'3_1':0.0},(154,289):{'3_1':0.03},(154,287):{'3_1':0.0},(154,286):{'3_1':0.0},(154,285):{'3_1':0.0},(154,284):{'3_1':0.0},(154,283):{'3_1':0.0},(154,281):{'3_1':0.0},(154,280):{'3_1':0.0},(154,279):{'3_1':0.0},(154,278):{'3_1':0.03},(154,277):{'3_1':0.0},(154,275):{'3_1':0.0},(154,274):{'3_1':0.0},(154,273):{'3_1':0.0},(154,272):{'3_1':0.0},(154,270):{'3_1':0.0},(154,269):{'3_1':0.0},(154,268):{'3_1':0.0},(154,267):{'3_1':0.0},(154,266):{'3_1':0.0},(154,265):{'3_1':0.0},(154,264):{'3_1':0.0},(154,263):{'3_1':0.03},(154,262):{'3_1':0.0},(154,260):{'3_1':0.0,'4_1':0.0},(154,259):{'3_1':0.0},(154,258):{'3_1':0.0},(154,257):{'3_1':0.0},(154,254):{'3_1':0.0},(154,250):{'3_1':0.0},(154,249):{'3_1':0.0},(154,248):{'3_1':0.0,'5_1':0.0},(154,246):{'5_1':0.0},(154,245):{'3_1':0.0},(154,244):{'3_1':0.0},(154,243):{'3_1':0.0},(154,242):{'3_1':0.0},(154,240):{'3_1':0.03},(154,239):{'3_1':0.0},(154,238):{'3_1':0.0},(154,237):{'3_1':0.0},(154,236):{'3_1':0.0,'7_1':0.0},(154,235):{'3_1':0.0},(154,233):{'3_1':0.0},(154,232):{'3_1':0.0},(154,231):{'3_1':0.0},(154,230):{'3_1':0.0},(154,229):{'3_1':0.0},(154,227):{'3_1':0.0,'5_1':0.0},(154,226):{'5_1':0.0},(154,225):{'3_1':0.0},(154,224):{'5_1':0.0},(154,222):{'3_1':0.0},(154,221):{'3_1':0.0},(154,217):{'3_1':0.0,'6_1':0.0},(154,216):{'3_1':0.0},(154,214):{'3_1':0.0},(154,213):{'3_1':0.0},(154,212):{'3_1':0.0},(154,211):{'3_1':0.0},(154,210):{'3_1':0.0},(154,209):{'3_1':0.0},(154,208):{'3_1':0.0},(154,207):{'3_1':0.0},(154,206):{'3_1':0.0},(154,205):{'3_1':0.0,'4_1':0.0},(154,204):{'3_1':0.0},(154,203):{'3_1':0.0},(154,202):{'3_1':0.0},(154,201):{'3_1':0.0},(154,198):{'3_1':0.0,'5_1':0.0},(154,197):{'3_1':0.0},(154,196):{'4_1':0.0},(154,189):{'7_2':0.0},(154,186):{'3_1':0.0},(154,171):{'3_1':0.0},(154,170):{'3_1':0.0},(154,168):{'5_1':0.0},(154,160):{'3_1':0.0},(155,727):{'5_2':0.33,'7_2':0.09,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0},(155,726):{'5_2':0.3,'7_2':0.06,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0},(155,725):{'5_2':0.33,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_5':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(155,724):{'5_2':0.33,'6_1':0.09,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.0,'8_3':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(155,723):{'5_2':0.39,'6_1':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0},(155,722):{'5_2':0.33,'-3':0.12,'6_1':0.06,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(155,721):{'5_2':0.3,'7_5':0.06,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_6':0.03,'3_1':0.03,'7_4':0.03,'8_1':0.0,'4_1':0.0,'7_3':0.0},(155,720):{'5_2':0.36,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(155,719):{'5_2':0.33,'7_5':0.06,'6_1':0.03,'-3':0.03,'7_2':0.03,'8_11':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0},(155,718):{'5_2':0.24,'6_1':0.09,'7_5':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0},(155,717):{'5_2':0.3,'7_3':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'7_4':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(155,716):{'5_2':0.33,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'8_6':0.0},(155,715):{'5_2':0.27,'6_1':0.09,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_8':0.0},(155,714):{'5_2':0.3,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_6':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0},(155,713):{'5_2':0.27,'6_1':0.06,'7_2':0.06,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0},(155,712):{'5_2':0.24,'-3':0.09,'6_1':0.06,'7_6':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_14':0.0},(155,711):{'5_2':0.3,'6_1':0.09,'7_5':0.06,'-3':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'9_1':0.0},(155,710):{'5_2':0.27,'-3':0.12,'7_2':0.06,'3_1':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0},(155,709):{'5_2':0.24,'-3':0.09,'6_1':0.09,'7_5':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'7_4':0.0,'1':-0.03},(155,708):{'5_2':0.27,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'8_3':0.0,'9_1':0.0},(155,707):{'5_2':0.24,'6_1':0.09,'7_5':0.09,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(155,706):{'5_2':0.27,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_4':0.0},(155,705):{'5_2':0.36,'3_1':0.09,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'8_8':0.0},(155,704):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(155,703):{'5_2':0.24,'6_1':0.12,'3_1':0.06,'7_5':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'9_1':0.0},(155,702):{'5_2':0.24,'6_1':0.09,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'7_1':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0},(155,701):{'5_2':0.27,'6_1':0.09,'-3':0.06,'3_1':0.06,'7_4':0.06,'7_5':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0},(155,700):{'5_2':0.21,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(155,699):{'5_2':0.27,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(155,698):{'5_2':0.27,'7_2':0.09,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(155,697):{'5_2':0.24,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(155,696):{'5_2':0.3,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(155,695):{'5_2':0.36,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'8_8':0.0,'8_9':0.0,'8_13':0.0,'9_1':0.0,'3_1#5_2':0.0},(155,694):{'5_2':0.27,'-3':0.09,'7_3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(155,693):{'5_2':0.21,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_3':0.06,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(155,692):{'5_2':0.27,'3_1':0.09,'6_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_14':0.0},(155,691):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(155,690):{'5_2':0.24,'3_1':0.12,'6_1':0.06,'-3':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_5':0.03,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(155,689):{'5_2':0.15,'3_1':0.12,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0,'1':-0.03},(155,688):{'5_2':0.21,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(155,687):{'5_2':0.24,'3_1':0.12,'7_5':0.06,'-3':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(155,686):{'5_2':0.21,'3_1':0.18,'6_1':0.06,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0},(155,685):{'5_2':0.21,'3_1':0.18,'-3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(155,684):{'3_1':0.21,'5_2':0.15,'-3':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(155,683):{'3_1':0.21,'5_2':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(155,682):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0},(155,681):{'5_2':0.27,'3_1':0.18,'4_1':0.06,'-3':0.03,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(155,680):{'5_2':0.21,'3_1':0.18,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(155,679):{'3_1':0.33,'5_2':0.21,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(155,678):{'3_1':0.3,'5_2':0.12,'7_4':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(155,677):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0},(155,676):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(155,675):{'3_1':0.27,'5_2':0.09,'7_4':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(155,674):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'-3':0.03,'7_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0},(155,673):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(155,672):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'9_1':0.0},(155,671):{'3_1':0.24,'5_2':0.15,'4_1':0.12,'7_4':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(155,670):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'3_1#5_2':0.0},(155,669):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.03,'7_4':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(155,668):{'3_1':0.27,'5_2':0.12,'4_1':0.09,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(155,667):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(155,666):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(155,665):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(155,664):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(155,663):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(155,662):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(155,661):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.06,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(155,660):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(155,659):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0,'-3':0.0},(155,658):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(155,657):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(155,656):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(155,655):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(155,654):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_1':0.03,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(155,653):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(155,652):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(155,651):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(155,650):{'3_1':0.21,'5_2':0.12,'5_1':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0},(155,649):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(155,648):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(155,647):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_2':0.0},(155,646):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(155,645):{'3_1':0.27,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_1':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0,'8_19':0.0},(155,644):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_19':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(155,643):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'9_1':0.0},(155,642):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(155,641):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'9_1':0.0},(155,640):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0},(155,639):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0},(155,638):{'3_1':0.24,'5_1':0.12,'5_2':0.12,'7_1':0.03,'6_1':0.0,'6_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(155,637):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0},(155,636):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0},(155,635):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_1':0.0,'-3':0.0},(155,634):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_17':0.0,'3_1#5_1':0.0},(155,633):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(155,632):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(155,631):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(155,630):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(155,629):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(155,628):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(155,627):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(155,626):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(155,625):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(155,624):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(155,623):{'3_1':0.18,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_5':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(155,622):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0},(155,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(155,620):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(155,619):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0},(155,618):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(155,617):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(155,616):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'8_19':0.0},(155,615):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(155,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0,'7_2':0.0},(155,613):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(155,612):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(155,611):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_19':0.0,'5_2':0.0},(155,610):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(155,609):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0,'7_4':0.0,'8_19':0.0},(155,608):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(155,607):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0},(155,606):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(155,605):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03},(155,604):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(155,603):{'3_1':0.15,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(155,602):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(155,601):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(155,600):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(155,599):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(155,598):{'3_1':0.12,'5_1':0.06,'4_1':0.03},(155,597):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(155,596):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(155,595):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(155,594):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(155,593):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(155,592):{'4_1':0.06,'3_1':0.06,'5_1':0.0},(155,591):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(155,590):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(155,589):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_4':0.0},(155,588):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(155,587):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0},(155,586):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0},(155,585):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(155,584):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(155,583):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(155,582):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(155,581):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(155,580):{'3_1':0.12,'4_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(155,579):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(155,578):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(155,577):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_14':0.0},(155,576):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(155,575):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(155,574):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(155,573):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(155,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(155,571):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0},(155,570):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(155,569):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0},(155,568):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(155,567):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(155,566):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(155,565):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(155,564):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(155,563):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'-3':0.0},(155,562):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(155,561):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(155,560):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(155,559):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(155,558):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(155,557):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(155,556):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(155,555):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(155,554):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(155,553):{'3_1':0.15,'7_2':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(155,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'-3':0.0},(155,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(155,550):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(155,549):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'-3':0.0},(155,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(155,547):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(155,546):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(155,545):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(155,544):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(155,543):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(155,542):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(155,541):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(155,540):{'3_1':0.12,'5_1':0.06,'5_2':0.0},(155,539):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(155,538):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0},(155,537):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(155,536):{'3_1':0.24,'5_1':0.03},(155,535):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'9_1':0.0},(155,534):{'3_1':0.24,'5_2':0.0,'7_7':0.0},(155,533):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(155,532):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(155,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(155,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(155,529):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(155,528):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(155,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(155,526):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(155,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(155,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(155,523):{'3_1':0.06,'5_1':0.0},(155,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0},(155,521):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(155,520):{'3_1':0.06,'4_1':0.0},(155,519):{'3_1':0.12,'5_1':0.0},(155,518):{'3_1':0.09,'4_1':0.0},(155,517):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(155,516):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(155,515):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(155,514):{'3_1':0.12,'4_1':0.0},(155,513):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(155,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(155,511):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(155,510):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(155,509):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(155,508):{'3_1':0.0,'4_1':0.0},(155,507):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(155,506):{'3_1':0.03,'4_1':0.0},(155,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(155,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(155,503):{'3_1':0.06,'5_1':0.0},(155,502):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(155,501):{'3_1':0.0,'4_1':0.0},(155,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(155,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(155,498):{'3_1':0.09,'4_1':0.0},(155,497):{'3_1':0.03,'4_1':0.0},(155,496):{'3_1':0.06,'4_1':0.0},(155,495):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(155,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(155,493):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(155,492):{'3_1':0.09,'4_1':0.0},(155,491):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(155,490):{'3_1':0.06,'5_2':0.0},(155,489):{'3_1':0.06,'8_20|3_1#3_1':0.0},(155,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(155,487):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(155,486):{'3_1':0.06,'5_1':0.0},(155,485):{'3_1':0.06,'4_1':0.0},(155,484):{'3_1':0.12,'4_1':0.0},(155,483):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(155,482):{'3_1':0.09,'4_1':0.0},(155,481):{'3_1':0.12},(155,480):{'3_1':0.06,'4_1':0.0},(155,479):{'3_1':0.09,'4_1':0.0},(155,478):{'3_1':0.06,'4_1':0.0,'9_1':0.0},(155,477):{'3_1':0.06,'4_1':0.0},(155,476):{'3_1':0.12,'4_1':0.0},(155,475):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(155,474):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(155,473):{'3_1':0.06,'5_1':0.0},(155,472):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(155,471):{'3_1':0.12},(155,470):{'3_1':0.03,'4_1':0.0},(155,469):{'3_1':0.03,'5_1':0.0},(155,468):{'3_1':0.06,'4_1':0.0},(155,467):{'3_1':0.06},(155,466):{'3_1':0.0},(155,465):{'3_1':0.0,'4_1':0.0},(155,464):{'3_1':0.03},(155,463):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(155,462):{'3_1':0.03},(155,461):{'3_1':0.0},(155,460):{'4_1':0.0,'3_1':0.0},(155,459):{'3_1':0.03},(155,458):{'3_1':0.0},(155,457):{'3_1':0.0,'5_1':0.0},(155,456):{'3_1':0.03,'4_1':0.0},(155,455):{'3_1':0.0,'4_1':0.0},(155,454):{'3_1':0.03},(155,453):{'3_1':0.03},(155,452):{'3_1':0.03,'4_1':0.03},(155,451):{'3_1':0.03,'4_1':0.0},(155,450):{'4_1':0.0,'3_1':0.0},(155,449):{'3_1':0.06},(155,448):{'3_1':0.12,'4_1':0.0},(155,447):{'3_1':0.0},(155,446):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(155,445):{'3_1':0.03},(155,444):{'3_1':0.06},(155,443):{'3_1':0.03},(155,442):{'3_1':0.0},(155,441):{'3_1':0.03,'5_1':0.0},(155,440):{'3_1':0.0},(155,439):{'3_1':0.03,'4_1':0.0},(155,438):{'3_1':0.0},(155,437):{'3_1':0.0,'4_1':0.0},(155,436):{'3_1':0.0,'4_1':0.0},(155,435):{'3_1':0.0,'4_1':0.0},(155,434):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(155,433):{'3_1':0.03,'4_1':0.0},(155,432):{'3_1':0.0,'5_2':0.0},(155,431):{'3_1':0.03,'4_1':0.0},(155,430):{'3_1':0.03},(155,429):{'3_1':0.03,'4_1':0.0},(155,428):{'3_1':0.03,'4_1':0.0},(155,427):{'3_1':0.0,'4_1':0.0},(155,426):{'3_1':0.03,'4_1':0.0},(155,425):{'3_1':0.03,'4_1':0.0},(155,424):{'3_1':0.0,'4_1':0.0},(155,423):{'3_1':0.0,'4_1':0.0},(155,422):{'3_1':0.06,'4_1':0.0},(155,421):{'3_1':0.03,'5_2':0.0},(155,420):{'3_1':0.0,'4_1':0.0},(155,419):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(155,418):{'3_1':0.03},(155,417):{'3_1':0.0,'4_1':0.0},(155,416):{'3_1':0.0,'5_1':0.0},(155,415):{'3_1':0.0,'4_1':0.0},(155,414):{'3_1':0.0,'4_1':0.0},(155,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(155,412):{'3_1':0.0},(155,411):{'5_1':0.0},(155,410):{'3_1':0.03,'5_1':0.0},(155,409):{'3_1':0.0},(155,408):{'3_1':0.03},(155,407):{'3_1':0.0},(155,406):{'3_1':0.0},(155,405):{'3_1':0.0,'5_1':0.0},(155,404):{'3_1':0.03,'5_1':0.0},(155,403):{'3_1':0.0},(155,402):{'3_1':0.0},(155,401):{'3_1':0.0},(155,400):{'3_1':0.0},(155,399):{'3_1':0.0},(155,398):{'3_1':0.0},(155,397):{'3_1':0.0,'5_1':0.0},(155,396):{'3_1':0.0},(155,395):{'3_1':0.0,'4_1':0.0},(155,394):{'3_1':0.0,'5_2':0.0},(155,393):{'3_1':0.0,'5_2':0.0},(155,392):{'3_1':0.0},(155,391):{'8_1':0.0},(155,390):{'3_1':0.0},(155,389):{'3_1':0.0},(155,388):{'3_1':0.0},(155,387):{'3_1':0.0},(155,386):{'3_1':0.0},(155,385):{'3_1':0.0},(155,384):{'3_1':0.0,'5_1':0.0},(155,383):{'3_1':0.0},(155,382):{'3_1':0.0,'5_2':0.0},(155,381):{'3_1':0.03,'4_1':0.0},(155,380):{'3_1':0.03},(155,379):{'3_1':0.0},(155,378):{'3_1':0.03,'4_1':0.0},(155,377):{'3_1':0.03,'5_1':0.0},(155,376):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(155,375):{'3_1':0.0,'5_2':0.0},(155,374):{'3_1':0.03},(155,373):{'3_1':0.06},(155,372):{'3_1':0.0},(155,371):{'3_1':0.03,'5_1':0.0},(155,370):{'3_1':0.06,'4_1':0.0},(155,369):{'3_1':0.06,'7_1':0.0},(155,368):{'3_1':0.0},(155,367):{'3_1':0.0},(155,366):{'3_1':0.0,'5_1':0.0},(155,365):{'3_1':0.03},(155,364):{'3_1':0.0},(155,363):{'3_1':0.0,'4_1':0.0},(155,362):{'3_1':0.0,'7_1':0.0,'8_2':0.0},(155,361):{'3_1':0.0},(155,360):{'3_1':0.0},(155,359):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(155,358):{'3_1':0.0},(155,357):{'3_1':0.0},(155,356):{'3_1':0.0},(155,355):{'3_1':0.0,'5_2':0.0},(155,354):{'3_1':0.0},(155,353):{'3_1':0.0},(155,352):{'3_1':0.0},(155,351):{'3_1':0.03,'4_1':0.0},(155,350):{'3_1':0.06},(155,349):{'3_1':0.0,'5_2':0.0},(155,348):{'3_1':0.03},(155,347):{'3_1':0.03},(155,346):{'3_1':0.03},(155,345):{'3_1':0.0},(155,344):{'3_1':0.0,'4_1':0.0},(155,343):{'3_1':0.0},(155,342):{'3_1':0.0},(155,341):{'3_1':0.0},(155,340):{'3_1':0.0},(155,339):{'3_1':0.0},(155,338):{'3_1':0.0},(155,336):{'3_1':0.03},(155,335):{'3_1':0.03},(155,334):{'3_1':0.0},(155,333):{'3_1':0.0,'5_1':0.0},(155,332):{'3_1':0.0},(155,331):{'3_1':0.0},(155,330):{'3_1':0.0},(155,329):{'3_1':0.0},(155,328):{'3_1':0.0},(155,327):{'3_1':0.0,'4_1':0.0},(155,325):{'3_1':0.0},(155,324):{'3_1':0.0},(155,323):{'3_1':0.0},(155,322):{'3_1':0.0},(155,321):{'3_1':0.0},(155,320):{'3_1':0.0},(155,319):{'3_1':0.0},(155,318):{'3_1':0.03},(155,317):{'3_1':0.0},(155,316):{'3_1':0.0},(155,315):{'3_1':0.03},(155,314):{'3_1':0.0},(155,313):{'3_1':0.0},(155,312):{'3_1':0.0},(155,311):{'3_1':0.0},(155,310):{'3_1':0.0},(155,309):{'3_1':0.03},(155,308):{'3_1':0.0},(155,307):{'3_1':0.06,'5_1':0.0},(155,305):{'3_1':0.0},(155,304):{'3_1':0.0},(155,301):{'3_1':0.0},(155,300):{'3_1':0.0},(155,299):{'3_1':0.0},(155,298):{'3_1':0.0},(155,297):{'3_1':0.0},(155,295):{'3_1':0.0},(155,294):{'3_1':0.0},(155,292):{'3_1':0.0},(155,291):{'3_1':0.0},(155,290):{'3_1':0.0,'4_1':0.0},(155,289):{'3_1':0.0},(155,288):{'3_1':0.0},(155,287):{'3_1':0.0},(155,286):{'3_1':0.0},(155,285):{'3_1':0.0},(155,284):{'3_1':0.03},(155,283):{'3_1':0.0},(155,281):{'3_1':0.0},(155,280):{'3_1':0.0},(155,279):{'3_1':0.0},(155,278):{'3_1':0.0},(155,277):{'3_1':0.0},(155,276):{'3_1':0.0},(155,275):{'3_1':0.0},(155,273):{'3_1':0.0},(155,271):{'3_1':0.0},(155,270):{'3_1':0.0},(155,268):{'3_1':0.0},(155,266):{'3_1':0.0},(155,265):{'3_1':0.0},(155,264):{'3_1':0.03},(155,263):{'3_1':0.03},(155,262):{'3_1':0.0},(155,261):{'3_1':0.0},(155,260):{'3_1':0.0},(155,259):{'3_1':0.0},(155,257):{'3_1':0.0},(155,251):{'3_1':0.0},(155,247):{'3_1':0.0},(155,243):{'3_1':0.0},(155,242):{'4_1':0.0},(155,240):{'3_1':0.0},(155,239):{'3_1':0.0},(155,237):{'3_1':0.0,'4_1':0.0},(155,236):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(155,234):{'3_1':0.0},(155,233):{'7_1':0.0},(155,232):{'3_1':0.0},(155,231):{'3_1':0.0},(155,230):{'3_1':0.0,'4_1':0.0},(155,229):{'3_1':0.0},(155,228):{'3_1':0.0},(155,227):{'3_1':0.0},(155,225):{'3_1':0.0,'5_1':0.0},(155,224):{'3_1':0.0},(155,222):{'3_1':0.0},(155,221):{'3_1':0.0},(155,220):{'3_1':0.0},(155,219):{'3_1':0.0},(155,218):{'3_1':0.0},(155,216):{'3_1':0.0},(155,215):{'3_1':0.0},(155,213):{'3_1':0.0},(155,212):{'3_1':0.0},(155,211):{'3_1':0.0},(155,210):{'3_1':0.0},(155,209):{'3_1':0.0},(155,208):{'3_1':0.03},(155,207):{'3_1':0.03},(155,206):{'3_1':0.0},(155,205):{'3_1':0.03},(155,204):{'5_1':0.0,'6_1':0.0},(155,203):{'3_1':0.0},(155,202):{'3_1':0.0,'5_2':0.0},(155,201):{'3_1':0.0},(155,200):{'3_1':0.0},(155,199):{'3_1':0.0,'5_2':0.0},(155,197):{'3_1':0.0,'5_1':0.0},(155,196):{'3_1':0.0},(155,195):{'3_1':0.0,'5_1':0.0},(155,193):{'3_1':0.0},(155,192):{'5_1':0.0},(155,191):{'3_1':0.0},(155,181):{'3_1':0.0},(155,180):{'3_1':0.0},(155,159):{'3_1':0.0},(156,727):{'5_2':0.42,'6_1':0.09,'7_2':0.09,'7_3':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(156,726):{'5_2':0.39,'7_2':0.09,'7_5':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'8_6':0.0,'8_11':0.0},(156,725):{'5_2':0.36,'6_1':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0,'8_11':0.0},(156,724):{'5_2':0.42,'6_1':0.09,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0},(156,723):{'5_2':0.39,'6_1':0.09,'7_4':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_8':0.0},(156,722):{'5_2':0.3,'6_1':0.09,'-3':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.0,'3_1':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(156,721):{'5_2':0.3,'6_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(156,720):{'5_2':0.42,'6_1':0.06,'7_2':0.03,'-3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(156,719):{'5_2':0.45,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(156,718):{'5_2':0.39,'-3':0.09,'6_1':0.09,'7_4':0.06,'7_2':0.03,'7_3':0.0,'3_1':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(156,717):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'7_5':0.03,'3_1':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(156,716):{'5_2':0.45,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(156,715):{'5_2':0.33,'7_2':0.06,'-3':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(156,714):{'5_2':0.39,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'3_1#5_2':0.0},(156,713):{'5_2':0.39,'3_1':0.09,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_6':0.0},(156,712):{'5_2':0.24,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0},(156,711):{'5_2':0.33,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(156,710):{'5_2':0.33,'-3':0.09,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(156,709):{'5_2':0.33,'-3':0.15,'3_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.03,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_13':0.0},(156,708):{'5_2':0.36,'7_5':0.09,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0},(156,707):{'5_2':0.39,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(156,706):{'5_2':0.36,'3_1':0.09,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'8_3':0.0},(156,705):{'5_2':0.3,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_14':0.0,'3_1#5_2':0.0,'7_6':0.0},(156,704):{'5_2':0.27,'3_1':0.09,'6_1':0.09,'7_2':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(156,703):{'5_2':0.3,'6_1':0.09,'-3':0.06,'3_1':0.06,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'8_8':0.0},(156,702):{'5_2':0.33,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'4_1':0.0,'3_1#5_2':0.0},(156,701):{'5_2':0.33,'3_1':0.09,'6_1':0.06,'7_3':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(156,700):{'5_2':0.24,'6_1':0.12,'3_1':0.09,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(156,699):{'5_2':0.27,'7_4':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_6':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(156,698):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(156,697):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'1':-0.03},(156,696):{'5_2':0.39,'3_1':0.09,'6_1':0.09,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'8_13':0.0},(156,695):{'5_2':0.36,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_8':0.0},(156,694):{'5_2':0.33,'3_1':0.09,'7_4':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0},(156,693):{'5_2':0.27,'3_1':0.12,'-3':0.06,'6_1':0.06,'7_3':0.06,'7_4':0.06,'7_2':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(156,692):{'5_2':0.33,'3_1':0.09,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(156,691):{'5_2':0.24,'6_1':0.09,'7_3':0.09,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(156,690):{'5_2':0.27,'3_1':0.09,'7_3':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(156,689):{'5_2':0.21,'3_1':0.12,'4_1':0.09,'7_3':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(156,688):{'5_2':0.27,'3_1':0.15,'7_3':0.06,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(156,687):{'5_2':0.3,'3_1':0.15,'7_4':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(156,686):{'3_1':0.21,'5_2':0.21,'7_4':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_14':0.0,'9_1':0.0,'3_1#5_2':0.0},(156,685):{'5_2':0.27,'3_1':0.15,'7_3':0.09,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(156,684):{'5_2':0.3,'3_1':0.15,'6_1':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'6_3':0.0},(156,683):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(156,682):{'3_1':0.33,'5_2':0.18,'7_3':0.06,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(156,681):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(156,680):{'5_2':0.21,'3_1':0.18,'7_4':0.06,'4_1':0.03,'7_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'6_3':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0,'9_1':0.0},(156,679):{'3_1':0.18,'5_2':0.18,'4_1':0.06,'-3':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(156,678):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(156,677):{'3_1':0.24,'5_2':0.24,'4_1':0.03,'7_3':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'8_3':0.0,'8_15':0.0},(156,676):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(156,675):{'3_1':0.27,'5_2':0.18,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(156,674):{'3_1':0.24,'5_2':0.15,'4_1':0.09,'7_3':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(156,673):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(156,672):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(156,671):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0},(156,670):{'3_1':0.45,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_3':0.0},(156,669):{'3_1':0.42,'5_2':0.12,'4_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(156,668):{'3_1':0.33,'5_2':0.15,'4_1':0.09,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(156,667):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'7_4':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0},(156,666):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(156,665):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(156,664):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(156,663):{'3_1':0.39,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(156,662):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(156,661):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(156,660):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(156,659):{'3_1':0.36,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0},(156,658):{'3_1':0.36,'5_2':0.15,'4_1':0.09,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(156,657):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(156,656):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_5':0.0,'8_19':0.0,'-3':0.0},(156,655):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(156,654):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(156,653):{'3_1':0.36,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(156,652):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(156,651):{'3_1':0.36,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(156,650):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(156,649):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(156,648):{'3_1':0.33,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(156,647):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.06,'6_2':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0},(156,646):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(156,645):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(156,644):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(156,643):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(156,642):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_4':0.0},(156,641):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_2':0.0},(156,640):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_4':0.0},(156,639):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(156,638):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'7_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'9_1':0.0},(156,637):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(156,636):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(156,635):{'3_1':0.27,'5_2':0.06,'7_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(156,634):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(156,633):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(156,632):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(156,631):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_9':0.0},(156,630):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0},(156,629):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0},(156,628):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(156,627):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0},(156,626):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0},(156,625):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'7_1':0.0},(156,624):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(156,623):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03,'8_19':0.0},(156,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(156,621):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(156,620):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0},(156,619):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(156,618):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(156,617):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(156,616):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0},(156,615):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(156,614):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(156,613):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0},(156,612):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_10':0.0},(156,611):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0},(156,610):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(156,609):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(156,608):{'3_1':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(156,607):{'3_1':0.06,'5_1':0.03,'7_3':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0},(156,606):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(156,605):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(156,604):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0},(156,603):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(156,602):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(156,601):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(156,600):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(156,599):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(156,598):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(156,597):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(156,596):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(156,595):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(156,594):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0},(156,593):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(156,592):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(156,591):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0},(156,590):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(156,589):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(156,588):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(156,587):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0},(156,586):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(156,585):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0},(156,584):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(156,583):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(156,582):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(156,581):{'3_1':0.09,'4_1':0.06,'5_1':0.06,'5_2':0.0},(156,580):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0},(156,579):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(156,578):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'7_3':0.0},(156,577):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(156,576):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(156,575):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(156,574):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_2':0.0},(156,573):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(156,572):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'8_21|3_1#4_1':0.0},(156,571):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(156,570):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(156,569):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0},(156,568):{'3_1':0.06,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0},(156,567):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(156,566):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(156,565):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(156,564):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(156,563):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(156,562):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(156,561):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(156,560):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0,'8_2':0.0},(156,559):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(156,558):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0},(156,557):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(156,556):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(156,555):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_2':0.0},(156,554):{'3_1':0.21,'7_3':0.0,'5_1':0.0,'7_1':0.0},(156,553):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_5':0.0},(156,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(156,551):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'-3':0.0},(156,550):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(156,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(156,548):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(156,547):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(156,546):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,545):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(156,544):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(156,543):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(156,542):{'3_1':0.24,'5_1':0.03,'6_3':0.0},(156,541):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(156,540):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(156,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(156,538):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(156,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(156,536):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(156,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(156,534):{'3_1':0.18,'5_1':0.03,'7_3':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(156,533):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(156,532):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(156,531):{'3_1':0.21,'5_1':0.03,'7_1':0.0},(156,530):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(156,529):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(156,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(156,527):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_5':0.0},(156,526):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(156,525):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0},(156,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(156,523):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(156,522):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(156,521):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(156,520):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,519):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(156,518):{'3_1':0.09,'5_1':0.03},(156,517):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(156,516):{'3_1':0.09,'5_1':0.0},(156,515):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(156,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(156,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(156,512):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(156,511):{'3_1':0.06,'5_2':0.0},(156,510):{'3_1':0.09,'5_1':0.0},(156,509):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(156,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,507):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(156,506):{'3_1':0.03,'4_1':0.0},(156,505):{'3_1':0.06,'4_1':0.0},(156,504):{'3_1':0.03,'5_2':0.0},(156,503):{'3_1':0.03,'5_1':0.0},(156,502):{'3_1':0.03,'5_1':0.0},(156,501):{'3_1':0.12},(156,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(156,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(156,498):{'3_1':0.06,'4_1':0.0},(156,497):{'3_1':0.06,'5_2':0.0},(156,496):{'3_1':0.06,'4_1':0.0},(156,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(156,494):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(156,493):{'3_1':0.06,'4_1':0.0},(156,492):{'3_1':0.03,'4_1':0.0},(156,491):{'3_1':0.06},(156,490):{'3_1':0.06,'5_2':0.0},(156,489):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(156,488):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(156,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(156,486):{'3_1':0.06,'5_2':0.0},(156,485):{'3_1':0.09,'4_1':0.0},(156,484):{'3_1':0.03},(156,483):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(156,482):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(156,481):{'3_1':0.06,'4_1':0.0},(156,480):{'3_1':0.09},(156,479):{'3_1':0.09},(156,478):{'3_1':0.09},(156,477):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(156,476):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(156,475):{'3_1':0.12},(156,474):{'3_1':0.06,'4_1':0.0},(156,473):{'3_1':0.03},(156,472):{'3_1':0.06},(156,471):{'3_1':0.06,'5_2':0.0},(156,470):{'3_1':0.03},(156,469):{'3_1':0.06,'5_1':0.0},(156,468):{'3_1':0.03},(156,467):{'3_1':0.09},(156,466):{'3_1':0.03,'5_1':0.0},(156,465):{'3_1':0.0,'4_1':0.0},(156,464):{'3_1':0.06,'4_1':0.0},(156,463):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(156,462):{'3_1':0.06,'4_1':0.0},(156,461):{'3_1':0.0},(156,460):{'3_1':0.03,'5_1':0.0},(156,459):{'3_1':0.03,'4_1':0.0},(156,458):{'3_1':0.0,'4_1':0.0},(156,457):{'3_1':0.06},(156,456):{'3_1':0.0,'4_1':0.0},(156,455):{'3_1':0.06},(156,454):{'3_1':0.0},(156,453):{'3_1':0.0},(156,452):{'3_1':0.06,'5_2':0.0},(156,451):{'3_1':0.0,'4_1':0.0},(156,450):{'3_1':0.03,'4_1':0.0},(156,449):{'3_1':0.06,'4_1':0.0},(156,448):{'3_1':0.03,'6_2':0.0},(156,447):{'3_1':0.0,'4_1':0.0},(156,446):{'3_1':0.03,'4_1':0.0},(156,445):{'3_1':0.03,'4_1':0.0},(156,444):{'3_1':0.03,'4_1':0.0},(156,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(156,442):{'3_1':0.0,'5_2':0.0},(156,441):{'3_1':0.0,'4_1':0.0},(156,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(156,439):{'3_1':0.03,'5_1':0.0},(156,438):{'3_1':0.0,'4_1':0.0},(156,437):{'3_1':0.0},(156,436):{'3_1':0.06},(156,435):{'3_1':0.06},(156,434):{'3_1':0.03,'4_1':0.0},(156,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(156,432):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(156,431):{'3_1':0.03},(156,430):{'3_1':0.03,'4_1':0.0},(156,429):{'3_1':0.0,'4_1':0.0},(156,428):{'3_1':0.0,'4_1':0.0},(156,427):{'3_1':0.03},(156,426):{'3_1':0.06},(156,425):{'3_1':0.0},(156,424):{'3_1':0.0,'4_1':0.0},(156,423):{'3_1':0.06},(156,422):{'3_1':0.03,'4_1':0.0},(156,421):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(156,420):{'3_1':0.03,'4_1':0.0},(156,419):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(156,418):{'3_1':0.03,'4_1':0.0},(156,417):{'3_1':0.0},(156,416):{'3_1':0.0,'4_1':0.0},(156,415):{'3_1':0.0,'4_1':0.0},(156,414):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(156,413):{'3_1':0.0,'5_2':0.0},(156,412):{'3_1':0.03},(156,411):{'3_1':0.0},(156,409):{'3_1':0.03},(156,408):{'3_1':0.0,'5_1':0.0},(156,407):{'3_1':0.0},(156,406):{'3_1':0.0},(156,404):{'3_1':0.0,'6_1':0.0},(156,403):{'3_1':0.0},(156,402):{'3_1':0.03},(156,401):{'3_1':0.03},(156,400):{'3_1':0.0,'5_2':0.0},(156,399):{'3_1':0.0},(156,398):{'3_1':0.0},(156,397):{'3_1':0.03},(156,396):{'3_1':0.0},(156,395):{'3_1':0.0},(156,394):{'3_1':0.0},(156,391):{'3_1':0.0,'4_1':0.0},(156,390):{'3_1':0.03},(156,389):{'3_1':0.03,'4_1':0.0},(156,388):{'3_1':0.03},(156,387):{'3_1':0.03},(156,386):{'5_1':0.0},(156,385):{'3_1':0.0},(156,384):{'3_1':0.06},(156,383):{'3_1':0.0},(156,382):{'3_1':0.0,'5_2':0.0},(156,381):{'3_1':0.0},(156,380):{'3_1':0.0,'5_2':0.0},(156,379):{'5_1':0.0},(156,378):{'3_1':0.0,'5_1':0.0},(156,377):{'3_1':0.03,'5_1':0.0},(156,376):{'3_1':0.03},(156,375):{'3_1':0.0},(156,374):{'3_1':0.0},(156,373):{'3_1':0.0},(156,372):{'3_1':0.03,'5_1':0.0},(156,371):{'3_1':0.03},(156,370):{'3_1':0.0,'4_1':0.0},(156,369):{'3_1':0.06},(156,368):{'3_1':0.0,'7_1':0.0},(156,367):{'3_1':0.0},(156,366):{'3_1':0.0},(156,365):{'3_1':0.0},(156,364):{'3_1':0.0},(156,363):{'3_1':0.03,'9_1':0.0},(156,362):{'3_1':0.0},(156,361):{'3_1':0.0,'4_1':0.0},(156,360):{'3_1':0.03},(156,359):{'3_1':0.0},(156,358):{'3_1':0.03},(156,357):{'3_1':0.0},(156,356):{'3_1':0.0},(156,355):{'3_1':0.0},(156,354):{'3_1':0.0},(156,353):{'3_1':0.0},(156,352):{'3_1':0.0},(156,351):{'3_1':0.0},(156,350):{'3_1':0.0},(156,349):{'3_1':0.0},(156,348):{'3_1':0.0},(156,346):{'3_1':0.0},(156,345):{'4_1':0.0},(156,344):{'3_1':0.0},(156,343):{'3_1':0.0},(156,342):{'5_2':0.0},(156,340):{'3_1':0.0},(156,339):{'3_1':0.0},(156,338):{'3_1':0.0},(156,336):{'3_1':0.0},(156,335):{'3_1':0.0},(156,334):{'3_1':0.0,'5_1':0.0},(156,333):{'3_1':0.03},(156,332):{'3_1':0.0},(156,331):{'3_1':0.0},(156,330):{'3_1':0.0,'4_1':0.0},(156,329):{'3_1':0.03},(156,328):{'3_1':0.0},(156,327):{'3_1':0.03},(156,326):{'3_1':0.0},(156,325):{'3_1':0.0},(156,322):{'3_1':0.0},(156,321):{'3_1':0.0},(156,320):{'3_1':0.0},(156,319):{'3_1':0.0},(156,318):{'3_1':0.03},(156,317):{'3_1':0.0},(156,316):{'3_1':0.0},(156,315):{'3_1':0.0},(156,314):{'3_1':0.0},(156,313):{'3_1':0.0},(156,311):{'3_1':0.0,'4_1':0.0},(156,310):{'3_1':0.0},(156,309):{'3_1':0.0},(156,307):{'3_1':0.0},(156,306):{'5_1':0.0},(156,305):{'5_1':0.0},(156,304):{'3_1':0.0},(156,303):{'3_1':0.03},(156,302):{'3_1':0.0},(156,301):{'3_1':0.03},(156,300):{'3_1':0.0,'4_1':0.0},(156,299):{'3_1':0.0},(156,298):{'3_1':0.03},(156,297):{'3_1':0.0},(156,295):{'3_1':0.0},(156,294):{'3_1':0.0},(156,293):{'3_1':0.0},(156,292):{'3_1':0.0,'4_1':0.0},(156,289):{'3_1':0.0,'4_1':0.0},(156,287):{'3_1':0.0},(156,286):{'3_1':0.0},(156,284):{'3_1':0.0},(156,276):{'3_1':0.0},(156,275):{'3_1':0.0},(156,274):{'3_1':0.0},(156,273):{'3_1':0.0},(156,272):{'3_1':0.0},(156,271):{'3_1':0.0},(156,270):{'3_1':0.0},(156,269):{'3_1':0.0},(156,267):{'3_1':0.0},(156,266):{'3_1':0.0},(156,264):{'3_1':0.03},(156,263):{'3_1':0.0},(156,262):{'3_1':0.0},(156,261):{'3_1':0.0},(156,260):{'3_1':0.0},(156,259):{'3_1':0.0},(156,246):{'6_2':0.0},(156,243):{'3_1':0.0},(156,242):{'3_1':0.0},(156,239):{'3_1':0.0},(156,237):{'3_1':0.0},(156,236):{'3_1':0.0},(156,234):{'3_1':0.0,'5_1':0.0},(156,232):{'3_1':0.0},(156,231):{'5_1':0.0},(156,230):{'3_1':0.0},(156,229):{'3_1':0.0},(156,228):{'3_1':0.0},(156,227):{'3_1':0.0},(156,226):{'3_1':0.0},(156,225):{'3_1':0.0},(156,222):{'3_1':0.0},(156,221):{'3_1':0.0},(156,220):{'3_1':0.0},(156,217):{'3_1':0.0},(156,215):{'3_1':0.0},(156,214):{'3_1':0.0},(156,212):{'3_1':0.0},(156,210):{'3_1':0.0},(156,208):{'3_1':0.0},(156,207):{'3_1':0.0,'5_1':0.0},(156,206):{'3_1':0.03},(156,205):{'3_1':0.03},(156,204):{'3_1':0.0},(156,202):{'3_1':0.0},(156,201):{'3_1':0.03,'4_1':0.0},(156,200):{'5_2':0.0},(156,199):{'3_1':0.0},(156,198):{'3_1':0.0},(156,197):{'3_1':0.0,'5_1':0.0},(156,196):{'3_1':0.03},(156,195):{'3_1':0.0},(156,194):{'3_1':0.0},(156,193):{'3_1':0.0,'5_1':0.0},(156,192):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(156,191):{'3_1':0.0},(156,190):{'3_1':0.0,'4_1':0.0},(156,182):{'3_1':0.0},(156,172):{'3_1':0.0},(157,727):{'5_2':0.33,'6_1':0.09,'-3':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0},(157,726):{'5_2':0.39,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.0,'3_1':0.0,'3_1#5_2':0.0},(157,725):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0},(157,724):{'5_2':0.45,'7_2':0.03,'7_5':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'2':-0.03},(157,723):{'5_2':0.3,'7_2':0.09,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_4':0.03,'7_6':0.03,'7_3':0.0,'3_1':0.0,'8_14':0.0},(157,722):{'5_2':0.39,'6_1':0.09,'7_2':0.06,'-3':0.06,'3_1':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(157,721):{'5_2':0.33,'7_2':0.06,'-3':0.06,'7_5':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0},(157,720):{'5_2':0.36,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'1':-0.03},(157,719):{'5_2':0.36,'6_1':0.06,'7_5':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'7_6':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(157,718):{'5_2':0.39,'7_6':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(157,717):{'5_2':0.33,'7_2':0.06,'7_3':0.06,'6_1':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(157,716):{'5_2':0.33,'6_1':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(157,715):{'5_2':0.33,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(157,714):{'5_2':0.3,'-3':0.09,'6_1':0.09,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(157,713):{'5_2':0.36,'7_2':0.09,'7_3':0.06,'7_6':0.06,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_1':0.0},(157,712):{'5_2':0.3,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0,'2':-0.03},(157,711):{'5_2':0.33,'-3':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'4_1':0.0,'8_14':0.0,'3':-0.03},(157,710):{'5_2':0.27,'-3':0.15,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.03,'5_1':0.0,'8_6':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_15':0.0},(157,709):{'5_2':0.36,'-3':0.12,'7_2':0.06,'5_1':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0},(157,708):{'5_2':0.27,'-3':0.06,'5_1':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(157,707):{'5_2':0.3,'7_2':0.09,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'4_1':0.0,'1':-0.03},(157,706):{'5_2':0.3,'6_1':0.06,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(157,705):{'5_2':0.33,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(157,704):{'5_2':0.33,'3_1':0.06,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'9_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(157,703):{'5_2':0.3,'7_2':0.09,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(157,702):{'5_2':0.36,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'6_2':0.0,'8_8':0.0,'3_1#5_2':0.0},(157,701):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'1':-0.03},(157,700):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_4':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(157,699):{'5_2':0.36,'3_1':0.09,'-3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(157,698):{'5_2':0.3,'6_1':0.06,'7_2':0.06,'7_3':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(157,697):{'5_2':0.33,'-3':0.06,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_2':0.0,'4_1':0.0},(157,696):{'5_2':0.24,'3_1':0.12,'7_4':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'8_2':0.0,'1':-0.03},(157,695):{'5_2':0.3,'3_1':0.09,'6_1':0.06,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(157,694):{'5_2':0.33,'3_1':0.15,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'1':-0.03},(157,693):{'5_2':0.27,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(157,692):{'5_2':0.3,'7_2':0.09,'7_3':0.06,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'6_2':0.0,'8_13':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(157,691):{'5_2':0.27,'6_1':0.09,'3_1':0.09,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(157,690):{'5_2':0.18,'3_1':0.15,'7_4':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(157,689):{'5_2':0.3,'-3':0.12,'3_1':0.09,'7_3':0.06,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(157,688):{'5_2':0.24,'3_1':0.21,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'6_2':0.0},(157,687):{'5_2':0.27,'3_1':0.09,'7_3':0.06,'-3':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'1':-0.03},(157,686):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(157,685):{'5_2':0.27,'3_1':0.18,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(157,684):{'5_2':0.24,'3_1':0.21,'7_3':0.06,'4_1':0.03,'7_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_14':0.0},(157,683):{'5_2':0.24,'3_1':0.24,'7_4':0.06,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0},(157,682):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0},(157,681):{'5_2':0.24,'3_1':0.15,'4_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(157,680):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(157,679):{'3_1':0.24,'5_2':0.18,'4_1':0.09,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0},(157,678):{'3_1':0.24,'5_2':0.15,'7_4':0.06,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_1':0.0},(157,677):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0},(157,676):{'3_1':0.27,'5_2':0.18,'4_1':0.12,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(157,675):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(157,674):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_4':0.06,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0},(157,673):{'3_1':0.33,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_15':0.0,'-3':0.0,'1':-0.03},(157,672):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_6':0.0},(157,671):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(157,670):{'3_1':0.48,'5_2':0.12,'7_3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0},(157,669):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(157,668):{'3_1':0.27,'5_2':0.21,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_19':0.0},(157,667):{'3_1':0.27,'5_2':0.15,'7_3':0.03,'5_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(157,666):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0},(157,665):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(157,664):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'7_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(157,663):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(157,662):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(157,661):{'3_1':0.39,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(157,660):{'3_1':0.33,'5_2':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(157,659):{'3_1':0.33,'5_2':0.15,'7_4':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(157,658):{'3_1':0.36,'5_2':0.15,'4_1':0.09,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(157,657):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(157,656):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(157,655):{'3_1':0.36,'4_1':0.09,'5_2':0.09,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_19':0.0},(157,654):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(157,653):{'3_1':0.36,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(157,652):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(157,651):{'3_1':0.33,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(157,650):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(157,649):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(157,648):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(157,647):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(157,646):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0,'-3':0.0},(157,645):{'3_1':0.3,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(157,644):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'8_19':0.0},(157,643):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(157,642):{'3_1':0.18,'5_1':0.09,'5_2':0.09,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'4_1':0.0,'7_4':0.0},(157,641):{'3_1':0.3,'5_1':0.12,'5_2':0.06,'8_19':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(157,640):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0},(157,639):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_19':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(157,638):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(157,637):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(157,636):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0},(157,635):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_1':0.0},(157,634):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0},(157,633):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(157,632):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0},(157,631):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(157,630):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(157,629):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'7_1':0.03},(157,628):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(157,627):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(157,626):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'8_19':0.0},(157,625):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0},(157,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(157,623):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(157,622):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(157,621):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'7_3':0.0,'7_1':0.0,'8_19':0.0},(157,620):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(157,619):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(157,618):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(157,617):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0},(157,616):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03},(157,615):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(157,614):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_19':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(157,613):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0},(157,612):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(157,611):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_4':0.0,'-3':0.0},(157,610):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(157,609):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(157,608):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(157,607):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(157,606):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(157,605):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(157,604):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(157,603):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,602):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,601):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(157,600):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(157,599):{'3_1':0.24,'4_1':0.06,'5_1':0.0},(157,598):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(157,597):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_19':0.0},(157,596):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(157,595):{'3_1':0.12,'4_1':0.09,'5_1':0.03},(157,594):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(157,593):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(157,592):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(157,591):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0},(157,590):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(157,589):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(157,588):{'3_1':0.21,'4_1':0.09,'5_1':0.03},(157,587):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,586):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(157,585):{'3_1':0.12,'4_1':0.12,'5_1':0.06,'5_2':0.0},(157,584):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(157,583):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(157,582):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0},(157,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(157,580):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(157,579):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(157,578):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(157,577):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(157,576):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(157,575):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0},(157,574):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,573):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(157,572):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(157,571):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(157,570):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(157,569):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(157,568):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(157,567):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(157,566):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(157,565):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_2':0.0},(157,564):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(157,563):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(157,562):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(157,561):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(157,560):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(157,559):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(157,558):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_5':0.0},(157,557):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0},(157,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(157,555):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(157,554):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(157,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,552):{'3_1':0.18,'5_2':0.0,'7_5':0.0},(157,551):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(157,550):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(157,549):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_5':0.0},(157,548):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(157,547):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(157,546):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_1':0.0},(157,545):{'3_1':0.12,'5_1':0.0,'-3':0.0},(157,544):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(157,543):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(157,542):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(157,541):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(157,540):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(157,539):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(157,538):{'3_1':0.18,'5_1':0.06,'5_2':0.03},(157,537):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(157,536):{'3_1':0.27,'5_2':0.03,'5_1':0.0},(157,535):{'3_1':0.21,'5_1':0.0,'7_3':0.0},(157,534):{'3_1':0.18,'5_1':0.0},(157,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(157,532):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(157,531):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_3':0.0},(157,530):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(157,529):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(157,528):{'3_1':0.15,'5_1':0.0},(157,527):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(157,526):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(157,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(157,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(157,523):{'3_1':0.12,'4_1':0.0},(157,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(157,520):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(157,519):{'3_1':0.12,'4_1':0.0},(157,518):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(157,517):{'3_1':0.09,'5_1':0.0},(157,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(157,515):{'3_1':0.09,'5_1':0.0},(157,514):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(157,513):{'3_1':0.12,'4_1':0.0},(157,512):{'3_1':0.03,'4_1':0.0},(157,511):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(157,510):{'3_1':0.0,'4_1':0.0},(157,509):{'3_1':0.03,'4_1':0.0},(157,508):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(157,507):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(157,506):{'3_1':0.03,'4_1':0.0},(157,505):{'3_1':0.0,'4_1':0.0},(157,504):{'3_1':0.03,'5_1':0.0},(157,503):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(157,502):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(157,501):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(157,500):{'3_1':0.03,'5_1':0.0},(157,499):{'3_1':0.06},(157,498):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(157,497):{'3_1':0.09,'4_1':0.03},(157,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,495):{'3_1':0.09,'4_1':0.0},(157,494):{'3_1':0.03},(157,493):{'3_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0},(157,492):{'3_1':0.0},(157,491):{'3_1':0.03,'5_2':0.0},(157,490):{'3_1':0.06,'4_1':0.0},(157,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,488):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(157,487):{'3_1':0.03},(157,486):{'3_1':0.09,'5_1':0.0},(157,485):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(157,484):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(157,483):{'3_1':0.09,'5_2':0.0},(157,482):{'3_1':0.06,'5_2':0.0},(157,481):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(157,480):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(157,479):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'9_1':0.0},(157,478):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(157,477):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(157,476):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(157,475):{'3_1':0.09,'5_1':0.0},(157,474):{'3_1':0.06},(157,473):{'3_1':0.03},(157,472):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(157,471):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(157,470):{'3_1':0.03,'4_1':0.0},(157,469):{'3_1':0.06,'5_2':0.0},(157,468):{'3_1':0.06},(157,467):{'3_1':0.0,'5_2':0.0},(157,466):{'3_1':0.09},(157,465):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(157,464):{'3_1':0.06,'5_1':0.0},(157,463):{'3_1':0.0,'4_1':0.0},(157,462):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(157,461):{'3_1':0.0},(157,460):{'3_1':0.0},(157,459):{'3_1':0.0},(157,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(157,457):{'3_1':0.03},(157,456):{'3_1':0.03,'4_1':0.0},(157,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(157,454):{'3_1':0.03},(157,453):{'3_1':0.0},(157,452):{'3_1':0.03,'5_1':0.0},(157,451):{'3_1':0.0,'4_1':0.0},(157,450):{'3_1':0.0,'4_1':0.0},(157,449):{'3_1':0.03,'4_1':0.0},(157,448):{'3_1':0.06},(157,447):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(157,446):{'3_1':0.0},(157,445):{'3_1':0.0},(157,444):{'3_1':0.03},(157,443):{'3_1':0.0},(157,442):{'3_1':0.0},(157,441):{'3_1':0.0,'4_1':0.0},(157,440):{'3_1':0.0},(157,439):{'3_1':0.03,'5_1':0.0},(157,438):{'3_1':0.0},(157,437):{'3_1':0.03},(157,436):{'3_1':0.03,'5_1':0.0},(157,435):{'3_1':0.0,'4_1':0.0},(157,434):{'3_1':0.0,'5_1':0.0},(157,433):{'3_1':0.03,'5_1':0.0},(157,432):{'3_1':0.0,'4_1':0.0},(157,431):{'3_1':0.0,'4_1':0.0},(157,430):{'3_1':0.03},(157,429):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(157,428):{'3_1':0.09,'4_1':0.0},(157,427):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(157,426):{'3_1':0.09},(157,425):{'3_1':0.03,'4_1':0.0},(157,424):{'3_1':0.03,'5_2':0.0},(157,423):{'3_1':0.06,'4_1':0.0},(157,422):{'3_1':0.03,'4_1':0.0},(157,421):{'3_1':0.0,'4_1':0.0},(157,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(157,419):{'4_1':0.0},(157,418):{'3_1':0.03,'4_1':0.0},(157,417):{'3_1':0.03,'4_1':0.0},(157,416):{'3_1':0.0,'4_1':0.0},(157,415):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(157,414):{'3_1':0.0},(157,413):{'3_1':0.0,'4_1':0.0},(157,412):{'3_1':0.0},(157,411):{'3_1':0.03,'5_1':0.0},(157,410):{'3_1':0.0},(157,409):{'3_1':0.0},(157,408):{'3_1':0.0},(157,407):{'3_1':0.0},(157,406):{'3_1':0.03,'8_1':0.0,'5_1':0.0},(157,405):{'3_1':0.0},(157,404):{'3_1':0.0},(157,402):{'3_1':0.0},(157,401):{'3_1':0.0},(157,400):{'3_1':0.03},(157,399):{'3_1':0.0},(157,398):{'3_1':0.0},(157,397):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(157,396):{'3_1':0.0,'4_1':0.0},(157,395):{'3_1':0.0},(157,394):{'3_1':0.0},(157,391):{'3_1':0.0},(157,390):{'3_1':0.0},(157,389):{'3_1':0.0},(157,388):{'3_1':0.0},(157,387):{'3_1':0.0},(157,386):{'3_1':0.0},(157,385):{'3_1':0.03},(157,384):{'3_1':0.0,'5_2':0.0},(157,383):{'3_1':0.0},(157,382):{'3_1':0.03},(157,381):{'3_1':0.03,'4_1':0.0},(157,380):{'3_1':0.0},(157,379):{'3_1':0.0},(157,378):{'3_1':0.03},(157,377):{'3_1':0.0,'5_1':0.0},(157,376):{'3_1':0.03},(157,375):{'3_1':0.03},(157,374):{'3_1':0.0},(157,373):{'3_1':0.03},(157,372):{'3_1':0.0,'4_1':0.0},(157,371):{'3_1':0.03,'5_1':0.0},(157,370):{'3_1':0.03},(157,369):{'3_1':0.0},(157,368):{'3_1':0.0},(157,367):{'3_1':0.03},(157,366):{'3_1':0.03},(157,365):{'3_1':0.0},(157,364):{'3_1':0.06},(157,363):{'3_1':0.03},(157,362):{'3_1':0.0,'5_2':0.0},(157,361):{'3_1':0.0},(157,360):{'3_1':0.0},(157,359):{'3_1':0.0,'5_1':0.0},(157,358):{'3_1':0.0},(157,357):{'3_1':0.0},(157,356):{'3_1':0.0,'5_1':0.0},(157,355):{'3_1':0.0},(157,353):{'3_1':0.0},(157,352):{'3_1':0.0},(157,351):{'3_1':0.0,'5_1':0.0},(157,350):{'3_1':0.03},(157,349):{'3_1':0.0},(157,348):{'3_1':0.0},(157,347):{'3_1':0.0},(157,346):{'3_1':0.0},(157,345):{'3_1':0.0},(157,344):{'3_1':0.0},(157,343):{'3_1':0.0},(157,342):{'3_1':0.0,'4_1':0.0},(157,341):{'3_1':0.0},(157,340):{'3_1':0.0},(157,339):{'3_1':0.0},(157,338):{'3_1':0.0},(157,337):{'3_1':0.0,'5_1':0.0},(157,336):{'3_1':0.0},(157,335):{'3_1':0.0},(157,334):{'3_1':0.03},(157,333):{'3_1':0.0},(157,332):{'3_1':0.0},(157,331):{'3_1':0.03},(157,329):{'3_1':0.0},(157,328):{'3_1':0.03},(157,327):{'3_1':0.0},(157,326):{'3_1':0.0},(157,325):{'3_1':0.0},(157,324):{'4_1':0.0},(157,322):{'3_1':0.0},(157,321):{'3_1':0.0},(157,320):{'3_1':0.0},(157,319):{'3_1':0.0},(157,318):{'3_1':0.03},(157,317):{'3_1':0.03},(157,315):{'3_1':0.0,'4_1':0.0},(157,314):{'3_1':0.0},(157,312):{'3_1':0.0},(157,311):{'3_1':0.0},(157,309):{'3_1':0.0},(157,308):{'3_1':0.0},(157,307):{'3_1':0.06},(157,306):{'3_1':0.0},(157,304):{'3_1':0.03},(157,303):{'3_1':0.0},(157,302):{'3_1':0.0},(157,301):{'3_1':0.03},(157,300):{'3_1':0.0},(157,299):{'3_1':0.0},(157,298):{'3_1':0.03},(157,297):{'3_1':0.0},(157,296):{'3_1':0.0},(157,295):{'3_1':0.0},(157,294):{'3_1':0.0},(157,293):{'3_1':0.0},(157,292):{'3_1':0.0},(157,291):{'3_1':0.0},(157,289):{'3_1':0.0},(157,288):{'3_1':0.03},(157,287):{'3_1':0.0},(157,285):{'3_1':0.0},(157,283):{'3_1':0.0},(157,282):{'3_1':0.0},(157,281):{'3_1':0.0},(157,280):{'3_1':0.0},(157,279):{'3_1':0.0},(157,278):{'3_1':0.0},(157,275):{'3_1':0.0},(157,274):{'3_1':0.03},(157,273):{'3_1':0.0},(157,270):{'3_1':0.0},(157,269):{'3_1':0.0},(157,268):{'3_1':0.06},(157,267):{'3_1':0.0},(157,266):{'3_1':0.0},(157,264):{'3_1':0.0},(157,263):{'3_1':0.0},(157,262):{'3_1':0.0},(157,259):{'4_1':0.0},(157,258):{'3_1':0.0},(157,249):{'5_1':0.0},(157,247):{'3_1':0.0,'5_1':0.0},(157,243):{'3_1':0.0},(157,242):{'3_1':0.0},(157,241):{'3_1':0.0},(157,239):{'3_1':0.0},(157,238):{'3_1':0.0},(157,237):{'3_1':0.0},(157,236):{'4_1':0.0,'5_1':0.0},(157,234):{'3_1':0.0},(157,233):{'3_1':0.0},(157,232):{'3_1':0.0},(157,230):{'5_1':0.0},(157,219):{'3_1':0.0},(157,217):{'3_1':0.0},(157,216):{'3_1':0.0},(157,215):{'3_1':0.0,'5_1':0.0},(157,214):{'3_1':0.0},(157,213):{'3_1':0.0},(157,212):{'3_1':0.0},(157,211):{'3_1':0.0},(157,210):{'3_1':0.0},(157,209):{'3_1':0.0},(157,208):{'3_1':0.0},(157,207):{'3_1':0.0},(157,206):{'3_1':0.0},(157,205):{'3_1':0.0},(157,204):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(157,203):{'3_1':0.0},(157,202):{'3_1':0.03},(157,201):{'3_1':0.03},(157,200):{'3_1':0.0},(157,199):{'3_1':0.0},(157,198):{'3_1':0.0},(157,197):{'3_1':0.0,'5_1':0.0},(157,195):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(157,194):{'3_1':0.0},(157,193):{'3_1':0.0},(157,192):{'3_1':0.0,'5_1':0.0},(157,191):{'3_1':0.03},(157,190):{'3_1':0.0},(157,175):{'3_1':0.0},(157,173):{'3_1':0.0},(157,172):{'3_1':0.0},(157,170):{'3_1':0.0},(158,727):{'5_2':0.42,'7_2':0.09,'-3':0.06,'7_5':0.03,'6_1':0.0,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0,'1':-0.03},(158,726):{'5_2':0.36,'6_1':0.06,'-3':0.06,'7_2':0.06,'7_5':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(158,725):{'5_2':0.39,'7_2':0.06,'7_3':0.03,'6_1':0.03,'-3':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0},(158,724):{'5_2':0.3,'7_3':0.06,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'3_1':0.0},(158,723):{'5_2':0.3,'7_2':0.12,'-3':0.09,'7_6':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(158,722):{'5_2':0.24,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_6':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'8_14':0.0},(158,721):{'5_2':0.24,'6_1':0.15,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'8_11':0.0,'7_4':0.0,'8_10':0.0,'8_14':0.0},(158,720):{'5_2':0.24,'6_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.06,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0},(158,719):{'5_2':0.27,'7_2':0.12,'-3':0.09,'6_1':0.06,'7_6':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(158,718):{'5_2':0.36,'7_3':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_2':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(158,717):{'5_2':0.27,'7_2':0.09,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_11':0.0},(158,716):{'5_2':0.27,'-3':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(158,715):{'5_2':0.36,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'2':-0.03},(158,714):{'5_2':0.27,'7_5':0.09,'7_2':0.06,'3_1':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'8_1':0.0,'5_1':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0},(158,713):{'5_2':0.27,'-3':0.15,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'8_14':0.0},(158,712):{'5_2':0.21,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(158,711):{'5_2':0.24,'7_2':0.15,'-3':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(158,710):{'5_2':0.21,'-3':0.12,'6_1':0.06,'7_2':0.06,'3_1':0.06,'7_5':0.03,'5_1':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_15':0.0},(158,709):{'5_2':0.3,'-3':0.12,'7_2':0.09,'7_5':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_6':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'1':-0.03},(158,708):{'5_2':0.24,'-3':0.12,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_15':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'9_1':0.0,'2':-0.03},(158,707):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'8_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(158,706):{'5_2':0.27,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_1':0.0,'4_1':0.0,'8_11':0.0},(158,705):{'5_2':0.27,'7_2':0.09,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0,'7_1':0.0},(158,704):{'5_2':0.27,'-3':0.09,'6_1':0.06,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0},(158,703):{'5_2':0.21,'6_1':0.06,'7_2':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0},(158,702):{'5_2':0.24,'7_2':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.03,'7_6':0.03,'7_4':0.0,'4_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(158,701):{'5_2':0.33,'-3':0.06,'7_3':0.06,'7_6':0.03,'3_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_5':0.0,'9_1':0.0,'8_15':0.0,'8_14':0.0,'8_11':0.0,'8_8':0.0,'7_2':0.0,'5_1':0.0,'4_1':0.0},(158,700):{'5_2':0.3,'3_1':0.09,'7_2':0.06,'7_3':0.06,'4_1':0.03,'-3':0.03,'6_1':0.03,'3_1#5_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(158,699):{'5_2':0.33,'6_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.03,'7_6':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(158,698):{'5_2':0.24,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_14':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0,'9_1':0.0},(158,697):{'5_2':0.24,'3_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'6_3':0.0,'8_1':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(158,696):{'5_2':0.33,'3_1':0.09,'-3':0.09,'7_2':0.06,'6_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(158,695):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_3':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_4':0.0},(158,694):{'5_2':0.21,'3_1':0.15,'7_3':0.06,'5_1':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(158,693):{'5_2':0.24,'3_1':0.12,'7_3':0.09,'7_2':0.06,'-3':0.03,'7_4':0.03,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(158,692):{'5_2':0.3,'3_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(158,691):{'5_2':0.27,'3_1':0.09,'-3':0.09,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(158,690):{'5_2':0.27,'3_1':0.12,'-3':0.09,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(158,689):{'5_2':0.24,'3_1':0.09,'7_3':0.09,'-3':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(158,688):{'5_2':0.21,'3_1':0.21,'4_1':0.06,'5_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0},(158,687):{'5_2':0.3,'3_1':0.09,'7_3':0.06,'-3':0.06,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(158,686):{'5_2':0.24,'3_1':0.21,'7_4':0.03,'-3':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'8_4':0.0,'6_2':0.0},(158,685):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0},(158,684):{'3_1':0.21,'5_2':0.12,'7_2':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_1':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(158,683):{'5_2':0.18,'3_1':0.18,'7_4':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(158,682):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(158,681):{'5_2':0.21,'3_1':0.15,'7_4':0.09,'-3':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'8_12':0.0},(158,680):{'3_1':0.24,'5_2':0.15,'6_1':0.06,'-3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'3_1#5_2':0.0},(158,679):{'3_1':0.24,'5_2':0.24,'4_1':0.06,'-3':0.03,'6_1':0.0,'7_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(158,678):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(158,677):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(158,676):{'3_1':0.3,'5_2':0.24,'5_1':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(158,675):{'3_1':0.27,'5_2':0.21,'7_4':0.06,'5_1':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'1':-0.03},(158,674):{'3_1':0.27,'5_2':0.15,'7_4':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(158,673):{'3_1':0.33,'5_2':0.12,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(158,672):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_15':0.0},(158,671):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'7_1':0.03,'7_4':0.03,'-3':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(158,670):{'3_1':0.39,'5_2':0.15,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(158,669):{'3_1':0.39,'5_2':0.12,'4_1':0.09,'-3':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0},(158,668):{'3_1':0.36,'5_2':0.21,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(158,667):{'3_1':0.3,'5_2':0.27,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(158,666):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(158,665):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(158,664):{'3_1':0.33,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(158,663):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(158,662):{'3_1':0.27,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(158,661):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0},(158,660):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0},(158,659):{'3_1':0.24,'5_2':0.18,'4_1':0.09,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(158,658):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.03,'7_3':0.03,'7_7':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(158,657):{'3_1':0.39,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(158,656):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(158,655):{'3_1':0.3,'5_2':0.15,'4_1':0.09,'5_1':0.0,'7_4':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(158,654):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'8_19':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(158,653):{'3_1':0.36,'5_2':0.15,'5_1':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(158,652):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(158,651):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(158,650):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(158,649):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.06,'6_2':0.0,'1':-0.03},(158,648):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.06,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(158,647):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(158,646):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(158,645):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(158,644):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_1':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(158,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(158,642):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(158,641):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(158,640):{'3_1':0.15,'5_2':0.09,'5_1':0.09,'4_1':0.03,'-3':0.03,'7_1':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0},(158,639):{'3_1':0.3,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(158,638):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_1':0.0,'4_1':0.0,'-3':0.0},(158,637):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0},(158,636):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0},(158,635):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(158,634):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(158,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0},(158,632):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0},(158,631):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_5':0.0},(158,630):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(158,629):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_5':0.0},(158,628):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(158,627):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'8_4':0.0},(158,626):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(158,625):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(158,624):{'3_1':0.27,'5_1':0.09,'4_1':0.03,'5_2':0.0},(158,623):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(158,622):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(158,621):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_7':0.0,'8_19':0.0},(158,620):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(158,619):{'3_1':0.24,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(158,618):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(158,617):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0},(158,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(158,615):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(158,614):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(158,613):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(158,612):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(158,611):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0},(158,610):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(158,609):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(158,608):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(158,607):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(158,606):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0},(158,605):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(158,604):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(158,603):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(158,602):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_5':0.0},(158,601):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_19':0.0},(158,600):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'8_19':0.0},(158,599):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(158,598):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(158,597):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(158,596):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(158,595):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0},(158,594):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(158,593):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(158,592):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(158,591):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(158,590):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(158,589):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(158,588):{'3_1':0.09,'4_1':0.06,'5_1':0.06},(158,587):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(158,586):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(158,585):{'3_1':0.21,'4_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(158,584):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(158,583):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(158,582):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(158,581):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0},(158,580):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(158,579):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(158,578):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(158,577):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(158,576):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(158,575):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(158,574):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(158,573):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(158,572):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(158,571):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(158,570):{'3_1':0.15,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(158,569):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0},(158,568):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(158,567):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0},(158,566):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(158,565):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(158,564):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(158,563):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(158,562):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(158,561):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_14':0.0},(158,560):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(158,559):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(158,558):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_5':0.0},(158,557):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(158,556):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(158,555):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(158,554):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(158,553):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(158,552):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(158,551):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_3':0.0,'9_1':0.0},(158,550):{'3_1':0.18,'5_2':0.0},(158,549):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'6_2':0.0},(158,548):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(158,547):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(158,546):{'3_1':0.27,'5_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0},(158,545):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(158,544):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(158,543):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(158,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(158,541):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0},(158,540):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(158,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(158,538):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(158,537):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(158,536):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(158,535):{'3_1':0.21},(158,534):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(158,533):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(158,532):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(158,531):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(158,530):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(158,529):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(158,528):{'3_1':0.15,'5_2':0.03,'5_1':0.03},(158,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(158,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(158,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(158,524):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(158,523):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(158,522):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(158,521):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,520):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0},(158,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(158,518):{'3_1':0.06,'4_1':0.0},(158,517):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(158,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,515):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(158,514):{'3_1':0.06,'4_1':0.0},(158,513):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(158,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(158,511):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(158,510):{'3_1':0.09,'4_1':0.0},(158,509):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(158,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(158,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(158,506):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(158,505):{'3_1':0.06},(158,504):{'3_1':0.15,'4_1':0.0},(158,503):{'3_1':0.03,'4_1':0.0},(158,502):{'3_1':0.09,'4_1':0.0},(158,501):{'3_1':0.06,'4_1':0.0},(158,500):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(158,499):{'3_1':0.09,'5_1':0.0,'7_2':0.0},(158,498):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,497):{'3_1':0.09},(158,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,495):{'3_1':0.15,'5_2':0.0},(158,494):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(158,493):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,492):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(158,491):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(158,490):{'3_1':0.06},(158,489):{'3_1':0.06,'4_1':0.0},(158,488):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(158,487):{'3_1':0.09,'4_1':0.0},(158,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(158,485):{'3_1':0.06,'5_2':0.0},(158,484):{'3_1':0.15,'5_1':0.0},(158,483):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(158,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(158,481):{'3_1':0.12,'5_2':0.0},(158,480):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(158,479):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(158,478):{'3_1':0.09,'5_2':0.0},(158,477):{'3_1':0.06,'5_2':0.0},(158,476):{'3_1':0.06},(158,475):{'3_1':0.09,'4_1':0.0},(158,474):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(158,473):{'3_1':0.12,'5_1':0.0},(158,472):{'3_1':0.09,'7_1':0.0},(158,471):{'3_1':0.06,'5_1':0.0},(158,470):{'3_1':0.09},(158,469):{'3_1':0.03},(158,468):{'3_1':0.09},(158,467):{'3_1':0.0,'4_1':0.0},(158,466):{'3_1':0.03},(158,465):{'3_1':0.03,'4_1':0.0},(158,464):{'3_1':0.03},(158,463):{'3_1':0.03},(158,462):{'3_1':0.0,'4_1':0.0},(158,461):{'3_1':0.03,'4_1':0.0},(158,460):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(158,459):{'3_1':0.06,'4_1':0.0},(158,458):{'3_1':0.03,'4_1':0.0},(158,457):{'3_1':0.0},(158,456):{'3_1':0.0},(158,455):{'3_1':0.0,'4_1':0.0},(158,454):{'3_1':0.0,'4_1':0.0},(158,453):{'3_1':0.0,'4_1':0.0},(158,452):{'3_1':0.06,'4_1':0.0},(158,451):{'3_1':0.06,'4_1':0.0},(158,450):{'3_1':0.03,'4_1':0.0},(158,449):{'3_1':0.0},(158,448):{'4_1':0.0,'3_1':0.0},(158,447):{'3_1':0.03,'4_1':0.0},(158,446):{'3_1':0.0,'7_3':0.0},(158,445):{'3_1':0.03,'5_2':0.0},(158,444):{'3_1':0.03},(158,443):{'3_1':0.0},(158,442):{'3_1':0.03},(158,441):{'3_1':0.03},(158,440):{'3_1':0.06},(158,439):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(158,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(158,437):{'3_1':0.03},(158,436):{'3_1':0.03},(158,434):{'3_1':0.0},(158,433):{'3_1':0.0,'4_1':0.0},(158,432):{'3_1':0.03,'4_1':0.0},(158,431):{'3_1':0.0},(158,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,429):{'3_1':0.03,'4_1':0.0},(158,428):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(158,427):{'3_1':0.03},(158,426):{'3_1':0.0,'5_1':0.0},(158,425):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(158,424):{'4_1':0.0,'3_1':0.0},(158,423):{'3_1':0.03},(158,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(158,421):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(158,420):{'3_1':0.0},(158,419):{'3_1':0.03,'4_1':0.0},(158,418):{'3_1':0.03,'5_2':0.0},(158,417):{'3_1':0.06},(158,416):{'5_2':0.0,'3_1':0.0},(158,415):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(158,414):{'3_1':0.03,'4_1':0.0},(158,413):{'4_1':0.0},(158,412):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(158,411):{'3_1':0.0},(158,410):{'3_1':0.0},(158,409):{'3_1':0.0,'5_1':0.0},(158,408):{'3_1':0.0},(158,407):{'3_1':0.06},(158,406):{'3_1':0.03},(158,405):{'3_1':0.03},(158,403):{'3_1':0.0},(158,402):{'3_1':0.0},(158,401):{'3_1':0.0},(158,400):{'3_1':0.0},(158,399):{'3_1':0.06},(158,398):{'3_1':0.03},(158,397):{'3_1':0.0},(158,396):{'3_1':0.0,'4_1':0.0},(158,395):{'3_1':0.0,'4_1':0.0},(158,394):{'3_1':0.03},(158,393):{'3_1':0.0},(158,392):{'3_1':0.0},(158,391):{'3_1':0.03},(158,390):{'3_1':0.03},(158,389):{'3_1':0.0,'4_1':0.0},(158,388):{'3_1':0.0},(158,387):{'3_1':0.0},(158,386):{'5_1':0.0,'3_1':0.0},(158,385):{'3_1':0.0},(158,384):{'3_1':0.03},(158,382):{'3_1':0.0},(158,381):{'8_1':0.0,'3_1':0.0},(158,380):{'3_1':0.0,'5_1':0.0},(158,379):{'3_1':0.03},(158,378):{'3_1':0.03},(158,377):{'3_1':0.0,'6_1':0.0},(158,376):{'3_1':0.03,'4_1':0.0},(158,375):{'3_1':0.0},(158,374):{'3_1':0.03,'5_1':0.0},(158,373):{'3_1':0.03,'4_1':0.0},(158,372):{'3_1':0.03},(158,371):{'3_1':0.0,'5_1':0.0},(158,370):{'3_1':0.0},(158,369):{'3_1':0.0,'4_1':0.0},(158,368):{'3_1':0.0},(158,367):{'3_1':0.03},(158,366):{'3_1':0.03,'5_1':0.0},(158,365):{'3_1':0.0},(158,364):{'3_1':0.03},(158,363):{'3_1':0.0},(158,362):{'3_1':0.03},(158,361):{'3_1':0.0},(158,360):{'7_1':0.0},(158,359):{'3_1':0.0},(158,358):{'3_1':0.0},(158,357):{'3_1':0.0},(158,356):{'3_1':0.0},(158,355):{'3_1':0.0},(158,354):{'3_1':0.03},(158,353):{'3_1':0.03},(158,352):{'3_1':0.0},(158,351):{'3_1':0.0},(158,350):{'3_1':0.0},(158,349):{'3_1':0.03},(158,348):{'3_1':0.0},(158,347):{'3_1':0.0},(158,346):{'3_1':0.0,'4_1':0.0},(158,345):{'3_1':0.0},(158,344):{'3_1':0.0},(158,343):{'3_1':0.0},(158,342):{'3_1':0.0},(158,341):{'3_1':0.03},(158,339):{'3_1':0.0},(158,338):{'3_1':0.0},(158,337):{'3_1':0.0},(158,336):{'3_1':0.0},(158,335):{'3_1':0.0},(158,334):{'3_1':0.0,'5_1':0.0},(158,333):{'3_1':0.0},(158,332):{'3_1':0.0},(158,331):{'3_1':0.0},(158,330):{'3_1':0.0},(158,329):{'3_1':0.03},(158,327):{'3_1':0.0},(158,325):{'3_1':0.0},(158,323):{'3_1':0.0},(158,322):{'3_1':0.0},(158,321):{'3_1':0.0},(158,319):{'3_1':0.0},(158,318):{'3_1':0.0},(158,317):{'3_1':0.0},(158,316):{'3_1':0.0},(158,315):{'3_1':0.0},(158,314):{'3_1':0.0},(158,313):{'3_1':0.03,'4_1':0.0},(158,312):{'3_1':0.0},(158,311):{'3_1':0.03},(158,310):{'3_1':0.0},(158,309):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(158,308):{'3_1':0.0},(158,307):{'3_1':0.0},(158,306):{'3_1':0.0},(158,305):{'3_1':0.0},(158,304):{'3_1':0.0},(158,303):{'3_1':0.0},(158,301):{'3_1':0.0},(158,299):{'3_1':0.0},(158,298):{'3_1':0.03},(158,296):{'3_1':0.03},(158,295):{'3_1':0.0},(158,294):{'3_1':0.0},(158,293):{'3_1':0.0},(158,292):{'3_1':0.0},(158,291):{'3_1':0.0},(158,288):{'3_1':0.0},(158,287):{'3_1':0.0},(158,286):{'3_1':0.0},(158,283):{'3_1':0.0},(158,282):{'3_1':0.0},(158,279):{'3_1':0.0},(158,278):{'3_1':0.0},(158,276):{'3_1':0.0},(158,275):{'3_1':0.0},(158,271):{'3_1':0.0},(158,269):{'3_1':0.0},(158,268):{'3_1':0.0},(158,265):{'3_1':0.0},(158,264):{'3_1':0.0},(158,263):{'3_1':0.0},(158,262):{'3_1':0.0},(158,261):{'3_1':0.0},(158,260):{'3_1':0.0},(158,259):{'3_1':0.0},(158,251):{'3_1':0.0},(158,250):{'3_1':0.0},(158,249):{'3_1':0.0},(158,247):{'3_1':0.0},(158,242):{'3_1':0.0},(158,241):{'3_1':0.0},(158,239):{'3_1':0.0,'4_1':0.0},(158,237):{'3_1':0.0,'4_1':0.0},(158,236):{'7_1':0.0},(158,235):{'3_1':0.0},(158,234):{'5_1':0.0,'7_1':0.0},(158,233):{'3_1':0.0},(158,232):{'3_1':0.0,'5_1':0.0},(158,231):{'3_1':0.0},(158,230):{'3_1':0.0},(158,229):{'3_1':0.0},(158,228):{'7_1':0.0},(158,227):{'4_1':0.0},(158,225):{'3_1':0.0},(158,223):{'3_1':0.0},(158,222):{'3_1':0.0},(158,220):{'3_1':0.0},(158,219):{'3_1':0.0},(158,218):{'3_1':0.0},(158,217):{'3_1':0.0},(158,215):{'5_1':0.0,'3_1':0.0},(158,214):{'3_1':0.0},(158,213):{'3_1':0.0},(158,211):{'3_1':0.0,'4_1':0.0},(158,210):{'3_1':0.0},(158,209):{'3_1':0.0},(158,208):{'3_1':0.03},(158,207):{'3_1':0.0,'5_1':0.0},(158,206):{'3_1':0.0},(158,205):{'3_1':0.03},(158,204):{'3_1':0.0},(158,203):{'3_1':0.0},(158,201):{'3_1':0.0},(158,200):{'3_1':0.0},(158,198):{'3_1':0.0,'4_1':0.0},(158,197):{'3_1':0.0},(158,196):{'3_1':0.0},(158,193):{'4_1':0.0},(158,192):{'3_1':0.0},(158,191):{'3_1':0.0},(158,190):{'3_1':0.0},(158,189):{'3_1':0.0},(158,179):{'3_1':0.0},(159,727):{'5_2':0.33,'6_1':0.09,'7_2':0.09,'7_6':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0},(159,726):{'5_2':0.3,'6_1':0.09,'7_2':0.09,'7_5':0.06,'3_1':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(159,725):{'5_2':0.39,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(159,724):{'5_2':0.33,'7_2':0.09,'6_1':0.06,'7_3':0.03,'7_6':0.03,'5_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(159,723):{'5_2':0.33,'6_1':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_6':0.0,'7_4':0.0,'8_11':0.0},(159,722):{'5_2':0.36,'6_1':0.09,'7_5':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0},(159,721):{'5_2':0.33,'6_1':0.06,'7_2':0.06,'7_5':0.06,'-3':0.06,'7_3':0.0,'5_1':0.0,'7_6':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(159,720):{'5_2':0.27,'-3':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(159,719):{'5_2':0.36,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(159,718):{'5_2':0.3,'6_1':0.06,'-3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0},(159,717):{'5_2':0.27,'6_1':0.09,'7_3':0.06,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(159,716):{'5_2':0.3,'6_1':0.12,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'8_10':0.0},(159,715):{'5_2':0.33,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0},(159,714):{'5_2':0.36,'6_1':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(159,713):{'5_2':0.33,'7_4':0.06,'7_2':0.06,'7_5':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'8_8':0.0},(159,712):{'5_2':0.24,'7_5':0.09,'-3':0.09,'7_2':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(159,711):{'5_2':0.24,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'1':-0.03},(159,710):{'5_2':0.21,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'8_14':0.0},(159,709):{'5_2':0.18,'-3':0.15,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'8_4':0.0,'4_1':0.0,'6_2':0.0,'8_2':0.0,'8_6':0.0,'1':-0.03},(159,708):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.06,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_3':0.0,'8_6':0.0,'8_14':0.0},(159,707):{'5_2':0.27,'7_2':0.09,'7_5':0.06,'-3':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0},(159,706):{'5_2':0.21,'-3':0.09,'7_3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_13':0.0},(159,705):{'5_2':0.27,'3_1':0.12,'-3':0.03,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(159,704):{'5_2':0.33,'7_3':0.06,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.03,'7_5':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(159,703):{'5_2':0.24,'6_1':0.09,'7_2':0.06,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(159,702):{'5_2':0.24,'6_1':0.09,'7_2':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(159,701):{'5_2':0.27,'7_3':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_4':0.0,'8_11':0.0},(159,700):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(159,699):{'5_2':0.24,'6_1':0.09,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0,'1':-0.03},(159,698):{'5_2':0.21,'7_2':0.09,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_5':0.0,'5_1':0.0,'8_3':0.0},(159,697):{'5_2':0.18,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_6':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(159,696):{'5_2':0.21,'3_1':0.09,'7_3':0.06,'6_1':0.06,'7_2':0.06,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(159,695):{'5_2':0.27,'-3':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(159,694):{'5_2':0.27,'7_4':0.06,'-3':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0},(159,693):{'5_2':0.21,'-3':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0,'8_11':0.0},(159,692):{'5_2':0.33,'3_1':0.09,'7_3':0.06,'-3':0.06,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_14':0.0},(159,691):{'5_2':0.24,'3_1':0.12,'4_1':0.06,'6_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'6_2':0.0,'7_6':0.0,'7_2':0.0,'8_14':0.0},(159,690):{'5_2':0.18,'3_1':0.09,'-3':0.06,'7_3':0.06,'7_2':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(159,689):{'5_2':0.36,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(159,688):{'5_2':0.21,'3_1':0.15,'-3':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.03,'7_5':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'7_1':0.0},(159,687):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'-3':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_4':0.0,'4_1':0.0,'6_3':0.0},(159,686):{'5_2':0.18,'3_1':0.15,'6_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(159,685):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(159,684):{'3_1':0.21,'5_2':0.21,'4_1':0.09,'7_2':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0},(159,683):{'5_2':0.27,'3_1':0.15,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(159,682):{'5_2':0.24,'3_1':0.18,'7_4':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(159,681):{'3_1':0.3,'5_2':0.12,'7_3':0.06,'7_4':0.03,'-3':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0},(159,680):{'5_2':0.21,'3_1':0.15,'4_1':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(159,679):{'5_2':0.24,'3_1':0.21,'-3':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0},(159,678):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(159,677):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(159,676):{'5_2':0.21,'3_1':0.21,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(159,675):{'3_1':0.21,'5_2':0.21,'7_4':0.03,'7_3':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(159,674):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(159,673):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(159,672):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(159,671):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'8_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(159,670):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'7_4':0.06,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_19':0.0},(159,669):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(159,668):{'3_1':0.33,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_4':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(159,667):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(159,666):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(159,665):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(159,664):{'3_1':0.21,'5_2':0.21,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(159,663):{'3_1':0.36,'5_2':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(159,662):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'9_1':0.0},(159,661):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(159,660):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(159,659):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(159,658):{'3_1':0.3,'5_2':0.24,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(159,657):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_6':0.0},(159,656):{'3_1':0.33,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0},(159,655):{'3_1':0.3,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(159,654):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(159,653):{'3_1':0.3,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(159,652):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(159,651):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0},(159,650):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_1':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(159,649):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'1':-0.03},(159,648):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(159,647):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(159,646):{'3_1':0.18,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(159,645):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.03,'7_4':0.0,'8_19':0.0},(159,644):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(159,643):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(159,642):{'3_1':0.27,'5_2':0.06,'4_1':0.06,'5_1':0.03,'8_19':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(159,641):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'8_19':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(159,640):{'3_1':0.24,'5_1':0.12,'5_2':0.09,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(159,639):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_3':0.03,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0,'9_1':0.0},(159,638):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(159,637):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(159,636):{'3_1':0.12,'5_1':0.09,'5_2':0.06,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(159,635):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'3_1#5_1':0.0},(159,634):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'3_1#5_1':0.0},(159,633):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(159,632):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'7_1':0.0,'4_1':0.0,'8_14':0.0},(159,631):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0},(159,630):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_2':0.0},(159,629):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'7_1':0.0,'7_3':0.0,'4_1':0.0},(159,628):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(159,627):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(159,626):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(159,625):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'7_3':0.0,'4_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(159,624):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(159,623):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(159,622):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(159,621):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(159,620):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(159,619):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0},(159,618):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0},(159,617):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0},(159,616):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0},(159,615):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(159,614):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(159,613):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,612):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0},(159,611):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(159,610):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0},(159,609):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(159,608):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,607):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(159,606):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(159,605):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(159,604):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0},(159,603):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(159,602):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(159,601):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(159,600):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(159,599):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'7_6':0.0},(159,598):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(159,597):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(159,596):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(159,595):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(159,594):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(159,593):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0,'8_19':0.0},(159,592):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(159,591):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(159,590):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(159,589):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(159,588):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(159,587):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(159,586):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(159,585):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0},(159,584):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(159,583):{'3_1':0.12,'4_1':0.06,'5_1':0.03},(159,582):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(159,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(159,580):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(159,579):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(159,578):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(159,577):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'7_3':0.0},(159,576):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0},(159,575):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_6':0.0},(159,574):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(159,573):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(159,572):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(159,571):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(159,570):{'3_1':0.15,'4_1':0.03},(159,569):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(159,568):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(159,567):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0},(159,566):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(159,565):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(159,564):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(159,563):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(159,562):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(159,561):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(159,560):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(159,559):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0},(159,558):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,557):{'3_1':0.21,'5_2':0.0},(159,556):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,555):{'3_1':0.15,'4_1':0.0},(159,554):{'3_1':0.24,'5_1':0.0,'7_3':0.0},(159,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(159,552):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,551):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(159,550):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(159,549):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(159,548):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0},(159,547):{'3_1':0.3,'5_1':0.0,'5_2':0.0},(159,546):{'3_1':0.27,'5_2':0.0,'5_1':0.0},(159,545):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(159,544):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(159,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(159,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_4':0.0},(159,541):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_3':0.0},(159,540):{'3_1':0.21,'5_1':0.06},(159,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(159,538):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_2':0.0},(159,537):{'3_1':0.21,'5_1':0.03,'7_1':0.0},(159,536):{'3_1':0.33,'5_1':0.03,'5_2':0.0},(159,535):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(159,534):{'3_1':0.21,'5_1':0.0,'7_4':0.0},(159,533):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(159,532):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0},(159,531):{'3_1':0.21,'5_1':0.0,'8_2':0.0},(159,530):{'3_1':0.15,'5_1':0.03,'5_2':0.03},(159,529):{'3_1':0.12,'5_1':0.0},(159,528):{'3_1':0.18,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0},(159,527):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(159,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(159,525):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(159,524):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(159,523):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(159,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(159,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(159,520):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(159,519):{'3_1':0.09,'5_1':0.0},(159,518):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(159,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,516):{'3_1':0.06,'5_1':0.0},(159,515):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(159,514):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(159,513):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(159,512):{'3_1':0.09,'5_1':0.0},(159,511):{'3_1':0.06,'4_1':0.0},(159,510):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,509):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(159,508):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(159,507):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(159,506):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,505):{'3_1':0.03,'5_2':0.0},(159,504):{'3_1':0.06,'4_1':0.0},(159,503):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(159,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(159,501):{'3_1':0.03,'4_1':0.0},(159,500):{'3_1':0.0,'4_1':0.0},(159,499):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(159,498):{'3_1':0.03,'5_1':0.0},(159,497):{'3_1':0.06,'5_1':0.0},(159,496):{'3_1':0.06,'4_1':0.0},(159,495):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(159,494):{'3_1':0.03,'4_1':0.0},(159,493):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(159,492):{'3_1':0.06,'4_1':0.0},(159,491):{'3_1':0.03,'5_1':0.0},(159,490):{'3_1':0.12,'5_2':0.0},(159,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(159,488):{'3_1':0.09,'5_1':0.0},(159,487):{'3_1':0.06,'5_2':0.0},(159,486):{'3_1':0.06,'6_2':0.0},(159,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(159,484):{'3_1':0.03},(159,483):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(159,482):{'3_1':0.09,'8_20|3_1#3_1':0.0},(159,481):{'3_1':0.15,'5_2':0.0},(159,480):{'3_1':0.09,'4_1':0.0},(159,479):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(159,478):{'3_1':0.09,'7_1':0.0},(159,477):{'3_1':0.09},(159,476):{'3_1':0.15,'5_1':0.0},(159,475):{'3_1':0.06,'5_1':0.0},(159,474):{'3_1':0.03},(159,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(159,472):{'3_1':0.12},(159,471):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(159,470):{'3_1':0.09,'4_1':0.0},(159,469):{'3_1':0.03,'4_1':0.0},(159,468):{'3_1':0.03},(159,467):{'3_1':0.03,'4_1':0.0},(159,466):{'3_1':0.03,'4_1':0.0},(159,465):{'3_1':0.03,'4_1':0.0},(159,464):{'3_1':0.03},(159,463):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(159,462):{'3_1':0.0,'4_1':0.0},(159,461):{'3_1':0.03,'4_1':0.0},(159,460):{'3_1':0.03,'4_1':0.0},(159,459):{'3_1':0.03},(159,458):{'3_1':0.03,'4_1':0.0},(159,457):{'3_1':0.03},(159,456):{'3_1':0.09,'4_1':0.0},(159,455):{'3_1':0.0,'5_1':0.0},(159,454):{'3_1':0.06},(159,453):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(159,452):{'3_1':0.03},(159,451):{'3_1':0.06,'4_1':0.0},(159,450):{'3_1':0.06,'4_1':0.0},(159,449):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(159,448):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(159,447):{'3_1':0.0,'4_1':0.0},(159,446):{'3_1':0.0,'4_1':0.0},(159,445):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(159,444):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(159,443):{'3_1':0.0},(159,442):{'3_1':0.03},(159,441):{'3_1':0.03},(159,440):{'3_1':0.03},(159,439):{'3_1':0.0,'4_1':0.0},(159,438):{'3_1':0.0},(159,437):{'3_1':0.0},(159,436):{'3_1':0.06},(159,435):{'3_1':0.0},(159,434):{'3_1':0.0,'4_1':0.0},(159,433):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(159,432):{'3_1':0.03,'4_1':0.0},(159,431):{'3_1':0.06},(159,430):{'3_1':0.06},(159,429):{'3_1':0.0},(159,428):{'3_1':0.03,'4_1':0.0},(159,427):{'3_1':0.0},(159,426):{'3_1':0.06},(159,425):{'3_1':0.03,'4_1':0.0},(159,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(159,423):{'3_1':0.06,'4_1':0.0},(159,422):{'3_1':0.03,'4_1':0.0},(159,421):{'3_1':0.06,'5_1':0.0},(159,420):{'3_1':0.0,'4_1':0.0},(159,419):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(159,418):{'3_1':0.03,'4_1':0.0},(159,417):{'3_1':0.0,'4_1':0.0},(159,416):{'3_1':0.03,'4_1':0.0},(159,415):{'3_1':0.03,'4_1':0.0},(159,414):{'3_1':0.0,'4_1':0.0},(159,413):{'3_1':0.0,'4_1':0.0},(159,412):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(159,411):{'3_1':0.0,'7_1':0.0},(159,410):{'3_1':0.0,'5_1':0.0},(159,409):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(159,408):{'3_1':0.0},(159,407):{'3_1':0.0},(159,406):{'3_1':0.06,'4_1':0.0},(159,405):{'4_1':0.0},(159,404):{'3_1':0.0,'8_21|3_1#4_1':0.0},(159,403):{'3_1':0.0},(159,402):{'3_1':0.0},(159,401):{'3_1':0.03},(159,400):{'3_1':0.0},(159,399):{'3_1':0.0},(159,398):{'3_1':0.0},(159,397):{'3_1':0.03},(159,396):{'3_1':0.0,'4_1':0.0},(159,395):{'3_1':0.03},(159,394):{'3_1':0.0},(159,393):{'3_1':0.0},(159,392):{'3_1':0.0},(159,391):{'3_1':0.0},(159,388):{'3_1':0.0},(159,387):{'3_1':0.03},(159,386):{'3_1':0.0},(159,385):{'3_1':0.0,'5_1':0.0},(159,384):{'3_1':0.03,'5_1':0.0},(159,383):{'3_1':0.06},(159,382):{'3_1':0.03},(159,381):{'3_1':0.0},(159,380):{'3_1':0.03},(159,379):{'3_1':0.03},(159,378):{'3_1':0.09,'4_1':0.0},(159,377):{'3_1':0.06},(159,376):{'3_1':0.03},(159,375):{'3_1':0.0},(159,374):{'3_1':0.0,'5_1':0.0},(159,373):{'3_1':0.0,'5_1':0.0},(159,372):{'3_1':0.03,'8_20|3_1#3_1':0.0},(159,371):{'3_1':0.0},(159,370):{'3_1':0.03},(159,369):{'3_1':0.0,'9_1':0.0},(159,368):{'3_1':0.0,'9_1':0.0},(159,367):{'3_1':0.03},(159,366):{'3_1':0.03},(159,365):{'3_1':0.0},(159,364):{'3_1':0.0,'4_1':0.0},(159,363):{'3_1':0.03},(159,362):{'3_1':0.0},(159,361):{'3_1':0.03},(159,360):{'3_1':0.0},(159,359):{'3_1':0.03,'5_1':0.0},(159,358):{'3_1':0.0},(159,357):{'3_1':0.0},(159,356):{'3_1':0.0},(159,355):{'3_1':0.03},(159,354):{'3_1':0.0},(159,353):{'3_1':0.0,'4_1':0.0},(159,352):{'3_1':0.03},(159,351):{'3_1':0.0},(159,350):{'3_1':0.0},(159,349):{'3_1':0.0},(159,346):{'3_1':0.03,'4_1':0.0},(159,345):{'3_1':0.0},(159,344):{'3_1':0.0},(159,343):{'3_1':0.0},(159,342):{'3_1':0.0},(159,341):{'3_1':0.0},(159,340):{'3_1':0.0},(159,339):{'3_1':0.0},(159,338):{'3_1':0.0},(159,337):{'3_1':0.0},(159,336):{'3_1':0.0,'6_1':0.0},(159,335):{'3_1':0.0},(159,334):{'5_1':0.0},(159,333):{'3_1':0.0},(159,332):{'3_1':0.0},(159,331):{'3_1':0.03},(159,330):{'3_1':0.03},(159,329):{'3_1':0.0},(159,328):{'3_1':0.0},(159,327):{'3_1':0.0},(159,326):{'3_1':0.0,'4_1':0.0},(159,325):{'3_1':0.0},(159,324):{'3_1':0.0},(159,322):{'3_1':0.0,'5_1':0.0},(159,321):{'3_1':0.0},(159,320):{'3_1':0.0},(159,319):{'3_1':0.03},(159,318):{'3_1':0.0},(159,317):{'3_1':0.0},(159,316):{'3_1':0.03},(159,315):{'3_1':0.0},(159,313):{'3_1':0.0},(159,312):{'3_1':0.0},(159,311):{'3_1':0.0},(159,310):{'3_1':0.0},(159,309):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(159,308):{'3_1':0.0},(159,307):{'3_1':0.03},(159,306):{'3_1':0.0},(159,305):{'3_1':0.0,'6_1':0.0},(159,304):{'3_1':0.0},(159,303):{'3_1':0.0},(159,302):{'3_1':0.0},(159,301):{'3_1':0.0},(159,300):{'3_1':0.0},(159,299):{'3_1':0.0},(159,298):{'3_1':0.0},(159,297):{'3_1':0.0},(159,296):{'3_1':0.0},(159,295):{'3_1':0.0},(159,294):{'3_1':0.0},(159,293):{'3_1':0.0},(159,292):{'3_1':0.03},(159,291):{'3_1':0.0},(159,290):{'3_1':0.03},(159,289):{'3_1':0.0},(159,288):{'3_1':0.0,'5_2':0.0},(159,287):{'3_1':0.0},(159,285):{'3_1':0.0},(159,284):{'3_1':0.0,'5_2':0.0},(159,281):{'3_1':0.0,'5_2':0.0},(159,280):{'3_1':0.0},(159,279):{'3_1':0.0},(159,278):{'3_1':0.0},(159,275):{'3_1':0.0},(159,273):{'3_1':0.0},(159,271):{'3_1':0.0},(159,269):{'4_1':0.0},(159,268):{'3_1':0.0},(159,267):{'3_1':0.0},(159,266):{'3_1':0.0},(159,265):{'3_1':0.0},(159,264):{'3_1':0.0},(159,263):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(159,262):{'3_1':0.0},(159,261):{'3_1':0.0},(159,260):{'3_1':0.03},(159,259):{'3_1':0.03},(159,249):{'3_1':0.0,'5_1':0.0},(159,247):{'3_1':0.0,'5_2':0.0},(159,246):{'3_1':0.0},(159,245):{'3_1':0.0},(159,244):{'3_1':0.0},(159,243):{'3_1':0.0},(159,242):{'5_1':0.0},(159,241):{'3_1':0.0},(159,240):{'3_1':0.03},(159,239):{'5_1':0.0,'3_1':0.0},(159,238):{'3_1':0.0},(159,237):{'3_1':0.0},(159,235):{'3_1':0.0},(159,234):{'5_1':0.0},(159,233):{'3_1':0.0,'5_1':0.0},(159,232):{'3_1':0.0,'5_1':0.0},(159,231):{'3_1':0.0},(159,229):{'3_1':0.03,'4_1':0.0},(159,228):{'3_1':0.0},(159,227):{'3_1':0.0},(159,225):{'5_1':0.0},(159,224):{'3_1':0.0},(159,223):{'3_1':0.0},(159,222):{'3_1':0.03},(159,221):{'3_1':0.0,'4_1':0.0},(159,220):{'3_1':0.0},(159,219):{'5_1':0.0},(159,218):{'3_1':0.0},(159,217):{'3_1':0.0},(159,216):{'3_1':0.0},(159,214):{'3_1':0.0},(159,212):{'3_1':0.0},(159,211):{'3_1':0.03},(159,210):{'3_1':0.0},(159,209):{'3_1':0.0},(159,208):{'5_1':0.0},(159,207):{'3_1':0.0},(159,206):{'5_1':0.0},(159,205):{'3_1':0.03},(159,204):{'3_1':0.0,'4_1':0.0},(159,203):{'3_1':0.0},(159,202):{'3_1':0.0},(159,201):{'3_1':0.03,'5_1':0.0},(159,200):{'3_1':0.0,'4_1':0.0},(159,199):{'3_1':0.0},(159,198):{'3_1':0.0,'4_1':0.0},(159,197):{'3_1':0.0},(159,194):{'4_1':0.0,'5_1':0.0},(159,193):{'3_1':0.0},(159,189):{'3_1':0.0},(159,175):{'3_1':0.0},(159,173):{'3_1':0.0},(159,170):{'3_1':0.0},(160,727):{'5_2':0.3,'6_1':0.09,'7_2':0.09,'-3':0.03,'7_5':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'8_4':0.0},(160,726):{'5_2':0.36,'-3':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'1':-0.03},(160,725):{'5_2':0.33,'7_5':0.06,'-3':0.06,'6_1':0.06,'7_2':0.06,'7_3':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(160,724):{'5_2':0.33,'7_5':0.06,'7_2':0.06,'6_1':0.03,'7_6':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(160,723):{'5_2':0.36,'7_2':0.09,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_6':0.0,'7_4':0.0,'3_1':0.0},(160,722):{'5_2':0.27,'6_1':0.06,'7_5':0.06,'-3':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'1':-0.03},(160,721):{'5_2':0.3,'-3':0.09,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0},(160,720):{'5_2':0.36,'6_1':0.06,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_6':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0},(160,719):{'5_2':0.3,'7_2':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(160,718):{'5_2':0.33,'-3':0.09,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(160,717):{'5_2':0.3,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(160,716):{'5_2':0.36,'-3':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(160,715):{'5_2':0.27,'7_2':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'7_4':0.0,'8_8':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(160,714):{'5_2':0.36,'-3':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0},(160,713):{'5_2':0.3,'-3':0.06,'7_2':0.03,'7_6':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'3_1#5_2':0.0},(160,712):{'5_2':0.27,'7_2':0.06,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.03,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0,'1':-0.03},(160,711):{'5_2':0.18,'-3':0.09,'7_2':0.09,'7_3':0.06,'3_1':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.03,'7_6':0.03,'5_1':0.0,'4_1':0.0,'8_6':0.0},(160,710):{'-3':0.15,'5_2':0.12,'3_1':0.06,'7_5':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'8_14':0.0,'6_2':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(160,709):{'5_2':0.24,'-3':0.18,'3_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.03,'6_1':0.03,'6_2':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'8_2':0.0},(160,708):{'5_2':0.27,'-3':0.06,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_1':0.0,'3_1#5_2':0.0,'2':-0.03},(160,707):{'5_2':0.3,'-3':0.15,'3_1':0.06,'6_1':0.06,'7_3':0.06,'7_5':0.03,'7_4':0.03,'7_6':0.0,'7_2':0.0,'8_11':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0},(160,706):{'5_2':0.21,'-3':0.09,'7_3':0.06,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0},(160,705):{'5_2':0.27,'7_3':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(160,704):{'5_2':0.3,'-3':0.09,'7_3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0},(160,703):{'5_2':0.27,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_6':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(160,702):{'5_2':0.3,'7_3':0.09,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(160,701):{'5_2':0.42,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'3_1#5_2':0.0,'6_1':0.0,'8_15':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0},(160,700):{'5_2':0.24,'3_1':0.06,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(160,699):{'5_2':0.27,'6_1':0.09,'7_2':0.09,'7_3':0.09,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'4_1':0.0},(160,698):{'5_2':0.27,'3_1':0.09,'-3':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(160,697):{'5_2':0.3,'7_2':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'1':-0.03},(160,696):{'5_2':0.3,'6_1':0.09,'7_3':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'8_3':0.0},(160,695):{'5_2':0.27,'7_2':0.06,'7_3':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(160,694):{'5_2':0.27,'7_3':0.12,'6_1':0.09,'3_1':0.06,'7_5':0.03,'7_6':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'9_1':0.0},(160,693):{'5_2':0.3,'3_1':0.09,'-3':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'8_3':0.0,'1':-0.03},(160,692):{'5_2':0.3,'3_1':0.12,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(160,691):{'5_2':0.21,'-3':0.09,'3_1':0.09,'7_3':0.06,'7_4':0.06,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'8_11':0.0,'1':-0.03},(160,690):{'5_2':0.21,'3_1':0.12,'7_4':0.06,'7_3':0.06,'7_2':0.06,'-3':0.06,'6_1':0.03,'5_1':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_3':0.0},(160,689):{'5_2':0.3,'3_1':0.21,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(160,688):{'5_2':0.24,'3_1':0.09,'6_1':0.06,'7_3':0.06,'7_2':0.06,'7_4':0.06,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0},(160,687):{'5_2':0.24,'3_1':0.15,'7_4':0.09,'-3':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(160,686):{'5_2':0.27,'3_1':0.15,'7_4':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(160,685):{'3_1':0.24,'5_2':0.15,'7_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(160,684):{'5_2':0.21,'3_1':0.18,'6_1':0.06,'7_3':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(160,683):{'3_1':0.21,'5_2':0.18,'7_3':0.06,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(160,682):{'5_2':0.18,'3_1':0.18,'7_3':0.06,'-3':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(160,681):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'4_1':0.06,'5_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(160,680):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'7_3':0.03,'5_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0},(160,679):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'5_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0},(160,678):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'-3':0.0},(160,677):{'3_1':0.27,'5_2':0.18,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(160,676):{'5_2':0.18,'3_1':0.18,'4_1':0.06,'6_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(160,675):{'3_1':0.33,'5_2':0.12,'7_4':0.06,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(160,674):{'3_1':0.36,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(160,673):{'3_1':0.3,'5_2':0.12,'7_4':0.06,'5_1':0.03,'-3':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'3_1#5_2':0.0},(160,672):{'3_1':0.27,'5_2':0.12,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(160,671):{'3_1':0.3,'5_2':0.21,'4_1':0.06,'7_4':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(160,670):{'3_1':0.24,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0},(160,669):{'3_1':0.36,'5_2':0.15,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(160,668):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(160,667):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0,'7_3':0.0,'9_1':0.0},(160,666):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0},(160,665):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(160,664):{'3_1':0.3,'5_2':0.24,'6_1':0.03,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(160,663):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0},(160,662):{'3_1':0.39,'5_2':0.18,'5_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(160,661):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'-3':0.0},(160,660):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(160,659):{'3_1':0.27,'5_2':0.24,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'8_19':0.0},(160,658):{'3_1':0.39,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(160,657):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_1':0.03,'7_5':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(160,656):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_4':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(160,655):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(160,654):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'9_1':0.0},(160,653):{'3_1':0.39,'5_2':0.15,'5_1':0.09,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(160,652):{'3_1':0.3,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(160,651):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'3_1#5_1':0.0},(160,650):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0,'6_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(160,649):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0},(160,648):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'7_1':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(160,647):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0},(160,646):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_7':0.0,'6_2':0.0,'8_19':0.0},(160,645):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(160,644):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(160,643):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(160,642):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'7_3':0.03,'-3':0.0,'4_1':0.0,'8_19':0.0},(160,641):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(160,640):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'7_3':0.0,'3_1#5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(160,639):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(160,638):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(160,637):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(160,636):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(160,635):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(160,634):{'3_1':0.12,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0},(160,633):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(160,632):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(160,631):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(160,630):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_19':0.0},(160,629):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(160,628):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_1':0.0},(160,627):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0},(160,626):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_1':0.0,'3_1#5_1':0.0},(160,625):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(160,624):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_19':0.0},(160,623):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0},(160,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(160,621):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(160,620):{'3_1':0.24,'5_1':0.03,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(160,619):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_3':0.0,'8_19':0.0},(160,618):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(160,617):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(160,616):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(160,615):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'4_1':0.0},(160,614):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(160,613):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(160,612):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_6':0.0},(160,611):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(160,610):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0},(160,609):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(160,608):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0},(160,607):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(160,606):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(160,605):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(160,604):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(160,603):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(160,602):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0},(160,601):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(160,600):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(160,599):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(160,598):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'-3':0.0,'5_1':0.0},(160,597):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(160,596):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(160,595):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03},(160,594):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(160,593):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(160,592):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(160,591):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(160,590):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(160,589):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0},(160,588):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(160,587):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(160,586):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(160,585):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(160,584):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(160,583):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(160,582):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0,'8_19':0.0},(160,581):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(160,580):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(160,579):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_1':0.0},(160,578):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(160,577):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_6':0.0,'8_19':0.0},(160,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(160,575):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(160,574):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0},(160,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(160,572):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,571):{'3_1':0.18,'4_1':0.03},(160,570):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(160,569):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(160,568):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(160,567):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(160,566):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0},(160,565):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(160,564):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(160,563):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(160,562):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(160,561):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(160,560):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(160,559):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(160,558):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(160,557):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(160,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(160,555):{'3_1':0.15,'5_1':0.03,'6_1':0.0},(160,554):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(160,553):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(160,552):{'3_1':0.21,'5_2':0.0,'7_2':0.0},(160,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,550):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(160,549):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(160,548):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(160,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,546):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(160,545):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(160,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(160,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(160,542):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(160,541):{'3_1':0.24,'5_1':0.0},(160,540):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(160,539):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(160,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'9_1':0.0},(160,537):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0},(160,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(160,535):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_1':0.0},(160,534):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(160,533):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(160,532):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(160,531):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(160,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,529):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(160,528):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(160,527):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(160,526):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(160,525):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(160,524):{'3_1':0.12,'5_1':0.03,'7_1':0.0},(160,523):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(160,522):{'3_1':0.09,'4_1':0.0},(160,521):{'3_1':0.12,'5_1':0.0},(160,520):{'3_1':0.06,'5_1':0.0},(160,519):{'3_1':0.06},(160,518):{'3_1':0.03,'5_2':0.0},(160,517):{'3_1':0.12,'6_1':0.0},(160,516):{'3_1':0.06,'5_1':0.0},(160,515):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(160,514):{'3_1':0.06,'4_1':0.0},(160,513):{'3_1':0.09,'6_1':0.0},(160,512):{'3_1':0.09,'7_1':0.0,'9_1':0.0},(160,511):{'3_1':0.03,'4_1':0.0},(160,510):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(160,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,508):{'3_1':0.09,'4_1':0.0},(160,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,506):{'3_1':0.06,'4_1':0.0},(160,505):{'3_1':0.03,'7_1':0.0},(160,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(160,503):{'3_1':0.09},(160,502):{'3_1':0.0,'4_1':0.0},(160,501):{'3_1':0.03,'4_1':0.0},(160,500):{'3_1':0.06,'4_1':0.0},(160,499):{'3_1':0.03,'5_1':0.0},(160,498):{'3_1':0.06,'7_3':0.0},(160,497):{'3_1':0.06,'5_1':0.0},(160,496):{'3_1':0.0},(160,495):{'3_1':0.06},(160,494):{'3_1':0.03,'5_1':0.0},(160,493):{'3_1':0.06,'5_1':0.0},(160,492):{'3_1':0.09,'5_1':0.0},(160,491):{'3_1':0.09},(160,490):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(160,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,488):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(160,487):{'3_1':0.06,'5_1':0.0},(160,486):{'3_1':0.12},(160,485):{'3_1':0.09,'4_1':0.0},(160,484):{'3_1':0.09,'5_1':0.0},(160,483):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(160,482):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(160,481):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'9_1':0.0},(160,480):{'3_1':0.09,'5_2':0.0},(160,479):{'3_1':0.09},(160,478):{'3_1':0.03},(160,477):{'3_1':0.06},(160,476):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(160,475):{'3_1':0.09,'5_1':0.0},(160,474):{'3_1':0.06},(160,473):{'3_1':0.03},(160,472):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(160,471):{'3_1':0.03,'4_1':0.0},(160,470):{'3_1':0.09,'5_1':0.0},(160,469):{'3_1':0.0},(160,468):{'3_1':0.06,'4_1':0.0},(160,467):{'3_1':0.03},(160,466):{'3_1':0.03},(160,465):{'3_1':0.03},(160,464):{'3_1':0.0,'5_2':0.0},(160,463):{'3_1':0.06,'5_1':0.0},(160,462):{'3_1':0.03},(160,461):{'3_1':0.0},(160,460):{'3_1':0.06},(160,459):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(160,458):{'3_1':0.0,'4_1':0.0},(160,457):{'3_1':0.03,'4_1':0.0},(160,456):{'3_1':0.03},(160,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(160,454):{'3_1':0.03},(160,453):{'3_1':0.03,'4_1':0.0},(160,452):{'3_1':0.03,'4_1':0.0},(160,451):{'3_1':0.06,'4_1':0.0},(160,450):{'3_1':0.03,'4_1':0.0},(160,449):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(160,448):{'3_1':0.03},(160,447):{'3_1':0.06,'4_1':0.0},(160,446):{'3_1':0.0,'4_1':0.0},(160,445):{'3_1':0.03,'5_1':0.0},(160,444):{'3_1':0.03,'4_1':0.0},(160,443):{'3_1':0.0},(160,442):{'3_1':0.0},(160,441):{'3_1':0.0},(160,440):{'3_1':0.0},(160,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(160,438):{'3_1':0.0,'4_1':0.0},(160,437):{'3_1':0.03},(160,436):{'3_1':0.03,'6_2':0.0},(160,435):{'3_1':0.06,'4_1':0.0},(160,434):{'3_1':0.0},(160,433):{'3_1':0.03},(160,432):{'3_1':0.0,'4_1':0.0},(160,431):{'3_1':0.03},(160,430):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(160,429):{'3_1':0.03,'4_1':0.0},(160,428):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(160,427):{'3_1':0.0,'4_1':0.0},(160,426):{'3_1':0.03,'4_1':0.0},(160,425):{'3_1':0.03,'4_1':0.0},(160,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(160,423):{'3_1':0.06},(160,422):{'3_1':0.03,'4_1':0.0},(160,421):{'3_1':0.03,'4_1':0.0},(160,420):{'3_1':0.06,'4_1':0.0},(160,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(160,418):{'3_1':0.03,'4_1':0.0},(160,417):{'3_1':0.0,'4_1':0.0},(160,416):{'4_1':0.0,'8_4':0.0},(160,415):{'3_1':0.03,'4_1':0.0},(160,414):{'3_1':0.0},(160,413):{'3_1':0.0,'4_1':0.0},(160,412):{'5_1':0.0,'3_1':0.0,'6_1':0.0},(160,411):{'4_1':0.0,'5_1':0.0},(160,410):{'3_1':0.0},(160,409):{'3_1':0.0},(160,408):{'3_1':0.0},(160,407):{'3_1':0.03},(160,406):{'3_1':0.06,'8_1':0.0},(160,405):{'3_1':0.03},(160,404):{'3_1':0.0},(160,403):{'3_1':0.06},(160,402):{'3_1':0.0},(160,401):{'3_1':0.0,'5_2':0.0},(160,400):{'3_1':0.0},(160,399):{'3_1':0.0,'4_1':0.0},(160,398):{'3_1':0.03},(160,397):{'3_1':0.0,'4_1':0.0},(160,395):{'3_1':0.0},(160,394):{'3_1':0.0},(160,393):{'3_1':0.03},(160,392):{'6_1':0.0},(160,391):{'3_1':0.03},(160,390):{'3_1':0.0},(160,388):{'3_1':0.0},(160,387):{'3_1':0.0},(160,386):{'3_1':0.0},(160,385):{'3_1':0.0},(160,384):{'3_1':0.03},(160,383):{'3_1':0.0},(160,382):{'3_1':0.03},(160,381):{'3_1':0.0,'5_1':0.0},(160,380):{'3_1':0.0},(160,379):{'3_1':0.0},(160,378):{'3_1':0.03,'4_1':0.0},(160,377):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(160,376):{'3_1':0.03},(160,375):{'3_1':0.03},(160,374):{'3_1':0.06},(160,373):{'5_1':0.0,'3_1':0.0},(160,372):{'3_1':0.0},(160,371):{'3_1':0.03,'5_1':0.0},(160,370):{'3_1':0.03,'5_1':0.0},(160,369):{'3_1':0.0},(160,368):{'3_1':0.0,'7_1':0.0},(160,367):{'3_1':0.0},(160,365):{'3_1':0.0},(160,364):{'3_1':0.0},(160,363):{'3_1':0.03},(160,361):{'3_1':0.0},(160,360):{'3_1':0.0},(160,359):{'3_1':0.0},(160,358):{'3_1':0.03},(160,357):{'3_1':0.0},(160,356):{'3_1':0.0},(160,355):{'3_1':0.03},(160,354):{'3_1':0.03},(160,353):{'3_1':0.03},(160,352):{'3_1':0.0},(160,350):{'3_1':0.0},(160,349):{'3_1':0.0},(160,348):{'3_1':0.03},(160,347):{'3_1':0.0},(160,346):{'3_1':0.0,'4_1':0.0},(160,344):{'3_1':0.0,'4_1':0.0},(160,343):{'3_1':0.03},(160,342):{'3_1':0.0},(160,341):{'3_1':0.0},(160,340):{'3_1':0.0},(160,339):{'3_1':0.0,'4_1':0.0},(160,338):{'3_1':0.0},(160,337):{'3_1':0.0},(160,336):{'3_1':0.0},(160,335):{'3_1':0.0},(160,334):{'3_1':0.0},(160,333):{'3_1':0.03},(160,332):{'3_1':0.0},(160,331):{'3_1':0.0,'4_1':0.0},(160,330):{'3_1':0.0},(160,329):{'3_1':0.0},(160,328):{'3_1':0.0},(160,327):{'3_1':0.0,'5_2':0.0},(160,326):{'3_1':0.0},(160,325):{'3_1':0.0},(160,324):{'3_1':0.0,'4_1':0.0},(160,323):{'3_1':0.0},(160,321):{'3_1':0.03},(160,320):{'3_1':0.0},(160,319):{'3_1':0.03},(160,317):{'3_1':0.0},(160,314):{'3_1':0.0},(160,313):{'3_1':0.0},(160,311):{'3_1':0.0},(160,310):{'3_1':0.0},(160,309):{'3_1':0.0},(160,307):{'3_1':0.0},(160,305):{'3_1':0.0},(160,304):{'3_1':0.0},(160,303):{'3_1':0.0},(160,302):{'3_1':0.0},(160,301):{'3_1':0.0},(160,299):{'3_1':0.0},(160,298):{'3_1':0.0},(160,297):{'3_1':0.0},(160,296):{'3_1':0.0},(160,295):{'3_1':0.0},(160,294):{'3_1':0.03,'5_1':0.0},(160,293):{'3_1':0.0},(160,291):{'3_1':0.0},(160,290):{'3_1':0.0},(160,289):{'3_1':0.0},(160,288):{'3_1':0.0},(160,287):{'3_1':0.0},(160,286):{'3_1':0.0},(160,285):{'3_1':0.0},(160,284):{'3_1':0.0},(160,283):{'3_1':0.0},(160,282):{'3_1':0.03},(160,280):{'3_1':0.0,'4_1':0.0},(160,279):{'3_1':0.0},(160,278):{'3_1':0.0},(160,276):{'3_1':0.0},(160,275):{'3_1':0.0,'4_1':0.0},(160,274):{'3_1':0.0},(160,273):{'3_1':0.0},(160,272):{'3_1':0.0},(160,271):{'3_1':0.0},(160,265):{'3_1':0.0},(160,264):{'3_1':0.0},(160,263):{'3_1':0.0},(160,262):{'3_1':0.03,'5_1':0.0},(160,261):{'3_1':0.0},(160,250):{'3_1':0.0},(160,249):{'3_1':0.0},(160,248):{'3_1':0.0,'5_1':0.0},(160,246):{'3_1':0.0},(160,245):{'3_1':0.0},(160,244):{'3_1':0.0},(160,243):{'3_1':0.0},(160,242):{'3_1':0.0,'4_1':0.0},(160,241):{'3_1':0.0},(160,240):{'3_1':0.0},(160,239):{'3_1':0.0},(160,238):{'4_1':0.0},(160,236):{'3_1':0.0},(160,234):{'3_1':0.0},(160,233):{'3_1':0.0},(160,232):{'5_1':0.0},(160,230):{'3_1':0.0},(160,229):{'3_1':0.0},(160,226):{'3_1':0.0},(160,225):{'5_1':0.0},(160,224):{'5_1':0.0,'3_1':0.0},(160,221):{'3_1':0.0},(160,220):{'3_1':0.0,'5_1':0.0},(160,218):{'3_1':0.0},(160,217):{'3_1':0.0},(160,215):{'5_1':0.0},(160,214):{'3_1':0.0},(160,213):{'3_1':0.0},(160,212):{'3_1':0.0},(160,211):{'3_1':0.0,'5_1':0.0},(160,210):{'3_1':0.0},(160,209):{'3_1':0.0},(160,208):{'3_1':0.0},(160,206):{'3_1':0.0},(160,205):{'3_1':0.03},(160,204):{'3_1':0.0,'4_1':0.0},(160,203):{'3_1':0.03},(160,202):{'3_1':0.0},(160,201):{'3_1':0.06},(160,200):{'3_1':0.0,'5_1':0.0},(160,199):{'3_1':0.0},(160,198):{'3_1':0.0,'6_1':0.0},(160,197):{'3_1':0.0},(160,196):{'3_1':0.0},(160,195):{'3_1':0.0},(160,194):{'3_1':0.0},(160,193):{'5_1':0.0,'3_1':0.0},(160,192):{'3_1':0.0},(160,190):{'4_1':0.0},(160,188):{'3_1':0.0},(160,183):{'3_1':0.0},(160,182):{'5_2':0.0},(160,170):{'3_1':0.0},(160,169):{'3_1':0.0},(161,727):{'5_2':0.27,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(161,726):{'5_2':0.36,'6_1':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.06,'-3':0.06,'7_4':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_15':0.0},(161,725):{'5_2':0.27,'-3':0.09,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0},(161,724):{'5_2':0.3,'7_5':0.09,'7_2':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_6':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'8_8':0.0},(161,723):{'5_2':0.27,'7_3':0.06,'-3':0.06,'7_6':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'6_1':0.0,'8_1':0.0,'8_6':0.0},(161,722):{'5_2':0.33,'7_5':0.12,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0,'8_15':0.0},(161,721):{'5_2':0.3,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(161,720):{'5_2':0.39,'7_5':0.06,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'1':-0.03},(161,719):{'5_2':0.39,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'4_1':0.0,'6_2':0.0},(161,718):{'5_2':0.36,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_11':0.0},(161,717):{'5_2':0.27,'7_2':0.09,'-3':0.06,'6_1':0.06,'7_6':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0},(161,716):{'5_2':0.24,'6_1':0.09,'7_5':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(161,715):{'5_2':0.42,'-3':0.09,'7_2':0.03,'7_5':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0,'1':-0.03},(161,714):{'5_2':0.24,'-3':0.09,'7_2':0.06,'6_1':0.06,'7_5':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(161,713):{'5_2':0.3,'-3':0.09,'7_2':0.06,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_6':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0},(161,712):{'5_2':0.24,'-3':0.12,'6_1':0.06,'7_2':0.06,'7_6':0.06,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(161,711):{'5_2':0.27,'-3':0.09,'7_2':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'6_2':0.0,'7_6':0.0},(161,710):{'5_2':0.3,'-3':0.15,'6_1':0.06,'3_1':0.06,'7_5':0.03,'7_6':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0},(161,709):{'5_2':0.27,'-3':0.12,'7_5':0.09,'7_2':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_15':0.0,'1':-0.03},(161,708):{'5_2':0.24,'-3':0.12,'7_2':0.09,'3_1':0.09,'7_3':0.03,'6_1':0.03,'7_6':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(161,707):{'5_2':0.24,'-3':0.06,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_15':0.0},(161,706):{'5_2':0.33,'-3':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_6':0.03,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_11':0.0,'4_1':0.0,'8_15':0.0},(161,705):{'5_2':0.3,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(161,704):{'5_2':0.27,'-3':0.09,'6_1':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(161,703):{'5_2':0.33,'-3':0.09,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_4':0.0,'8_11':0.0},(161,702):{'5_2':0.39,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(161,701):{'5_2':0.3,'-3':0.09,'7_2':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(161,700):{'5_2':0.24,'3_1':0.09,'7_2':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0},(161,699):{'5_2':0.27,'6_1':0.06,'-3':0.06,'7_3':0.06,'3_1':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0},(161,698):{'5_2':0.24,'-3':0.09,'7_4':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'8_4':0.0,'8_8':0.0},(161,697):{'5_2':0.18,'3_1':0.09,'7_3':0.06,'7_6':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_15':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(161,696):{'5_2':0.27,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_6':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_5':0.0,'8_13':0.0},(161,695):{'5_2':0.3,'7_3':0.06,'3_1':0.06,'7_6':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(161,694):{'5_2':0.27,'3_1':0.09,'6_1':0.06,'7_2':0.06,'7_4':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(161,693):{'5_2':0.27,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0},(161,692):{'5_2':0.24,'3_1':0.12,'-3':0.06,'7_3':0.06,'7_2':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(161,691):{'5_2':0.33,'3_1':0.09,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(161,690):{'5_2':0.21,'3_1':0.15,'7_3':0.06,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_4':0.0,'8_9':0.0,'8_11':0.0},(161,689):{'5_2':0.3,'3_1':0.15,'-3':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(161,688):{'5_2':0.21,'3_1':0.12,'7_2':0.09,'7_4':0.06,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(161,687):{'5_2':0.21,'3_1':0.15,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(161,686):{'5_2':0.24,'3_1':0.12,'4_1':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'8_11':0.0,'7_5':0.0},(161,685):{'5_2':0.3,'3_1':0.15,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(161,684):{'3_1':0.21,'5_2':0.21,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(161,683):{'5_2':0.3,'3_1':0.18,'5_1':0.03,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_7':0.0},(161,682):{'3_1':0.27,'5_2':0.21,'7_4':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_3':0.0,'3_1#5_2':0.0},(161,681):{'3_1':0.18,'5_2':0.18,'5_1':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0,'6_3':0.0,'7_7':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0},(161,680):{'5_2':0.27,'3_1':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(161,679):{'5_2':0.27,'3_1':0.18,'-3':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(161,678):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(161,677):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(161,676):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_5':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0},(161,675):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.06,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'6_3':0.0},(161,674):{'3_1':0.33,'5_2':0.21,'-3':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(161,673):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(161,672):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(161,671):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_19':0.0},(161,670):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'7_3':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(161,669):{'3_1':0.27,'5_2':0.15,'7_4':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(161,668):{'5_2':0.24,'3_1':0.24,'5_1':0.06,'7_4':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(161,667):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(161,666):{'3_1':0.27,'5_2':0.18,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(161,665):{'3_1':0.33,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(161,664):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0},(161,663):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0},(161,662):{'3_1':0.3,'5_2':0.27,'4_1':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0},(161,661):{'3_1':0.33,'5_2':0.15,'5_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(161,660):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0},(161,659):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(161,658):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_19':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(161,657):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0},(161,656):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_4':0.0,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(161,655):{'3_1':0.3,'5_2':0.18,'5_1':0.09,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0},(161,654):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'7_7':0.0},(161,653):{'3_1':0.3,'5_1':0.12,'5_2':0.09,'6_3':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(161,652):{'3_1':0.36,'5_2':0.18,'5_1':0.03,'4_1':0.03,'8_19':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(161,651):{'3_1':0.27,'5_1':0.12,'5_2':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(161,650):{'3_1':0.36,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(161,649):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.03,'8_19':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0},(161,648):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0,'-3':0.0},(161,647):{'3_1':0.3,'5_1':0.12,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(161,646):{'3_1':0.24,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(161,645):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(161,644):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0},(161,643):{'5_2':0.18,'3_1':0.18,'5_1':0.06,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'8_19':0.0},(161,642):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_10':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(161,641):{'3_1':0.21,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(161,640):{'3_1':0.3,'5_2':0.15,'5_1':0.09,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(161,639):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(161,638):{'3_1':0.33,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(161,637):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_3':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(161,636):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(161,635):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(161,634):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(161,633):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0},(161,632):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(161,631):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0},(161,630):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(161,629):{'3_1':0.15,'5_1':0.12,'5_2':0.06,'4_1':0.0},(161,628):{'3_1':0.18,'5_2':0.09,'7_1':0.0,'5_1':0.0,'6_2':0.0},(161,627):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0},(161,626):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'7_1':0.0,'4_1':0.0,'8_19':0.0},(161,625):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(161,624):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(161,623):{'3_1':0.27,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(161,622):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0},(161,621):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0},(161,620):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(161,619):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'8_19':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(161,618):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(161,617):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_19':0.0,'-3':0.0},(161,616):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(161,615):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(161,614):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_7':0.0},(161,613):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0},(161,612):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'6_1':0.0},(161,611):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_4':0.0,'8_19':0.0},(161,610):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(161,609):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(161,608):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(161,607):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(161,606):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0},(161,605):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(161,604):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(161,603):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(161,602):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'5_2':0.0,'7_7':0.0},(161,601):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(161,600):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(161,599):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(161,598):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(161,597):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(161,596):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(161,595):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(161,594):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0},(161,593):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(161,592):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'7_1':0.0},(161,591):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(161,590):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0},(161,589):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0},(161,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(161,587):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(161,586):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(161,585):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(161,584):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(161,583):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0},(161,582):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(161,581):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'9_1':0.0},(161,580):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(161,579):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(161,578):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(161,577):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(161,576):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(161,575):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,574):{'3_1':0.21,'4_1':0.03},(161,573):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(161,572):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(161,571):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(161,570):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(161,569):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(161,568):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(161,567):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(161,566):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0},(161,565):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(161,564):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(161,563):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(161,562):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(161,561):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_3':0.0},(161,560):{'3_1':0.18,'4_1':0.0,'6_3':0.0,'7_2':0.0,'8_1':0.0},(161,559):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(161,558):{'3_1':0.21,'4_1':0.0,'7_1':0.0},(161,557):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(161,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(161,555):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(161,554):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(161,553):{'3_1':0.15,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(161,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(161,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(161,550):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(161,549):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(161,548):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'6_2':0.0},(161,547):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,546):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(161,545):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(161,544):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'6_2':0.0},(161,543):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(161,542):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_1':0.0},(161,541):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0},(161,540):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(161,539):{'3_1':0.3,'5_1':0.0,'7_1':0.0},(161,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(161,537):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(161,536):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(161,535):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0},(161,534):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0},(161,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,532):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(161,531):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(161,530):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(161,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(161,528):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(161,527):{'3_1':0.15,'6_2':0.0},(161,526):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(161,525):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(161,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(161,523):{'3_1':0.12,'4_1':0.0},(161,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,521):{'3_1':0.09,'4_1':0.0},(161,520):{'3_1':0.15,'5_1':0.0},(161,519):{'3_1':0.12},(161,518):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(161,517):{'3_1':0.09,'5_1':0.0},(161,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(161,514):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(161,513):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(161,512):{'3_1':0.09,'7_3':0.0},(161,511):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(161,510):{'3_1':0.06,'5_1':0.0},(161,509):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,508):{'3_1':0.03,'4_1':0.0},(161,507):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(161,506):{'3_1':0.06,'5_1':0.0},(161,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,504):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(161,503):{'3_1':0.03,'5_2':0.0},(161,502):{'3_1':0.06,'4_1':0.0},(161,501):{'3_1':0.06},(161,500):{'3_1':0.09},(161,499):{'3_1':0.03},(161,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(161,497):{'3_1':0.06,'4_1':0.0},(161,496):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(161,495):{'3_1':0.03},(161,494):{'3_1':0.03},(161,493):{'3_1':0.03,'5_1':0.0},(161,492):{'3_1':0.09,'5_1':0.0},(161,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(161,490):{'3_1':0.09,'4_1':0.0},(161,489):{'3_1':0.09},(161,488):{'3_1':0.09,'5_1':0.0},(161,487):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(161,486):{'3_1':0.12,'5_2':0.0},(161,485):{'3_1':0.06},(161,484):{'3_1':0.09},(161,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(161,482):{'3_1':0.15,'5_2':0.0},(161,481):{'3_1':0.03},(161,480):{'3_1':0.12,'5_1':0.0},(161,479):{'3_1':0.06,'5_2':0.0},(161,478):{'3_1':0.06},(161,477):{'3_1':0.03,'5_1':0.0},(161,476):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(161,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(161,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,473):{'3_1':0.09},(161,472):{'3_1':0.09,'4_1':0.0},(161,471):{'3_1':0.03,'4_1':0.0},(161,470):{'3_1':0.03,'4_1':0.0},(161,469):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(161,468):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(161,467):{'3_1':0.09},(161,466):{'3_1':0.06},(161,465):{'3_1':0.03},(161,464):{'3_1':0.06},(161,463):{'3_1':0.0,'4_1':0.0},(161,462):{'3_1':0.06,'4_1':0.0},(161,461):{'3_1':0.0},(161,460):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(161,459):{'3_1':0.0},(161,458):{'3_1':0.03,'4_1':0.0},(161,457):{'3_1':0.03},(161,456):{'4_1':0.0,'3_1':0.0},(161,455):{'3_1':0.03,'4_1':0.0},(161,454):{'3_1':0.03,'4_1':0.0},(161,453):{'3_1':0.03,'4_1':0.0},(161,452):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(161,451):{'3_1':0.03,'4_1':0.0},(161,450):{'3_1':0.03,'4_1':0.0},(161,449):{'3_1':0.03,'4_1':0.0},(161,448):{'4_1':0.0,'6_2':0.0,'3_1':0.0},(161,447):{'3_1':0.0},(161,446):{'4_1':0.0},(161,445):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(161,444):{'3_1':0.0},(161,443):{'3_1':0.0},(161,442):{'3_1':0.03},(161,441):{'3_1':0.0},(161,440):{'3_1':0.06,'5_1':0.0},(161,439):{'3_1':0.03},(161,438):{'3_1':0.03},(161,437):{'3_1':0.0},(161,436):{'3_1':0.06},(161,435):{'3_1':0.0},(161,434):{'3_1':0.03},(161,433):{'3_1':0.0},(161,432):{'3_1':0.0,'4_1':0.0},(161,431):{'3_1':0.03},(161,430):{'3_1':0.0},(161,429):{'3_1':0.03,'4_1':0.0},(161,428):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(161,427):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(161,426):{'3_1':0.06,'4_1':0.03},(161,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(161,424):{'3_1':0.03,'6_2':0.0},(161,423):{'3_1':0.03,'4_1':0.0},(161,422):{'3_1':0.03,'4_1':0.0},(161,421):{'4_1':0.0},(161,420):{'3_1':0.06,'4_1':0.03},(161,419):{'3_1':0.03,'4_1':0.0},(161,418):{'3_1':0.0},(161,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,416):{'3_1':0.0,'4_1':0.0},(161,415):{'3_1':0.06},(161,414):{'3_1':0.0},(161,413):{'3_1':0.0},(161,412):{'3_1':0.0},(161,411):{'3_1':0.03,'5_1':0.0},(161,410):{'3_1':0.0,'4_1':0.0},(161,409):{'3_1':0.03},(161,408):{'3_1':0.0,'4_1':0.0},(161,407):{'3_1':0.0},(161,406):{'3_1':0.03,'4_1':0.0},(161,405):{'3_1':0.0,'5_1':0.0},(161,404):{'3_1':0.0},(161,403):{'3_1':0.0},(161,402):{'3_1':0.03},(161,401):{'3_1':0.06},(161,400):{'3_1':0.0},(161,399):{'3_1':0.0,'5_2':0.0},(161,398):{'3_1':0.0},(161,397):{'6_1':0.0},(161,396):{'3_1':0.0},(161,395):{'3_1':0.0},(161,394):{'3_1':0.0},(161,393):{'3_1':0.0},(161,392):{'3_1':0.0},(161,391):{'3_1':0.0,'4_1':0.0},(161,390):{'3_1':0.0},(161,389):{'3_1':0.0},(161,388):{'3_1':0.0},(161,387):{'3_1':0.0},(161,386):{'3_1':0.0},(161,385):{'3_1':0.0},(161,384):{'3_1':0.0},(161,383):{'3_1':0.0},(161,382):{'3_1':0.0},(161,381):{'3_1':0.0},(161,380):{'3_1':0.0},(161,379):{'3_1':0.0,'5_1':0.0},(161,378):{'3_1':0.03,'5_1':0.0},(161,377):{'3_1':0.03},(161,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(161,375):{'3_1':0.0},(161,374):{'3_1':0.03,'4_1':0.0},(161,373):{'3_1':0.0},(161,372):{'3_1':0.06},(161,371):{'3_1':0.03},(161,370):{'3_1':0.03,'5_1':0.0},(161,369):{'3_1':0.03},(161,367):{'3_1':0.03},(161,366):{'3_1':0.03},(161,365):{'3_1':0.06,'5_1':0.0},(161,364):{'3_1':0.0},(161,363):{'3_1':0.0},(161,362):{'3_1':0.0},(161,361):{'3_1':0.0},(161,360):{'3_1':0.0},(161,359):{'3_1':0.06},(161,358):{'3_1':0.0},(161,357):{'3_1':0.03,'5_2':0.0},(161,356):{'3_1':0.0},(161,354):{'3_1':0.0},(161,353):{'3_1':0.0},(161,351):{'3_1':0.0},(161,349):{'3_1':0.0},(161,348):{'3_1':0.0},(161,347):{'3_1':0.0},(161,346):{'3_1':0.0,'4_1':0.0},(161,345):{'3_1':0.0},(161,344):{'3_1':0.0},(161,343):{'3_1':0.03},(161,342):{'3_1':0.0},(161,341):{'3_1':0.0},(161,340):{'3_1':0.0},(161,339):{'3_1':0.0},(161,338):{'3_1':0.03},(161,337):{'3_1':0.0},(161,336):{'3_1':0.03},(161,335):{'3_1':0.0},(161,334):{'3_1':0.03},(161,333):{'3_1':0.0,'4_1':0.0},(161,332):{'3_1':0.0,'4_1':0.0},(161,331):{'3_1':0.06},(161,330):{'3_1':0.0},(161,329):{'3_1':0.0},(161,328):{'3_1':0.0},(161,327):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(161,326):{'3_1':0.03},(161,325):{'3_1':0.0},(161,324):{'3_1':0.0,'4_1':0.0},(161,323):{'3_1':0.0},(161,322):{'3_1':0.03},(161,321):{'3_1':0.03},(161,320):{'3_1':0.0},(161,319):{'3_1':0.0},(161,318):{'3_1':0.0,'4_1':0.0},(161,317):{'3_1':0.0},(161,316):{'3_1':0.0},(161,315):{'3_1':0.0},(161,314):{'3_1':0.0},(161,313):{'4_1':0.0},(161,312):{'3_1':0.0},(161,311):{'3_1':0.0},(161,310):{'3_1':0.0},(161,308):{'3_1':0.03},(161,307):{'3_1':0.03},(161,306):{'3_1':0.0},(161,305):{'3_1':0.0},(161,304):{'3_1':0.0},(161,303):{'3_1':0.0},(161,302):{'3_1':0.0},(161,301):{'3_1':0.0},(161,300):{'3_1':0.0},(161,298):{'3_1':0.0},(161,290):{'4_1':0.0},(161,289):{'3_1':0.0},(161,288):{'3_1':0.0},(161,287):{'3_1':0.0},(161,286):{'3_1':0.0},(161,285):{'3_1':0.0},(161,284):{'3_1':0.0},(161,283):{'3_1':0.0},(161,282):{'3_1':0.0},(161,281):{'3_1':0.0},(161,280):{'3_1':0.0},(161,278):{'3_1':0.0},(161,271):{'3_1':0.0},(161,270):{'3_1':0.0},(161,268):{'3_1':0.0},(161,267):{'3_1':0.0},(161,266):{'3_1':0.0},(161,265):{'3_1':0.0},(161,263):{'5_1':0.0},(161,262):{'5_1':0.0},(161,261):{'3_1':0.0},(161,259):{'3_1':0.0},(161,258):{'3_1':0.0,'4_1':0.0},(161,250):{'3_1':0.0},(161,249):{'3_1':0.0},(161,248):{'3_1':0.0,'5_1':0.0},(161,247):{'3_1':0.0},(161,246):{'3_1':0.0},(161,244):{'3_1':0.0},(161,242):{'3_1':0.0},(161,241):{'3_1':0.0,'5_1':0.0},(161,240):{'3_1':0.0},(161,239):{'3_1':0.0,'4_1':0.0},(161,237):{'3_1':0.0},(161,236):{'3_1':0.0},(161,235):{'3_1':0.0},(161,232):{'3_1':0.0},(161,230):{'3_1':0.0,'5_1':0.0},(161,229):{'3_1':0.0},(161,227):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(161,225):{'7_3':0.0},(161,223):{'3_1':0.0},(161,216):{'3_1':0.0},(161,215):{'3_1':0.0},(161,214):{'3_1':0.0},(161,213):{'4_1':0.0},(161,212):{'3_1':0.0},(161,211):{'3_1':0.0},(161,210):{'3_1':0.0},(161,209):{'3_1':0.0},(161,208):{'3_1':0.0},(161,207):{'3_1':0.0},(161,206):{'3_1':0.0,'4_1':0.0},(161,205):{'3_1':0.0},(161,204):{'3_1':0.0},(161,203):{'3_1':0.0,'5_1':0.0},(161,202):{'3_1':0.0},(161,201):{'3_1':0.03,'4_1':0.0},(161,200):{'3_1':0.0},(161,199):{'3_1':0.0},(161,198):{'3_1':0.0,'4_1':0.0},(161,197):{'3_1':0.0},(161,196):{'3_1':0.0},(161,195):{'3_1':0.0},(161,194):{'3_1':0.0},(161,193):{'3_1':0.0},(161,192):{'3_1':0.0},(161,191):{'3_1':0.03},(161,190):{'3_1':0.0},(161,188):{'3_1':0.0},(162,727):{'5_2':0.36,'-3':0.09,'7_2':0.06,'7_6':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(162,726):{'5_2':0.33,'7_2':0.12,'-3':0.09,'6_1':0.06,'7_5':0.03,'7_3':0.03,'7_6':0.0,'8_3':0.0},(162,725):{'5_2':0.3,'7_2':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'8_14':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_13':0.0},(162,724):{'5_2':0.33,'7_2':0.09,'6_1':0.06,'-3':0.06,'3_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'1':-0.03},(162,723):{'5_2':0.3,'7_2':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_3':0.03,'3_1':0.03,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(162,722):{'5_2':0.27,'7_2':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_6':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0},(162,721):{'5_2':0.24,'7_2':0.09,'7_3':0.09,'-3':0.06,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(162,720):{'5_2':0.21,'7_2':0.12,'6_1':0.09,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(162,719):{'5_2':0.36,'7_2':0.09,'7_3':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_6':0.0,'7_5':0.0,'7_4':0.0,'8_6':0.0},(162,718):{'5_2':0.18,'7_2':0.09,'6_1':0.06,'7_3':0.06,'7_5':0.06,'7_4':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(162,717):{'5_2':0.24,'7_5':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'8_15':0.0,'4_1':0.0},(162,716):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'3_1':0.0,'8_14':0.0},(162,715):{'5_2':0.27,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_3':0.06,'7_6':0.03,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0,'1':-0.03},(162,714):{'5_2':0.27,'-3':0.09,'6_1':0.06,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'8_14':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(162,713):{'5_2':0.24,'-3':0.09,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_7':0.0,'8_3':0.0},(162,712):{'5_2':0.24,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0},(162,711):{'5_2':0.21,'7_2':0.09,'-3':0.09,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0},(162,710):{'5_2':0.18,'-3':0.09,'7_2':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'7_6':0.03,'5_1':0.0,'7_4':0.0,'8_6':0.0,'1':-0.03},(162,709):{'5_2':0.24,'-3':0.09,'7_5':0.09,'7_2':0.06,'3_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(162,708):{'5_2':0.24,'6_1':0.06,'7_5':0.06,'-3':0.06,'3_1':0.06,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'8_6':0.0,'8_13':0.0},(162,707):{'5_2':0.27,'-3':0.09,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_14':0.0,'5_1':0.0,'8_11':0.0,'9_1':0.0},(162,706):{'5_2':0.27,'6_1':0.06,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0,'9_1':0.0,'1':-0.03},(162,705):{'5_2':0.3,'7_2':0.06,'3_1':0.06,'-3':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(162,704):{'5_2':0.27,'-3':0.06,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0},(162,703):{'5_2':0.24,'-3':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_3':0.0,'8_15':0.0},(162,702):{'5_2':0.3,'7_2':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(162,701):{'5_2':0.33,'3_1':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0,'6_2':0.0},(162,700):{'5_2':0.24,'7_3':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.03,'-3':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_4':0.0},(162,699):{'5_2':0.27,'-3':0.09,'7_5':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'7_7':0.0},(162,698):{'5_2':0.27,'-3':0.06,'6_1':0.06,'7_3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'8_11':0.0,'2':-0.03},(162,697):{'5_2':0.24,'3_1':0.09,'-3':0.09,'6_1':0.06,'7_2':0.03,'7_4':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'7_5':0.0},(162,696):{'5_2':0.27,'3_1':0.12,'7_3':0.06,'7_4':0.06,'7_2':0.06,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0},(162,695):{'5_2':0.24,'3_1':0.09,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(162,694):{'5_2':0.27,'3_1':0.15,'7_3':0.09,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'1':-0.03},(162,693):{'5_2':0.24,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(162,692):{'5_2':0.27,'3_1':0.12,'6_1':0.09,'7_3':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(162,691):{'5_2':0.3,'3_1':0.12,'7_3':0.09,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(162,690):{'5_2':0.3,'3_1':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0},(162,689):{'5_2':0.3,'3_1':0.12,'-3':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(162,688):{'5_2':0.21,'3_1':0.15,'7_3':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0,'3_1#5_2':0.0,'1':-0.03},(162,687):{'5_2':0.24,'3_1':0.12,'-3':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(162,686):{'5_2':0.18,'3_1':0.15,'7_4':0.06,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_9':0.0,'8_13':0.0},(162,685):{'3_1':0.18,'5_2':0.12,'-3':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0},(162,684):{'5_2':0.27,'3_1':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(162,683):{'3_1':0.24,'5_2':0.21,'6_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(162,682):{'5_2':0.21,'3_1':0.15,'7_4':0.09,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(162,681):{'3_1':0.21,'5_2':0.18,'7_3':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0},(162,680):{'3_1':0.21,'5_2':0.18,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_14':0.0,'8_15':0.0},(162,679):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(162,678):{'3_1':0.33,'5_2':0.15,'4_1':0.09,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_5':0.0},(162,677):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'6_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(162,676):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_7':0.0,'8_19':0.0},(162,675):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(162,674):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,673):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(162,672):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'7_4':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(162,671):{'3_1':0.27,'5_2':0.12,'7_3':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(162,670):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0},(162,669):{'3_1':0.27,'5_2':0.27,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(162,668):{'3_1':0.36,'5_2':0.12,'4_1':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(162,667):{'5_2':0.24,'3_1':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(162,666):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'7_3':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0},(162,665):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,664):{'3_1':0.3,'5_2':0.15,'4_1':0.09,'7_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(162,663):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0,'-3':0.0},(162,662):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(162,661):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(162,660):{'3_1':0.33,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(162,659):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0},(162,658):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0},(162,657):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(162,656):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(162,655):{'3_1':0.27,'5_2':0.21,'5_1':0.06,'4_1':0.03,'7_2':0.0,'7_1':0.0,'7_3':0.0},(162,654):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_3':0.03,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(162,653):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0},(162,652):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0},(162,651):{'3_1':0.39,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(162,650):{'3_1':0.33,'5_2':0.18,'5_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(162,649):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(162,648):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(162,647):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'8_19':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0},(162,646):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(162,645):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(162,644):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(162,643):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(162,642):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'9_1':0.0,'6_2':0.0,'8_19':0.0},(162,641):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(162,640):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(162,639):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(162,638):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0},(162,637):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(162,636):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(162,635):{'3_1':0.15,'5_1':0.12,'5_2':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_1':0.0},(162,634):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'7_5':0.0},(162,633):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(162,632):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(162,631):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03},(162,630):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(162,629):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'8_4':0.0,'8_19':0.0},(162,628):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0},(162,627):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0,'8_19':0.0},(162,626):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_19':0.0},(162,625):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(162,624):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(162,623):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(162,622):{'3_1':0.21,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_10':0.0,'-3':0.0},(162,621):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_10':0.0},(162,620):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(162,619):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0},(162,618):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(162,617):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(162,616):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0},(162,615):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(162,614):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(162,613):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(162,612):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(162,611):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0},(162,610):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(162,609):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(162,608):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(162,607):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'9_1':0.0},(162,606):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0},(162,605):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(162,604):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0},(162,603):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(162,602):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(162,601):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(162,600):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0},(162,599):{'3_1':0.09,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0},(162,598):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'8_19':0.0},(162,597):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0},(162,596):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0},(162,595):{'3_1':0.15,'5_1':0.06,'4_1':0.06,'5_2':0.0,'7_4':0.0},(162,594):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(162,593):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(162,592):{'3_1':0.21,'5_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,591):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(162,590):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(162,589):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(162,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(162,587):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(162,586):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0},(162,585):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_4':0.0},(162,584):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(162,583):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(162,582):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0},(162,581):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(162,580):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,579):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(162,578):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0},(162,577):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(162,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(162,575):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,574):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(162,573):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(162,572):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(162,571):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(162,570):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(162,569):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(162,568):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(162,567):{'3_1':0.27,'4_1':0.06,'5_1':0.0},(162,566):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(162,565):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(162,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,563):{'3_1':0.18,'5_1':0.03,'6_1':0.0},(162,562):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(162,561):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(162,560):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_1':0.0},(162,559):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0},(162,558):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(162,557):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'9_1':0.0},(162,556):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(162,555):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(162,554):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(162,553):{'3_1':0.18,'5_1':0.03,'7_3':0.0},(162,552):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0},(162,551):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(162,550):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(162,549):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(162,548):{'3_1':0.27,'5_1':0.06,'5_2':0.0},(162,547):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(162,546):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'7_1':0.0},(162,545):{'3_1':0.24,'5_1':0.0,'6_1':0.0},(162,544):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'9_1':0.0},(162,543):{'3_1':0.21,'5_1':0.06},(162,542):{'3_1':0.3,'5_1':0.06,'5_2':0.0,'4_1':0.0},(162,541):{'3_1':0.27,'5_1':0.0,'4_1':0.0},(162,540):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_3':0.0},(162,539):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_3':0.0},(162,538):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(162,537):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(162,536):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_3':0.0},(162,535):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(162,534):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(162,533):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(162,532):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(162,531):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_3':0.0},(162,530):{'3_1':0.15,'5_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,529):{'3_1':0.21,'5_1':0.0},(162,528):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(162,527):{'3_1':0.24,'5_2':0.0},(162,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(162,525):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(162,524):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(162,523):{'3_1':0.21,'6_1':0.0},(162,522):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(162,520):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(162,519):{'3_1':0.06,'4_1':0.0},(162,518):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(162,517):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(162,516):{'3_1':0.09,'7_1':0.0},(162,515):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(162,514):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(162,513):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(162,512):{'3_1':0.15,'4_1':0.0},(162,511):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(162,510):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(162,509):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(162,508):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,507):{'3_1':0.06},(162,506):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(162,505):{'3_1':0.06,'4_1':0.0},(162,504):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(162,503):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(162,502):{'3_1':0.06,'4_1':0.0},(162,501):{'3_1':0.06},(162,500):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(162,499):{'3_1':0.0},(162,498):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(162,497):{'3_1':0.09},(162,496):{'3_1':0.09},(162,495):{'3_1':0.03,'4_1':0.0},(162,494):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(162,493):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(162,492):{'3_1':0.06,'5_1':0.0},(162,491):{'3_1':0.12,'5_1':0.0},(162,490):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(162,489):{'3_1':0.06,'4_1':0.0},(162,488):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(162,487):{'3_1':0.09,'5_2':0.0},(162,486):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(162,485):{'3_1':0.06,'6_1':0.0},(162,484):{'3_1':0.09,'4_1':0.0},(162,483):{'3_1':0.09},(162,482):{'3_1':0.06,'-3':0.0},(162,481):{'3_1':0.09,'4_1':0.0,'8_4':0.0,'9_1':0.0},(162,480):{'3_1':0.06,'5_1':0.0},(162,479):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(162,478):{'3_1':0.15,'5_2':0.0},(162,477):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(162,476):{'3_1':0.12,'5_2':0.0},(162,475):{'3_1':0.09,'5_1':0.0},(162,474):{'3_1':0.09,'5_1':0.0},(162,473):{'3_1':0.03,'4_1':0.0},(162,472):{'3_1':0.09,'5_2':0.0},(162,471):{'3_1':0.09,'7_3':0.0},(162,470):{'3_1':0.09,'4_1':0.0},(162,469):{'3_1':0.0,'4_1':0.0},(162,468):{'3_1':0.03},(162,467):{'3_1':0.06},(162,466):{'3_1':0.03},(162,465):{'3_1':0.09,'5_1':0.0},(162,464):{'3_1':0.0},(162,463):{'3_1':0.03},(162,462):{'3_1':0.06,'4_1':0.0},(162,461):{'3_1':0.03},(162,460):{'3_1':0.09},(162,459):{'3_1':0.03,'4_1':0.0},(162,458):{'3_1':0.03},(162,457):{'3_1':0.0,'5_2':0.0},(162,456):{'3_1':0.06,'4_1':0.0},(162,455):{'3_1':0.0,'4_1':0.0},(162,454):{'3_1':0.06},(162,453):{'3_1':0.03},(162,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(162,451):{'3_1':0.03,'4_1':0.0},(162,450):{'3_1':0.0,'4_1':0.0},(162,449):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(162,448):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(162,447):{'3_1':0.0},(162,446):{'3_1':0.03},(162,445):{'3_1':0.0},(162,444):{'3_1':0.03,'5_1':0.0},(162,443):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(162,442):{'3_1':0.06},(162,441):{'3_1':0.03,'6_2':0.0},(162,440):{'3_1':0.0,'5_2':0.0},(162,439):{'5_1':0.0},(162,438):{'3_1':0.0},(162,437):{'3_1':0.0},(162,436):{'3_1':0.03},(162,435):{'3_1':0.0,'4_1':0.0},(162,434):{'3_1':0.03,'7_1':0.0},(162,433):{'3_1':0.03,'4_1':0.0},(162,432):{'3_1':0.06,'5_1':0.0},(162,431):{'3_1':0.0},(162,430):{'3_1':0.0,'4_1':0.0},(162,429):{'3_1':0.03,'4_1':0.0},(162,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(162,427):{'3_1':0.0,'4_1':0.0},(162,426):{'3_1':0.03,'4_1':0.0},(162,425):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(162,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(162,423):{'3_1':0.03},(162,422):{'3_1':0.06,'6_2':0.0},(162,421):{'4_1':0.0,'3_1':0.0},(162,420):{'4_1':0.03,'3_1':0.03},(162,419):{'3_1':0.0,'4_1':0.0},(162,418):{'3_1':0.06,'4_1':0.03},(162,417):{'3_1':0.0,'4_1':0.0},(162,416):{'3_1':0.03},(162,415):{'3_1':0.03,'4_1':0.0},(162,414):{'3_1':0.03,'4_1':0.0},(162,413):{'3_1':0.0,'6_1':0.0},(162,412):{'3_1':0.0,'5_1':0.0},(162,411):{'3_1':0.0},(162,410):{'3_1':0.0},(162,409):{'3_1':0.0},(162,408):{'3_1':0.0},(162,407):{'3_1':0.0},(162,406):{'3_1':0.0},(162,405):{'3_1':0.03},(162,404):{'3_1':0.03,'6_1':0.0},(162,403):{'3_1':0.0},(162,402):{'3_1':0.0,'7_1':0.0},(162,400):{'3_1':0.03},(162,399):{'3_1':0.03},(162,398):{'3_1':0.03},(162,397):{'3_1':0.0},(162,396):{'3_1':0.03},(162,395):{'3_1':0.0},(162,394):{'3_1':0.0,'4_1':0.0},(162,393):{'3_1':0.0},(162,392):{'3_1':0.0,'4_1':0.0},(162,391):{'3_1':0.0,'4_1':0.0},(162,390):{'3_1':0.0,'5_1':0.0},(162,389):{'3_1':0.03},(162,388):{'3_1':0.0},(162,387):{'3_1':0.03},(162,386):{'3_1':0.03,'4_1':0.0},(162,385):{'3_1':0.0},(162,384):{'3_1':0.0},(162,383):{'3_1':0.03},(162,382):{'3_1':0.0,'4_1':0.0},(162,381):{'3_1':0.0},(162,380):{'3_1':0.0},(162,379):{'3_1':0.03},(162,378):{'3_1':0.0},(162,377):{'3_1':0.0,'4_1':0.0},(162,376):{'3_1':0.03,'4_1':0.0},(162,375):{'3_1':0.03},(162,374):{'3_1':0.06},(162,373):{'3_1':0.03},(162,372):{'3_1':0.03,'4_1':0.0},(162,371):{'3_1':0.03},(162,370):{'3_1':0.0,'5_1':0.0},(162,369):{'3_1':0.03},(162,368):{'3_1':0.0,'5_1':0.0},(162,367):{'3_1':0.03},(162,366):{'3_1':0.03,'4_1':0.0},(162,365):{'3_1':0.03,'5_1':0.0},(162,364):{'3_1':0.0},(162,363):{'3_1':0.03},(162,362):{'3_1':0.0},(162,361):{'3_1':0.0,'7_1':0.0},(162,360):{'7_1':0.0},(162,359):{'3_1':0.03},(162,358):{'3_1':0.0},(162,357):{'3_1':0.0},(162,356):{'3_1':0.0},(162,355):{'3_1':0.0},(162,354):{'3_1':0.0},(162,352):{'3_1':0.0},(162,351):{'3_1':0.03},(162,350):{'3_1':0.03},(162,349):{'3_1':0.0},(162,348):{'3_1':0.03},(162,347):{'3_1':0.0},(162,345):{'3_1':0.0},(162,344):{'3_1':0.03},(162,343):{'3_1':0.0},(162,342):{'3_1':0.03},(162,341):{'3_1':0.03},(162,340):{'3_1':0.0,'4_1':0.0},(162,339):{'3_1':0.0},(162,338):{'3_1':0.0},(162,337):{'3_1':0.0},(162,336):{'3_1':0.0},(162,335):{'3_1':0.03},(162,334):{'3_1':0.0},(162,333):{'3_1':0.0},(162,332):{'3_1':0.0},(162,331):{'4_1':0.0},(162,330):{'3_1':0.0},(162,328):{'4_1':0.0},(162,327):{'3_1':0.0},(162,326):{'3_1':0.0},(162,325):{'3_1':0.0},(162,324):{'3_1':0.0},(162,322):{'3_1':0.0},(162,321):{'3_1':0.0},(162,320):{'3_1':0.0},(162,319):{'3_1':0.0},(162,318):{'3_1':0.0,'5_1':0.0},(162,317):{'3_1':0.0},(162,316):{'3_1':0.03,'4_1':0.0},(162,315):{'3_1':0.0},(162,313):{'3_1':0.0,'5_1':0.0},(162,312):{'3_1':0.0},(162,311):{'3_1':0.0,'4_1':0.0},(162,310):{'3_1':0.0},(162,309):{'3_1':0.0},(162,308):{'3_1':0.0},(162,307):{'3_1':0.0},(162,306):{'3_1':0.0},(162,305):{'3_1':0.0},(162,304):{'3_1':0.0},(162,303):{'3_1':0.0},(162,302):{'3_1':0.0},(162,301):{'3_1':0.0},(162,300):{'3_1':0.0},(162,299):{'3_1':0.0},(162,298):{'3_1':0.0},(162,297):{'3_1':0.0},(162,295):{'6_1':0.0},(162,294):{'3_1':0.0},(162,293):{'3_1':0.0},(162,292):{'3_1':0.0},(162,291):{'3_1':0.0},(162,290):{'3_1':0.0},(162,289):{'3_1':0.0},(162,288):{'3_1':0.0},(162,287):{'3_1':0.0},(162,286):{'3_1':0.0},(162,283):{'3_1':0.0},(162,282):{'3_1':0.0},(162,279):{'3_1':0.0},(162,277):{'3_1':0.0},(162,276):{'3_1':0.0},(162,275):{'3_1':0.0},(162,274):{'3_1':0.0},(162,273):{'3_1':0.0,'5_2':0.0},(162,271):{'3_1':0.0},(162,270):{'3_1':0.0},(162,269):{'3_1':0.0},(162,268):{'3_1':0.03},(162,267):{'3_1':0.0},(162,266):{'3_1':0.0},(162,264):{'3_1':0.0},(162,263):{'3_1':0.0},(162,262):{'3_1':0.0},(162,261):{'3_1':0.0},(162,260):{'3_1':0.0,'4_1':0.0},(162,258):{'3_1':0.0},(162,257):{'3_1':0.0},(162,256):{'3_1':0.0},(162,255):{'3_1':0.0},(162,253):{'3_1':0.0},(162,252):{'3_1':0.0},(162,251):{'3_1':0.0},(162,249):{'3_1':0.0,'5_1':0.0},(162,248):{'3_1':0.0},(162,245):{'3_1':0.0,'5_2':0.0},(162,243):{'3_1':0.0},(162,240):{'3_1':0.0},(162,239):{'3_1':0.0},(162,238):{'3_1':0.0},(162,237):{'3_1':0.0},(162,235):{'3_1':0.0},(162,234):{'3_1':0.0},(162,233):{'3_1':0.0,'5_1':0.0},(162,232):{'3_1':0.0},(162,230):{'3_1':0.0},(162,229):{'3_1':0.0},(162,226):{'3_1':0.0,'5_1':0.0},(162,225):{'3_1':0.0},(162,224):{'3_1':0.0},(162,223):{'3_1':0.0},(162,215):{'3_1':0.0},(162,214):{'3_1':0.0},(162,212):{'3_1':0.0},(162,211):{'3_1':0.0},(162,209):{'5_1':0.0},(162,207):{'3_1':0.0},(162,206):{'3_1':0.0},(162,205):{'3_1':0.0,'5_1':0.0},(162,202):{'3_1':0.0},(162,201):{'3_1':0.03},(162,200):{'3_1':0.0},(162,199):{'3_1':0.0},(162,198):{'3_1':0.0},(162,197):{'3_1':0.0},(162,195):{'3_1':0.0},(162,194):{'3_1':0.0},(162,193):{'3_1':0.0},(162,192):{'3_1':0.0,'4_1':0.0},(162,191):{'3_1':0.03,'7_2':0.0},(162,190):{'3_1':0.0},(163,727):{'5_2':0.27,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_13':0.0},(163,726):{'5_2':0.24,'7_2':0.09,'6_1':0.06,'7_5':0.06,'7_4':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0},(163,725):{'5_2':0.21,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(163,724):{'5_2':0.27,'7_2':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_4':0.03,'7_6':0.03,'7_3':0.0,'3_1':0.0,'6_2':0.0,'8_1':0.0,'3_1#5_2':0.0},(163,723):{'5_2':0.21,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'4_1':0.0},(163,722):{'5_2':0.3,'7_2':0.09,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_14':0.0},(163,721):{'5_2':0.27,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(163,720):{'5_2':0.24,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0,'9_1':0.0,'1':-0.03},(163,719):{'5_2':0.21,'7_2':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(163,718):{'5_2':0.33,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(163,717):{'5_2':0.24,'7_2':0.06,'7_5':0.06,'7_4':0.03,'7_3':0.03,'7_6':0.03,'8_14':0.0,'-3':0.0,'6_1':0.0,'8_4':0.0,'3_1':0.0,'5_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(163,716):{'5_2':0.27,'7_2':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0},(163,715):{'5_2':0.18,'-3':0.09,'6_1':0.06,'7_2':0.06,'7_5':0.03,'7_4':0.03,'4_1':0.0,'8_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(163,714):{'5_2':0.27,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(163,713):{'5_2':0.27,'6_1':0.09,'3_1':0.06,'7_3':0.06,'7_6':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'4_1':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0,'8_19':0.0},(163,712):{'5_2':0.33,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(163,711):{'5_2':0.27,'-3':0.12,'3_1':0.06,'7_5':0.03,'7_3':0.03,'7_2':0.03,'7_6':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(163,710):{'5_2':0.24,'7_5':0.06,'3_1':0.06,'-3':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_4':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(163,709):{'5_2':0.21,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_2':0.06,'7_5':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(163,708):{'5_2':0.15,'6_1':0.09,'-3':0.09,'7_3':0.06,'7_5':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0},(163,707):{'5_2':0.18,'6_1':0.06,'7_2':0.06,'3_1':0.06,'7_5':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'8_13':0.0},(163,706):{'5_2':0.21,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_13':0.0},(163,705):{'5_2':0.24,'6_1':0.06,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(163,704):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'-3':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(163,703):{'5_2':0.24,'-3':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'8_3':0.0},(163,702):{'5_2':0.27,'-3':0.12,'7_5':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(163,701):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'-3':0.06,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_13':0.0,'8_14':0.0},(163,700):{'5_2':0.21,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'8_14':0.0},(163,699):{'5_2':0.24,'7_2':0.06,'7_6':0.03,'-3':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(163,698):{'5_2':0.21,'7_2':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_4':0.0,'8_3':0.0,'8_8':0.0,'8_13':0.0},(163,697):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_3':0.06,'-3':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(163,696):{'5_2':0.3,'7_4':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(163,695):{'5_2':0.21,'6_1':0.09,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_4':0.0},(163,694):{'5_2':0.21,'3_1':0.06,'-3':0.06,'7_2':0.06,'7_4':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(163,693):{'5_2':0.24,'3_1':0.12,'6_1':0.09,'-3':0.06,'7_5':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(163,692):{'5_2':0.24,'7_3':0.06,'7_4':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'3_1#5_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(163,691):{'5_2':0.36,'3_1':0.06,'6_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'8_14':0.0},(163,690):{'5_2':0.21,'3_1':0.09,'7_3':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(163,689):{'5_2':0.21,'3_1':0.12,'4_1':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'3_1#5_2':0.0,'1':-0.03},(163,688):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'7_4':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_3':0.0},(163,687):{'5_2':0.3,'3_1':0.09,'7_4':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_6':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(163,686):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(163,685):{'3_1':0.15,'5_2':0.15,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_19':0.0},(163,684):{'5_2':0.24,'3_1':0.12,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(163,683):{'5_2':0.27,'3_1':0.15,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(163,682):{'5_2':0.18,'3_1':0.15,'7_3':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'5_1':0.0,'8_19':0.0},(163,681):{'5_2':0.21,'3_1':0.12,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_5':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(163,680):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(163,679):{'3_1':0.24,'5_2':0.21,'7_4':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(163,678):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(163,677):{'5_2':0.24,'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(163,676):{'3_1':0.18,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(163,675):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(163,674):{'5_2':0.24,'3_1':0.18,'4_1':0.06,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(163,673):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(163,672):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.06,'7_3':0.03,'7_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(163,671):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0},(163,670):{'3_1':0.18,'5_2':0.12,'-3':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(163,669):{'3_1':0.24,'5_2':0.18,'4_1':0.09,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(163,668):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(163,667):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'7_4':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(163,666):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(163,665):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(163,664):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(163,663):{'3_1':0.24,'5_2':0.12,'7_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,662):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(163,661):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0},(163,660):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(163,659):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0},(163,658):{'3_1':0.27,'5_2':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0},(163,657):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,656):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(163,655):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(163,654):{'3_1':0.33,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(163,653):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(163,652):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(163,651):{'3_1':0.3,'5_2':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(163,650):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(163,649):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,648):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,647):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(163,646):{'3_1':0.21,'5_1':0.09,'4_1':0.06,'5_2':0.06,'7_3':0.0,'7_5':0.0},(163,645):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(163,644):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(163,643):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,642):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(163,641):{'3_1':0.24,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'7_3':0.0},(163,640):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'-3':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(163,639):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_3':0.0,'8_19':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(163,638):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'8_2':0.0},(163,637):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(163,636):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(163,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(163,634):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(163,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'8_21|3_1#4_1':0.0,'-3':0.0},(163,632):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(163,631):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(163,630):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0},(163,629):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(163,628):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(163,627):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_19':0.0},(163,626):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(163,625):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(163,624):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(163,623):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(163,622):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0},(163,621):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_10':0.0},(163,620):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(163,619):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(163,618):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(163,617):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(163,616):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0},(163,615):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(163,614):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(163,613):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0},(163,612):{'3_1':0.12,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(163,611):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0},(163,610):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0},(163,609):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(163,608):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(163,607):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'7_3':0.0,'5_2':0.0,'6_2':0.0},(163,606):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(163,605):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(163,604):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(163,603):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.0},(163,602):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(163,601):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(163,600):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0},(163,599):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(163,598):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0},(163,597):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'8_4':0.0},(163,596):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0},(163,595):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(163,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(163,593):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(163,592):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(163,591):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(163,590):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(163,589):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(163,588):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(163,587):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_4':0.0},(163,586):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0},(163,585):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(163,584):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0},(163,583):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0},(163,582):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(163,581):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0},(163,580):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0},(163,579):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(163,578):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(163,577):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(163,576):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(163,575):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(163,574):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(163,573):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(163,572):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(163,571):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(163,570):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(163,569):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(163,568):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(163,567):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(163,566):{'3_1':0.12,'4_1':0.03},(163,565):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(163,564):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(163,563):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(163,562):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(163,561):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(163,560):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0},(163,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(163,558):{'3_1':0.21,'4_1':0.0,'7_3':0.0},(163,557):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(163,556):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(163,555):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(163,554):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(163,553):{'3_1':0.18,'5_2':0.0,'6_2':0.0},(163,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(163,551):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(163,550):{'3_1':0.27,'5_1':0.03},(163,549):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(163,548):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0},(163,547):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0},(163,546):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(163,545):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0},(163,544):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(163,543):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(163,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,541):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(163,540):{'3_1':0.21,'5_1':0.06,'5_2':0.0},(163,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(163,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(163,537):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0},(163,536):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(163,535):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'7_3':0.0},(163,534):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'8_2':0.0},(163,533):{'3_1':0.18,'5_1':0.0},(163,532):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(163,531):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(163,530):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(163,529):{'3_1':0.12,'5_2':0.0},(163,528):{'3_1':0.15,'5_1':0.0},(163,527):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(163,526):{'3_1':0.21,'4_1':0.0},(163,525):{'3_1':0.12,'4_1':0.0},(163,524):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,523):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(163,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,521):{'3_1':0.12,'5_1':0.0},(163,520):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(163,519):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(163,518):{'3_1':0.06,'4_1':0.0},(163,517):{'3_1':0.06,'5_2':0.0},(163,516):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(163,515):{'3_1':0.0,'4_1':0.0},(163,514):{'3_1':0.06,'4_1':0.0},(163,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(163,512):{'3_1':0.06},(163,511):{'3_1':0.06,'5_1':0.0},(163,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(163,509):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(163,508):{'3_1':0.03},(163,507):{'3_1':0.06},(163,506):{'3_1':0.09,'4_1':0.0},(163,505):{'3_1':0.06},(163,504):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(163,503):{'3_1':0.09},(163,502):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(163,501):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(163,500):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(163,499):{'3_1':0.09},(163,498):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(163,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(163,496):{'3_1':0.03,'4_1':0.0},(163,495):{'3_1':0.09,'5_1':0.0},(163,494):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(163,493):{'3_1':0.12,'5_2':0.0},(163,492):{'3_1':0.03},(163,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,490):{'3_1':0.03,'4_1':0.0},(163,489):{'3_1':0.09,'4_1':0.0},(163,488):{'3_1':0.09,'4_1':0.0},(163,487):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(163,486):{'3_1':0.06,'4_1':0.0},(163,485):{'3_1':0.12,'5_2':0.0},(163,484):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(163,483):{'3_1':0.06,'5_2':0.0},(163,482):{'3_1':0.09},(163,481):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(163,480):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(163,479):{'3_1':0.09,'5_1':0.0},(163,478):{'3_1':0.09},(163,477):{'3_1':0.09,'5_1':0.0},(163,476):{'3_1':0.06,'5_2':0.0},(163,475):{'3_1':0.06,'7_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,474):{'3_1':0.09,'5_2':0.0},(163,473):{'3_1':0.12,'4_1':0.0},(163,472):{'3_1':0.03,'4_1':0.0},(163,471):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(163,470):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(163,469):{'3_1':0.03},(163,468):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(163,467):{'3_1':0.03,'4_1':0.0},(163,466):{'3_1':0.03},(163,465):{'3_1':0.03,'4_1':0.0},(163,464):{'3_1':0.03,'5_2':0.0},(163,463):{'3_1':0.06},(163,462):{'3_1':0.0},(163,461):{'3_1':0.0},(163,460):{'3_1':0.06,'4_1':0.0},(163,459):{'3_1':0.03,'4_1':0.0},(163,458):{'3_1':0.06},(163,457):{'3_1':0.03},(163,456):{'3_1':0.03,'4_1':0.0},(163,455):{'3_1':0.0,'4_1':0.0},(163,454):{'3_1':0.0,'4_1':0.0},(163,453):{'3_1':0.06,'5_2':0.0},(163,452):{'3_1':0.03},(163,451):{'3_1':0.03,'5_2':0.0},(163,450):{'3_1':0.0,'5_1':0.0},(163,449):{'3_1':0.06,'5_1':0.0},(163,448):{'3_1':0.09,'6_2':0.0},(163,447):{'3_1':0.03},(163,446):{'3_1':0.0,'4_1':0.0},(163,445):{'3_1':0.0,'4_1':0.0},(163,444):{'3_1':0.03,'5_2':0.0},(163,443):{'3_1':0.0,'4_1':0.0},(163,442):{'3_1':0.0,'4_1':0.0},(163,441):{'3_1':0.03},(163,440):{'3_1':0.03,'4_1':0.0},(163,439):{'3_1':0.06,'6_2':0.0},(163,438):{'3_1':0.03,'5_1':0.0},(163,437):{'3_1':0.03},(163,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(163,435):{'3_1':0.0},(163,434):{'3_1':0.0,'5_1':0.0},(163,433):{'3_1':0.0,'5_2':0.0},(163,432):{'3_1':0.06,'4_1':0.0},(163,431):{'3_1':0.0,'5_1':0.0},(163,430):{'3_1':0.0,'4_1':0.0},(163,429):{'3_1':0.03,'4_1':0.0},(163,428):{'3_1':0.06,'4_1':0.0},(163,427):{'3_1':0.03,'4_1':0.0},(163,426):{'3_1':0.06},(163,425):{'3_1':0.0,'5_2':0.0},(163,424):{'3_1':0.0},(163,423):{'3_1':0.06,'4_1':0.0},(163,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(163,421):{'3_1':0.0,'4_1':0.0},(163,420):{'3_1':0.0,'4_1':0.0},(163,419):{'3_1':0.0,'5_1':0.0},(163,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(163,417):{'3_1':0.0,'4_1':0.0},(163,416):{'3_1':0.06,'6_1':0.0},(163,415):{'3_1':0.0},(163,414):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(163,413):{'3_1':0.0},(163,411):{'3_1':0.0,'6_1':0.0},(163,410):{'3_1':0.0},(163,409):{'3_1':0.0},(163,408):{'3_1':0.0},(163,407):{'3_1':0.0},(163,405):{'3_1':0.0},(163,404):{'3_1':0.0,'4_1':0.0},(163,403):{'3_1':0.0},(163,402):{'3_1':0.03},(163,401):{'3_1':0.03},(163,400):{'3_1':0.0},(163,399):{'3_1':0.03,'4_1':0.0},(163,398):{'3_1':0.0,'8_3':0.0},(163,396):{'3_1':0.0},(163,395):{'3_1':0.0},(163,394):{'3_1':0.0},(163,393):{'3_1':0.0},(163,392):{'3_1':0.0},(163,391):{'3_1':0.03},(163,390):{'3_1':0.0},(163,389):{'3_1':0.0},(163,388):{'3_1':0.0},(163,387):{'3_1':0.0,'4_1':0.0},(163,386):{'3_1':0.0},(163,385):{'3_1':0.0},(163,384):{'3_1':0.0},(163,383):{'3_1':0.03},(163,382):{'3_1':0.0},(163,381):{'3_1':0.0},(163,380):{'3_1':0.0},(163,379):{'3_1':0.06},(163,378):{'3_1':0.03},(163,377):{'3_1':0.03,'4_1':0.0},(163,376):{'3_1':0.06,'4_1':0.0},(163,375):{'3_1':0.03},(163,374):{'3_1':0.06},(163,373):{'3_1':0.0},(163,372):{'3_1':0.03,'5_1':0.0},(163,371):{'3_1':0.0},(163,370):{'3_1':0.0},(163,369):{'3_1':0.03},(163,368):{'3_1':0.0,'4_1':0.0},(163,367):{'3_1':0.0},(163,366):{'3_1':0.03,'4_1':0.0},(163,365):{'3_1':0.03},(163,364):{'3_1':0.0},(163,363):{'3_1':0.0},(163,362):{'3_1':0.0},(163,361):{'3_1':0.0},(163,360):{'3_1':0.06},(163,359):{'3_1':0.0},(163,358):{'3_1':0.0},(163,357):{'3_1':0.0},(163,356):{'3_1':0.0},(163,355):{'3_1':0.03,'5_2':0.0},(163,354):{'3_1':0.0},(163,352):{'3_1':0.0,'4_1':0.0},(163,351):{'3_1':0.0,'4_1':0.0},(163,350):{'3_1':0.0},(163,349):{'3_1':0.0},(163,348):{'3_1':0.0},(163,347):{'3_1':0.0},(163,346):{'3_1':0.0},(163,345):{'3_1':0.0},(163,342):{'3_1':0.0},(163,341):{'3_1':0.0},(163,340):{'3_1':0.03},(163,339):{'3_1':0.0},(163,338):{'3_1':0.0},(163,337):{'3_1':0.0},(163,336):{'3_1':0.0},(163,335):{'3_1':0.0},(163,334):{'3_1':0.03,'4_1':0.0},(163,333):{'3_1':0.0},(163,332):{'3_1':0.0},(163,331):{'3_1':0.0},(163,330):{'4_1':0.0},(163,329):{'3_1':0.0,'5_2':0.0},(163,328):{'3_1':0.03},(163,327):{'3_1':0.0,'4_1':0.0},(163,326):{'3_1':0.0},(163,325):{'3_1':0.0},(163,324):{'3_1':0.0},(163,322):{'3_1':0.0},(163,321):{'3_1':0.0},(163,320):{'3_1':0.0},(163,319):{'3_1':0.0},(163,318):{'3_1':0.03},(163,317):{'3_1':0.0},(163,316):{'3_1':0.0},(163,315):{'3_1':0.03},(163,314):{'3_1':0.0},(163,313):{'3_1':0.0},(163,312):{'3_1':0.0},(163,311):{'3_1':0.0},(163,310):{'3_1':0.0},(163,309):{'3_1':0.03},(163,308):{'3_1':0.03},(163,307):{'3_1':0.0},(163,306):{'3_1':0.06},(163,305):{'3_1':0.0,'5_1':0.0},(163,304):{'3_1':0.0},(163,303):{'3_1':0.0},(163,302):{'3_1':0.0},(163,301):{'3_1':0.0},(163,300):{'3_1':0.0},(163,299):{'3_1':0.0},(163,298):{'3_1':0.0},(163,297):{'3_1':0.0},(163,296):{'3_1':0.0},(163,295):{'3_1':0.0},(163,294):{'3_1':0.0},(163,293):{'3_1':0.0},(163,292):{'3_1':0.0},(163,291):{'3_1':0.0},(163,290):{'3_1':0.0},(163,289):{'3_1':0.0},(163,288):{'3_1':0.0},(163,285):{'3_1':0.0},(163,284):{'4_1':0.0},(163,280):{'3_1':0.0},(163,279):{'3_1':0.0},(163,278):{'3_1':0.0},(163,277):{'3_1':0.0},(163,274):{'3_1':0.0},(163,273):{'3_1':0.0},(163,270):{'3_1':0.0},(163,269):{'3_1':0.0},(163,267):{'3_1':0.0},(163,265):{'3_1':0.0},(163,264):{'3_1':0.0},(163,262):{'3_1':0.0},(163,258):{'3_1':0.0},(163,257):{'5_1':0.0},(163,254):{'3_1':0.0,'5_1':0.0},(163,251):{'3_1':0.0},(163,250):{'3_1':0.0},(163,248):{'3_1':0.0},(163,246):{'3_1':0.0},(163,243):{'3_1':0.0,'5_1':0.0},(163,242):{'3_1':0.0,'5_1':0.0},(163,241):{'3_1':0.0},(163,240):{'3_1':0.0},(163,239):{'3_1':0.0},(163,238):{'3_1':0.0,'5_1':0.0},(163,237):{'3_1':0.0},(163,236):{'7_1':0.0,'3_1':0.0,'4_1':0.0},(163,235):{'3_1':0.0},(163,234):{'3_1':0.0},(163,232):{'3_1':0.03},(163,231):{'3_1':0.0},(163,230):{'3_1':0.0},(163,229):{'3_1':0.0},(163,228):{'3_1':0.0},(163,226):{'3_1':0.0},(163,224):{'3_1':0.0},(163,216):{'3_1':0.0},(163,212):{'3_1':0.0},(163,211):{'3_1':0.0},(163,210):{'3_1':0.0},(163,208):{'3_1':0.03},(163,207):{'3_1':0.03,'6_1':0.0},(163,205):{'3_1':0.0},(163,204):{'3_1':0.0},(163,203):{'3_1':0.0},(163,201):{'3_1':0.03},(163,200):{'3_1':0.0},(163,199):{'3_1':0.0},(163,198):{'3_1':0.0,'4_1':0.0},(163,197):{'3_1':0.0},(163,196):{'3_1':0.0},(163,194):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(163,192):{'3_1':0.0},(163,191):{'3_1':0.0},(163,190):{'3_1':0.0},(163,185):{'3_1':0.0},(163,184):{'7_2':0.0},(164,727):{'5_2':0.36,'7_2':0.09,'-3':0.06,'7_5':0.03,'6_1':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(164,726):{'5_2':0.24,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0},(164,725):{'5_2':0.33,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(164,724):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'-3':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(164,723):{'5_2':0.24,'6_1':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(164,722):{'5_2':0.3,'7_2':0.09,'-3':0.09,'7_3':0.06,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_11':0.0},(164,721):{'5_2':0.27,'6_1':0.06,'7_2':0.06,'3_1':0.03,'-3':0.03,'7_5':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(164,720):{'5_2':0.33,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'9_1':0.0,'1':-0.03},(164,719):{'5_2':0.27,'7_2':0.06,'-3':0.06,'6_1':0.06,'7_5':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'8_4':0.0,'8_11':0.0},(164,718):{'5_2':0.24,'6_1':0.09,'7_2':0.09,'7_3':0.09,'-3':0.03,'3_1':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(164,717):{'5_2':0.27,'7_2':0.09,'7_3':0.06,'-3':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_5':0.0},(164,716):{'5_2':0.27,'3_1':0.06,'7_2':0.06,'6_1':0.06,'7_5':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(164,715):{'5_2':0.27,'6_1':0.06,'7_2':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0,'4_1':0.0},(164,714):{'5_2':0.18,'7_2':0.06,'7_3':0.06,'-3':0.06,'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'1':-0.03},(164,713):{'5_2':0.27,'7_2':0.06,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(164,712):{'5_2':0.21,'-3':0.06,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(164,711):{'5_2':0.24,'7_5':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'8_1':0.0},(164,710):{'5_2':0.21,'7_2':0.09,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'1':-0.03},(164,709):{'5_2':0.21,'-3':0.18,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(164,708):{'5_2':0.18,'-3':0.12,'7_2':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_6':0.0,'9_1':0.0},(164,707):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'7_5':0.06,'7_2':0.06,'-3':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(164,706):{'5_2':0.3,'-3':0.06,'7_2':0.06,'7_3':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(164,705):{'5_2':0.33,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_11':0.0},(164,704):{'5_2':0.24,'-3':0.06,'7_2':0.06,'7_4':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'3_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(164,703):{'5_2':0.24,'7_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0},(164,702):{'5_2':0.27,'7_2':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0},(164,701):{'5_2':0.21,'7_4':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(164,700):{'5_2':0.21,'3_1':0.09,'7_5':0.06,'7_3':0.06,'6_1':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'1':-0.03},(164,699):{'5_2':0.27,'3_1':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(164,698):{'5_2':0.3,'7_2':0.09,'-3':0.09,'6_1':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_7':0.0},(164,697):{'5_2':0.27,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_11':0.0,'6_2':0.0,'7_4':0.0},(164,696):{'5_2':0.21,'7_2':0.09,'3_1':0.06,'7_3':0.06,'-3':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0},(164,695):{'5_2':0.27,'7_3':0.12,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(164,694):{'5_2':0.33,'3_1':0.09,'7_2':0.06,'7_3':0.06,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'8_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(164,693):{'5_2':0.24,'7_2':0.06,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'8_8':0.0,'1':-0.03},(164,692):{'5_2':0.24,'7_4':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(164,691):{'5_2':0.18,'3_1':0.06,'-3':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_8':0.0},(164,690):{'5_2':0.18,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_2':0.06,'7_3':0.06,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_9':0.0,'8_11':0.0,'1':-0.03},(164,689):{'5_2':0.24,'3_1':0.12,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0},(164,688):{'5_2':0.24,'3_1':0.09,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_7':0.0},(164,687):{'5_2':0.24,'3_1':0.15,'7_2':0.06,'7_4':0.06,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(164,686):{'5_2':0.24,'3_1':0.12,'7_2':0.06,'7_5':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(164,685):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(164,684):{'5_2':0.27,'3_1':0.18,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'1':-0.03},(164,683):{'3_1':0.18,'5_2':0.15,'7_4':0.09,'7_3':0.03,'7_2':0.03,'-3':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0},(164,682):{'3_1':0.21,'5_2':0.12,'7_4':0.06,'4_1':0.06,'7_3':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0},(164,681):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(164,680):{'5_2':0.21,'3_1':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(164,679):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(164,678):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(164,677):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'8_8':0.0},(164,676):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(164,675):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0,'-3':0.0},(164,674):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'8_13':0.0,'-3':0.0},(164,673):{'5_2':0.21,'3_1':0.21,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(164,672):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(164,671):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(164,670):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0},(164,669):{'5_2':0.18,'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(164,668):{'5_2':0.21,'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(164,667):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0},(164,666):{'5_2':0.18,'3_1':0.15,'7_3':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(164,665):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(164,664):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'6_1':0.0,'7_7':0.0},(164,663):{'3_1':0.21,'5_2':0.21,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(164,662):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(164,661):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0},(164,660):{'3_1':0.24,'5_2':0.15,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(164,659):{'3_1':0.33,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(164,658):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(164,657):{'3_1':0.3,'5_2':0.21,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(164,656):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(164,655):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(164,654):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0},(164,653):{'3_1':0.21,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_14':0.0},(164,652):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(164,651):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(164,650):{'3_1':0.21,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(164,649):{'3_1':0.27,'5_1':0.12,'5_2':0.09,'4_1':0.03,'8_19':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(164,648):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0},(164,647):{'3_1':0.24,'5_2':0.18,'5_1':0.09,'7_3':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(164,646):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(164,645):{'3_1':0.15,'5_1':0.15,'5_2':0.12,'4_1':0.06,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'9_1':0.0},(164,644):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(164,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'6_2':0.0,'-3':0.0},(164,642):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(164,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_19':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(164,640):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(164,639):{'3_1':0.21,'5_1':0.12,'5_2':0.03,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(164,638):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(164,637):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0},(164,636):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(164,635):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(164,634):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_17':0.0,'8_21|3_1#4_1':0.0},(164,633):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(164,632):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(164,631):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(164,630):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(164,629):{'5_1':0.09,'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(164,628):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(164,627):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0},(164,626):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_1':0.03,'4_1':0.0,'7_3':0.0},(164,625):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(164,624):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(164,623):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_16':0.0,'8_19':0.0},(164,622):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(164,621):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(164,620):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(164,619):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(164,618):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(164,617):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(164,616):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'8_19':0.0,'4_1':0.0},(164,615):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(164,614):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'8_19':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(164,613):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(164,612):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0},(164,611):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(164,610):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(164,609):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(164,608):{'3_1':0.18,'5_2':0.03},(164,607):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(164,606):{'3_1':0.24,'5_2':0.03,'7_3':0.0,'5_1':0.0,'8_19':0.0},(164,605):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(164,604):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(164,603):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03},(164,602):{'3_1':0.21,'4_1':0.03,'5_1':0.03},(164,601):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(164,600):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(164,599):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0},(164,598):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(164,597):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_6':0.0},(164,596):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(164,595):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(164,594):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0},(164,593):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_4':0.0},(164,592):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0},(164,591):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(164,590):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(164,589):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(164,588):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(164,587):{'3_1':0.18,'4_1':0.12,'5_2':0.0},(164,586):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(164,585):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(164,584):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(164,583):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(164,582):{'3_1':0.15,'4_1':0.06,'7_3':0.0},(164,581):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(164,580):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(164,579):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(164,578):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(164,577):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(164,576):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(164,575):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(164,574):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(164,573):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(164,572):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(164,571):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(164,570):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(164,569):{'3_1':0.21,'4_1':0.0},(164,568):{'3_1':0.21,'4_1':0.0,'6_1':0.0,'-3':0.0},(164,567):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(164,566):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(164,565):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(164,564):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_11':0.0},(164,563):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,562):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(164,561):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,560):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(164,559):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(164,558):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(164,557):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(164,556):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(164,555):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,553):{'3_1':0.15,'5_1':0.0,'7_2':0.0,'4_1':0.0,'5_2':0.0},(164,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(164,551):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(164,550):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(164,549):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(164,548):{'3_1':0.3,'4_1':0.0,'5_1':0.0},(164,547):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_4':0.0},(164,546):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(164,545):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(164,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(164,543):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(164,542):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(164,541):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(164,540):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(164,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(164,538):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(164,537):{'3_1':0.3,'5_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0},(164,536):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(164,535):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(164,534):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(164,533):{'3_1':0.21,'5_1':0.0},(164,532):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(164,531):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(164,530):{'3_1':0.18,'5_1':0.0},(164,529):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(164,528):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(164,527):{'3_1':0.27},(164,526):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(164,525):{'3_1':0.18,'5_1':0.0},(164,524):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(164,523):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(164,522):{'3_1':0.06,'8_20|3_1#3_1':0.0},(164,521):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(164,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(164,519):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(164,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(164,517):{'3_1':0.06,'5_1':0.0},(164,516):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(164,515):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(164,514):{'3_1':0.09},(164,513):{'3_1':0.06,'5_1':0.0,'-3':0.0},(164,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(164,511):{'3_1':0.12},(164,510):{'3_1':0.12,'5_2':0.0},(164,509):{'3_1':0.06},(164,508):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(164,507):{'3_1':0.06,'4_1':0.0},(164,506):{'3_1':0.09,'5_2':0.0},(164,505):{'3_1':0.03,'4_1':0.0},(164,504):{'3_1':0.0,'4_1':0.0},(164,503):{'3_1':0.03,'5_1':0.0},(164,502):{'3_1':0.06,'5_2':0.0},(164,501):{'3_1':0.06},(164,500):{'3_1':0.0,'4_1':0.0},(164,499):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,498):{'3_1':0.09},(164,497):{'3_1':0.03,'4_1':0.0},(164,496):{'4_1':0.0,'3_1':0.0},(164,495):{'3_1':0.06,'4_1':0.0},(164,494):{'3_1':0.03,'4_1':0.0},(164,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(164,492):{'3_1':0.09,'4_1':0.0},(164,491):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(164,490):{'3_1':0.09,'5_1':0.0},(164,489):{'3_1':0.09,'4_1':0.0},(164,488):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(164,487):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(164,486):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(164,485):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(164,484):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(164,483):{'3_1':0.06},(164,482):{'3_1':0.15},(164,481):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(164,480):{'3_1':0.06,'5_1':0.0},(164,479):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(164,478):{'3_1':0.06,'5_2':0.0},(164,477):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(164,476):{'3_1':0.09,'5_1':0.0},(164,475):{'3_1':0.06,'4_1':0.0},(164,474):{'3_1':0.09,'5_1':0.0},(164,473):{'3_1':0.12,'5_1':0.0},(164,472):{'3_1':0.09,'5_1':0.0},(164,471):{'3_1':0.12},(164,470):{'3_1':0.0,'5_2':0.0},(164,469):{'3_1':0.03},(164,468):{'3_1':0.03},(164,467):{'3_1':0.06,'4_1':0.0},(164,466):{'3_1':0.03,'4_1':0.0},(164,465):{'3_1':0.06,'4_1':0.0},(164,464):{'3_1':0.03},(164,463):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(164,462):{'3_1':0.06},(164,461):{'3_1':0.03,'4_1':0.0},(164,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(164,459):{'3_1':0.0,'4_1':0.0},(164,457):{'3_1':0.03},(164,456):{'3_1':0.0},(164,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(164,454):{'3_1':0.06,'5_1':0.0},(164,453):{'3_1':0.0,'4_1':0.0},(164,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(164,451):{'3_1':0.03,'5_2':0.0,'8_4':0.0},(164,450):{'3_1':0.06,'5_2':0.0},(164,449):{'3_1':0.03,'5_1':0.0},(164,448):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(164,447):{'4_1':0.03,'3_1':0.0},(164,446):{'3_1':0.0},(164,445):{'3_1':0.0,'4_1':0.0},(164,444):{'3_1':0.03},(164,443):{'3_1':0.0,'5_1':0.0},(164,442):{'3_1':0.03,'4_1':0.0},(164,441):{'3_1':0.0,'4_1':0.0},(164,440):{'3_1':0.03},(164,439):{'3_1':0.0},(164,438):{'3_1':0.0},(164,437):{'3_1':0.03,'4_1':0.0},(164,436):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(164,435):{'3_1':0.0},(164,434):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(164,433):{'3_1':0.0},(164,432):{'3_1':0.0},(164,431):{'3_1':0.03,'4_1':0.0},(164,430):{'3_1':0.03},(164,429):{'3_1':0.0,'4_1':0.0},(164,428):{'3_1':0.06,'4_1':0.0},(164,427):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(164,426):{'3_1':0.0,'4_1':0.0},(164,425):{'3_1':0.03},(164,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(164,423):{'3_1':0.03},(164,422):{'3_1':0.0},(164,421):{'3_1':0.0,'4_1':0.0},(164,420):{'4_1':0.0},(164,419):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(164,418):{'3_1':0.0,'4_1':0.0},(164,417):{'3_1':0.03,'5_1':0.0},(164,416):{'3_1':0.03,'6_1':0.0},(164,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(164,414):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(164,413):{'4_1':0.0,'5_1':0.0,'7_1':0.0},(164,412):{'3_1':0.0,'5_1':0.0},(164,410):{'3_1':0.0},(164,409):{'3_1':0.0,'5_1':0.0},(164,408):{'3_1':0.0},(164,407):{'3_1':0.0},(164,406):{'3_1':0.0},(164,405):{'3_1':0.0,'6_1':0.0},(164,404):{'3_1':0.0},(164,403):{'3_1':0.0},(164,402):{'3_1':0.0},(164,401):{'3_1':0.0,'4_1':0.0},(164,400):{'3_1':0.0,'4_1':0.0},(164,399):{'3_1':0.03},(164,398):{'3_1':0.0},(164,397):{'3_1':0.0},(164,396):{'3_1':0.0},(164,395):{'3_1':0.03},(164,394):{'3_1':0.0},(164,393):{'3_1':0.0},(164,392):{'3_1':0.0},(164,391):{'3_1':0.03},(164,390):{'3_1':0.0,'4_1':0.0},(164,389):{'3_1':0.0,'4_1':0.0},(164,388):{'3_1':0.0},(164,387):{'3_1':0.03},(164,386):{'3_1':0.0},(164,385):{'3_1':0.0},(164,384):{'3_1':0.0,'5_1':0.0},(164,383):{'3_1':0.03},(164,382):{'3_1':0.0},(164,381):{'3_1':0.03},(164,380):{'3_1':0.06,'5_1':0.0},(164,379):{'5_1':0.0,'3_1':0.0},(164,378):{'3_1':0.03,'5_1':0.0},(164,377):{'3_1':0.0},(164,376):{'3_1':0.0,'5_1':0.0},(164,375):{'3_1':0.03},(164,374):{'3_1':0.03,'5_1':0.0},(164,373):{'3_1':0.0,'5_1':0.0},(164,372):{'3_1':0.03},(164,371):{'3_1':0.0},(164,370):{'3_1':0.09},(164,369):{'3_1':0.03},(164,368):{'3_1':0.0,'9_1':0.0},(164,367):{'3_1':0.06,'4_1':0.0},(164,366):{'3_1':0.03,'5_1':0.0},(164,365):{'3_1':0.0},(164,364):{'3_1':0.0},(164,363):{'3_1':0.03},(164,362):{'3_1':0.0,'7_1':0.0},(164,361):{'3_1':0.0},(164,360):{'3_1':0.03},(164,359):{'3_1':0.03},(164,358):{'3_1':0.0},(164,357):{'3_1':0.0},(164,356):{'3_1':0.03},(164,353):{'3_1':0.03},(164,352):{'3_1':0.0},(164,351):{'3_1':0.0,'4_1':0.0},(164,350):{'3_1':0.0},(164,349):{'3_1':0.0},(164,348):{'3_1':0.0},(164,346):{'3_1':0.0,'4_1':0.0},(164,345):{'3_1':0.0},(164,344):{'3_1':0.0,'4_1':0.0},(164,343):{'3_1':0.0},(164,342):{'3_1':0.0},(164,340):{'3_1':0.03,'4_1':0.0},(164,339):{'3_1':0.03},(164,338):{'3_1':0.03},(164,337):{'3_1':0.0,'5_1':0.0},(164,336):{'3_1':0.0},(164,335):{'3_1':0.0},(164,332):{'3_1':0.0,'5_2':0.0},(164,331):{'5_1':0.0},(164,330):{'3_1':0.0},(164,329):{'3_1':0.0},(164,328):{'3_1':0.0},(164,326):{'3_1':0.0},(164,325):{'3_1':0.03},(164,323):{'3_1':0.0},(164,322):{'3_1':0.0},(164,320):{'4_1':0.0},(164,319):{'3_1':0.0},(164,318):{'3_1':0.03},(164,317):{'3_1':0.0},(164,316):{'3_1':0.0},(164,315):{'3_1':0.0},(164,314):{'3_1':0.0},(164,311):{'3_1':0.0,'5_1':0.0},(164,310):{'3_1':0.0},(164,308):{'3_1':0.0},(164,307):{'3_1':0.0},(164,306):{'3_1':0.0},(164,305):{'3_1':0.0},(164,304):{'3_1':0.0},(164,301):{'3_1':0.03},(164,299):{'3_1':0.0},(164,298):{'3_1':0.0},(164,297):{'3_1':0.03},(164,296):{'3_1':0.0},(164,294):{'3_1':0.0},(164,291):{'3_1':0.0},(164,285):{'3_1':0.0},(164,284):{'3_1':0.0,'4_1':0.0},(164,282):{'3_1':0.0},(164,281):{'5_2':0.0},(164,279):{'3_1':0.0},(164,278):{'3_1':0.0},(164,276):{'3_1':0.0},(164,274):{'3_1':0.0},(164,273):{'3_1':0.0},(164,272):{'3_1':0.0},(164,271):{'3_1':0.0},(164,264):{'3_1':0.0},(164,262):{'3_1':0.0},(164,261):{'3_1':0.0},(164,260):{'3_1':0.0},(164,257):{'3_1':0.0},(164,256):{'3_1':0.0},(164,255):{'3_1':0.0},(164,254):{'3_1':0.0},(164,253):{'3_1':0.0},(164,250):{'3_1':0.0},(164,249):{'3_1':0.0},(164,248):{'3_1':0.0},(164,245):{'3_1':0.0},(164,243):{'3_1':0.0},(164,241):{'5_1':0.0},(164,240):{'3_1':0.0},(164,239):{'3_1':0.0},(164,237):{'3_1':0.0},(164,234):{'3_1':0.0},(164,233):{'3_1':0.0,'7_1':0.0},(164,230):{'3_1':0.0,'5_1':0.0},(164,229):{'3_1':0.0},(164,225):{'3_1':0.0},(164,224):{'3_1':0.0},(164,216):{'3_1':0.0},(164,215):{'3_1':0.0},(164,214):{'3_1':0.0},(164,212):{'3_1':0.0},(164,211):{'5_2':0.0},(164,207):{'3_1':0.0},(164,206):{'3_1':0.0,'5_1':0.0},(164,205):{'3_1':0.0,'5_1':0.0},(164,204):{'3_1':0.0},(164,203):{'3_1':0.0},(164,202):{'3_1':0.0,'5_1':0.0},(164,201):{'3_1':0.0},(164,200):{'3_1':0.0},(164,199):{'3_1':0.0},(164,198):{'3_1':0.0},(164,195):{'3_1':0.0},(164,194):{'3_1':0.0},(164,193):{'5_1':0.0},(164,192):{'3_1':0.0,'5_1':0.0},(164,191):{'5_1':0.0,'5_2':0.0},(164,190):{'3_1':0.0},(164,189):{'3_1':0.0},(164,188):{'3_1':0.0},(164,186):{'3_1':0.0},(164,185):{'3_1':0.0},(164,183):{'3_1':0.0},(165,727):{'5_2':0.27,'-3':0.06,'7_5':0.06,'7_2':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(165,726):{'5_2':0.27,'6_1':0.09,'7_2':0.09,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0},(165,725):{'5_2':0.3,'7_2':0.09,'7_5':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'3_1':0.0,'1':-0.03},(165,724):{'5_2':0.36,'3_1':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(165,723):{'5_2':0.33,'6_1':0.09,'7_5':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'1':-0.03},(165,722):{'5_2':0.33,'6_1':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0},(165,721):{'5_2':0.21,'7_2':0.12,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'6_2':0.0,'1':-0.03},(165,720):{'5_2':0.33,'7_2':0.09,'6_1':0.09,'7_5':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(165,719):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'1':-0.03},(165,718):{'5_2':0.21,'7_2':0.12,'6_1':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'7_3':0.0,'8_3':0.0,'4_1':0.0,'8_6':0.0,'3_1#5_2':0.0,'-3':0.0},(165,717):{'5_2':0.24,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'5_1':0.0,'8_8':0.0,'8_15':0.0,'3_1#5_2':0.0},(165,716):{'5_2':0.36,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(165,715):{'5_2':0.3,'7_4':0.03,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_13':0.0},(165,714):{'5_2':0.3,'6_1':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(165,713):{'5_2':0.24,'7_2':0.06,'7_4':0.03,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'-3':0.0,'3_1':0.0,'8_1':0.0,'8_11':0.0},(165,712):{'5_2':0.21,'-3':0.09,'6_1':0.06,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_14':0.0},(165,711):{'5_2':0.27,'-3':0.09,'3_1':0.09,'7_2':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_6':0.0,'7_5':0.0,'7_3':0.0,'8_11':0.0},(165,710):{'5_2':0.24,'-3':0.09,'7_5':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'8_13':0.0,'1':-0.03},(165,709):{'5_2':0.18,'-3':0.18,'7_5':0.09,'3_1':0.03,'7_2':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(165,708):{'5_2':0.27,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'8_11':0.0,'9_1':0.0},(165,707):{'5_2':0.24,'-3':0.12,'7_2':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'5_1':0.0},(165,706):{'5_2':0.27,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(165,705):{'5_2':0.33,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0},(165,704):{'5_2':0.24,'3_1':0.09,'7_4':0.06,'7_2':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(165,703):{'5_2':0.15,'7_4':0.09,'7_2':0.09,'7_3':0.06,'-3':0.03,'3_1':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(165,702):{'5_2':0.24,'7_2':0.06,'3_1':0.06,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(165,701):{'5_2':0.24,'7_2':0.06,'7_5':0.06,'3_1':0.03,'-3':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'8_11':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(165,700):{'5_2':0.24,'-3':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0},(165,699):{'5_2':0.33,'3_1':0.09,'-3':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(165,698):{'5_2':0.27,'3_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'3_1#5_2':0.0},(165,697):{'5_2':0.21,'-3':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'1':-0.03},(165,696):{'5_2':0.3,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(165,695):{'5_2':0.24,'3_1':0.09,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0},(165,694):{'5_2':0.21,'3_1':0.15,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(165,693):{'5_2':0.24,'7_3':0.06,'3_1':0.06,'7_5':0.06,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(165,692):{'5_2':0.21,'3_1':0.06,'7_3':0.06,'-3':0.06,'7_4':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0},(165,691):{'5_2':0.3,'3_1':0.09,'7_2':0.03,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0},(165,690):{'5_2':0.3,'3_1':0.06,'-3':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0},(165,689):{'5_2':0.3,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0},(165,688):{'5_2':0.27,'3_1':0.12,'7_4':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(165,687):{'5_2':0.21,'3_1':0.12,'7_4':0.09,'7_3':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(165,686):{'5_2':0.36,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_2':0.0,'8_14':0.0},(165,685):{'5_2':0.21,'3_1':0.21,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_13':0.0},(165,684):{'5_2':0.27,'3_1':0.15,'6_1':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(165,683):{'5_2':0.24,'3_1':0.15,'4_1':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0},(165,682):{'3_1':0.24,'5_2':0.21,'7_3':0.03,'7_4':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(165,681):{'3_1':0.21,'5_2':0.21,'7_3':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(165,680):{'3_1':0.21,'5_2':0.15,'7_4':0.09,'7_2':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(165,679):{'3_1':0.21,'5_2':0.15,'7_4':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(165,678):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0},(165,677):{'3_1':0.21,'5_2':0.18,'7_3':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(165,676):{'5_2':0.21,'3_1':0.21,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(165,675):{'3_1':0.24,'5_2':0.18,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(165,674):{'3_1':0.21,'5_2':0.18,'7_4':0.06,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(165,673):{'3_1':0.24,'5_2':0.15,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0},(165,672):{'5_2':0.21,'3_1':0.18,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(165,671):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(165,670):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(165,669):{'5_2':0.27,'3_1':0.15,'4_1':0.06,'7_4':0.06,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(165,668):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0},(165,667):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(165,666):{'3_1':0.33,'5_2':0.15,'7_3':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0},(165,665):{'3_1':0.27,'5_2':0.12,'4_1':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_7':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(165,664):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(165,663):{'3_1':0.24,'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_19':0.0},(165,662):{'3_1':0.3,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(165,661):{'3_1':0.27,'5_2':0.21,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0},(165,660):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(165,659):{'3_1':0.33,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(165,658):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(165,657):{'3_1':0.27,'5_2':0.18,'4_1':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(165,656):{'3_1':0.24,'5_2':0.18,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_4':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(165,655):{'3_1':0.33,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0},(165,654):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(165,653):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(165,652):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(165,651):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'8_20|3_1#3_1':0.03,'6_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(165,650):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(165,649):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(165,648):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'-3':0.03,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(165,647):{'3_1':0.3,'5_2':0.12,'5_1':0.09,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(165,646):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(165,645):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(165,644):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'8_19':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(165,643):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(165,642):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(165,641):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0},(165,640):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(165,639):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(165,638):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'7_3':0.03,'7_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(165,637):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(165,636):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(165,635):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(165,634):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(165,633):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(165,632):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0},(165,631):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(165,630):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0},(165,629):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(165,628):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(165,627):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(165,626):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(165,625):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(165,624):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0},(165,623):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'-3':0.0},(165,622):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0},(165,621):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(165,620):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_7':0.0},(165,619):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0},(165,618):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(165,617):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(165,616):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(165,615):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(165,614):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(165,613):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(165,612):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,611):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'-3':0.0},(165,610):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0},(165,609):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(165,608):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(165,607):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(165,606):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(165,605):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0},(165,604):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'7_3':0.0},(165,603):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(165,602):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,601):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,600):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'9_1':0.0},(165,599):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(165,598):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(165,597):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(165,596):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(165,595):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(165,594):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(165,593):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(165,592):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(165,591):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(165,590):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(165,589):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0},(165,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,587):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(165,586):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,585):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(165,584):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,583):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,582):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(165,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(165,580):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(165,579):{'3_1':0.15,'4_1':0.0,'7_3':0.0,'7_5':0.0},(165,578):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,577):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,576):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,575):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(165,574):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(165,573):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(165,572):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,571):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(165,570):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(165,569):{'3_1':0.15},(165,568):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(165,567):{'3_1':0.21,'4_1':0.0},(165,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(165,565):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(165,564):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(165,563):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(165,562):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(165,561):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(165,560):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(165,559):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(165,558):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(165,557):{'3_1':0.18,'5_2':0.0,'7_1':0.0},(165,556):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(165,555):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(165,554):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_3':0.0},(165,553):{'3_1':0.21,'5_1':0.0},(165,552):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(165,551):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,550):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(165,549):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,548):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(165,547):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(165,546):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(165,545):{'3_1':0.21,'5_1':0.0},(165,544):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_3':0.0},(165,543):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_1':0.0},(165,542):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'8_10':0.0},(165,541):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(165,540):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(165,539):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(165,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(165,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(165,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(165,535):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(165,534):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(165,532):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(165,531):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(165,530):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_1':0.0},(165,529):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(165,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(165,527):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(165,526):{'3_1':0.12,'4_1':0.0},(165,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(165,524):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,523):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(165,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(165,521):{'3_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0},(165,520):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(165,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(165,518):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,517):{'3_1':0.12},(165,516):{'3_1':0.09},(165,515):{'3_1':0.06,'6_2':0.0},(165,514):{'3_1':0.09,'5_1':0.0},(165,513):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(165,512):{'3_1':0.03},(165,511):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(165,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(165,509):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(165,508):{'3_1':0.06,'4_1':0.0},(165,507):{'3_1':0.03},(165,506):{'3_1':0.12},(165,505):{'3_1':0.03,'4_1':0.0},(165,504):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(165,503):{'3_1':0.09},(165,502):{'3_1':0.03},(165,501):{'3_1':0.03},(165,500):{'3_1':0.03,'5_1':0.0},(165,499):{'3_1':0.03,'4_1':0.0},(165,498):{'3_1':0.03},(165,497):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(165,496):{'3_1':0.03},(165,495):{'3_1':0.06,'5_1':0.0},(165,494):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(165,493):{'3_1':0.09,'5_2':0.0},(165,492):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(165,491):{'3_1':0.09},(165,490):{'3_1':0.03,'4_1':0.0},(165,489):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(165,488):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(165,487):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(165,486):{'3_1':0.06,'5_2':0.0},(165,485):{'3_1':0.06},(165,484):{'3_1':0.06,'5_1':0.0},(165,483):{'3_1':0.06},(165,482):{'3_1':0.06,'5_2':0.0},(165,481):{'3_1':0.06,'5_2':0.0},(165,480):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(165,479):{'3_1':0.12},(165,478):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(165,477):{'3_1':0.09,'5_2':0.0},(165,476):{'3_1':0.09,'5_1':0.0},(165,475):{'3_1':0.06},(165,474):{'3_1':0.03},(165,473):{'3_1':0.06,'4_1':0.0},(165,472):{'3_1':0.09},(165,471):{'3_1':0.03,'5_2':0.0},(165,470):{'3_1':0.06,'5_2':0.0},(165,469):{'3_1':0.03},(165,468):{'3_1':0.03},(165,467):{'3_1':0.06},(165,466):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(165,465):{'3_1':0.03},(165,464):{'3_1':0.0,'4_1':0.0},(165,463):{'3_1':0.03,'4_1':0.0},(165,462):{'3_1':0.03,'4_1':0.0},(165,461):{'3_1':0.03},(165,460):{'3_1':0.0,'5_1':0.0},(165,459):{'3_1':0.03},(165,458):{'3_1':0.06,'5_1':0.0},(165,457):{'3_1':0.03,'5_2':0.0},(165,456):{'3_1':0.03},(165,455):{'3_1':0.0},(165,454):{'3_1':0.03,'4_1':0.0},(165,453):{'3_1':0.03,'5_2':0.0},(165,452):{'3_1':0.06,'4_1':0.0},(165,451):{'3_1':0.0},(165,450):{'3_1':0.03,'5_2':0.0},(165,449):{'3_1':0.03},(165,448):{'3_1':0.03},(165,447):{'3_1':0.03},(165,446):{'3_1':0.0,'4_1':0.0},(165,445):{'3_1':0.0},(165,444):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(165,443):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(165,442):{'3_1':0.0,'4_1':0.0},(165,441):{'3_1':0.03},(165,440):{'3_1':0.03},(165,439):{'3_1':0.03,'4_1':0.0},(165,438):{'3_1':0.0},(165,437):{'3_1':0.03},(165,436):{'3_1':0.06,'4_1':0.0},(165,435):{'3_1':0.03},(165,434):{'3_1':0.03},(165,433):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(165,432):{'3_1':0.0},(165,431):{'3_1':0.03,'5_1':0.0},(165,430):{'3_1':0.06,'4_1':0.0},(165,429):{'3_1':0.0,'4_1':0.0},(165,428):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(165,427):{'3_1':0.03,'4_1':0.0},(165,426):{'3_1':0.0},(165,425):{'3_1':0.03},(165,424):{'3_1':0.0},(165,423):{'3_1':0.06},(165,422):{'3_1':0.03,'4_1':0.0},(165,421):{'3_1':0.03,'4_1':0.0},(165,420):{'3_1':0.0,'4_1':0.0},(165,419):{'3_1':0.03,'5_2':0.0},(165,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(165,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(165,416):{'3_1':0.0,'4_1':0.0},(165,415):{'3_1':0.03},(165,414):{'3_1':0.0,'4_1':0.0},(165,413):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(165,412):{'3_1':0.0,'5_1':0.0},(165,411):{'3_1':0.0},(165,410):{'3_1':0.0},(165,409):{'4_1':0.0},(165,408):{'3_1':0.0},(165,407):{'3_1':0.0},(165,406):{'3_1':0.0},(165,405):{'3_1':0.0},(165,404):{'3_1':0.0},(165,403):{'3_1':0.0},(165,402):{'3_1':0.0,'5_1':0.0},(165,401):{'3_1':0.03},(165,400):{'3_1':0.03},(165,399):{'3_1':0.0},(165,398):{'3_1':0.0},(165,397):{'3_1':0.0},(165,396):{'3_1':0.0},(165,395):{'3_1':0.03},(165,394):{'3_1':0.0},(165,392):{'3_1':0.0},(165,390):{'3_1':0.03},(165,388):{'3_1':0.0},(165,387):{'3_1':0.0},(165,386):{'3_1':0.03},(165,385):{'3_1':0.03},(165,384):{'3_1':0.0},(165,383):{'3_1':0.0,'5_2':0.0},(165,382):{'3_1':0.03},(165,381):{'3_1':0.03},(165,380):{'3_1':0.0},(165,379):{'3_1':0.0},(165,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(165,377):{'3_1':0.0,'5_1':0.0},(165,376):{'3_1':0.03},(165,375):{'3_1':0.03,'5_1':0.0},(165,374):{'3_1':0.03,'5_1':0.0},(165,373):{'3_1':0.0},(165,372):{'3_1':0.0},(165,371):{'3_1':0.03},(165,370):{'3_1':0.03,'5_1':0.0},(165,369):{'3_1':0.0},(165,368):{'3_1':0.03},(165,367):{'3_1':0.0},(165,366):{'3_1':0.0,'5_1':0.0},(165,365):{'3_1':0.0},(165,364):{'3_1':0.0},(165,363):{'3_1':0.0},(165,362):{'3_1':0.03,'7_1':0.0},(165,361):{'7_1':0.0},(165,360):{'3_1':0.0,'4_1':0.0},(165,359):{'3_1':0.03},(165,358):{'3_1':0.03},(165,357):{'3_1':0.0},(165,356):{'3_1':0.06},(165,355):{'3_1':0.0},(165,354):{'3_1':0.0},(165,353):{'3_1':0.0},(165,352):{'3_1':0.0},(165,351):{'3_1':0.03},(165,348):{'3_1':0.0},(165,346):{'3_1':0.0},(165,345):{'3_1':0.0},(165,344):{'3_1':0.0},(165,343):{'3_1':0.0},(165,342):{'3_1':0.0},(165,341):{'3_1':0.0},(165,337):{'3_1':0.0},(165,336):{'3_1':0.0},(165,335):{'3_1':0.0},(165,334):{'3_1':0.0},(165,333):{'3_1':0.0},(165,332):{'3_1':0.0},(165,331):{'3_1':0.0},(165,330):{'3_1':0.0},(165,329):{'3_1':0.0},(165,328):{'3_1':0.0},(165,326):{'3_1':0.0,'4_1':0.0},(165,325):{'3_1':0.0},(165,324):{'3_1':0.0},(165,323):{'3_1':0.0},(165,321):{'3_1':0.03},(165,320):{'3_1':0.0},(165,319):{'3_1':0.0,'5_1':0.0},(165,318):{'3_1':0.03,'4_1':0.0},(165,316):{'3_1':0.0},(165,315):{'3_1':0.0},(165,314):{'3_1':0.0},(165,313):{'3_1':0.0},(165,312):{'3_1':0.0},(165,311):{'3_1':0.0},(165,308):{'3_1':0.0},(165,307):{'3_1':0.0},(165,306):{'3_1':0.0},(165,304):{'3_1':0.03},(165,303):{'3_1':0.0,'4_1':0.0},(165,302):{'3_1':0.0},(165,301):{'3_1':0.0,'4_1':0.0},(165,300):{'3_1':0.03},(165,299):{'3_1':0.0},(165,298):{'3_1':0.0,'4_1':0.0},(165,297):{'3_1':0.0},(165,296):{'3_1':0.0,'5_2':0.0},(165,295):{'3_1':0.0},(165,294):{'3_1':0.0},(165,292):{'3_1':0.0},(165,290):{'3_1':0.0},(165,289):{'3_1':0.0},(165,288):{'3_1':0.0},(165,287):{'3_1':0.0},(165,285):{'3_1':0.0},(165,284):{'3_1':0.0},(165,282):{'3_1':0.0},(165,280):{'3_1':0.0},(165,279):{'3_1':0.0},(165,278):{'3_1':0.0},(165,277):{'3_1':0.0},(165,275):{'3_1':0.0},(165,274):{'3_1':0.0},(165,273):{'3_1':0.0},(165,272):{'3_1':0.0},(165,264):{'3_1':0.0},(165,263):{'3_1':0.0},(165,259):{'3_1':0.0},(165,258):{'3_1':0.0},(165,257):{'3_1':0.0,'5_1':0.0},(165,255):{'3_1':0.0},(165,253):{'3_1':0.0},(165,252):{'3_1':0.0},(165,250):{'3_1':0.0},(165,247):{'3_1':0.0},(165,246):{'3_1':0.0},(165,245):{'3_1':0.0},(165,240):{'3_1':0.0},(165,239):{'3_1':0.0},(165,237):{'3_1':0.0},(165,236):{'3_1':0.0},(165,235):{'3_1':0.0,'5_2':0.0},(165,234):{'3_1':0.0},(165,233):{'5_1':0.0},(165,232):{'5_1':0.0},(165,231):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(165,230):{'3_1':0.0,'5_1':0.0},(165,228):{'3_1':0.0},(165,227):{'3_1':0.0},(165,225):{'5_1':0.0,'3_1':0.0,'7_1':0.0},(165,224):{'3_1':0.0},(165,223):{'3_1':0.0},(165,215):{'3_1':0.0},(165,214):{'3_1':0.0},(165,211):{'3_1':0.0},(165,207):{'3_1':0.0,'4_1':0.0},(165,206):{'4_1':0.0,'7_1':0.0},(165,205):{'3_1':0.0},(165,204):{'3_1':0.0},(165,202):{'3_1':0.03},(165,201):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(165,200):{'3_1':0.0},(165,198):{'3_1':0.0,'4_1':0.0},(165,197):{'3_1':0.0},(165,196):{'3_1':0.0},(165,195):{'3_1':0.0},(165,194):{'3_1':0.0,'4_1':0.0},(165,193):{'3_1':0.0,'5_1':0.0},(165,192):{'3_1':0.0},(165,190):{'3_1':0.0},(165,189):{'3_1':0.0},(165,186):{'3_1':0.0},(166,727):{'5_2':0.33,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_8':0.0,'8_13':0.0},(166,726):{'5_2':0.36,'7_2':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0},(166,725):{'5_2':0.33,'7_2':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(166,724):{'5_2':0.36,'7_2':0.06,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_11':0.0},(166,723):{'5_2':0.27,'7_2':0.06,'6_1':0.06,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0},(166,722):{'5_2':0.33,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(166,721):{'5_2':0.27,'6_1':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0},(166,720):{'5_2':0.27,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'8_11':0.0},(166,719):{'5_2':0.36,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_14':0.0},(166,718):{'5_2':0.33,'-3':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(166,717):{'5_2':0.27,'7_2':0.09,'6_1':0.06,'-3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0},(166,716):{'5_2':0.24,'-3':0.09,'7_5':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'1':-0.03},(166,715):{'5_2':0.3,'7_2':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0,'3_1':0.0,'8_15':0.0,'9_1':0.0},(166,714):{'5_2':0.27,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(166,713):{'5_2':0.27,'7_5':0.06,'7_2':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(166,712):{'5_2':0.24,'7_5':0.09,'-3':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0},(166,711):{'5_2':0.27,'-3':0.12,'7_5':0.06,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(166,710):{'5_2':0.21,'3_1':0.09,'-3':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0},(166,709):{'5_2':0.18,'7_5':0.09,'-3':0.06,'7_2':0.06,'7_3':0.06,'6_1':0.03,'5_1':0.0,'7_6':0.0,'4_1':0.0,'3_1':0.0,'8_15':0.0},(166,708):{'5_2':0.3,'7_5':0.06,'-3':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'9_1':0.0},(166,707):{'5_2':0.24,'3_1':0.09,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(166,706):{'5_2':0.24,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_11':0.0,'9_1':0.0},(166,705):{'5_2':0.27,'6_1':0.06,'3_1':0.06,'-3':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(166,704):{'5_2':0.24,'3_1':0.09,'6_1':0.06,'7_3':0.06,'7_4':0.06,'-3':0.03,'7_5':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(166,703):{'5_2':0.3,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_6':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'8_14':0.0},(166,702):{'5_2':0.3,'-3':0.09,'7_3':0.06,'3_1':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0},(166,701):{'5_2':0.3,'7_2':0.06,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(166,700):{'5_2':0.21,'7_2':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(166,699):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'7_2':0.03,'7_6':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'4_1':0.0,'8_11':0.0},(166,698):{'5_2':0.27,'7_2':0.06,'3_1':0.06,'6_1':0.06,'7_5':0.06,'7_3':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'8_3':0.0},(166,697):{'5_2':0.27,'7_4':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(166,696):{'5_2':0.24,'3_1':0.06,'7_2':0.06,'7_3':0.06,'7_5':0.06,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'8_3':0.0,'8_11':0.0,'1':-0.03},(166,695):{'5_2':0.27,'3_1':0.06,'7_2':0.06,'-3':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(166,694):{'5_2':0.21,'-3':0.12,'7_3':0.06,'7_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_3':0.0,'8_13':0.0},(166,693):{'5_2':0.24,'3_1':0.09,'7_3':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(166,692):{'5_2':0.21,'3_1':0.12,'7_3':0.09,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'9_1':0.0,'3_1#5_2':0.0},(166,691):{'5_2':0.18,'3_1':0.15,'-3':0.09,'7_3':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(166,690):{'5_2':0.18,'3_1':0.09,'7_3':0.09,'-3':0.06,'7_4':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_8':0.0,'3_1#5_2':0.0},(166,689):{'5_2':0.27,'3_1':0.12,'6_1':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(166,688):{'5_2':0.3,'3_1':0.09,'7_4':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(166,687):{'5_2':0.21,'3_1':0.15,'7_3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'1':-0.03},(166,686):{'5_2':0.21,'3_1':0.12,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_13':0.0},(166,685):{'3_1':0.21,'5_2':0.18,'7_3':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_11':0.0},(166,684):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'5_1':0.0,'7_1':0.0,'8_15':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0,'-3':0.0},(166,683):{'5_2':0.21,'3_1':0.12,'7_3':0.06,'4_1':0.03,'7_4':0.03,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(166,682):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(166,681):{'3_1':0.24,'5_2':0.21,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(166,680):{'5_2':0.27,'3_1':0.15,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(166,679):{'3_1':0.21,'5_2':0.18,'5_1':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(166,678):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(166,677):{'5_2':0.24,'3_1':0.21,'4_1':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(166,676):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'7_2':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_7':0.0},(166,675):{'3_1':0.21,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(166,674):{'5_2':0.24,'3_1':0.21,'7_3':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'6_3':0.0,'7_5':0.0,'8_13':0.0,'8_14':0.0},(166,673):{'3_1':0.27,'5_2':0.09,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'6_1':0.0,'-3':0.0},(166,672):{'3_1':0.21,'5_2':0.21,'4_1':0.06,'7_4':0.03,'5_1':0.03,'7_3':0.0,'7_7':0.0,'-3':0.0,'6_1':0.0,'9_1':0.0},(166,671):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(166,670):{'3_1':0.33,'5_2':0.21,'5_1':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(166,669):{'3_1':0.33,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_7':0.0,'8_14':0.0},(166,668):{'3_1':0.27,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0},(166,667):{'3_1':0.33,'5_2':0.12,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0},(166,666):{'3_1':0.24,'5_2':0.15,'7_3':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(166,665):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(166,664):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_3':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(166,663):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0},(166,662):{'3_1':0.36,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(166,661):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'8_19':0.0},(166,660):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(166,659):{'3_1':0.27,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(166,658):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'5_1':0.06,'7_2':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(166,657):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(166,656):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0},(166,655):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(166,654):{'3_1':0.36,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(166,653):{'3_1':0.27,'5_2':0.12,'5_1':0.09,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(166,652):{'3_1':0.33,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(166,651):{'3_1':0.21,'5_1':0.09,'5_2':0.09,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0},(166,650):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(166,649):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(166,648):{'3_1':0.33,'5_2':0.12,'5_1':0.09,'4_1':0.03,'8_19':0.0,'6_3':0.0,'7_7':0.0},(166,647):{'3_1':0.33,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_7':0.0,'8_19':0.0},(166,646):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(166,645):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(166,644):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'7_3':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(166,643):{'3_1':0.21,'5_1':0.12,'5_2':0.12,'6_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(166,642):{'3_1':0.33,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'9_1':0.0},(166,641):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(166,640):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0},(166,639):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(166,638):{'3_1':0.3,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_19':0.0},(166,637):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(166,636):{'3_1':0.27,'5_2':0.09,'5_1':0.09,'7_1':0.0},(166,635):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'6_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0},(166,634):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0},(166,633):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(166,632):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0},(166,631):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_4':0.0},(166,630):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0},(166,629):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(166,628):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(166,627):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0},(166,626):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(166,625):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(166,624):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(166,623):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_19':0.0},(166,622):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0},(166,621):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(166,620):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(166,619):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(166,618):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_3':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(166,617):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(166,616):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'1':-0.03},(166,615):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0},(166,614):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(166,613):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(166,612):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(166,611):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0},(166,610):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(166,609):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(166,608):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(166,607):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(166,606):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(166,605):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0},(166,604):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0},(166,603):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(166,602):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(166,601):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(166,600):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_1':0.0},(166,599):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'9_1':0.0},(166,598):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(166,597):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(166,596):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_6':0.0},(166,595):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(166,594):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(166,593):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(166,592):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(166,591):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(166,590):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_11':0.0},(166,589):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(166,588):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(166,587):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,586):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(166,585):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(166,584):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(166,583):{'3_1':0.24,'4_1':0.09,'5_1':0.0},(166,582):{'3_1':0.18,'4_1':0.12,'5_2':0.03},(166,581):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0},(166,580):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(166,579):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(166,578):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(166,577):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(166,576):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(166,575):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(166,574):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(166,573):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(166,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'3_1#5_2':0.0},(166,571):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(166,570):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(166,569):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(166,568):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(166,567):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(166,566):{'3_1':0.12,'7_1':0.0},(166,565):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(166,564):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(166,563):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0},(166,562):{'3_1':0.15,'5_2':0.0,'-3':0.0},(166,561):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(166,560):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(166,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,558):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,557):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(166,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,555):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(166,554):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(166,553):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(166,552):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(166,551):{'3_1':0.27},(166,550):{'3_1':0.3,'5_2':0.0,'5_1':0.0},(166,549):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,548):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(166,547):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(166,546):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(166,545):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(166,544):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(166,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,542):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(166,541):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(166,539):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(166,538):{'3_1':0.18,'5_1':0.03,'6_2':0.0,'7_1':0.0,'9_1':0.0},(166,537):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(166,536):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_1':0.0},(166,535):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,534):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_1':0.0},(166,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,532):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0},(166,531):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(166,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(166,529):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(166,528):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(166,527):{'3_1':0.15,'5_2':0.0},(166,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(166,524):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(166,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(166,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(166,521):{'3_1':0.12},(166,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(166,519):{'3_1':0.09,'5_1':0.0},(166,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(166,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(166,516):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(166,515):{'3_1':0.09,'4_1':0.0},(166,514):{'3_1':0.06,'4_1':0.0},(166,513):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(166,512):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(166,511):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(166,510):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(166,509):{'3_1':0.03,'4_1':0.0},(166,508):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(166,507):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(166,506):{'3_1':0.06},(166,505):{'3_1':0.0},(166,504):{'3_1':0.03,'4_1':0.0},(166,503):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(166,502):{'3_1':0.03,'5_1':0.0},(166,501):{'3_1':0.03,'4_1':0.0},(166,500):{'3_1':0.03,'5_2':0.0},(166,499):{'3_1':0.06},(166,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(166,497):{'3_1':0.09,'5_2':0.0},(166,496):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(166,495):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(166,494):{'3_1':0.06},(166,493):{'3_1':0.06,'4_1':0.0},(166,492):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(166,491):{'3_1':0.12},(166,490):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(166,489):{'3_1':0.03,'5_2':0.0},(166,488):{'3_1':0.09,'4_1':0.0},(166,487):{'3_1':0.09,'4_1':0.0},(166,486):{'3_1':0.03,'4_1':0.0},(166,485):{'3_1':0.12,'5_2':0.0},(166,484):{'3_1':0.09,'5_2':0.0},(166,483):{'3_1':0.06,'5_2':0.0},(166,482):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(166,481):{'3_1':0.06,'5_2':0.0},(166,480):{'3_1':0.06},(166,479):{'3_1':0.09},(166,478):{'3_1':0.12},(166,477):{'3_1':0.06,'5_1':0.0},(166,476):{'3_1':0.06,'4_1':0.0},(166,475):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(166,474):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(166,473):{'3_1':0.06,'4_1':0.0},(166,472):{'3_1':0.03,'4_1':0.0},(166,471):{'3_1':0.03},(166,470):{'3_1':0.03},(166,469):{'3_1':0.0,'7_1':0.0},(166,468):{'3_1':0.03,'4_1':0.0},(166,467):{'3_1':0.03},(166,466):{'3_1':0.06},(166,465):{'3_1':0.0},(166,464):{'3_1':0.06},(166,463):{'3_1':0.03,'5_2':0.0},(166,462):{'3_1':0.0},(166,461):{'3_1':0.03},(166,460):{'3_1':0.0,'4_1':0.0},(166,459):{'3_1':0.0},(166,458):{'3_1':0.03},(166,457):{'3_1':0.0},(166,456):{'3_1':0.0,'4_1':0.0},(166,455):{'3_1':0.03,'4_1':0.0},(166,454):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(166,453):{'3_1':0.03,'4_1':0.0},(166,452):{'3_1':0.0,'4_1':0.0},(166,451):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(166,450):{'3_1':0.03},(166,449):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(166,448):{'3_1':0.0,'5_1':0.0},(166,447):{'3_1':0.0,'4_1':0.0},(166,446):{'3_1':0.03,'4_1':0.0},(166,445):{'3_1':0.0},(166,444):{'3_1':0.03},(166,443):{'6_2':0.0},(166,442):{'3_1':0.0,'5_2':0.0},(166,441):{'3_1':0.0,'4_1':0.0},(166,440):{'3_1':0.0},(166,439):{'5_1':0.0},(166,438):{'3_1':0.03,'4_1':0.0},(166,437):{'3_1':0.0},(166,436):{'3_1':0.0},(166,435):{'3_1':0.03},(166,434):{'3_1':0.03},(166,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(166,432):{'3_1':0.0,'4_1':0.0},(166,431):{'3_1':0.06},(166,430):{'3_1':0.03},(166,429):{'3_1':0.03,'4_1':0.0},(166,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(166,427):{'3_1':0.03,'4_1':0.0},(166,426):{'3_1':0.03,'4_1':0.0},(166,425):{'3_1':0.0,'4_1':0.0},(166,424):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(166,423):{'3_1':0.0,'4_1':0.0},(166,422):{'3_1':0.06},(166,420):{'3_1':0.0},(166,419):{'3_1':0.03,'4_1':0.0},(166,418):{'3_1':0.06,'4_1':0.0},(166,417):{'3_1':0.03,'4_1':0.0},(166,416):{'4_1':0.0},(166,415):{'3_1':0.09},(166,414):{'3_1':0.0,'4_1':0.0},(166,413):{'3_1':0.0,'4_1':0.0},(166,412):{'3_1':0.0},(166,411):{'3_1':0.0},(166,410):{'3_1':0.0,'4_1':0.0},(166,409):{'3_1':0.06,'5_1':0.0},(166,408):{'3_1':0.0,'8_1':0.0},(166,407):{'3_1':0.03},(166,406):{'3_1':0.0,'8_1':0.0},(166,405):{'3_1':0.0},(166,404):{'3_1':0.03},(166,403):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(166,402):{'3_1':0.0,'5_2':0.0},(166,401):{'3_1':0.03},(166,400):{'3_1':0.0},(166,399):{'3_1':0.0},(166,398):{'3_1':0.03},(166,397):{'3_1':0.0,'4_1':0.0},(166,396):{'3_1':0.0},(166,395):{'3_1':0.0},(166,394):{'3_1':0.0},(166,393):{'3_1':0.0},(166,392):{'3_1':0.0,'6_1':0.0},(166,391):{'3_1':0.0},(166,390):{'3_1':0.0,'5_1':0.0},(166,388):{'3_1':0.0},(166,387):{'3_1':0.0},(166,386):{'3_1':0.03},(166,385):{'3_1':0.0,'4_1':0.0},(166,384):{'3_1':0.03,'8_1':0.0},(166,383):{'3_1':0.0},(166,382):{'3_1':0.0,'4_1':0.0},(166,381):{'3_1':0.03,'5_1':0.0},(166,380):{'3_1':0.0},(166,379):{'3_1':0.0},(166,378):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(166,377):{'3_1':0.03,'4_1':0.0},(166,376):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(166,375):{'3_1':0.06},(166,374):{'3_1':0.06,'5_1':0.0},(166,373):{'3_1':0.06,'5_1':0.0},(166,372):{'3_1':0.0},(166,371):{'3_1':0.03,'7_1':0.0},(166,370):{'5_1':0.0,'3_1':0.0},(166,369):{'3_1':0.0,'9_1':0.0},(166,368):{'3_1':0.0,'9_1':0.0},(166,367):{'3_1':0.03},(166,366):{'3_1':0.0},(166,365):{'3_1':0.03},(166,364):{'3_1':0.06},(166,363):{'3_1':0.0},(166,362):{'3_1':0.03},(166,361):{'3_1':0.03},(166,360):{'3_1':0.03},(166,359):{'3_1':0.0},(166,358):{'3_1':0.0,'4_1':0.0},(166,357):{'3_1':0.0},(166,356):{'3_1':0.0},(166,355):{'3_1':0.0},(166,354):{'3_1':0.0},(166,353):{'3_1':0.0},(166,352):{'3_1':0.03},(166,351):{'3_1':0.0},(166,349):{'3_1':0.0},(166,348):{'3_1':0.0},(166,347):{'3_1':0.0},(166,346):{'3_1':0.0,'4_1':0.0},(166,345):{'3_1':0.0},(166,344):{'3_1':0.0},(166,343):{'3_1':0.0},(166,342):{'3_1':0.03},(166,341):{'3_1':0.0},(166,340):{'3_1':0.0},(166,339):{'3_1':0.0},(166,337):{'3_1':0.0},(166,336):{'3_1':0.0},(166,335):{'3_1':0.0},(166,334):{'3_1':0.0},(166,333):{'3_1':0.0},(166,332):{'3_1':0.0},(166,331):{'3_1':0.0},(166,330):{'3_1':0.0},(166,329):{'3_1':0.0},(166,328):{'4_1':0.0},(166,327):{'3_1':0.0},(166,326):{'3_1':0.0,'4_1':0.0},(166,325):{'3_1':0.0},(166,324):{'3_1':0.0},(166,323):{'3_1':0.0},(166,322):{'3_1':0.0},(166,321):{'3_1':0.0},(166,320):{'3_1':0.03},(166,319):{'3_1':0.03},(166,318):{'3_1':0.0},(166,317):{'3_1':0.03},(166,316):{'3_1':0.03},(166,315):{'3_1':0.0,'4_1':0.0},(166,314):{'3_1':0.0},(166,313):{'3_1':0.0},(166,311):{'3_1':0.03},(166,310):{'3_1':0.0},(166,309):{'3_1':0.0},(166,308):{'3_1':0.03},(166,307):{'3_1':0.03},(166,306):{'3_1':0.03},(166,305):{'3_1':0.03},(166,304):{'3_1':0.0},(166,303):{'3_1':0.0},(166,302):{'3_1':0.0},(166,301):{'3_1':0.0},(166,300):{'3_1':0.0},(166,299):{'3_1':0.0},(166,298):{'3_1':0.0},(166,297):{'3_1':0.0,'5_1':0.0},(166,296):{'3_1':0.0},(166,295):{'3_1':0.0},(166,294):{'3_1':0.0},(166,293):{'3_1':0.0},(166,292):{'3_1':0.0},(166,291):{'3_1':0.0},(166,286):{'3_1':0.0},(166,285):{'3_1':0.0},(166,284):{'3_1':0.0},(166,282):{'3_1':0.0},(166,281):{'3_1':0.0},(166,279):{'3_1':0.0},(166,278):{'3_1':0.0},(166,277):{'3_1':0.0},(166,275):{'3_1':0.0},(166,274):{'3_1':0.0},(166,273):{'3_1':0.0},(166,272):{'3_1':0.0},(166,271):{'3_1':0.0},(166,267):{'3_1':0.0},(166,266):{'3_1':0.0},(166,263):{'5_1':0.0},(166,259):{'3_1':0.0},(166,258):{'3_1':0.0},(166,257):{'5_1':0.0},(166,256):{'3_1':0.0},(166,255):{'3_1':0.0,'4_1':0.0},(166,254):{'3_1':0.0},(166,252):{'3_1':0.0},(166,251):{'3_1':0.0},(166,248):{'3_1':0.0},(166,247):{'3_1':0.0,'4_1':0.0},(166,246):{'3_1':0.0},(166,244):{'3_1':0.0},(166,242):{'3_1':0.0,'5_2':0.0},(166,241):{'3_1':0.0},(166,240):{'3_1':0.0},(166,239):{'3_1':0.0},(166,238):{'3_1':0.0},(166,237):{'3_1':0.0},(166,236):{'7_1':0.0},(166,235):{'3_1':0.0},(166,234):{'3_1':0.0},(166,233):{'4_1':0.0,'5_1':0.0},(166,232):{'3_1':0.0,'5_1':0.0},(166,231):{'3_1':0.0},(166,230):{'3_1':0.0},(166,229):{'5_1':0.0},(166,228):{'3_1':0.0},(166,215):{'3_1':0.0,'5_1':0.0},(166,212):{'3_1':0.0},(166,211):{'3_1':0.0},(166,210):{'3_1':0.0},(166,209):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(166,207):{'3_1':0.0},(166,206):{'4_1':0.0},(166,205):{'5_1':0.0},(166,204):{'3_1':0.0},(166,200):{'3_1':0.0},(166,199):{'4_1':0.0},(166,198):{'3_1':0.0},(166,197):{'3_1':0.0,'4_1':0.0},(166,194):{'3_1':0.0},(166,193):{'5_1':0.0,'3_1':0.0},(166,192):{'5_1':0.0},(166,191):{'5_1':0.0},(166,190):{'3_1':0.0},(166,188):{'3_1':0.0},(166,187):{'3_1':0.0},(166,184):{'3_1':0.0},(166,183):{'3_1':0.0},(167,727):{'5_2':0.3,'7_2':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(167,726):{'5_2':0.27,'6_1':0.09,'7_2':0.06,'-3':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'3_1':0.0,'7_6':0.0},(167,725):{'5_2':0.27,'7_2':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_4':0.0,'3_1#5_2':0.0,'1':-0.03},(167,724):{'5_2':0.24,'6_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_2':0.03,'3_1':0.03,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_4':0.0},(167,723):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'8_6':0.0},(167,722):{'5_2':0.21,'7_2':0.12,'-3':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(167,721):{'5_2':0.24,'7_5':0.06,'7_2':0.06,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'8_14':0.0,'5_1':0.0,'7_4':0.0},(167,720):{'5_2':0.33,'7_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0,'3_1#5_2':0.0},(167,719):{'5_2':0.3,'7_2':0.06,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0},(167,718):{'5_2':0.21,'7_2':0.09,'7_5':0.06,'-3':0.06,'6_1':0.06,'7_6':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0},(167,717):{'5_2':0.33,'7_2':0.06,'7_3':0.03,'7_6':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(167,716):{'5_2':0.36,'7_2':0.06,'-3':0.06,'7_5':0.03,'7_4':0.03,'6_1':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(167,715):{'5_2':0.27,'7_5':0.09,'7_2':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0},(167,714):{'5_2':0.33,'7_2':0.06,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(167,713):{'5_2':0.24,'7_2':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.0,'7_3':0.0,'8_1':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(167,712):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0},(167,711):{'5_2':0.24,'-3':0.09,'7_5':0.09,'7_3':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(167,710):{'5_2':0.24,'-3':0.15,'7_5':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(167,709):{'5_2':0.3,'-3':0.09,'7_5':0.06,'5_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'8_4':0.0,'8_11':0.0,'8_15':0.0},(167,708):{'5_2':0.27,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(167,707):{'5_2':0.33,'-3':0.09,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_15':0.0},(167,706):{'5_2':0.21,'3_1':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'6_1':0.03,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_4':0.0},(167,705):{'5_2':0.27,'-3':0.06,'7_2':0.06,'7_3':0.06,'7_4':0.03,'3_1':0.03,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0,'4_1':0.0,'6_3':0.0,'8_8':0.0},(167,704):{'5_2':0.21,'7_2':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(167,703):{'5_2':0.24,'7_2':0.12,'-3':0.06,'7_3':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(167,702):{'5_2':0.3,'7_2':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(167,701):{'5_2':0.27,'7_2':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'9_1':0.0,'1':-0.03},(167,700):{'5_2':0.24,'7_3':0.12,'3_1':0.09,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(167,699):{'5_2':0.21,'3_1':0.06,'7_2':0.06,'7_5':0.06,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(167,698):{'5_2':0.33,'7_2':0.06,'-3':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'8_8':0.0,'8_13':0.0},(167,697):{'5_2':0.24,'-3':0.12,'3_1':0.09,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_2':0.0,'1':-0.03},(167,696):{'5_2':0.3,'3_1':0.12,'7_3':0.03,'-3':0.03,'5_1':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(167,695):{'5_2':0.24,'3_1':0.06,'-3':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(167,694):{'5_2':0.27,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(167,693):{'5_2':0.24,'3_1':0.09,'7_4':0.06,'-3':0.06,'7_3':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'8_11':0.0,'7_5':0.0,'4_1':0.0,'8_2':0.0},(167,692):{'5_2':0.24,'3_1':0.15,'-3':0.06,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(167,691):{'5_2':0.33,'3_1':0.09,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(167,690):{'5_2':0.3,'3_1':0.12,'7_3':0.09,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(167,689):{'5_2':0.24,'7_4':0.12,'3_1':0.06,'-3':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0},(167,688):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.03,'4_1':0.03,'-3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(167,687):{'5_2':0.24,'3_1':0.12,'-3':0.06,'7_2':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(167,686):{'5_2':0.27,'3_1':0.12,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0},(167,685):{'5_2':0.21,'3_1':0.18,'7_4':0.06,'7_3':0.06,'4_1':0.03,'7_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(167,684):{'3_1':0.24,'5_2':0.21,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'8_11':0.0},(167,683):{'5_2':0.15,'3_1':0.12,'5_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(167,682):{'5_2':0.24,'3_1':0.12,'7_4':0.06,'5_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0},(167,681):{'5_2':0.24,'3_1':0.18,'7_5':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(167,680):{'5_2':0.3,'3_1':0.12,'5_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(167,679):{'3_1':0.33,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0},(167,678):{'5_2':0.21,'3_1':0.21,'5_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(167,677):{'3_1':0.3,'5_2':0.18,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(167,676):{'5_2':0.27,'3_1':0.27,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(167,675):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(167,674):{'3_1':0.24,'5_2':0.18,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(167,673):{'3_1':0.27,'5_2':0.21,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(167,672):{'3_1':0.24,'5_2':0.15,'7_3':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(167,671):{'3_1':0.3,'5_2':0.18,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(167,670):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_7':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(167,669):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0},(167,668):{'3_1':0.24,'5_2':0.21,'7_3':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(167,667):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.06,'7_4':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(167,666):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(167,665):{'3_1':0.24,'5_2':0.24,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(167,664):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(167,663):{'3_1':0.3,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_8':0.0,'8_12':0.0,'-3':0.0},(167,662):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0},(167,661):{'3_1':0.27,'5_2':0.21,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(167,660):{'3_1':0.3,'5_2':0.15,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_6':0.0},(167,659):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(167,658):{'3_1':0.3,'5_2':0.18,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(167,657):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(167,656):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(167,655):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(167,654):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(167,653):{'3_1':0.24,'5_2':0.21,'5_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'8_19':0.0,'7_5':0.0},(167,652):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_19':0.0},(167,651):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(167,650):{'3_1':0.21,'5_2':0.18,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0},(167,649):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0},(167,648):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_2':0.0,'8_19':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(167,647):{'3_1':0.27,'5_2':0.15,'5_1':0.12,'7_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(167,646):{'3_1':0.18,'5_2':0.12,'5_1':0.09,'4_1':0.0,'6_1':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(167,645):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(167,644):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_19':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(167,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_7':0.0,'8_6':0.0},(167,642):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'-3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(167,641):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'7_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0},(167,640):{'3_1':0.21,'5_2':0.15,'5_1':0.09,'4_1':0.03,'8_19':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(167,639):{'3_1':0.18,'5_1':0.12,'5_2':0.03,'4_1':0.0,'8_19':0.0,'7_1':0.0,'9_1':0.0},(167,638):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0},(167,637):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(167,636):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0},(167,635):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'6_1':0.0,'8_19':0.0},(167,634):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(167,633):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(167,632):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(167,631):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'3_1#5_1':0.0},(167,630):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_3':0.0},(167,629):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_5':0.0},(167,628):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0},(167,627):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0},(167,626):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(167,625):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(167,624):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'7_1':0.0},(167,623):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_8':0.0},(167,622):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(167,621):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0},(167,620):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0},(167,619):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_17':0.0},(167,618):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_19':0.0,'6_1':0.0,'7_1':0.0},(167,617):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(167,616):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'8_19':0.0,'4_1':0.0},(167,615):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_19':0.0},(167,614):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0,'1':-0.03},(167,613):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0},(167,612):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(167,611):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.03,'4_1':0.0},(167,610):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(167,609):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0},(167,608):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_6':0.0,'-3':0.0},(167,607):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'4_1':0.0},(167,606):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(167,605):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(167,604):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(167,603):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(167,602):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,601):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(167,600):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(167,599):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(167,598):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(167,597):{'3_1':0.18,'4_1':0.12,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(167,596):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(167,595):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(167,594):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(167,593):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,592):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,591):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(167,590):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(167,589):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_19':0.0},(167,588):{'3_1':0.15,'4_1':0.03,'5_1':0.03},(167,587):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(167,586):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0},(167,585):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_2':0.0,'7_3':0.0},(167,584):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,583):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(167,582):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(167,581):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(167,580):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,579):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(167,578):{'3_1':0.24,'4_1':0.06},(167,577):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(167,576):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(167,575):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'7_6':0.0},(167,574):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(167,573):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(167,572):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,571):{'3_1':0.15,'6_2':0.0,'5_2':0.0},(167,570):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(167,569):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,568):{'3_1':0.21,'4_1':0.03},(167,567):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(167,566):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(167,565):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(167,564):{'3_1':0.12,'4_1':0.0},(167,563):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(167,562):{'3_1':0.18,'5_1':0.0},(167,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(167,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(167,559):{'3_1':0.15,'5_2':0.0},(167,558):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(167,557):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(167,556):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(167,555):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,554):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,553):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,552):{'3_1':0.12,'5_1':0.0},(167,551):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,550):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(167,549):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(167,548):{'3_1':0.15,'5_1':0.0},(167,547):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(167,546):{'3_1':0.24,'5_2':0.03},(167,545):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,544):{'3_1':0.18,'4_1':0.0},(167,543):{'3_1':0.15,'5_1':0.0,'7_3':0.0,'8_1':0.0},(167,542):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(167,541):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,540):{'3_1':0.21,'5_1':0.0,'6_3':0.0,'4_1':0.0,'5_2':0.0},(167,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(167,538):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(167,537):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(167,536):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(167,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(167,534):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(167,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,532):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(167,531):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(167,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(167,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(167,528):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(167,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,526):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(167,525):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(167,524):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(167,523):{'3_1':0.15,'5_1':0.0},(167,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(167,521):{'3_1':0.09,'4_1':0.0},(167,520):{'3_1':0.03,'5_1':0.0},(167,519):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(167,518):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(167,517):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(167,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(167,515):{'3_1':0.06},(167,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,513):{'3_1':0.06},(167,512):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(167,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(167,510):{'3_1':0.06,'5_1':0.0},(167,509):{'3_1':0.12},(167,508):{'3_1':0.12,'4_1':0.0},(167,507):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(167,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,505):{'3_1':0.03,'4_1':0.0},(167,504):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,501):{'3_1':0.06,'5_1':0.0},(167,500):{'3_1':0.0,'4_1':0.0},(167,499):{'3_1':0.03,'4_1':0.0},(167,498):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(167,497):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(167,496):{'3_1':0.09,'5_1':0.0},(167,495):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(167,494):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(167,493):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,492):{'3_1':0.09,'5_1':0.0},(167,491):{'3_1':0.06,'5_2':0.0},(167,490):{'3_1':0.06,'5_1':0.0},(167,489):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(167,488):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(167,487):{'3_1':0.03,'5_1':0.0},(167,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(167,485):{'3_1':0.09,'5_1':0.0},(167,484):{'3_1':0.09,'5_2':0.0},(167,483):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(167,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'9_1':0.0},(167,481):{'3_1':0.09,'9_1':0.0},(167,480):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(167,479):{'3_1':0.09},(167,478):{'3_1':0.09,'5_1':0.0},(167,477):{'3_1':0.03,'4_1':0.0},(167,476):{'3_1':0.09,'5_1':0.0},(167,475):{'3_1':0.15},(167,474):{'3_1':0.09},(167,473):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(167,472):{'3_1':0.03,'5_1':0.0},(167,471):{'3_1':0.09,'5_2':0.0},(167,470):{'3_1':0.06},(167,469):{'3_1':0.03},(167,468):{'3_1':0.03,'4_1':0.0},(167,467):{'3_1':0.06,'4_1':0.0},(167,466):{'3_1':0.06,'4_1':0.0},(167,465):{'3_1':0.0,'4_1':0.0},(167,464):{'3_1':0.06,'4_1':0.0},(167,463):{'3_1':0.06,'4_1':0.0},(167,462):{'3_1':0.06},(167,461):{'3_1':0.0},(167,459):{'3_1':0.03},(167,458):{'3_1':0.03,'4_1':0.0},(167,457):{'3_1':0.09},(167,456):{'3_1':0.03,'5_2':0.0},(167,455):{'3_1':0.03,'5_1':0.0},(167,454):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(167,453):{'3_1':0.03,'6_1':0.0},(167,452):{'3_1':0.03},(167,451):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(167,450):{'3_1':0.06,'4_1':0.0},(167,449):{'3_1':0.0,'4_1':0.0},(167,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(167,447):{'3_1':0.03,'4_1':0.0},(167,446):{'3_1':0.0},(167,445):{'3_1':0.06,'5_2':0.0},(167,444):{'3_1':0.0,'5_2':0.0},(167,443):{'3_1':0.0},(167,442):{'3_1':0.0,'4_1':0.0},(167,441):{'3_1':0.06,'6_2':0.0},(167,440):{'3_1':0.0},(167,439):{'3_1':0.03,'5_1':0.0},(167,438):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(167,437):{'3_1':0.0},(167,436):{'3_1':0.0},(167,435):{'3_1':0.03,'5_1':0.0},(167,434):{'3_1':0.03,'5_1':0.0},(167,433):{'3_1':0.0},(167,432):{'3_1':0.0,'4_1':0.0},(167,431):{'3_1':0.0},(167,430):{'3_1':0.0,'4_1':0.0},(167,429):{'3_1':0.03},(167,428):{'4_1':0.0,'3_1':0.0},(167,427):{'3_1':0.0,'4_1':0.0},(167,426):{'3_1':0.0},(167,425):{'4_1':0.0},(167,424):{'4_1':0.0,'3_1':0.0},(167,423):{'3_1':0.06,'4_1':0.0},(167,422):{'3_1':0.03,'4_1':0.0},(167,421):{'3_1':0.0},(167,420):{'3_1':0.0},(167,419):{'3_1':0.06,'4_1':0.0},(167,418):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(167,417):{'4_1':0.03,'3_1':0.0},(167,416):{'3_1':0.03,'4_1':0.0},(167,415):{'3_1':0.0,'4_1':0.0},(167,414):{'3_1':0.03,'4_1':0.0},(167,412):{'3_1':0.0,'5_1':0.0},(167,411):{'3_1':0.0},(167,410):{'3_1':0.03},(167,409):{'6_1':0.0},(167,408):{'3_1':0.03},(167,407):{'3_1':0.03},(167,406):{'3_1':0.06,'5_1':0.0},(167,405):{'3_1':0.03},(167,404):{'6_1':0.0},(167,403):{'3_1':0.0},(167,402):{'3_1':0.03},(167,401):{'3_1':0.0},(167,399):{'3_1':0.0,'5_1':0.0},(167,398):{'3_1':0.0},(167,397):{'3_1':0.0},(167,396):{'3_1':0.0,'6_1':0.0},(167,395):{'3_1':0.0},(167,394):{'3_1':0.0},(167,393):{'3_1':0.0},(167,392):{'3_1':0.03},(167,391):{'3_1':0.0},(167,390):{'3_1':0.03},(167,389):{'3_1':0.03,'6_2':0.0},(167,387):{'3_1':0.0,'4_1':0.0},(167,386):{'3_1':0.03},(167,385):{'3_1':0.0},(167,384):{'3_1':0.0},(167,383):{'3_1':0.0},(167,382):{'3_1':0.03},(167,381):{'3_1':0.03},(167,380):{'3_1':0.03,'5_1':0.0},(167,379):{'3_1':0.06,'5_2':0.0},(167,378):{'3_1':0.06},(167,377):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(167,376):{'3_1':0.03},(167,375):{'3_1':0.03},(167,374):{'3_1':0.06,'5_1':0.0},(167,373):{'3_1':0.03,'4_1':0.0},(167,372):{'3_1':0.0},(167,371):{'3_1':0.06,'5_1':0.0},(167,370):{'3_1':0.03},(167,369):{'3_1':0.03},(167,368):{'3_1':0.0,'4_1':0.0},(167,367):{'3_1':0.03},(167,366):{'3_1':0.03},(167,365):{'3_1':0.06},(167,364):{'3_1':0.03},(167,363):{'3_1':0.0},(167,362):{'3_1':0.0},(167,361):{'3_1':0.03,'4_1':0.0},(167,360):{'3_1':0.0},(167,359):{'3_1':0.0},(167,358):{'3_1':0.0,'5_1':0.0},(167,357):{'3_1':0.0},(167,356):{'3_1':0.0,'4_1':0.0},(167,355):{'3_1':0.0,'4_1':0.0},(167,354):{'3_1':0.0},(167,353):{'3_1':0.0},(167,352):{'3_1':0.0},(167,351):{'3_1':0.0},(167,349):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(167,348):{'3_1':0.0},(167,347):{'3_1':0.0},(167,346):{'3_1':0.0},(167,345):{'3_1':0.0},(167,344):{'3_1':0.03},(167,343):{'3_1':0.0},(167,342):{'3_1':0.0,'4_1':0.0},(167,341):{'3_1':0.0},(167,339):{'3_1':0.0},(167,338):{'3_1':0.0,'5_2':0.0},(167,337):{'5_1':0.0},(167,335):{'3_1':0.0},(167,334):{'3_1':0.0},(167,333):{'3_1':0.0},(167,331):{'3_1':0.0},(167,328):{'3_1':0.0},(167,327):{'3_1':0.0},(167,326):{'3_1':0.0},(167,325):{'3_1':0.0},(167,324):{'3_1':0.03},(167,323):{'3_1':0.0},(167,322):{'3_1':0.0},(167,321):{'3_1':0.0},(167,320):{'3_1':0.0},(167,319):{'3_1':0.0},(167,318):{'3_1':0.06},(167,317):{'3_1':0.0},(167,316):{'3_1':0.0},(167,315):{'3_1':0.0,'5_1':0.0},(167,314):{'3_1':0.0},(167,313):{'3_1':0.0},(167,311):{'3_1':0.0},(167,309):{'3_1':0.0},(167,308):{'3_1':0.0},(167,307):{'3_1':0.0},(167,306):{'3_1':0.0,'4_1':0.0},(167,305):{'3_1':0.0},(167,304):{'3_1':0.0},(167,303):{'3_1':0.0},(167,302):{'3_1':0.0},(167,301):{'3_1':0.0,'5_1':0.0},(167,300):{'3_1':0.0},(167,297):{'3_1':0.0},(167,296):{'3_1':0.0},(167,295):{'3_1':0.0},(167,294):{'3_1':0.0},(167,293):{'3_1':0.0,'4_1':0.0},(167,291):{'3_1':0.03},(167,290):{'3_1':0.0},(167,289):{'3_1':0.0},(167,287):{'3_1':0.0},(167,286):{'3_1':0.0},(167,285):{'3_1':0.0},(167,284):{'3_1':0.0},(167,282):{'3_1':0.0},(167,281):{'3_1':0.0},(167,280):{'3_1':0.0},(167,278):{'3_1':0.0},(167,277):{'3_1':0.0},(167,276):{'3_1':0.0},(167,275):{'3_1':0.0},(167,274):{'3_1':0.0},(167,271):{'3_1':0.0},(167,268):{'3_1':0.0},(167,266):{'3_1':0.0},(167,265):{'3_1':0.0},(167,261):{'3_1':0.0},(167,259):{'3_1':0.0},(167,257):{'3_1':0.0},(167,250):{'3_1':0.0},(167,247):{'3_1':0.0},(167,241):{'3_1':0.0},(167,237):{'3_1':0.0},(167,236):{'3_1':0.0},(167,234):{'3_1':0.0},(167,233):{'5_1':0.0},(167,231):{'3_1':0.0},(167,230):{'3_1':0.0},(167,229):{'3_1':0.0},(167,226):{'3_1':0.0},(167,225):{'3_1':0.0},(167,223):{'3_1':0.0},(167,213):{'3_1':0.0},(167,212):{'3_1':0.0},(167,210):{'3_1':0.0},(167,208):{'3_1':0.0},(167,207):{'3_1':0.0},(167,206):{'3_1':0.0},(167,205):{'3_1':0.0},(167,204):{'3_1':0.03},(167,203):{'3_1':0.0},(167,201):{'3_1':0.0},(167,200):{'3_1':0.0},(167,199):{'3_1':0.0},(167,197):{'3_1':0.0},(167,196):{'3_1':0.0},(167,195):{'4_1':0.0,'5_1':0.0},(167,194):{'3_1':0.0},(167,192):{'3_1':0.0,'5_1':0.0},(167,191):{'3_1':0.0,'5_1':0.0},(167,190):{'3_1':0.0},(167,189):{'3_1':0.0},(167,188):{'3_1':0.0},(167,185):{'3_1':0.0},(167,184):{'3_1':0.0},(167,183):{'3_1':0.0},(168,727):{'5_2':0.27,'7_2':0.09,'7_3':0.03,'-3':0.03,'7_5':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(168,726):{'5_2':0.3,'-3':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_4':0.0,'8_14':0.0},(168,725):{'5_2':0.21,'7_2':0.09,'7_5':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'3_1':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(168,724):{'5_2':0.21,'7_2':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0},(168,723):{'5_2':0.3,'7_2':0.06,'6_1':0.06,'-3':0.03,'7_5':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0},(168,722):{'5_2':0.3,'7_2':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0},(168,721):{'5_2':0.18,'7_2':0.09,'7_5':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(168,720):{'5_2':0.27,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'8_4':0.0,'8_11':0.0},(168,719):{'5_2':0.27,'7_2':0.06,'6_1':0.06,'7_6':0.06,'7_4':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'8_1':0.0,'8_4':0.0},(168,718):{'5_2':0.33,'7_2':0.12,'7_3':0.06,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0},(168,717):{'5_2':0.24,'7_2':0.15,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_6':0.0,'8_4':0.0},(168,716):{'5_2':0.24,'7_2':0.06,'6_1':0.06,'7_5':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_4':0.0},(168,715):{'5_2':0.24,'7_2':0.12,'-3':0.06,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0,'3_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(168,714):{'5_2':0.21,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'5_1':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0},(168,713):{'5_2':0.3,'7_2':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_3':0.0,'8_14':0.0,'1':-0.03},(168,712):{'5_2':0.21,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(168,711):{'5_2':0.18,'7_5':0.09,'-3':0.06,'7_2':0.03,'7_6':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0},(168,710):{'5_2':0.15,'-3':0.12,'7_2':0.09,'7_5':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0},(168,709):{'5_2':0.18,'-3':0.15,'7_2':0.09,'7_5':0.06,'6_1':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'8_6':0.0,'1':-0.03},(168,708):{'5_2':0.24,'6_1':0.06,'7_3':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(168,707):{'5_2':0.24,'7_2':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0,'6_1':0.0},(168,706):{'5_2':0.18,'7_2':0.15,'-3':0.09,'7_5':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(168,705):{'5_2':0.27,'7_2':0.09,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_6':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0},(168,704):{'5_2':0.21,'7_2':0.06,'7_4':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0},(168,703):{'5_2':0.27,'7_2':0.09,'3_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(168,702):{'5_2':0.27,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'8_2':0.0,'8_8':0.0,'8_11':0.0,'8_13':0.0,'1':-0.03},(168,701):{'5_2':0.18,'3_1':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0},(168,700):{'5_2':0.24,'3_1':0.06,'7_4':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0},(168,699):{'5_2':0.33,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_6':0.0,'-3':0.0,'8_3':0.0,'8_4':0.0,'8_13':0.0},(168,698):{'5_2':0.21,'7_2':0.09,'6_1':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(168,697):{'5_2':0.24,'7_2':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_1':0.0,'8_8':0.0},(168,696):{'5_2':0.24,'3_1':0.09,'7_2':0.09,'-3':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(168,695):{'5_2':0.27,'7_3':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0,'8_1':0.0},(168,694):{'3_1':0.15,'5_2':0.12,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'5_1':0.0},(168,693):{'5_2':0.18,'3_1':0.12,'7_2':0.09,'7_3':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(168,692):{'5_2':0.3,'7_2':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(168,691):{'5_2':0.21,'3_1':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(168,690):{'5_2':0.24,'-3':0.06,'3_1':0.06,'7_2':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_11':0.0,'1':-0.03},(168,689):{'5_2':0.36,'3_1':0.06,'7_3':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(168,688):{'5_2':0.24,'3_1':0.12,'7_3':0.06,'7_2':0.06,'-3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(168,687):{'5_2':0.24,'3_1':0.09,'7_2':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'1':-0.03},(168,686):{'5_2':0.18,'3_1':0.12,'7_2':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0,'3_1#5_2':0.0},(168,685):{'5_2':0.27,'3_1':0.09,'7_4':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(168,684):{'5_2':0.24,'3_1':0.18,'4_1':0.06,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(168,683):{'5_2':0.27,'3_1':0.18,'7_4':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(168,682):{'3_1':0.24,'5_2':0.18,'7_3':0.09,'7_4':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(168,681):{'5_2':0.27,'3_1':0.15,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(168,680):{'3_1':0.21,'5_2':0.12,'-3':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(168,679):{'3_1':0.24,'5_2':0.12,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(168,678):{'3_1':0.27,'5_2':0.21,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'7_7':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,677):{'5_2':0.21,'3_1':0.18,'-3':0.03,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(168,676):{'5_2':0.21,'3_1':0.15,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(168,675):{'5_2':0.21,'3_1':0.18,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(168,674):{'3_1':0.3,'5_2':0.15,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(168,673):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(168,672):{'3_1':0.24,'5_2':0.15,'4_1':0.09,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(168,671):{'3_1':0.3,'5_2':0.15,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'6_3':0.0,'-3':0.0},(168,670):{'3_1':0.24,'5_2':0.15,'7_4':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(168,669):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(168,668):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(168,667):{'5_2':0.21,'3_1':0.12,'4_1':0.12,'7_3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(168,666):{'3_1':0.21,'5_2':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(168,665):{'5_2':0.24,'3_1':0.24,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,664):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'8_19':0.0},(168,663):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0},(168,662):{'3_1':0.27,'5_2':0.18,'4_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,661):{'5_2':0.27,'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(168,660):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,659):{'3_1':0.3,'5_2':0.18,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,658):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'5_1':0.06,'7_2':0.0,'7_4':0.0,'7_7':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(168,657):{'3_1':0.24,'5_2':0.21,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(168,656):{'3_1':0.36,'5_2':0.18,'5_1':0.06,'4_1':0.03,'8_19':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(168,655):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(168,654):{'3_1':0.36,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(168,653):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(168,652):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_7':0.0},(168,651):{'3_1':0.33,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(168,650):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'8_19':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(168,649):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(168,648):{'3_1':0.3,'5_2':0.18,'5_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(168,647):{'3_1':0.27,'5_1':0.09,'5_2':0.09,'4_1':0.03,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,646):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(168,645):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(168,644):{'3_1':0.33,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_3':0.0,'7_4':0.0,'7_5':0.0},(168,643):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(168,642):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'7_1':0.0,'7_4':0.0,'8_10':0.0},(168,641):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(168,640):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0},(168,639):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'7_1':0.0,'8_19':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(168,638):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(168,637):{'3_1':0.15,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(168,636):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_1':0.0},(168,635):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(168,634):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'7_5':0.0,'6_2':0.0,'7_1':0.0},(168,633):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0},(168,632):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'-3':0.0},(168,631):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(168,630):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'7_3':0.0,'9_1':0.0,'-3':0.0},(168,629):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'7_6':0.0,'7_7':0.0},(168,628):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(168,627):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_5':0.0},(168,626):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(168,625):{'3_1':0.12,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(168,624):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(168,623):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(168,622):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(168,621):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_2':0.0,'8_20|3_1#3_1':0.0},(168,620):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(168,619):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0},(168,618):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(168,617):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_1':0.0},(168,616):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(168,615):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0},(168,614):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(168,613):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(168,612):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'-3':0.0,'4_1':0.0,'8_19':0.0},(168,611):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0},(168,610):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(168,609):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(168,608):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(168,607):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(168,606):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(168,605):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'7_3':0.0},(168,604):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(168,603):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(168,602):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(168,601):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0},(168,600):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(168,599):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_6':0.0,'7_1':0.0},(168,598):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,597):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(168,596):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(168,595):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0},(168,594):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(168,593):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0},(168,592):{'3_1':0.15,'4_1':0.06,'5_1':0.03},(168,591):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(168,590):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(168,589):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(168,588):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,587):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(168,586):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(168,585):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(168,584):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(168,583):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(168,582):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(168,581):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,580):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(168,579):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(168,578):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(168,577):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(168,576):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(168,575):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(168,574):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,573):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(168,572):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(168,571):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(168,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(168,569):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(168,568):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(168,567):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,566):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(168,565):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(168,564):{'3_1':0.21,'4_1':0.0},(168,563):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,562):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(168,561):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0},(168,560):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(168,559):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'7_1':0.0},(168,558):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(168,557):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(168,556):{'3_1':0.18,'5_1':0.03},(168,555):{'3_1':0.24,'5_1':0.0},(168,554):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(168,553):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(168,552):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(168,551):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(168,550):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(168,549):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(168,548):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(168,547):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0},(168,546):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(168,545):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,544):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(168,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'8_4':0.0},(168,542):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(168,541):{'3_1':0.24,'5_1':0.03},(168,540):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0},(168,539):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(168,538):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(168,537):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(168,536):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(168,535):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(168,534):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(168,533):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(168,532):{'3_1':0.27,'5_1':0.06,'5_2':0.0},(168,531):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(168,530):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0},(168,529):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(168,528):{'3_1':0.27,'5_1':0.0},(168,527):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(168,526):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(168,525):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(168,524):{'3_1':0.18,'4_1':0.0,'7_3':0.0},(168,523):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(168,522):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(168,521):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(168,520):{'3_1':0.12,'5_1':0.0},(168,519):{'3_1':0.09,'5_1':0.0},(168,518):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(168,517):{'3_1':0.12},(168,516):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(168,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(168,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(168,513):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(168,512):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(168,511):{'3_1':0.06,'5_1':0.0},(168,510):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(168,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(168,508):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(168,507):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(168,506):{'3_1':0.03,'5_1':0.0},(168,505):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(168,504):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(168,503):{'3_1':0.06,'5_2':0.0},(168,502):{'3_1':0.06,'5_1':0.0},(168,501):{'3_1':0.03,'4_1':0.0},(168,500):{'3_1':0.06},(168,499):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(168,498):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(168,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,496):{'3_1':0.09,'4_1':0.0},(168,495):{'3_1':0.03},(168,494):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(168,493):{'3_1':0.06,'5_2':0.0},(168,492):{'3_1':0.06,'4_1':0.0},(168,491):{'3_1':0.03,'4_1':0.0},(168,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(168,489):{'3_1':0.03},(168,488):{'3_1':0.06},(168,487):{'3_1':0.12},(168,486):{'3_1':0.09,'5_1':0.0},(168,485):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(168,484):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(168,483):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(168,482):{'3_1':0.06,'5_2':0.0},(168,481):{'3_1':0.06,'5_2':0.0},(168,480):{'3_1':0.06},(168,479):{'3_1':0.09,'5_2':0.0},(168,478):{'3_1':0.15,'5_2':0.0},(168,477):{'3_1':0.06},(168,476):{'3_1':0.06,'5_1':0.0},(168,475):{'3_1':0.09},(168,474):{'3_1':0.09},(168,473):{'3_1':0.09},(168,472):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(168,471):{'3_1':0.03},(168,470):{'3_1':0.06,'4_1':0.0},(168,469):{'3_1':0.0,'5_1':0.0},(168,468):{'3_1':0.03},(168,467):{'3_1':0.06,'4_1':0.0},(168,466):{'3_1':0.03,'4_1':0.0},(168,465):{'3_1':0.06},(168,464):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(168,463):{'3_1':0.03},(168,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,461):{'3_1':0.03,'4_1':0.0},(168,460):{'3_1':0.03,'4_1':0.0},(168,459):{'3_1':0.03,'4_1':0.0},(168,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(168,457):{'3_1':0.06},(168,456):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(168,455):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,454):{'3_1':0.03,'4_1':0.0},(168,453):{'3_1':0.03},(168,452):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(168,451):{'3_1':0.06,'6_1':0.0},(168,450):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(168,449):{'3_1':0.0,'5_1':0.0},(168,448):{'3_1':0.03,'5_1':0.0},(168,447):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(168,446):{'3_1':0.0,'4_1':0.0},(168,445):{'3_1':0.0},(168,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(168,443):{'3_1':0.03},(168,442):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,441):{'3_1':0.0,'4_1':0.0},(168,440):{'3_1':0.0},(168,439):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(168,438):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(168,437):{'4_1':0.0},(168,436):{'3_1':0.0},(168,435):{'3_1':0.03},(168,434):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(168,433):{'3_1':0.0},(168,432):{'3_1':0.03,'4_1':0.0},(168,431):{'3_1':0.03},(168,430):{'3_1':0.03,'4_1':0.0},(168,429):{'3_1':0.0},(168,428):{'3_1':0.06,'4_1':0.0},(168,427):{'3_1':0.0},(168,426):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(168,425):{'3_1':0.0,'4_1':0.0},(168,424):{'3_1':0.0},(168,423):{'3_1':0.0,'4_1':0.0},(168,422):{'3_1':0.03,'4_1':0.0},(168,421):{'3_1':0.0,'4_1':0.0},(168,420):{'4_1':0.0,'3_1':0.0},(168,419):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(168,418):{'3_1':0.0,'5_2':0.0},(168,417):{'3_1':0.0,'4_1':0.0},(168,416):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(168,415):{'3_1':0.0},(168,414):{'3_1':0.03},(168,413):{'3_1':0.0,'4_1':0.0},(168,412):{'3_1':0.0,'5_1':0.0},(168,411):{'3_1':0.0},(168,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(168,409):{'3_1':0.0,'4_1':0.0},(168,408):{'3_1':0.03},(168,407):{'3_1':0.0},(168,406):{'3_1':0.03},(168,405):{'3_1':0.0,'6_1':0.0},(168,404):{'3_1':0.03},(168,403):{'3_1':0.03},(168,402):{'3_1':0.0},(168,401):{'3_1':0.03},(168,400):{'3_1':0.0},(168,399):{'3_1':0.0},(168,398):{'3_1':0.03},(168,397):{'3_1':0.0},(168,396):{'3_1':0.03,'5_2':0.0},(168,395):{'3_1':0.0},(168,394):{'3_1':0.0},(168,393):{'3_1':0.03},(168,392):{'3_1':0.0},(168,390):{'3_1':0.0},(168,388):{'3_1':0.0},(168,387):{'3_1':0.0},(168,386):{'3_1':0.03,'4_1':0.0},(168,385):{'3_1':0.03,'5_1':0.0},(168,384):{'3_1':0.0},(168,383):{'3_1':0.0},(168,382):{'3_1':0.03},(168,381):{'3_1':0.03},(168,380):{'3_1':0.03,'5_1':0.0},(168,379):{'3_1':0.0},(168,378):{'3_1':0.03},(168,377):{'3_1':0.0,'6_2':0.0},(168,376):{'3_1':0.03,'5_1':0.0},(168,375):{'3_1':0.06},(168,374):{'3_1':0.0,'5_1':0.0},(168,373):{'3_1':0.06},(168,372):{'3_1':0.0,'5_2':0.0},(168,371):{'3_1':0.03},(168,370):{'3_1':0.06},(168,369):{'3_1':0.03},(168,368):{'3_1':0.03},(168,367):{'3_1':0.03},(168,366):{'3_1':0.0},(168,365):{'3_1':0.03},(168,364):{'3_1':0.03},(168,362):{'3_1':0.03},(168,361):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(168,360):{'3_1':0.0},(168,359):{'3_1':0.0},(168,358):{'3_1':0.0},(168,357):{'3_1':0.06,'5_1':0.0},(168,356):{'3_1':0.0},(168,354):{'3_1':0.03},(168,353):{'3_1':0.0},(168,352):{'3_1':0.0},(168,349):{'3_1':0.0},(168,348):{'3_1':0.0},(168,346):{'3_1':0.0},(168,345):{'3_1':0.0},(168,344):{'3_1':0.0},(168,343):{'3_1':0.0},(168,342):{'3_1':0.0,'5_1':0.0},(168,341):{'5_1':0.0},(168,340):{'3_1':0.0},(168,338):{'3_1':0.0},(168,337):{'3_1':0.0},(168,336):{'3_1':0.0,'4_1':0.0},(168,335):{'3_1':0.0},(168,334):{'4_1':0.0},(168,333):{'3_1':0.0},(168,331):{'3_1':0.0},(168,330):{'3_1':0.0},(168,329):{'3_1':0.0},(168,328):{'3_1':0.03},(168,327):{'3_1':0.03,'4_1':0.0},(168,326):{'3_1':0.0},(168,325):{'3_1':0.0},(168,323):{'3_1':0.0},(168,322):{'3_1':0.0},(168,321):{'3_1':0.0},(168,319):{'3_1':0.0},(168,318):{'3_1':0.0},(168,317):{'3_1':0.0},(168,316):{'3_1':0.0},(168,315):{'4_1':0.0},(168,314):{'3_1':0.0},(168,311):{'3_1':0.0},(168,310):{'3_1':0.0},(168,309):{'3_1':0.0},(168,308):{'3_1':0.0},(168,307):{'3_1':0.0},(168,306):{'3_1':0.03},(168,305):{'3_1':0.03},(168,304):{'3_1':0.0,'5_1':0.0},(168,303):{'3_1':0.0},(168,302):{'3_1':0.0},(168,301):{'3_1':0.0,'4_1':0.0},(168,300):{'3_1':0.0},(168,299):{'3_1':0.0},(168,298):{'3_1':0.0},(168,297):{'3_1':0.0},(168,296):{'3_1':0.0},(168,295):{'3_1':0.0},(168,293):{'3_1':0.0},(168,291):{'3_1':0.0},(168,289):{'3_1':0.0},(168,288):{'3_1':0.0},(168,287):{'3_1':0.0},(168,286):{'3_1':0.0},(168,285):{'3_1':0.0},(168,284):{'3_1':0.0},(168,283):{'3_1':0.0},(168,282):{'3_1':0.0},(168,280):{'3_1':0.0},(168,277):{'3_1':0.0},(168,276):{'3_1':0.0},(168,275):{'3_1':0.0},(168,274):{'3_1':0.0},(168,273):{'3_1':0.0,'4_1':0.0},(168,272):{'3_1':0.0},(168,271):{'3_1':0.0},(168,267):{'3_1':0.0},(168,266):{'3_1':0.0},(168,264):{'3_1':0.0},(168,263):{'3_1':0.0},(168,260):{'3_1':0.0},(168,259):{'4_1':0.0},(168,256):{'3_1':0.0},(168,255):{'3_1':0.0},(168,252):{'3_1':0.0},(168,251):{'3_1':0.0},(168,250):{'3_1':0.0},(168,249):{'3_1':0.0},(168,248):{'3_1':0.0},(168,247):{'3_1':0.0},(168,242):{'5_2':0.0,'3_1':0.0},(168,240):{'3_1':0.0},(168,239):{'5_1':0.0},(168,238):{'3_1':0.0},(168,235):{'3_1':0.0},(168,234):{'3_1':0.0},(168,233):{'3_1':0.0},(168,232):{'3_1':0.0},(168,231):{'3_1':0.0},(168,230):{'3_1':0.0},(168,229):{'3_1':0.0},(168,226):{'3_1':0.0},(168,225):{'3_1':0.0},(168,223):{'3_1':0.0},(168,216):{'3_1':0.0},(168,214):{'3_1':0.0},(168,212):{'3_1':0.0},(168,210):{'3_1':0.0},(168,209):{'3_1':0.0,'5_1':0.0},(168,208):{'3_1':0.0},(168,206):{'3_1':0.0},(168,205):{'3_1':0.0},(168,204):{'3_1':0.0},(168,203):{'3_1':0.0},(168,201):{'3_1':0.0},(168,200):{'3_1':0.0},(168,199):{'3_1':0.0,'5_1':0.0},(168,198):{'3_1':0.03},(168,197):{'3_1':0.0,'5_2':0.0},(168,196):{'3_1':0.0},(168,195):{'3_1':0.0},(168,193):{'3_1':0.0},(168,192):{'3_1':0.0},(168,191):{'3_1':0.0},(168,190):{'3_1':0.0},(168,189):{'3_1':0.0},(168,186):{'3_1':0.0},(168,181):{'3_1':0.0},(168,180):{'3_1':0.0},(169,727):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(169,726):{'5_2':0.21,'6_1':0.09,'-3':0.06,'7_6':0.03,'3_1':0.0,'7_3':0.0,'8_14':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(169,725):{'5_2':0.24,'6_1':0.09,'7_2':0.09,'7_5':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(169,724):{'5_2':0.33,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(169,723):{'5_2':0.27,'6_1':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.03,'-3':0.03,'8_11':0.0,'4_1':0.0},(169,722):{'5_2':0.33,'7_2':0.06,'-3':0.06,'4_1':0.0,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(169,721):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0},(169,720):{'5_2':0.36,'6_1':0.06,'-3':0.06,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_13':0.0},(169,719):{'5_2':0.27,'7_2':0.06,'7_3':0.06,'6_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0},(169,718):{'5_2':0.21,'7_2':0.06,'7_5':0.06,'7_4':0.03,'7_6':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'3_1':0.0,'6_1':0.0,'8_1':0.0,'8_6':0.0,'8_13':0.0,'8_15':0.0},(169,717):{'5_2':0.21,'-3':0.06,'3_1':0.06,'7_2':0.06,'6_1':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_2':0.0},(169,716):{'5_2':0.24,'6_1':0.09,'-3':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(169,715):{'5_2':0.27,'7_2':0.06,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'2':-0.03},(169,714):{'5_2':0.3,'6_1':0.06,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(169,713):{'5_2':0.24,'7_2':0.06,'-3':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(169,712):{'5_2':0.3,'-3':0.09,'7_2':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_13':0.0},(169,711):{'5_2':0.27,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(169,710):{'5_2':0.18,'3_1':0.09,'-3':0.09,'7_2':0.06,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'8_4':0.0},(169,709):{'5_2':0.18,'-3':0.12,'7_5':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'2':-0.03},(169,708):{'5_2':0.21,'-3':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_6':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(169,707):{'5_2':0.21,'7_2':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_13':0.0},(169,706):{'5_2':0.24,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0,'9_1':0.0,'3_1#5_2':0.0},(169,705):{'5_2':0.27,'7_4':0.06,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_3':0.0},(169,704):{'5_2':0.3,'6_1':0.06,'7_3':0.06,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'-3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(169,703):{'5_2':0.27,'6_1':0.06,'7_4':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(169,702):{'5_2':0.33,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(169,701):{'5_2':0.24,'-3':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'3_1#5_2':0.0},(169,700):{'5_2':0.24,'3_1':0.06,'7_3':0.06,'4_1':0.03,'-3':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(169,699):{'5_2':0.24,'3_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(169,698):{'5_2':0.18,'7_2':0.06,'6_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0},(169,697):{'5_2':0.24,'7_3':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'3_1#5_2':0.0,'1':-0.03},(169,696):{'5_2':0.12,'7_2':0.09,'6_1':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'7_1':0.0,'8_14':0.0,'1':-0.03},(169,695):{'5_2':0.21,'6_1':0.09,'7_3':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(169,694):{'5_2':0.21,'3_1':0.09,'-3':0.09,'7_2':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'9_1':0.0,'1':-0.03},(169,693):{'5_2':0.21,'3_1':0.12,'7_2':0.06,'-3':0.06,'6_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(169,692):{'5_2':0.27,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0},(169,691):{'5_2':0.24,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_5':0.03,'5_1':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0},(169,690):{'5_2':0.3,'3_1':0.06,'7_3':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_10':0.0},(169,689):{'5_2':0.21,'3_1':0.12,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(169,688):{'5_2':0.27,'3_1':0.09,'7_4':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(169,687):{'5_2':0.15,'3_1':0.12,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(169,686):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(169,685):{'5_2':0.21,'3_1':0.12,'5_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0},(169,684):{'5_2':0.24,'3_1':0.12,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(169,683):{'5_2':0.18,'3_1':0.12,'7_3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(169,682):{'3_1':0.15,'5_2':0.12,'7_3':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0},(169,681):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0},(169,680):{'3_1':0.18,'5_2':0.15,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(169,679):{'3_1':0.15,'5_2':0.15,'5_1':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(169,678):{'3_1':0.21,'5_2':0.12,'7_4':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(169,677):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(169,676):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(169,675):{'3_1':0.24,'5_2':0.09,'7_4':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(169,674):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(169,673):{'3_1':0.24,'5_2':0.18,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(169,672):{'3_1':0.24,'5_2':0.12,'4_1':0.06,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0},(169,671):{'3_1':0.33,'5_2':0.12,'4_1':0.09,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(169,670):{'3_1':0.21,'5_2':0.21,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(169,669):{'3_1':0.24,'5_2':0.18,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'-3':0.0},(169,668):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_19':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(169,667):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(169,666):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0},(169,665):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0},(169,664):{'3_1':0.15,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(169,663):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(169,662):{'3_1':0.24,'5_2':0.18,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(169,661):{'3_1':0.3,'5_2':0.15,'5_1':0.06,'7_4':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(169,660):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(169,659):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0,'3_1#5_1':0.0},(169,658):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(169,657):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(169,656):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'7_7':0.0},(169,655):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0},(169,654):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(169,653):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(169,652):{'3_1':0.27,'5_2':0.15,'5_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(169,651):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0},(169,650):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0},(169,649):{'3_1':0.18,'5_2':0.15,'5_1':0.06,'4_1':0.03,'8_19':0.0,'-3':0.0},(169,648):{'3_1':0.24,'5_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(169,647):{'3_1':0.18,'5_2':0.15,'5_1':0.09,'7_3':0.0,'4_1':0.0,'8_19':0.0,'7_5':0.0},(169,646):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0,'8_19':0.0},(169,645):{'3_1':0.24,'5_1':0.12,'4_1':0.09,'5_2':0.09,'7_3':0.0,'7_7':0.0,'-3':0.0},(169,644):{'3_1':0.27,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(169,643):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0},(169,642):{'3_1':0.24,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(169,641):{'3_1':0.15,'5_2':0.12,'5_1':0.09,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(169,640):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(169,639):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'8_19':0.0},(169,638):{'3_1':0.18,'5_2':0.09,'5_1':0.09,'4_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0},(169,637):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(169,636):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(169,635):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_8':0.0},(169,634):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_6':0.0},(169,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(169,632):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(169,631):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(169,630):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(169,629):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0},(169,628):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(169,627):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'8_19':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(169,626):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(169,625):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_17':0.0,'8_19':0.0},(169,624):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(169,623):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0},(169,622):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(169,621):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(169,620):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(169,619):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(169,618):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0},(169,617):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(169,616):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'-3':0.0},(169,615):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'8_19':0.0,'7_1':0.0,'7_4':0.0},(169,614):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(169,613):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0},(169,612):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(169,611):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(169,610):{'3_1':0.18,'5_1':0.03,'7_4':0.0,'8_19':0.0},(169,609):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(169,608):{'3_1':0.06,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(169,607):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0},(169,606):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(169,605):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(169,604):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(169,603):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(169,602):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(169,601):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'7_1':0.0},(169,600):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(169,599):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(169,598):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_19':0.0},(169,597):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(169,596):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(169,595):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'5_2':0.0},(169,594):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(169,593):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(169,592):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0},(169,591):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(169,590):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(169,589):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(169,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(169,587):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(169,586):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(169,585):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(169,584):{'3_1':0.24,'4_1':0.03,'5_1':0.03},(169,583):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(169,582):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(169,581):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(169,580):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,579):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(169,578):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0},(169,577):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(169,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(169,575):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,574):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(169,573):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(169,572):{'3_1':0.18,'4_1':0.0},(169,571):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_5':0.0},(169,570):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'5_2':0.0},(169,569):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0},(169,568):{'3_1':0.12,'4_1':0.0},(169,567):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(169,566):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(169,565):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(169,564):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(169,563):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(169,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,561):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(169,560):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(169,559):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(169,558):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(169,557):{'3_1':0.12,'5_2':0.0},(169,556):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(169,555):{'3_1':0.15,'7_3':0.0,'4_1':0.0},(169,554):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(169,553):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(169,552):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(169,551):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(169,550):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(169,549):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(169,548):{'3_1':0.24,'5_1':0.0,'7_3':0.0},(169,547):{'3_1':0.18,'5_1':0.0,'7_5':0.0},(169,546):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(169,545):{'3_1':0.18,'5_1':0.0},(169,544):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(169,543):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(169,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(169,540):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(169,539):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_3':0.0},(169,538):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(169,537):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(169,536):{'3_1':0.18,'5_1':0.06,'4_1':0.0},(169,535):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0},(169,534):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(169,533):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(169,532):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(169,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(169,530):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(169,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(169,528):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(169,527):{'3_1':0.12,'4_1':0.0,'8_6':0.0},(169,526):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(169,525):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(169,524):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(169,523):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(169,522):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(169,521):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(169,520):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(169,519):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(169,518):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(169,517):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(169,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,515):{'3_1':0.09},(169,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(169,513):{'3_1':0.06,'-3':0.0},(169,512):{'3_1':0.09,'4_1':0.0},(169,511):{'3_1':0.06},(169,510):{'3_1':0.09,'5_1':0.0},(169,509):{'3_1':0.06},(169,508):{'3_1':0.03,'5_2':0.0},(169,507):{'3_1':0.06,'5_2':0.0},(169,506):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(169,505):{'3_1':0.06,'4_1':0.0},(169,504):{'3_1':0.09,'4_1':0.0},(169,503):{'3_1':0.06,'4_1':0.0},(169,502):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(169,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(169,500):{'3_1':0.06,'4_1':0.0},(169,499):{'3_1':0.06,'5_2':0.0},(169,498):{'3_1':0.09,'5_2':0.0},(169,497):{'3_1':0.06,'5_1':0.0},(169,496):{'3_1':0.09},(169,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(169,494):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,493):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(169,492):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(169,491):{'3_1':0.03,'5_2':0.0},(169,490):{'3_1':0.09,'7_3':0.0},(169,489):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(169,488):{'3_1':0.03},(169,487):{'3_1':0.09},(169,486):{'3_1':0.12},(169,485):{'3_1':0.03,'4_1':0.0},(169,484):{'3_1':0.06,'5_1':0.0},(169,483):{'3_1':0.03,'5_1':0.0},(169,482):{'3_1':0.15},(169,481):{'3_1':0.06,'5_2':0.0},(169,480):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(169,479):{'3_1':0.06,'5_2':0.0},(169,478):{'3_1':0.03,'8_20|3_1#3_1':0.0},(169,477):{'3_1':0.06},(169,476):{'3_1':0.03,'5_2':0.0},(169,475):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(169,474):{'3_1':0.06,'6_1':0.0,'7_1':0.0},(169,473):{'3_1':0.06},(169,472):{'3_1':0.03},(169,471):{'3_1':0.09},(169,470):{'3_1':0.06,'5_2':0.0},(169,469):{'3_1':0.03,'5_1':0.0},(169,468):{'3_1':0.03},(169,467):{'3_1':0.06},(169,466):{'3_1':0.06},(169,465):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(169,464):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(169,463):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(169,462):{'3_1':0.0},(169,461):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(169,460):{'3_1':0.03,'4_1':0.0},(169,459):{'3_1':0.03,'4_1':0.0},(169,458):{'3_1':0.0},(169,457):{'3_1':0.0},(169,456):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(169,455):{'3_1':0.09},(169,454):{'3_1':0.03},(169,453):{'3_1':0.0,'4_1':0.0},(169,452):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(169,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(169,450):{'3_1':0.0,'4_1':0.0},(169,449):{'3_1':0.06,'5_1':0.0},(169,448):{'3_1':0.03},(169,447):{'3_1':0.0,'4_1':0.0},(169,446):{'3_1':0.03},(169,445):{'3_1':0.0},(169,444):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(169,443):{'3_1':0.0,'4_1':0.0},(169,442):{'3_1':0.0,'5_2':0.0},(169,441):{'3_1':0.0},(169,440):{'3_1':0.0},(169,439):{'3_1':0.03,'4_1':0.0},(169,438):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(169,437):{'3_1':0.0},(169,436):{'3_1':0.03},(169,435):{'3_1':0.0,'4_1':0.0},(169,434):{'3_1':0.0},(169,433):{'3_1':0.0},(169,432):{'3_1':0.03},(169,431):{'3_1':0.0},(169,430):{'3_1':0.0,'4_1':0.0},(169,429):{'3_1':0.03},(169,428):{'3_1':0.03,'4_1':0.0},(169,427):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(169,426):{'3_1':0.09,'4_1':0.0},(169,425):{'3_1':0.0,'4_1':0.0},(169,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(169,423):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(169,422):{'3_1':0.06,'4_1':0.0},(169,421):{'3_1':0.0},(169,420):{'3_1':0.03},(169,419):{'3_1':0.03,'4_1':0.0},(169,418):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(169,417):{'3_1':0.0,'4_1':0.0},(169,416):{'4_1':0.03,'3_1':0.0},(169,415):{'3_1':0.0},(169,414):{'3_1':0.03,'4_1':0.0},(169,413):{'7_1':0.0},(169,412):{'3_1':0.0},(169,411):{'3_1':0.0,'4_1':0.0},(169,410):{'3_1':0.03,'5_1':0.0},(169,408):{'3_1':0.03},(169,407):{'3_1':0.03},(169,406):{'3_1':0.03},(169,405):{'3_1':0.0,'4_1':0.0},(169,404):{'3_1':0.0},(169,403):{'3_1':0.03},(169,402):{'3_1':0.03},(169,400):{'3_1':0.03,'4_1':0.0},(169,399):{'3_1':0.0},(169,398):{'3_1':0.0},(169,397):{'3_1':0.03},(169,396):{'3_1':0.03,'4_1':0.0},(169,395):{'3_1':0.0},(169,394):{'3_1':0.0},(169,392):{'3_1':0.0},(169,391):{'3_1':0.0,'4_1':0.0},(169,390):{'3_1':0.0},(169,389):{'3_1':0.0},(169,388):{'3_1':0.03},(169,387):{'3_1':0.0},(169,386):{'3_1':0.0},(169,385):{'3_1':0.0},(169,384):{'3_1':0.0},(169,383):{'3_1':0.0},(169,382):{'3_1':0.0},(169,381):{'3_1':0.0},(169,380):{'3_1':0.0},(169,379):{'3_1':0.03,'5_1':0.0},(169,378):{'3_1':0.03},(169,377):{'3_1':0.06,'5_1':0.0},(169,376):{'3_1':0.0},(169,375):{'3_1':0.03},(169,374):{'3_1':0.0},(169,373):{'3_1':0.03,'5_1':0.0},(169,372):{'3_1':0.0},(169,371):{'3_1':0.03},(169,370):{'3_1':0.0},(169,369):{'3_1':0.0},(169,368):{'3_1':0.0,'5_1':0.0},(169,367):{'3_1':0.03},(169,366):{'3_1':0.0},(169,365):{'5_1':0.0,'7_1':0.0},(169,364):{'3_1':0.0,'5_1':0.0},(169,363):{'3_1':0.03,'9_1':0.0},(169,362):{'3_1':0.0},(169,361):{'3_1':0.03},(169,360):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(169,359):{'3_1':0.0},(169,357):{'3_1':0.0},(169,356):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(169,355):{'3_1':0.03},(169,354):{'3_1':0.0,'5_1':0.0},(169,353):{'3_1':0.03},(169,352):{'3_1':0.03},(169,350):{'3_1':0.0},(169,348):{'3_1':0.03,'4_1':0.0},(169,347):{'3_1':0.0},(169,346):{'3_1':0.0},(169,345):{'3_1':0.0,'5_2':0.0},(169,344):{'3_1':0.03,'4_1':0.0},(169,343):{'3_1':0.0,'4_1':0.0},(169,341):{'3_1':0.0},(169,340):{'3_1':0.0,'4_1':0.0},(169,339):{'3_1':0.0},(169,337):{'3_1':0.0},(169,336):{'3_1':0.0},(169,335):{'3_1':0.0},(169,332):{'3_1':0.0},(169,330):{'3_1':0.0},(169,329):{'3_1':0.0},(169,328):{'3_1':0.0},(169,327):{'3_1':0.0},(169,326):{'3_1':0.0},(169,325):{'3_1':0.0},(169,324):{'3_1':0.0},(169,322):{'3_1':0.0},(169,321):{'3_1':0.0},(169,320):{'3_1':0.0},(169,318):{'3_1':0.0},(169,316):{'3_1':0.0},(169,315):{'3_1':0.0},(169,311):{'3_1':0.03},(169,310):{'3_1':0.0},(169,309):{'3_1':0.0},(169,308):{'3_1':0.0},(169,307):{'3_1':0.03},(169,306):{'3_1':0.0},(169,304):{'3_1':0.03},(169,303):{'3_1':0.0},(169,302):{'3_1':0.0},(169,301):{'3_1':0.0},(169,300):{'3_1':0.0},(169,298):{'3_1':0.0},(169,297):{'3_1':0.03,'4_1':0.0},(169,295):{'3_1':0.0},(169,293):{'3_1':0.0},(169,291):{'3_1':0.0,'5_1':0.0},(169,290):{'3_1':0.0},(169,289):{'3_1':0.0},(169,288):{'3_1':0.0},(169,287):{'3_1':0.0},(169,286):{'3_1':0.0},(169,285):{'3_1':0.0},(169,284):{'3_1':0.0},(169,281):{'3_1':0.0},(169,280):{'3_1':0.0},(169,278):{'3_1':0.0},(169,277):{'3_1':0.0},(169,276):{'3_1':0.0},(169,274):{'3_1':0.0},(169,273):{'3_1':0.0},(169,272):{'3_1':0.0},(169,271):{'3_1':0.0},(169,269):{'3_1':0.0},(169,265):{'3_1':0.0},(169,264):{'3_1':0.0},(169,260):{'3_1':0.0},(169,258):{'3_1':0.0},(169,257):{'3_1':0.03},(169,254):{'3_1':0.0},(169,253):{'3_1':0.0},(169,249):{'3_1':0.0},(169,246):{'3_1':0.0},(169,245):{'4_1':0.0},(169,243):{'3_1':0.0},(169,242):{'3_1':0.0},(169,238):{'3_1':0.0,'4_1':0.0},(169,237):{'3_1':0.0},(169,236):{'5_1':0.0},(169,234):{'3_1':0.0},(169,233):{'3_1':0.0},(169,229):{'3_1':0.0},(169,228):{'3_1':0.0},(169,226):{'3_1':0.0},(169,225):{'3_1':0.0},(169,224):{'3_1':0.0},(169,215):{'3_1':0.0},(169,214):{'3_1':0.0},(169,213):{'3_1':0.0},(169,211):{'3_1':0.0,'5_2':0.0},(169,210):{'3_1':0.0},(169,207):{'3_1':0.0},(169,205):{'3_1':0.03},(169,204):{'3_1':0.0,'5_1':0.0},(169,203):{'3_1':0.0},(169,201):{'3_1':0.0,'5_1':0.0},(169,200):{'3_1':0.0},(169,199):{'3_1':0.0},(169,198):{'3_1':0.0},(169,197):{'3_1':0.0},(169,196):{'3_1':0.03},(169,195):{'3_1':0.0},(169,192):{'3_1':0.03},(169,191):{'3_1':0.03,'5_1':0.0},(169,190):{'3_1':0.0},(169,189):{'3_1':0.0},(169,187):{'3_1':0.0},(169,184):{'3_1':0.0},(169,183):{'3_1':0.0},(169,181):{'3_1':0.0},(169,173):{'3_1':0.0},(170,727):{'5_2':0.18,'7_5':0.09,'6_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0},(170,726):{'5_2':0.24,'6_1':0.06,'7_2':0.03,'7_6':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(170,725):{'5_2':0.21,'7_2':0.09,'-3':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'4_1':0.0,'7_4':0.0},(170,724):{'5_2':0.33,'7_3':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'8_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(170,723):{'5_2':0.27,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(170,722):{'5_2':0.18,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'8_14':0.0,'6_2':0.0,'3_1#5_2':0.0},(170,721):{'5_2':0.18,'7_2':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(170,720):{'5_2':0.18,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_11':0.0,'1':-0.03},(170,719):{'5_2':0.18,'-3':0.06,'6_1':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'8_14':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_15':0.0},(170,718):{'5_2':0.18,'7_2':0.09,'-3':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(170,717):{'5_2':0.27,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(170,716):{'5_2':0.3,'-3':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(170,715):{'5_2':0.24,'-3':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(170,714):{'5_2':0.24,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(170,713):{'5_2':0.24,'-3':0.06,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'3_1':0.0,'4_1':0.0,'8_14':0.0,'8_15':0.0},(170,712):{'5_2':0.24,'-3':0.09,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(170,711):{'5_2':0.27,'6_1':0.06,'-3':0.06,'3_1':0.06,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'1':-0.03},(170,710):{'5_2':0.18,'-3':0.09,'7_5':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(170,709):{'-3':0.12,'5_2':0.09,'7_5':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'5_1':0.0,'7_1':0.0,'8_10':0.0,'3_1#5_2':0.0},(170,708):{'5_2':0.18,'-3':0.12,'3_1':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(170,707):{'5_2':0.24,'-3':0.09,'7_2':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(170,706):{'5_2':0.18,'7_2':0.06,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(170,705):{'5_2':0.21,'7_2':0.09,'3_1':0.06,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(170,704):{'5_2':0.18,'-3':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'9_1':0.0,'3_1#5_2':0.0},(170,703):{'5_2':0.21,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(170,702):{'5_2':0.15,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0,'8_14':0.0,'3_1#5_2':0.0},(170,701):{'5_2':0.21,'3_1':0.06,'7_2':0.06,'7_3':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'8_11':0.0},(170,700):{'5_2':0.21,'-3':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(170,699):{'5_2':0.18,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'3_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0},(170,698):{'5_2':0.21,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(170,697):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0},(170,696):{'5_2':0.24,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0},(170,695):{'5_2':0.24,'7_3':0.06,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0},(170,694):{'5_2':0.24,'3_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(170,693):{'5_2':0.18,'3_1':0.12,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_14':0.0,'3_1#5_2':0.0},(170,692):{'5_2':0.24,'3_1':0.09,'-3':0.06,'7_3':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_3':0.0},(170,691):{'5_2':0.18,'6_1':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(170,690):{'5_2':0.15,'-3':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(170,689):{'5_2':0.18,'3_1':0.06,'7_3':0.06,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_2':0.0,'8_14':0.0},(170,688):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.03,'-3':0.03,'4_1':0.0,'3_1#5_2':0.0},(170,687):{'5_2':0.12,'7_3':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(170,686):{'5_2':0.24,'3_1':0.09,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(170,685):{'5_2':0.18,'3_1':0.12,'6_1':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0},(170,684):{'5_2':0.24,'3_1':0.18,'7_3':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_4':0.0,'-3':0.0},(170,683):{'5_2':0.21,'3_1':0.18,'7_2':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(170,682):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0},(170,681):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0,'3_1#5_2':0.0,'-3':0.0},(170,680):{'5_2':0.15,'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_6':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(170,679):{'5_2':0.15,'3_1':0.15,'5_1':0.06,'4_1':0.06,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(170,678):{'5_2':0.24,'3_1':0.15,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(170,677):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(170,676):{'5_2':0.24,'3_1':0.21,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(170,675):{'5_2':0.18,'3_1':0.15,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(170,674):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_3':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(170,673):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(170,672):{'5_2':0.21,'3_1':0.12,'5_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0},(170,671):{'3_1':0.24,'5_2':0.18,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0},(170,670):{'3_1':0.24,'5_2':0.21,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(170,669):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(170,668):{'3_1':0.21,'5_2':0.12,'7_4':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(170,667):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(170,666):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'5_1':0.06,'7_4':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(170,665):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(170,664):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(170,663):{'3_1':0.21,'5_2':0.18,'5_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0},(170,662):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0},(170,661):{'5_2':0.21,'3_1':0.15,'4_1':0.09,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(170,660):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'7_4':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(170,659):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(170,658):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(170,657):{'3_1':0.3,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(170,656):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(170,655):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(170,654):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(170,653):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(170,652):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(170,651):{'3_1':0.21,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_2':0.0},(170,650):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_1':0.0},(170,649):{'3_1':0.27,'5_2':0.12,'5_1':0.06,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(170,648):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(170,647):{'3_1':0.27,'5_2':0.15,'5_1':0.09,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(170,646):{'3_1':0.33,'5_2':0.15,'5_1':0.03,'7_3':0.03,'-3':0.0},(170,645):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(170,644):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0,'7_3':0.0,'-3':0.0},(170,643):{'3_1':0.24,'5_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(170,642):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'9_1':0.0},(170,641):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(170,640):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'7_3':0.03,'4_1':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(170,639):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(170,638):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(170,637):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(170,636):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(170,635):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(170,634):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0},(170,633):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(170,632):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0},(170,631):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(170,630):{'3_1':0.09,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0},(170,629):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_19':0.0},(170,628):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(170,627):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(170,626):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.03},(170,625):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(170,624):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(170,623):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0},(170,622):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(170,621):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(170,620):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(170,619):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(170,618):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'7_1':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(170,617):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(170,616):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_19':0.0,'5_2':0.0},(170,615):{'3_1':0.06,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_4':0.0,'8_21|3_1#4_1':0.0},(170,614):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(170,613):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(170,612):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(170,611):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(170,610):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(170,609):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(170,608):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(170,607):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_19':0.0},(170,606):{'3_1':0.15,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(170,605):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(170,604):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(170,603):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_3':0.0},(170,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(170,601):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(170,600):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(170,599):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0},(170,598):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_7':0.0},(170,597):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(170,596):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(170,595):{'3_1':0.18,'4_1':0.03,'7_3':0.0},(170,594):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(170,593):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(170,592):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(170,591):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(170,590):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(170,589):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(170,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(170,587):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(170,586):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(170,585):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(170,584):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(170,583):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(170,582):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(170,581):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(170,580):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(170,579):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(170,578):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(170,577):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0},(170,576):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(170,575):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,574):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(170,573):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(170,572):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(170,571):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(170,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(170,569):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,568):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(170,567):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(170,566):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(170,565):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(170,564):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(170,563):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(170,562):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(170,561):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_3':0.0},(170,560):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(170,559):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(170,558):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0},(170,557):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0},(170,556):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(170,555):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(170,554):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(170,553):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(170,552):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(170,551):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(170,550):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(170,549):{'3_1':0.21,'5_1':0.0,'7_3':0.0},(170,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(170,547):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(170,546):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_3':0.0},(170,545):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(170,544):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(170,543):{'3_1':0.18,'5_1':0.03,'6_3':0.0,'7_3':0.0},(170,542):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(170,541):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(170,540):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_3':0.0},(170,539):{'3_1':0.27,'5_1':0.0},(170,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(170,537):{'3_1':0.21,'5_1':0.0},(170,536):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,535):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(170,534):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(170,533):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0},(170,532):{'3_1':0.18,'5_1':0.0,'6_3':0.0,'7_1':0.0},(170,531):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_3':0.0},(170,530):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(170,529):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(170,528):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(170,527):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(170,526):{'3_1':0.06,'5_1':0.0},(170,525):{'3_1':0.09,'4_1':0.0},(170,524):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(170,523):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(170,522):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,521):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_5':0.0},(170,520):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(170,519):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(170,518):{'3_1':0.09,'5_1':0.0},(170,517):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(170,516):{'3_1':0.06,'5_1':0.0},(170,515):{'3_1':0.06,'5_2':0.0},(170,514):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(170,513):{'3_1':0.12,'4_1':0.0},(170,512):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(170,511):{'3_1':0.06,'4_1':0.0},(170,510):{'3_1':0.03},(170,509):{'3_1':0.06},(170,508):{'3_1':0.03},(170,507):{'3_1':0.03,'5_1':0.0},(170,506):{'3_1':0.03,'4_1':0.0},(170,505):{'3_1':0.03},(170,504):{'3_1':0.09,'4_1':0.0},(170,503):{'3_1':0.0},(170,502):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(170,501):{'3_1':0.06,'4_1':0.0},(170,500):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(170,499):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(170,498):{'3_1':0.09,'5_1':0.0},(170,497):{'3_1':0.09},(170,496):{'3_1':0.03},(170,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(170,494):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(170,493):{'3_1':0.06},(170,492):{'3_1':0.06,'9_1':0.0},(170,491):{'3_1':0.06,'4_1':0.0},(170,490):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(170,489):{'3_1':0.09},(170,488):{'3_1':0.09,'5_1':0.0},(170,487):{'3_1':0.12,'5_2':0.0},(170,486):{'3_1':0.15,'5_2':0.0},(170,485):{'3_1':0.09,'4_1':0.0},(170,484):{'3_1':0.12},(170,483):{'3_1':0.09,'5_1':0.0},(170,482):{'3_1':0.09,'9_1':0.0},(170,481):{'3_1':0.09,'4_1':0.0},(170,480):{'3_1':0.06},(170,479):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(170,478):{'3_1':0.12},(170,477):{'3_1':0.09,'5_2':0.0},(170,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(170,475):{'3_1':0.06,'5_1':0.0},(170,474):{'3_1':0.06,'5_1':0.0},(170,473):{'3_1':0.0},(170,472):{'3_1':0.06},(170,471):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(170,470):{'3_1':0.03,'4_1':0.0},(170,469):{'3_1':0.06,'4_1':0.0},(170,468):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,467):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(170,466):{'3_1':0.03,'4_1':0.0},(170,465):{'3_1':0.03},(170,464):{'3_1':0.03,'4_1':0.0},(170,463):{'3_1':0.03},(170,462):{'3_1':0.0,'4_1':0.0},(170,461):{'3_1':0.03},(170,460):{'3_1':0.03},(170,459):{'3_1':0.03},(170,458):{'3_1':0.0,'4_1':0.0},(170,457):{'3_1':0.06},(170,456):{'3_1':0.0},(170,455):{'3_1':0.0,'4_1':0.0},(170,454):{'3_1':0.03},(170,453):{'3_1':0.0,'4_1':0.0},(170,452):{'3_1':0.06,'7_3':0.0},(170,451):{'3_1':0.03,'4_1':0.0},(170,450):{'3_1':0.03},(170,449):{'3_1':0.03},(170,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(170,447):{'3_1':0.0,'6_2':0.0},(170,446):{'3_1':0.0},(170,445):{'3_1':0.0,'4_1':0.0},(170,444):{'3_1':0.09,'5_1':0.0},(170,443):{'3_1':0.0},(170,442):{'3_1':0.0,'4_1':0.0},(170,441):{'3_1':0.06},(170,440):{'3_1':0.03},(170,439):{'3_1':0.03},(170,438):{'3_1':0.0},(170,437):{'3_1':0.03,'4_1':0.0},(170,436):{'3_1':0.0},(170,435):{'3_1':0.0},(170,434):{'3_1':0.03},(170,433):{'3_1':0.03},(170,432):{'3_1':0.0},(170,431):{'3_1':0.0,'4_1':0.0},(170,430):{'3_1':0.0},(170,429):{'3_1':0.0,'6_1':0.0},(170,428):{'3_1':0.03,'5_2':0.03},(170,427):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(170,426):{'3_1':0.03,'4_1':0.0},(170,425):{'3_1':0.03,'4_1':0.0},(170,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(170,423):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(170,422):{'3_1':0.06,'4_1':0.0},(170,421):{'4_1':0.0,'3_1':0.0},(170,420):{'3_1':0.03},(170,419):{'3_1':0.06,'4_1':0.0},(170,418):{'3_1':0.0,'4_1':0.0},(170,417):{'3_1':0.0},(170,416):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(170,415):{'3_1':0.0},(170,414):{'3_1':0.03},(170,413):{'3_1':0.03,'7_1':0.0},(170,412):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(170,411):{'3_1':0.03,'6_1':0.0},(170,410):{'3_1':0.0,'4_1':0.0},(170,409):{'3_1':0.0},(170,408):{'3_1':0.0},(170,407):{'3_1':0.0,'4_1':0.0},(170,405):{'3_1':0.0},(170,404):{'3_1':0.0,'6_1':0.0},(170,403):{'3_1':0.0},(170,402):{'3_1':0.0},(170,401):{'3_1':0.0},(170,400):{'3_1':0.06},(170,399):{'3_1':0.0,'5_1':0.0},(170,398):{'3_1':0.0,'4_1':0.0,'8_3':0.0},(170,397):{'3_1':0.0},(170,396):{'3_1':0.03},(170,395):{'3_1':0.03},(170,394):{'3_1':0.0,'4_1':0.0},(170,393):{'3_1':0.0},(170,392):{'3_1':0.03},(170,391):{'3_1':0.03},(170,390):{'3_1':0.03},(170,389):{'3_1':0.0},(170,388):{'3_1':0.0},(170,387):{'3_1':0.03},(170,386):{'3_1':0.06},(170,385):{'3_1':0.0},(170,384):{'3_1':0.03},(170,383):{'3_1':0.0},(170,382):{'3_1':0.06},(170,381):{'3_1':0.0},(170,380):{'3_1':0.03,'5_1':0.0},(170,379):{'3_1':0.0},(170,378):{'3_1':0.0,'8_20|3_1#3_1':0.0},(170,377):{'3_1':0.0},(170,376):{'3_1':0.0},(170,375):{'3_1':0.03},(170,374):{'3_1':0.03},(170,373):{'3_1':0.06},(170,372):{'3_1':0.0},(170,371):{'3_1':0.03},(170,370):{'5_1':0.0,'3_1':0.0},(170,369):{'3_1':0.03},(170,367):{'3_1':0.03},(170,366):{'3_1':0.0,'5_1':0.0},(170,365):{'3_1':0.0},(170,364):{'3_1':0.0},(170,363):{'3_1':0.03},(170,362):{'3_1':0.0},(170,361):{'3_1':0.03},(170,360):{'3_1':0.03},(170,359):{'3_1':0.0},(170,358):{'3_1':0.0},(170,357):{'3_1':0.0},(170,356):{'3_1':0.0},(170,355):{'3_1':0.0},(170,354):{'3_1':0.0},(170,353):{'3_1':0.0},(170,352):{'3_1':0.0},(170,351):{'3_1':0.0},(170,350):{'3_1':0.03,'5_1':0.0},(170,349):{'3_1':0.0},(170,348):{'3_1':0.0,'5_2':0.0},(170,346):{'3_1':0.0},(170,345):{'3_1':0.0},(170,344):{'3_1':0.0},(170,343):{'3_1':0.06},(170,342):{'3_1':0.0},(170,341):{'3_1':0.0},(170,340):{'3_1':0.0},(170,339):{'3_1':0.0},(170,338):{'3_1':0.0},(170,337):{'3_1':0.0},(170,336):{'3_1':0.03},(170,335):{'3_1':0.0},(170,334):{'3_1':0.0},(170,332):{'3_1':0.0},(170,331):{'3_1':0.03},(170,330):{'3_1':0.0},(170,328):{'3_1':0.0,'4_1':0.0},(170,326):{'3_1':0.0},(170,324):{'3_1':0.0},(170,323):{'3_1':0.03},(170,322):{'3_1':0.0},(170,321):{'3_1':0.0,'4_1':0.0},(170,320):{'3_1':0.0},(170,319):{'3_1':0.03},(170,318):{'3_1':0.0},(170,317):{'3_1':0.0},(170,316):{'3_1':0.0},(170,315):{'3_1':0.03,'5_1':0.0},(170,314):{'3_1':0.0},(170,313):{'3_1':0.03,'4_1':0.0},(170,311):{'3_1':0.03},(170,310):{'3_1':0.0},(170,308):{'3_1':0.0},(170,307):{'3_1':0.0},(170,304):{'3_1':0.0},(170,303):{'3_1':0.0},(170,302):{'3_1':0.0},(170,301):{'3_1':0.0},(170,300):{'3_1':0.0},(170,299):{'3_1':0.0},(170,297):{'3_1':0.0},(170,296):{'3_1':0.0},(170,295):{'3_1':0.0},(170,294):{'3_1':0.0},(170,293):{'3_1':0.0},(170,292):{'3_1':0.0},(170,290):{'3_1':0.0},(170,289):{'3_1':0.0},(170,286):{'3_1':0.0},(170,285):{'3_1':0.0},(170,284):{'3_1':0.0},(170,282):{'3_1':0.0},(170,281):{'3_1':0.0},(170,279):{'3_1':0.0},(170,278):{'3_1':0.0},(170,276):{'3_1':0.0},(170,275):{'3_1':0.0},(170,274):{'3_1':0.0},(170,273):{'3_1':0.0},(170,272):{'3_1':0.0},(170,271):{'3_1':0.0},(170,268):{'3_1':0.0},(170,267):{'3_1':0.0},(170,266):{'3_1':0.0},(170,265):{'3_1':0.0},(170,264):{'3_1':0.0},(170,263):{'3_1':0.0},(170,253):{'3_1':0.0},(170,252):{'3_1':0.0},(170,250):{'3_1':0.0},(170,248):{'3_1':0.0},(170,246):{'3_1':0.0},(170,245):{'3_1':0.0},(170,243):{'3_1':0.0},(170,242):{'4_1':0.0},(170,240):{'3_1':0.0},(170,239):{'3_1':0.0},(170,237):{'3_1':0.0,'4_1':0.0},(170,236):{'3_1':0.0},(170,235):{'3_1':0.0},(170,234):{'3_1':0.0},(170,232):{'3_1':0.0},(170,231):{'3_1':0.0},(170,230):{'3_1':0.0},(170,229):{'3_1':0.0},(170,228):{'3_1':0.0},(170,227):{'3_1':0.0},(170,226):{'3_1':0.0},(170,223):{'3_1':0.0},(170,211):{'3_1':0.0},(170,209):{'3_1':0.0},(170,208):{'3_1':0.0},(170,207):{'3_1':0.0},(170,205):{'3_1':0.0},(170,204):{'3_1':0.0,'5_1':0.0},(170,203):{'3_1':0.0},(170,201):{'3_1':0.0},(170,200):{'3_1':0.0},(170,199):{'3_1':0.0,'4_1':0.0},(170,198):{'3_1':0.0},(170,197):{'3_1':0.0,'5_1':0.0},(170,196):{'4_1':0.0},(170,194):{'5_1':0.0},(170,193):{'3_1':0.03},(170,192):{'3_1':0.0},(170,191):{'3_1':0.0,'5_1':0.0},(170,190):{'4_1':0.0,'3_1':0.0},(170,189):{'3_1':0.0},(170,188):{'3_1':0.0},(170,183):{'3_1':0.0},(170,180):{'3_1':0.0},(170,179):{'3_1':0.0},(170,177):{'3_1':0.0},(170,176):{'3_1':0.0},(170,174):{'3_1':0.0},(170,173):{'3_1':0.0},(171,727):{'5_2':0.24,'6_1':0.06,'7_5':0.06,'-3':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0},(171,726):{'5_2':0.3,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(171,725):{'5_2':0.27,'7_2':0.09,'6_1':0.03,'7_5':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0},(171,724):{'5_2':0.24,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(171,723):{'5_2':0.24,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'3_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_15':0.0},(171,722):{'5_2':0.21,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(171,721):{'5_2':0.3,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'3_1#5_2':0.0},(171,720):{'5_2':0.27,'7_2':0.09,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(171,719):{'5_2':0.15,'7_2':0.09,'7_3':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0},(171,718):{'5_2':0.21,'7_2':0.06,'-3':0.06,'4_1':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_15':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(171,717):{'5_2':0.21,'7_2':0.06,'6_1':0.06,'-3':0.06,'7_6':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(171,716):{'5_2':0.24,'7_6':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(171,715):{'5_2':0.18,'7_3':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(171,714):{'5_2':0.18,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(171,713):{'5_2':0.18,'6_1':0.06,'7_2':0.06,'-3':0.03,'3_1':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0},(171,712):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'8_6':0.0},(171,711):{'5_2':0.18,'3_1':0.06,'7_5':0.06,'7_2':0.03,'-3':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(171,710):{'-3':0.15,'5_2':0.12,'3_1':0.12,'7_2':0.06,'6_1':0.03,'7_5':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(171,709):{'5_2':0.12,'7_5':0.09,'-3':0.09,'3_1':0.06,'7_2':0.06,'6_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_6':0.0},(171,708):{'5_2':0.24,'7_5':0.06,'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_8':0.0,'8_14':0.0,'8_15':0.0},(171,707):{'5_2':0.18,'7_2':0.09,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(171,706):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(171,705):{'5_2':0.27,'6_1':0.06,'7_4':0.03,'7_5':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'3_1#5_2':0.0,'8_4':0.0},(171,704):{'5_2':0.18,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(171,703):{'5_2':0.21,'7_2':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'8_14':0.0},(171,702):{'5_2':0.15,'7_2':0.09,'-3':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(171,701):{'5_2':0.21,'7_4':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_6':0.0},(171,700):{'5_2':0.24,'7_2':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(171,699):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_2':0.06,'7_3':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0},(171,698):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(171,697):{'5_2':0.24,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(171,696):{'5_2':0.21,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'9_1':0.0},(171,695):{'5_2':0.24,'6_1':0.06,'7_2':0.03,'3_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_9':0.0,'3_1#5_2':0.0},(171,694):{'5_2':0.24,'7_3':0.06,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(171,693):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(171,692):{'5_2':0.21,'6_1':0.06,'7_3':0.06,'3_1':0.06,'7_5':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(171,691):{'5_2':0.24,'3_1':0.09,'7_2':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(171,690):{'5_2':0.12,'3_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0},(171,689):{'5_2':0.18,'3_1':0.09,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_13':0.0,'3_1#5_2':0.0},(171,688):{'5_2':0.18,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(171,687):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(171,686):{'5_2':0.24,'3_1':0.12,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(171,685):{'3_1':0.12,'5_2':0.12,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(171,684):{'5_2':0.24,'3_1':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0},(171,683):{'5_2':0.24,'3_1':0.12,'7_3':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(171,682):{'5_2':0.27,'3_1':0.12,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(171,681):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(171,680):{'3_1':0.15,'5_2':0.09,'7_3':0.03,'7_4':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'3_1#5_2':0.0},(171,679):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(171,678):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'7_3':0.03,'-3':0.0,'6_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(171,677):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(171,676):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_15':0.0,'-3':0.0},(171,675):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_7':0.0},(171,674):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,673):{'3_1':0.27,'5_2':0.12,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(171,672):{'3_1':0.21,'5_2':0.15,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(171,671):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(171,670):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(171,669):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(171,668):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(171,667):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(171,666):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0},(171,665):{'3_1':0.21,'5_2':0.15,'7_2':0.03,'7_3':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(171,664):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_7':0.0,'8_4':0.0},(171,663):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'7_3':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(171,662):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,661):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0},(171,660):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(171,659):{'3_1':0.24,'5_2':0.12,'7_3':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0},(171,658):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_7':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(171,657):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(171,656):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_7':0.0},(171,655):{'3_1':0.3,'5_2':0.12,'5_1':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(171,654):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(171,653):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'-3':0.0},(171,652):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(171,651):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_5':0.0},(171,650):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(171,649):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(171,648):{'3_1':0.3,'5_2':0.09,'5_1':0.06,'4_1':0.06,'8_20|3_1#3_1':0.03,'8_19':0.0,'6_2':0.0,'-3':0.0},(171,647):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(171,646):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(171,645):{'3_1':0.36,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,644):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.03,'-3':0.0,'7_5':0.0,'8_17':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(171,643):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(171,642):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'9_1':0.0,'-3':0.0},(171,641):{'3_1':0.3,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,640):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(171,639):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(171,638):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(171,637):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(171,636):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,635):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(171,634):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0},(171,633):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(171,632):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(171,631):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0},(171,630):{'3_1':0.15,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(171,629):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(171,628):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(171,627):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(171,626):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(171,625):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'4_1':0.0},(171,624):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0},(171,623):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0},(171,622):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(171,621):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0},(171,620):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_16':0.0},(171,619):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(171,618):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'3_1#5_1':0.0},(171,617):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0},(171,616):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0},(171,615):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(171,614):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(171,613):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03},(171,612):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(171,611):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(171,610):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_7':0.0},(171,609):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(171,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(171,607):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(171,606):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_7':0.0},(171,605):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(171,604):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(171,603):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(171,602):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0},(171,601):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(171,600):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(171,599):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(171,598):{'3_1':0.12,'4_1':0.03},(171,597):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_19':0.0},(171,596):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(171,595):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(171,594):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(171,593):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(171,592):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(171,591):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(171,590):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(171,589):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(171,588):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(171,587):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(171,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,585):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0},(171,584):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'7_5':0.0},(171,583):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(171,582):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(171,581):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(171,580):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(171,579):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(171,578):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(171,577):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(171,576):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0},(171,575):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(171,574):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(171,573):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0},(171,572):{'3_1':0.12,'4_1':0.0},(171,571):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_3':0.0},(171,570):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0},(171,569):{'3_1':0.18,'4_1':0.0},(171,568):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,567):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(171,566):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(171,565):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_3':0.0},(171,564):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(171,563):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(171,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(171,561):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_2':0.0},(171,560):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(171,559):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(171,558):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(171,557):{'3_1':0.24,'5_1':0.0},(171,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(171,555):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(171,554):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(171,553):{'3_1':0.18,'5_2':0.0},(171,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(171,551):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(171,550):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(171,549):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,548):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(171,547):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_1':0.0},(171,546):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(171,545):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(171,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(171,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(171,542):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(171,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_6':0.0},(171,540):{'3_1':0.15,'5_1':0.0},(171,539):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(171,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(171,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(171,536):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(171,535):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(171,534):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(171,533):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(171,532):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(171,531):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(171,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,529):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(171,528):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(171,527):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(171,526):{'3_1':0.06,'5_1':0.0},(171,525):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0},(171,524):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(171,523):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(171,522):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(171,521):{'3_1':0.15},(171,520):{'3_1':0.12,'5_2':0.0},(171,519):{'3_1':0.09,'5_1':0.0},(171,518):{'3_1':0.12,'4_1':0.0},(171,517):{'3_1':0.09,'4_1':0.0},(171,516):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(171,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(171,513):{'3_1':0.09,'5_1':0.0},(171,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(171,511):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(171,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(171,509):{'3_1':0.06,'4_1':0.0},(171,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(171,507):{'3_1':0.09},(171,506):{'3_1':0.09},(171,505):{'3_1':0.06},(171,504):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(171,503):{'3_1':0.03},(171,502):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(171,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(171,500):{'3_1':0.03},(171,499):{'3_1':0.03,'5_1':0.0},(171,498):{'3_1':0.06,'4_1':0.0},(171,497):{'3_1':0.09,'5_2':0.0},(171,496):{'3_1':0.06},(171,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(171,494):{'3_1':0.03,'7_1':0.0},(171,493):{'3_1':0.06,'5_1':0.0},(171,492):{'3_1':0.0},(171,491):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(171,490):{'3_1':0.12,'5_2':0.0},(171,489):{'3_1':0.12,'5_2':0.0},(171,488):{'3_1':0.09,'5_1':0.0},(171,487):{'3_1':0.12,'4_1':0.0},(171,486):{'3_1':0.06},(171,485):{'3_1':0.06,'4_1':0.0},(171,484):{'3_1':0.06,'5_2':0.0},(171,483):{'3_1':0.06},(171,482):{'3_1':0.12,'4_1':0.0},(171,481):{'3_1':0.09,'5_2':0.0},(171,480):{'3_1':0.06,'5_1':0.0},(171,479):{'3_1':0.12,'5_2':0.0},(171,478):{'3_1':0.12,'5_2':0.0},(171,477):{'3_1':0.12},(171,476):{'3_1':0.12},(171,475):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(171,474):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(171,473):{'3_1':0.06},(171,472):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(171,471):{'3_1':0.09},(171,470):{'3_1':0.06},(171,469):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(171,468):{'3_1':0.03,'5_2':0.0},(171,467):{'3_1':0.03},(171,466):{'3_1':0.03,'4_1':0.0},(171,465):{'3_1':0.06,'4_1':0.0},(171,464):{'3_1':0.06},(171,463):{'3_1':0.03},(171,462):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(171,461):{'3_1':0.0,'5_1':0.0},(171,460):{'3_1':0.03,'5_2':0.0},(171,459):{'3_1':0.0,'4_1':0.0},(171,458):{'3_1':0.0,'4_1':0.0},(171,457):{'3_1':0.03},(171,456):{'3_1':0.03},(171,455):{'3_1':0.03,'4_1':0.0},(171,454):{'3_1':0.06},(171,453):{'3_1':0.06,'4_1':0.03},(171,452):{'3_1':0.03,'4_1':0.0},(171,451):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0},(171,450):{'3_1':0.03,'5_2':0.0},(171,449):{'3_1':0.0},(171,448):{'3_1':0.03,'4_1':0.0},(171,447):{'3_1':0.0,'4_1':0.0},(171,446):{'3_1':0.0},(171,445):{'3_1':0.03,'5_2':0.0},(171,444):{'3_1':0.03,'5_1':0.0},(171,443):{'3_1':0.0,'4_1':0.0},(171,442):{'3_1':0.0,'4_1':0.0},(171,441):{'3_1':0.06},(171,440):{'3_1':0.0,'4_1':0.0},(171,439):{'3_1':0.0,'4_1':0.0},(171,438):{'3_1':0.03,'4_1':0.0},(171,437):{'3_1':0.0},(171,436):{'3_1':0.0,'4_1':0.0},(171,435):{'3_1':0.0,'4_1':0.0},(171,434):{'3_1':0.03,'4_1':0.0},(171,433):{'3_1':0.0,'6_1':0.0},(171,432):{'3_1':0.03},(171,431):{'3_1':0.03},(171,430):{'3_1':0.0,'4_1':0.0},(171,429):{'3_1':0.09,'5_1':0.0},(171,428):{'3_1':0.03,'4_1':0.0},(171,427):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(171,426):{'3_1':0.03},(171,425):{'3_1':0.0,'4_1':0.0},(171,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(171,423):{'3_1':0.0,'4_1':0.0},(171,422):{'3_1':0.0},(171,421):{'3_1':0.03},(171,420):{'3_1':0.0,'6_1':0.0},(171,419):{'3_1':0.0,'4_1':0.0},(171,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(171,417):{'3_1':0.0,'5_1':0.0},(171,416):{'3_1':0.03,'5_2':0.0},(171,415):{'3_1':0.0,'4_1':0.0},(171,414):{'3_1':0.0},(171,413):{'3_1':0.0,'4_1':0.0},(171,412):{'3_1':0.0},(171,411):{'3_1':0.0},(171,410):{'5_1':0.0},(171,409):{'3_1':0.03},(171,407):{'3_1':0.0},(171,406):{'3_1':0.06},(171,405):{'3_1':0.03},(171,404):{'3_1':0.0},(171,403):{'3_1':0.03},(171,402):{'3_1':0.0},(171,400):{'3_1':0.03},(171,399):{'3_1':0.06},(171,398):{'3_1':0.0},(171,397):{'3_1':0.0},(171,396):{'3_1':0.0},(171,395):{'3_1':0.03,'4_1':0.0},(171,394):{'3_1':0.0},(171,393):{'5_1':0.0},(171,392):{'3_1':0.0},(171,390):{'3_1':0.0},(171,389):{'3_1':0.0},(171,387):{'3_1':0.03},(171,386):{'3_1':0.0},(171,385):{'3_1':0.0,'4_1':0.0},(171,384):{'3_1':0.0},(171,383):{'3_1':0.03},(171,382):{'3_1':0.0,'4_1':0.0},(171,381):{'3_1':0.0},(171,380):{'3_1':0.03},(171,379):{'3_1':0.0,'4_1':0.0},(171,378):{'3_1':0.0},(171,377):{'3_1':0.03,'4_1':0.0},(171,376):{'3_1':0.0},(171,375):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(171,374):{'3_1':0.03},(171,373):{'3_1':0.03},(171,372):{'3_1':0.0},(171,371):{'3_1':0.0,'7_1':0.0},(171,370):{'3_1':0.06},(171,369):{'3_1':0.03,'7_1':0.0},(171,368):{'3_1':0.03},(171,367):{'3_1':0.03},(171,366):{'3_1':0.0},(171,365):{'3_1':0.0},(171,364):{'3_1':0.03},(171,363):{'3_1':0.0},(171,362):{'3_1':0.0},(171,361):{'3_1':0.03},(171,360):{'3_1':0.03,'9_1':0.0,'-3':0.0},(171,358):{'3_1':0.0},(171,357):{'3_1':0.03},(171,356):{'3_1':0.0},(171,355):{'3_1':0.0},(171,354):{'3_1':0.0},(171,353):{'3_1':0.0,'4_1':0.0},(171,352):{'3_1':0.0},(171,351):{'3_1':0.0},(171,350):{'3_1':0.0},(171,349):{'3_1':0.0},(171,348):{'3_1':0.0},(171,347):{'3_1':0.0},(171,346):{'3_1':0.0},(171,345):{'3_1':0.0},(171,343):{'3_1':0.0},(171,342):{'3_1':0.0},(171,341):{'5_1':0.0},(171,340):{'3_1':0.0},(171,339):{'3_1':0.03},(171,338):{'3_1':0.0},(171,337):{'3_1':0.0},(171,336):{'3_1':0.0},(171,335):{'3_1':0.0,'5_2':0.0},(171,333):{'3_1':0.0},(171,332):{'3_1':0.0},(171,330):{'3_1':0.0},(171,329):{'3_1':0.0},(171,327):{'3_1':0.0},(171,326):{'3_1':0.0},(171,325):{'3_1':0.0},(171,324):{'3_1':0.03},(171,322):{'3_1':0.0},(171,320):{'3_1':0.0},(171,319):{'3_1':0.0},(171,317):{'3_1':0.0,'4_1':0.0},(171,315):{'3_1':0.0},(171,314):{'3_1':0.03},(171,313):{'3_1':0.0},(171,309):{'3_1':0.0},(171,308):{'3_1':0.0},(171,307):{'3_1':0.0},(171,305):{'3_1':0.0},(171,304):{'3_1':0.03},(171,303):{'3_1':0.0},(171,296):{'3_1':0.0},(171,295):{'3_1':0.03},(171,294):{'3_1':0.0},(171,292):{'3_1':0.0},(171,291):{'3_1':0.0},(171,290):{'5_2':0.0},(171,289):{'3_1':0.0},(171,288):{'3_1':0.0},(171,287):{'3_1':0.0},(171,286):{'3_1':0.0},(171,283):{'3_1':0.0},(171,282):{'3_1':0.0},(171,281):{'3_1':0.0},(171,279):{'3_1':0.0},(171,277):{'3_1':0.0},(171,276):{'3_1':0.0},(171,275):{'3_1':0.0},(171,272):{'3_1':0.0},(171,270):{'3_1':0.0},(171,256):{'3_1':0.0},(171,255):{'3_1':0.0},(171,253):{'3_1':0.0},(171,252):{'3_1':0.0},(171,250):{'3_1':0.0},(171,247):{'3_1':0.0},(171,243):{'3_1':0.0},(171,242):{'3_1':0.0},(171,240):{'3_1':0.0},(171,239):{'3_1':0.0},(171,238):{'3_1':0.03},(171,237):{'3_1':0.0},(171,234):{'3_1':0.0},(171,231):{'3_1':0.0},(171,230):{'3_1':0.0},(171,229):{'3_1':0.0},(171,227):{'3_1':0.0},(171,226):{'3_1':0.0},(171,225):{'3_1':0.0},(171,224):{'3_1':0.0},(171,216):{'3_1':0.0},(171,214):{'3_1':0.0},(171,212):{'3_1':0.0},(171,211):{'3_1':0.0},(171,210):{'3_1':0.0},(171,209):{'3_1':0.0},(171,207):{'3_1':0.0},(171,205):{'3_1':0.0},(171,203):{'3_1':0.03},(171,199):{'4_1':0.0},(171,198):{'3_1':0.0},(171,197):{'3_1':0.0},(171,196):{'3_1':0.0},(171,194):{'3_1':0.0},(171,193):{'3_1':0.0},(171,192):{'3_1':0.0,'4_1':0.0},(171,191):{'3_1':0.03},(171,190):{'3_1':0.03},(171,189):{'3_1':0.03},(171,188):{'3_1':0.0},(171,180):{'3_1':0.0},(171,177):{'3_1':0.0},(171,175):{'3_1':0.0},(172,727):{'5_2':0.15,'-3':0.09,'7_3':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'8_1':0.0},(172,726):{'5_2':0.21,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(172,725):{'5_2':0.21,'7_5':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(172,724):{'5_2':0.15,'7_2':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_4':0.0},(172,723):{'5_2':0.24,'6_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(172,722):{'5_2':0.09,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(172,721):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'3_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(172,720):{'5_2':0.3,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(172,719):{'5_2':0.21,'6_1':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.03,'-3':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0},(172,718):{'5_2':0.21,'-3':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(172,717):{'5_2':0.21,'7_3':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0},(172,716):{'5_2':0.18,'3_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0},(172,715):{'5_2':0.24,'-3':0.03,'7_6':0.03,'3_1':0.03,'6_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0,'8_15':0.0},(172,714):{'5_2':0.18,'7_2':0.09,'4_1':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_8':0.0},(172,713):{'5_2':0.18,'6_1':0.06,'-3':0.06,'4_1':0.06,'7_2':0.06,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_13':0.0,'8_14':0.0},(172,712):{'5_2':0.15,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0,'1':-0.03},(172,711):{'5_2':0.15,'-3':0.09,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0,'8_19':0.0},(172,710):{'5_2':0.15,'-3':0.12,'7_5':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(172,709):{'5_2':0.15,'-3':0.09,'7_5':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(172,708):{'5_2':0.12,'-3':0.09,'3_1':0.06,'7_5':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_13':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(172,707):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0},(172,706):{'5_2':0.21,'7_4':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'6_2':0.0,'8_4':0.0},(172,705):{'5_2':0.18,'4_1':0.06,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0},(172,704):{'5_2':0.15,'4_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0},(172,703):{'5_2':0.27,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0},(172,702):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(172,701):{'5_2':0.27,'7_4':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'4_1':0.0,'8_15':0.0},(172,700):{'5_2':0.21,'-3':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0},(172,699):{'5_2':0.24,'7_3':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(172,698):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'4_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(172,697):{'5_2':0.15,'7_2':0.09,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(172,696):{'5_2':0.24,'7_3':0.06,'7_5':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(172,695):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_13':0.0},(172,694):{'5_2':0.18,'-3':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(172,693):{'5_2':0.24,'3_1':0.06,'7_4':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0},(172,692):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(172,691):{'5_2':0.18,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'7_5':0.0,'8_4':0.0},(172,690):{'5_2':0.18,'3_1':0.12,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(172,689):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(172,688):{'5_2':0.12,'7_3':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'3_1#5_2':0.0},(172,687):{'5_2':0.18,'3_1':0.09,'7_4':0.06,'7_2':0.03,'4_1':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(172,686):{'5_2':0.12,'3_1':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'3_1#5_2':0.0},(172,685):{'3_1':0.15,'5_2':0.15,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(172,684):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(172,683):{'3_1':0.18,'5_2':0.12,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(172,682):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(172,681):{'5_2':0.21,'3_1':0.09,'7_3':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'7_2':0.0,'3_1#5_2':0.0},(172,680):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(172,679):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(172,678):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.03,'7_5':0.03,'6_1':0.0,'-3':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(172,677):{'3_1':0.21,'5_2':0.15,'-3':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'3_1#5_2':0.0},(172,676):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'3_1#5_2':0.0},(172,675):{'5_2':0.24,'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(172,674):{'5_2':0.24,'3_1':0.12,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0,'7_7':0.0,'8_1':0.0},(172,673):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(172,672):{'5_2':0.21,'3_1':0.15,'6_1':0.03,'5_1':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(172,671):{'3_1':0.21,'5_2':0.18,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_13':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(172,670):{'5_2':0.21,'3_1':0.18,'4_1':0.03,'7_4':0.03,'7_3':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(172,669):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(172,668):{'5_2':0.15,'3_1':0.15,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0},(172,667):{'3_1':0.24,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(172,666):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0},(172,665):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(172,664):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(172,663):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.03,'6_3':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(172,662):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(172,661):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(172,660):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(172,659):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(172,658):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(172,657):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(172,656):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(172,655):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(172,654):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(172,653):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0},(172,652):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0},(172,651):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(172,650):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(172,649):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'8_19':0.0,'-3':0.0},(172,648):{'3_1':0.21,'5_1':0.09,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_7':0.0,'6_2':0.0,'-3':0.0},(172,647):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.03,'-3':0.0},(172,646):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0},(172,645):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(172,644):{'3_1':0.3,'5_1':0.12,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(172,643):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(172,642):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(172,641):{'3_1':0.27,'5_1':0.09,'5_2':0.03,'4_1':0.03,'8_19':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(172,640):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_19':0.0},(172,639):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(172,638):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0},(172,637):{'3_1':0.15,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(172,636):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(172,635):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(172,634):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(172,633):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'-3':0.0},(172,632):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(172,631):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(172,630):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(172,629):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0},(172,628):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(172,627):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(172,626):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(172,625):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(172,624):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(172,623):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0},(172,622):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'7_1':0.03,'6_1':0.0,'7_6':0.0,'8_17':0.0},(172,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0},(172,620):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(172,619):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_1':0.0,'8_19':0.0},(172,618):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0,'6_2':0.0},(172,617):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(172,616):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(172,615):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(172,614):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(172,613):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(172,612):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(172,611):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_19':0.0,'3_1#5_1':0.0},(172,610):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(172,609):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.03},(172,608):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(172,607):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(172,606):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0},(172,605):{'3_1':0.15,'5_1':0.03,'8_20|3_1#3_1':0.0},(172,604):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_4':0.0},(172,603):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,602):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(172,601):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(172,600):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(172,599):{'3_1':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0},(172,598):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(172,597):{'4_1':0.12,'3_1':0.06,'5_1':0.03},(172,596):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(172,595):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(172,594):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(172,593):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(172,592):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(172,591):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0},(172,590):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(172,589):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(172,588):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(172,587):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(172,586):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(172,585):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_6':0.0},(172,584):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(172,583):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(172,582):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(172,581):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(172,580):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,579):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(172,578):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(172,577):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(172,576):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(172,575):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0},(172,574):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(172,573):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(172,572):{'3_1':0.09,'4_1':0.06},(172,571):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(172,570):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(172,569):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(172,568):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(172,567):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(172,566):{'3_1':0.12,'5_1':0.0},(172,565):{'3_1':0.12,'4_1':0.03},(172,564):{'3_1':0.09,'5_1':0.03},(172,563):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(172,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(172,561):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(172,560):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(172,559):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(172,558):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(172,557):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(172,556):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(172,555):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(172,554):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(172,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(172,552):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(172,551):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(172,550):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(172,549):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(172,548):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(172,547):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(172,546):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(172,545):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(172,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,543):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(172,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(172,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(172,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(172,539):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(172,538):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(172,537):{'3_1':0.21,'5_1':0.0},(172,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_4':0.0},(172,534):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(172,533):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(172,532):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(172,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(172,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,529):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_4':0.0},(172,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(172,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(172,526):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,525):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(172,524):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'7_3':0.0},(172,523):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(172,522):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(172,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(172,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,519):{'3_1':0.09},(172,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(172,517):{'3_1':0.06,'5_1':0.03},(172,516):{'3_1':0.09,'5_1':0.0},(172,515):{'3_1':0.09},(172,514):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(172,513):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(172,512):{'3_1':0.15},(172,511):{'3_1':0.03,'4_1':0.0},(172,510):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(172,509):{'3_1':0.03,'5_2':0.0},(172,508):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,507):{'3_1':0.0,'5_2':0.0},(172,506):{'3_1':0.0,'4_1':0.0},(172,505):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(172,504):{'3_1':0.06,'4_1':0.0},(172,503):{'3_1':0.03,'5_1':0.0},(172,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,501):{'3_1':0.03,'5_1':0.0},(172,500):{'3_1':0.0},(172,499):{'3_1':0.06,'5_2':0.0},(172,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(172,497):{'3_1':0.03},(172,496):{'3_1':0.0,'4_1':0.0},(172,495):{'3_1':0.09},(172,494):{'3_1':0.03,'5_1':0.0},(172,493):{'3_1':0.06,'5_1':0.0},(172,492):{'3_1':0.03,'4_1':0.0},(172,491):{'3_1':0.06},(172,490):{'3_1':0.15},(172,489):{'3_1':0.06,'5_2':0.0},(172,488):{'3_1':0.09},(172,487):{'3_1':0.06},(172,486):{'3_1':0.06,'4_1':0.0},(172,485):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(172,484):{'3_1':0.03},(172,483):{'3_1':0.09},(172,482):{'3_1':0.03,'5_2':0.0},(172,481):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(172,480):{'3_1':0.03,'4_1':0.0},(172,479):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(172,478):{'3_1':0.12,'4_1':0.0},(172,477):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(172,476):{'3_1':0.09},(172,475):{'3_1':0.06},(172,474):{'3_1':0.09,'5_1':0.0},(172,473):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(172,472):{'3_1':0.03},(172,471):{'3_1':0.03},(172,470):{'3_1':0.06},(172,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(172,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,467):{'3_1':0.06},(172,466):{'3_1':0.03,'4_1':0.0},(172,465):{'3_1':0.06,'4_1':0.0},(172,464):{'3_1':0.03},(172,463):{'3_1':0.0},(172,462):{'3_1':0.06,'4_1':0.0},(172,461):{'3_1':0.0,'4_1':0.0},(172,460):{'3_1':0.06},(172,459):{'3_1':0.06,'5_2':0.0},(172,458):{'3_1':0.03},(172,457):{'3_1':0.03},(172,456):{'3_1':0.03},(172,455):{'3_1':0.06},(172,454):{'3_1':0.0,'4_1':0.0},(172,453):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(172,452):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(172,451):{'3_1':0.03},(172,450):{'3_1':0.03,'5_1':0.0},(172,449):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(172,448):{'3_1':0.0},(172,447):{'3_1':0.0,'4_1':0.0},(172,446):{'3_1':0.03,'4_1':0.0},(172,445):{'3_1':0.0},(172,444):{'3_1':0.0},(172,443):{'3_1':0.0},(172,442):{'3_1':0.0},(172,441):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(172,440):{'3_1':0.0},(172,439):{'3_1':0.03},(172,438):{'3_1':0.06},(172,437):{'3_1':0.06},(172,436):{'3_1':0.0},(172,435):{'3_1':0.0},(172,434):{'3_1':0.03,'5_1':0.0},(172,433):{'3_1':0.06},(172,432):{'3_1':0.03,'4_1':0.03},(172,431):{'3_1':0.06},(172,430):{'3_1':0.03},(172,429):{'3_1':0.06,'4_1':0.0},(172,428):{'3_1':0.06,'5_2':0.0},(172,427):{'3_1':0.0,'4_1':0.0},(172,426):{'3_1':0.03,'4_1':0.0},(172,425):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(172,424):{'3_1':0.06,'4_1':0.0},(172,423):{'3_1':0.0},(172,422):{'3_1':0.06},(172,421):{'3_1':0.0,'4_1':0.0},(172,420):{'3_1':0.03,'4_1':0.0},(172,419):{'3_1':0.06,'5_2':0.0},(172,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(172,417):{'3_1':0.0},(172,416):{'3_1':0.03,'4_1':0.0},(172,415):{'3_1':0.03,'4_1':0.0},(172,414):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(172,413):{'3_1':0.0,'7_1':0.0},(172,412):{'3_1':0.0,'4_1':0.0},(172,411):{'3_1':0.06,'4_1':0.0},(172,410):{'5_1':0.0},(172,409):{'3_1':0.0},(172,408):{'3_1':0.06},(172,407):{'3_1':0.0,'4_1':0.0},(172,406):{'3_1':0.0},(172,405):{'3_1':0.0,'6_1':0.0},(172,403):{'3_1':0.0},(172,402):{'3_1':0.03},(172,401):{'3_1':0.03},(172,400):{'3_1':0.03,'4_1':0.0},(172,399):{'3_1':0.0},(172,398):{'3_1':0.03},(172,397):{'3_1':0.0},(172,396):{'3_1':0.0},(172,395):{'3_1':0.0},(172,394):{'3_1':0.0},(172,393):{'3_1':0.0},(172,392):{'3_1':0.0},(172,391):{'3_1':0.0},(172,390):{'3_1':0.03},(172,389):{'3_1':0.06},(172,387):{'3_1':0.0},(172,386):{'3_1':0.03},(172,384):{'3_1':0.03},(172,383):{'3_1':0.0},(172,382):{'3_1':0.03},(172,381):{'3_1':0.03},(172,380):{'3_1':0.03},(172,379):{'3_1':0.03},(172,378):{'3_1':0.03},(172,377):{'3_1':0.0},(172,376):{'3_1':0.06},(172,375):{'3_1':0.03},(172,374):{'3_1':0.03},(172,373):{'3_1':0.03,'5_1':0.0},(172,372):{'3_1':0.03},(172,371):{'3_1':0.03,'5_1':0.0},(172,370):{'3_1':0.03},(172,369):{'3_1':0.0},(172,368):{'3_1':0.0},(172,367):{'3_1':0.03},(172,366):{'3_1':0.03},(172,365):{'4_1':0.0},(172,364):{'3_1':0.0},(172,363):{'3_1':0.0},(172,362):{'3_1':0.0},(172,361):{'3_1':0.03},(172,360):{'3_1':0.0},(172,359):{'3_1':0.0},(172,357):{'3_1':0.0},(172,356):{'3_1':0.0},(172,355):{'3_1':0.0},(172,354):{'3_1':0.0},(172,353):{'3_1':0.0},(172,352):{'3_1':0.03},(172,351):{'3_1':0.0},(172,350):{'3_1':0.03},(172,349):{'3_1':0.0},(172,348):{'3_1':0.0},(172,347):{'3_1':0.0},(172,345):{'3_1':0.0},(172,344):{'3_1':0.0},(172,343):{'3_1':0.0},(172,342):{'3_1':0.0},(172,340):{'3_1':0.0},(172,339):{'3_1':0.0},(172,338):{'3_1':0.0},(172,337):{'3_1':0.0,'5_1':0.0},(172,336):{'3_1':0.0},(172,335):{'3_1':0.0,'5_1':0.0},(172,334):{'3_1':0.0,'4_1':0.0},(172,333):{'3_1':0.0},(172,332):{'3_1':0.0},(172,331):{'3_1':0.0},(172,330):{'3_1':0.0},(172,329):{'3_1':0.0},(172,328):{'3_1':0.0},(172,327):{'3_1':0.0},(172,326):{'3_1':0.0},(172,325):{'3_1':0.0},(172,323):{'3_1':0.0},(172,322):{'3_1':0.0},(172,321):{'3_1':0.0},(172,320):{'3_1':0.0},(172,319):{'3_1':0.0},(172,316):{'3_1':0.0},(172,315):{'3_1':0.0},(172,314):{'3_1':0.0},(172,313):{'3_1':0.0},(172,312):{'3_1':0.0},(172,311):{'3_1':0.0},(172,310):{'3_1':0.0},(172,309):{'3_1':0.0},(172,308):{'3_1':0.0},(172,307):{'3_1':0.0},(172,306):{'3_1':0.0},(172,305):{'3_1':0.0},(172,304):{'3_1':0.0},(172,303):{'3_1':0.0},(172,302):{'3_1':0.0},(172,300):{'3_1':0.0,'4_1':0.0},(172,299):{'3_1':0.0},(172,298):{'3_1':0.0},(172,297):{'3_1':0.0},(172,296):{'3_1':0.0},(172,295):{'3_1':0.0},(172,293):{'3_1':0.0},(172,292):{'3_1':0.0},(172,291):{'3_1':0.0},(172,290):{'3_1':0.0},(172,289):{'3_1':0.0},(172,288):{'3_1':0.0},(172,287):{'3_1':0.0},(172,286):{'3_1':0.0},(172,284):{'3_1':0.0},(172,281):{'3_1':0.0},(172,280):{'3_1':0.0},(172,279):{'3_1':0.0},(172,278):{'3_1':0.0},(172,275):{'4_1':0.0},(172,274):{'3_1':0.0},(172,273):{'3_1':0.0},(172,272):{'3_1':0.0},(172,271):{'3_1':0.0},(172,270):{'3_1':0.0},(172,269):{'3_1':0.0},(172,266):{'3_1':0.0},(172,263):{'3_1':0.0},(172,260):{'3_1':0.0},(172,252):{'3_1':0.0},(172,251):{'3_1':0.0},(172,249):{'3_1':0.0},(172,248):{'3_1':0.0},(172,246):{'3_1':0.0},(172,244):{'3_1':0.0},(172,240):{'3_1':0.0},(172,237):{'3_1':0.0},(172,236):{'3_1':0.0},(172,234):{'3_1':0.0},(172,231):{'3_1':0.0},(172,226):{'3_1':0.0},(172,225):{'3_1':0.0},(172,223):{'3_1':0.0},(172,216):{'3_1':0.0},(172,215):{'3_1':0.0},(172,213):{'3_1':0.0},(172,212):{'3_1':0.0},(172,211):{'3_1':0.0},(172,210):{'3_1':0.0},(172,209):{'3_1':0.0},(172,208):{'3_1':0.0},(172,206):{'3_1':0.0,'4_1':0.0},(172,205):{'3_1':0.0},(172,204):{'3_1':0.0},(172,201):{'3_1':0.0},(172,200):{'3_1':0.0},(172,198):{'3_1':0.0},(172,196):{'3_1':0.0},(172,195):{'3_1':0.03},(172,194):{'5_1':0.0},(172,193):{'3_1':0.0},(172,192):{'3_1':0.0},(172,191):{'3_1':0.09},(172,190):{'3_1':0.0},(172,188):{'3_1':0.0},(172,187):{'3_1':0.0},(172,186):{'3_1':0.0},(172,185):{'3_1':0.0},(172,184):{'3_1':0.0},(172,183):{'3_1':0.0},(172,181):{'3_1':0.0},(172,180):{'3_1':0.0},(172,177):{'3_1':0.0},(173,727):{'5_2':0.15,'7_2':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(173,726):{'5_2':0.15,'6_1':0.06,'7_5':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0},(173,725):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_6':0.0},(173,724):{'5_2':0.15,'7_5':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(173,723):{'5_2':0.18,'4_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0,'3_1':0.0,'7_6':0.0},(173,722):{'5_2':0.18,'-3':0.06,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0},(173,721):{'5_2':0.15,'7_2':0.09,'6_1':0.06,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_13':0.0},(173,720):{'5_2':0.15,'7_5':0.03,'4_1':0.03,'7_3':0.03,'7_6':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(173,719):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(173,718):{'5_2':0.27,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_11':0.0,'8_12':0.0},(173,717):{'5_2':0.24,'4_1':0.0,'-3':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_14':0.0},(173,716):{'5_2':0.15,'7_2':0.09,'3_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'8_11':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(173,715):{'5_2':0.18,'7_2':0.06,'3_1':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_15':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(173,714):{'5_2':0.18,'-3':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(173,713):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0,'1':-0.03},(173,712):{'5_2':0.18,'3_1':0.06,'-3':0.06,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(173,711):{'5_2':0.15,'7_5':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(173,710):{'5_2':0.21,'-3':0.09,'7_2':0.06,'6_1':0.03,'5_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(173,709):{'-3':0.15,'7_5':0.09,'5_2':0.06,'7_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_14':0.0},(173,708):{'5_2':0.12,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0},(173,707):{'5_2':0.18,'-3':0.06,'7_3':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0},(173,706):{'5_2':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(173,705):{'5_2':0.21,'6_1':0.06,'7_2':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'8_1':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0},(173,704):{'5_2':0.18,'7_4':0.06,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'9_1':0.0},(173,703):{'5_2':0.27,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_11':0.0},(173,702):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.0,'8_4':0.0,'7_6':0.0,'-3':0.0},(173,701):{'5_2':0.21,'7_4':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(173,700):{'5_2':0.21,'-3':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(173,699):{'5_2':0.21,'7_2':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(173,698):{'5_2':0.24,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(173,697):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_14':0.0,'1':-0.03},(173,696):{'5_2':0.21,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(173,695):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_13':0.0},(173,694):{'5_2':0.24,'7_3':0.03,'3_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(173,693):{'5_2':0.18,'3_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(173,692):{'5_2':0.15,'3_1':0.09,'7_3':0.03,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(173,691):{'5_2':0.21,'3_1':0.06,'-3':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'3_1#5_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(173,690):{'5_2':0.21,'3_1':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0},(173,689):{'5_2':0.18,'7_3':0.06,'3_1':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(173,688):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(173,687):{'5_2':0.24,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(173,686):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'3_1#5_2':0.0,'4_1':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(173,685):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'8_8':0.0,'1':-0.03},(173,684):{'3_1':0.15,'5_2':0.15,'-3':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'3_1#5_2':0.0},(173,683):{'5_2':0.21,'3_1':0.12,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0},(173,682):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(173,681):{'3_1':0.15,'5_2':0.12,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(173,680):{'3_1':0.18,'5_2':0.18,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(173,679):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(173,678):{'5_2':0.18,'3_1':0.09,'7_4':0.06,'4_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(173,677):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(173,676):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(173,675):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(173,674):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(173,673):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_11':0.0},(173,672):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(173,671):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(173,670):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.03,'-3':0.03,'8_1':0.0,'8_11':0.0,'8_19':0.0},(173,669):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(173,668):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_3':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(173,667):{'5_2':0.18,'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(173,666):{'3_1':0.21,'5_2':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(173,665):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(173,664):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(173,663):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(173,662):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0},(173,661):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_12':0.0},(173,660):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(173,659):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_3':0.0},(173,658):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(173,657):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(173,656):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0},(173,655):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(173,654):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(173,653):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(173,652):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0},(173,651):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0},(173,650):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0},(173,649):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(173,648):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0},(173,647):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(173,646):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(173,645):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(173,644):{'3_1':0.18,'5_2':0.12,'5_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(173,643):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0},(173,642):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_9':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(173,641):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(173,640):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(173,639):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(173,638):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(173,637):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(173,636):{'3_1':0.15,'5_1':0.09,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(173,635):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(173,634):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(173,633):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(173,632):{'3_1':0.18,'3_1#5_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,631):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(173,630):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(173,629):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(173,628):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(173,627):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0},(173,626):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(173,625):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(173,624):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(173,623):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(173,622):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(173,621):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(173,620):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_7':0.0},(173,619):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_5':0.0},(173,618):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0},(173,617):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(173,616):{'3_1':0.12,'5_1':0.03,'8_19':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(173,615):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(173,614):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(173,613):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(173,612):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(173,611):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(173,610):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(173,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_19':0.0},(173,608):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(173,607):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(173,606):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(173,605):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,604):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(173,603):{'3_1':0.12,'4_1':0.03},(173,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(173,601):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0},(173,600):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(173,599):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_6':0.0},(173,598):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0},(173,597):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(173,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(173,595):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(173,594):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(173,593):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(173,592):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(173,591):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0},(173,590):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(173,589):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(173,588):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(173,587):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(173,586):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(173,585):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(173,584):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(173,583):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(173,582):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(173,581):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(173,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(173,579):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0},(173,578):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(173,577):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(173,576):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(173,575):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(173,574):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(173,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(173,572):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(173,571):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,570):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0},(173,569):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,568):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,567):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(173,566):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(173,565):{'3_1':0.09,'4_1':0.0},(173,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,563):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(173,562):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(173,561):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0},(173,560):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(173,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,558):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,557):{'3_1':0.15,'5_2':0.0},(173,556):{'3_1':0.12,'5_1':0.0},(173,555):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(173,554):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(173,553):{'3_1':0.12,'5_1':0.0},(173,552):{'3_1':0.15,'5_2':0.0},(173,551):{'3_1':0.21,'4_1':0.0,'7_4':0.0,'5_2':0.0},(173,550):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(173,549):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(173,548):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(173,547):{'3_1':0.21,'5_2':0.0},(173,546):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(173,545):{'3_1':0.18,'4_1':0.0,'7_3':0.0},(173,544):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(173,543):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(173,542):{'3_1':0.33,'4_1':0.0},(173,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,540):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(173,539):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(173,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(173,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(173,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(173,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(173,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(173,533):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(173,532):{'3_1':0.15,'5_1':0.0},(173,531):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(173,530):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(173,528):{'3_1':0.18,'5_1':0.0},(173,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(173,526):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(173,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(173,524):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(173,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,522):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(173,521):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(173,520):{'3_1':0.09,'5_1':0.0},(173,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(173,518):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(173,517):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(173,516):{'3_1':0.03,'5_2':0.0},(173,515):{'3_1':0.12,'5_1':0.0},(173,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(173,512):{'3_1':0.06},(173,511):{'3_1':0.03,'5_2':0.0},(173,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,509):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(173,508):{'3_1':0.06,'4_1':0.0},(173,507):{'3_1':0.03,'5_1':0.0},(173,506):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(173,505):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(173,504):{'3_1':0.03,'4_1':0.0},(173,503):{'3_1':0.06},(173,502):{'3_1':0.0,'5_2':0.0},(173,501):{'3_1':0.06},(173,500):{'3_1':0.09},(173,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(173,498):{'3_1':0.06},(173,497):{'3_1':0.03,'5_1':0.0},(173,496):{'3_1':0.06},(173,495):{'3_1':0.06},(173,494):{'3_1':0.06,'5_1':0.0},(173,493):{'3_1':0.06},(173,492):{'3_1':0.0,'5_2':0.0},(173,491):{'3_1':0.09},(173,490):{'3_1':0.09,'5_1':0.0},(173,489):{'3_1':0.09},(173,488):{'3_1':0.0},(173,487):{'3_1':0.03,'5_1':0.0},(173,486):{'3_1':0.06},(173,485):{'3_1':0.12},(173,484):{'3_1':0.12,'5_1':0.0},(173,483):{'3_1':0.03,'4_1':0.0},(173,482):{'3_1':0.06},(173,481):{'3_1':0.03,'5_2':0.0},(173,480):{'3_1':0.06},(173,479):{'3_1':0.09},(173,478):{'3_1':0.06,'7_1':0.0},(173,477):{'3_1':0.03,'7_1':0.0},(173,476):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(173,475):{'3_1':0.06,'4_1':0.0},(173,474):{'3_1':0.12,'5_2':0.0},(173,473):{'3_1':0.12,'5_1':0.0},(173,472):{'3_1':0.09},(173,471):{'3_1':0.06},(173,470):{'3_1':0.06},(173,469):{'3_1':0.03,'5_1':0.0},(173,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(173,467):{'3_1':0.03,'4_1':0.0},(173,466):{'3_1':0.03},(173,465):{'3_1':0.03},(173,464):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(173,463):{'3_1':0.03},(173,462):{'3_1':0.0,'4_1':0.0},(173,461):{'3_1':0.03,'4_1':0.0},(173,460):{'3_1':0.03},(173,459):{'3_1':0.03},(173,458):{'3_1':0.0,'4_1':0.0},(173,457):{'3_1':0.03,'4_1':0.0},(173,456):{'3_1':0.03,'4_1':0.0},(173,455):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(173,454):{'3_1':0.06,'4_1':0.0},(173,453):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(173,452):{'3_1':0.0},(173,451):{'3_1':0.03,'4_1':0.0},(173,450):{'3_1':0.0,'4_1':0.0},(173,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(173,448):{'3_1':0.0},(173,447):{'3_1':0.0},(173,446):{'3_1':0.0},(173,445):{'3_1':0.0,'5_2':0.0},(173,444):{'3_1':0.03},(173,443):{'3_1':0.03},(173,442):{'3_1':0.0,'4_1':0.0},(173,441):{'3_1':0.03},(173,439):{'3_1':0.06},(173,438):{'3_1':0.03},(173,437):{'3_1':0.0},(173,436):{'3_1':0.03,'5_1':0.0},(173,435):{'3_1':0.0},(173,434):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(173,433):{'3_1':0.03,'4_1':0.0},(173,432):{'3_1':0.03,'4_1':0.0},(173,431):{'3_1':0.0},(173,429):{'4_1':0.0},(173,428):{'3_1':0.0,'4_1':0.0},(173,427):{'3_1':0.03,'4_1':0.0},(173,426):{'3_1':0.06},(173,425):{'3_1':0.0,'4_1':0.0},(173,424):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(173,423):{'3_1':0.03,'4_1':0.0},(173,422):{'3_1':0.03,'4_1':0.0},(173,421):{'4_1':0.0},(173,420):{'3_1':0.03,'4_1':0.0},(173,419):{'3_1':0.03,'4_1':0.0},(173,418):{'3_1':0.03},(173,417):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(173,416):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(173,415):{'3_1':0.0},(173,414):{'3_1':0.03},(173,413):{'3_1':0.0,'7_1':0.0},(173,411):{'3_1':0.0,'4_1':0.0},(173,410):{'3_1':0.0,'4_1':0.0},(173,409):{'3_1':0.0},(173,408):{'3_1':0.0},(173,407):{'3_1':0.0},(173,406):{'3_1':0.03,'8_1':0.0},(173,405):{'3_1':0.0},(173,404):{'3_1':0.03},(173,403):{'3_1':0.03},(173,402):{'3_1':0.03},(173,401):{'3_1':0.03},(173,400):{'3_1':0.0},(173,398):{'3_1':0.0},(173,397):{'3_1':0.0},(173,396):{'3_1':0.03,'4_1':0.0},(173,395):{'3_1':0.0},(173,394):{'3_1':0.0},(173,393):{'3_1':0.0},(173,390):{'3_1':0.0,'4_1':0.0},(173,389):{'3_1':0.0},(173,388):{'3_1':0.0},(173,387):{'3_1':0.0,'4_1':0.0},(173,386):{'3_1':0.03},(173,385):{'3_1':0.0,'7_1':0.0},(173,384):{'3_1':0.06},(173,383):{'3_1':0.03},(173,382):{'3_1':0.0},(173,381):{'3_1':0.0},(173,380):{'3_1':0.03,'5_1':0.0},(173,379):{'3_1':0.0},(173,378):{'3_1':0.03,'5_1':0.0},(173,377):{'3_1':0.0},(173,376):{'3_1':0.03},(173,375):{'3_1':0.03},(173,374):{'3_1':0.0},(173,373):{'3_1':0.0},(173,372):{'3_1':0.03,'5_1':0.0},(173,371):{'3_1':0.03},(173,370):{'3_1':0.0,'5_1':0.0},(173,369):{'3_1':0.0},(173,368):{'3_1':0.0},(173,367):{'3_1':0.03},(173,366):{'3_1':0.0},(173,365):{'3_1':0.0},(173,364):{'3_1':0.0,'4_1':0.0},(173,363):{'4_1':0.0,'7_1':0.0},(173,362):{'3_1':0.0},(173,361):{'3_1':0.0},(173,360):{'3_1':0.0},(173,359):{'3_1':0.0},(173,357):{'3_1':0.0},(173,356):{'3_1':0.03},(173,355):{'3_1':0.03},(173,353):{'3_1':0.03},(173,352):{'3_1':0.0},(173,351):{'3_1':0.03},(173,350):{'3_1':0.0},(173,349):{'3_1':0.0},(173,348):{'3_1':0.0},(173,347):{'3_1':0.0},(173,346):{'3_1':0.0},(173,344):{'3_1':0.0},(173,343):{'3_1':0.0},(173,342):{'3_1':0.0},(173,341):{'3_1':0.0},(173,340):{'3_1':0.0},(173,339):{'3_1':0.0},(173,338):{'3_1':0.03},(173,337):{'3_1':0.0},(173,336):{'3_1':0.0},(173,335):{'3_1':0.0},(173,334):{'3_1':0.0},(173,333):{'3_1':0.0},(173,331):{'3_1':0.0},(173,329):{'3_1':0.0},(173,328):{'3_1':0.0},(173,327):{'3_1':0.0},(173,326):{'3_1':0.0},(173,323):{'3_1':0.0},(173,322):{'3_1':0.0},(173,321):{'3_1':0.0},(173,320):{'3_1':0.0},(173,317):{'3_1':0.03},(173,316):{'3_1':0.0},(173,315):{'3_1':0.0},(173,313):{'3_1':0.03},(173,312):{'3_1':0.0},(173,311):{'3_1':0.0,'4_1':0.0},(173,310):{'3_1':0.0},(173,309):{'3_1':0.0},(173,308):{'3_1':0.0},(173,307):{'3_1':0.0},(173,306):{'3_1':0.03,'4_1':0.0},(173,305):{'3_1':0.03},(173,304):{'3_1':0.0},(173,303):{'3_1':0.0},(173,302):{'3_1':0.0},(173,300):{'3_1':0.0},(173,299):{'3_1':0.0},(173,298):{'3_1':0.0},(173,296):{'3_1':0.03},(173,295):{'3_1':0.0},(173,294):{'3_1':0.0},(173,293):{'3_1':0.0},(173,292):{'3_1':0.0},(173,291):{'3_1':0.03},(173,290):{'3_1':0.0},(173,289):{'3_1':0.0},(173,288):{'3_1':0.0},(173,286):{'3_1':0.0},(173,285):{'3_1':0.0},(173,284):{'3_1':0.0},(173,283):{'3_1':0.0},(173,281):{'3_1':0.0},(173,280):{'3_1':0.0},(173,279):{'3_1':0.0},(173,278):{'3_1':0.0},(173,277):{'3_1':0.0},(173,275):{'3_1':0.0},(173,273):{'3_1':0.0},(173,272):{'3_1':0.0},(173,271):{'3_1':0.0},(173,270):{'3_1':0.0},(173,268):{'3_1':0.0},(173,261):{'3_1':0.0},(173,258):{'3_1':0.0},(173,254):{'3_1':0.0},(173,250):{'3_1':0.0},(173,245):{'3_1':0.0},(173,243):{'3_1':0.0},(173,242):{'3_1':0.0},(173,241):{'3_1':0.0},(173,238):{'3_1':0.0},(173,237):{'3_1':0.0},(173,236):{'3_1':0.0},(173,235):{'3_1':0.0},(173,234):{'3_1':0.0},(173,233):{'3_1':0.0},(173,231):{'3_1':0.0},(173,229):{'3_1':0.0},(173,228):{'3_1':0.0},(173,216):{'3_1':0.0},(173,211):{'3_1':0.0},(173,209):{'3_1':0.0},(173,208):{'3_1':0.0},(173,206):{'3_1':0.0},(173,205):{'3_1':0.0},(173,204):{'3_1':0.0},(173,203):{'5_1':0.0},(173,201):{'3_1':0.0},(173,197):{'3_1':0.0},(173,196):{'3_1':0.0},(173,194):{'3_1':0.0,'5_1':0.0},(173,193):{'3_1':0.0},(173,192):{'5_1':0.0},(173,191):{'3_1':0.0},(173,190):{'3_1':0.0},(173,186):{'3_1':0.0},(173,185):{'3_1':0.0},(173,183):{'3_1':0.0},(173,178):{'3_1':0.0},(173,177):{'3_1':0.0},(174,727):{'5_2':0.15,'7_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0},(174,726):{'5_2':0.09,'7_2':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(174,725):{'5_2':0.18,'4_1':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'7_6':0.0,'8_13':0.0},(174,724):{'3_1':0.06,'5_2':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0},(174,723):{'5_2':0.12,'7_3':0.06,'7_2':0.03,'3_1':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(174,722):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'8_4':0.0,'8_14':0.0},(174,721):{'5_2':0.15,'-3':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(174,720):{'5_2':0.15,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(174,719):{'5_2':0.15,'6_1':0.09,'7_5':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_6':0.0,'3_1#5_2':0.0,'-3':0.0},(174,718):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(174,717):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(174,716):{'5_2':0.18,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(174,715):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0},(174,714):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0},(174,713):{'5_2':0.18,'6_1':0.06,'-3':0.06,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0},(174,712):{'5_2':0.09,'7_3':0.06,'3_1':0.06,'7_2':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(174,711):{'5_2':0.15,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'1':-0.03},(174,710):{'5_2':0.15,'7_5':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_15':0.0,'1':-0.03},(174,709):{'7_5':0.09,'6_1':0.06,'-3':0.06,'3_1':0.03,'5_2':0.03,'7_2':0.03,'4_1':0.03,'5_1':0.03,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0},(174,708):{'5_2':0.18,'3_1':0.09,'-3':0.03,'7_4':0.03,'7_6':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'8_2':0.0,'8_11':0.0},(174,707):{'5_2':0.15,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(174,706):{'5_2':0.24,'7_4':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(174,705):{'5_2':0.15,'6_1':0.06,'-3':0.06,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(174,704):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0},(174,703):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'8_2':0.0},(174,702):{'5_2':0.15,'7_2':0.06,'-3':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(174,701):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0},(174,700):{'5_2':0.18,'6_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_8':0.0},(174,699):{'5_2':0.18,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(174,698):{'5_2':0.18,'6_1':0.03,'-3':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(174,697):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(174,696):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(174,695):{'5_2':0.18,'6_1':0.06,'-3':0.03,'7_3':0.03,'3_1':0.03,'4_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(174,694):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_2':0.0,'3_1#5_2':0.0,'-3':0.0},(174,693):{'5_2':0.18,'7_3':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(174,692):{'5_2':0.18,'7_4':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0,'1':-0.03},(174,691):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_4':0.0,'7_6':0.0},(174,690):{'5_2':0.24,'7_2':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0},(174,689):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(174,688):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'1':-0.03},(174,687):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(174,686):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(174,685):{'5_2':0.12,'3_1':0.12,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(174,684):{'5_2':0.18,'3_1':0.12,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0},(174,683):{'3_1':0.15,'5_2':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(174,682):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_3':0.0},(174,681):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(174,680):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(174,679):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(174,678):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'3_1#5_2':0.0},(174,677):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0},(174,676):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(174,675):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(174,674):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(174,673):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'3_1#5_2':0.0},(174,672):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.0,'8_8':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'8_19':0.0,'-3':0.0},(174,671):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(174,670):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'-3':0.0},(174,669):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(174,668):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(174,667):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(174,666):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(174,665):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(174,664):{'3_1':0.24,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(174,663):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(174,662):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(174,661):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0},(174,660):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_19':0.0},(174,659):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(174,658):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0},(174,657):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0},(174,656):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(174,655):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(174,654):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(174,653):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(174,652):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(174,651):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(174,650):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0},(174,649):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(174,648):{'3_1':0.24,'5_2':0.12,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(174,647):{'3_1':0.33,'5_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0},(174,646):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(174,645):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(174,644):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0,'-3':0.0},(174,643):{'3_1':0.21,'5_1':0.03,'-3':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(174,642):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(174,641):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(174,640):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_1':0.0,'-3':0.0},(174,639):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(174,638):{'3_1':0.18,'5_2':0.03,'8_19':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(174,637):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(174,636):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'7_1':0.0,'4_1':0.0},(174,635):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(174,634):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0},(174,633):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(174,632):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(174,631):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(174,630):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(174,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(174,628):{'3_1':0.21,'5_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(174,627):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(174,626):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(174,625):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'9_1':0.0},(174,624):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_17':0.0},(174,623):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(174,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(174,621):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_16':0.0},(174,620):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0},(174,619):{'3_1':0.15,'5_1':0.06,'7_1':0.0,'5_2':0.0,'7_7':0.0},(174,618):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(174,617):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'3_1#5_1':0.0},(174,616):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(174,615):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(174,614):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_19':0.0,'-3':0.0},(174,613):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'4_1':0.0},(174,612):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(174,611):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(174,610):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(174,609):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(174,608):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(174,607):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(174,606):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(174,605):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(174,604):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_4':0.0},(174,603):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_7':0.0},(174,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(174,601):{'3_1':0.15,'5_2':0.03},(174,600):{'3_1':0.12,'4_1':0.0},(174,599):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_6':0.0},(174,598):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(174,597):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0},(174,596):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(174,595):{'3_1':0.09,'5_2':0.0},(174,594):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(174,593):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(174,592):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(174,591):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(174,590):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(174,589):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_19':0.0},(174,588):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'7_4':0.0},(174,587):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(174,586):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0},(174,585):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(174,584):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(174,583):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(174,582):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(174,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(174,580):{'3_1':0.09,'4_1':0.0},(174,579):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(174,578):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(174,577):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(174,576):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(174,575):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_6':0.0},(174,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(174,573):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(174,572):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(174,571):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(174,570):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(174,569):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(174,568):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(174,567):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(174,566):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_4':0.0},(174,565):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,564):{'3_1':0.15},(174,563):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(174,562):{'3_1':0.12,'5_2':0.0},(174,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(174,560):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(174,559):{'3_1':0.18,'5_2':0.0},(174,558):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(174,557):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(174,556):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(174,555):{'3_1':0.12,'5_2':0.0},(174,554):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(174,553):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(174,552):{'3_1':0.18,'6_1':0.0,'7_3':0.0},(174,551):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(174,550):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(174,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_6':0.0},(174,548):{'3_1':0.21,'5_2':0.0},(174,547):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(174,546):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(174,545):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(174,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(174,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_9':0.0},(174,541):{'3_1':0.21,'4_1':0.0},(174,540):{'3_1':0.12,'5_1':0.0,'7_4':0.0},(174,539):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0},(174,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(174,537):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(174,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,535):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(174,534):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(174,533):{'3_1':0.15,'5_1':0.03},(174,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(174,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(174,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,529):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(174,528):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(174,527):{'3_1':0.15,'4_1':0.0},(174,526):{'3_1':0.09,'4_1':0.0},(174,525):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(174,523):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(174,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(174,521):{'3_1':0.06,'4_1':0.0},(174,520):{'3_1':0.09,'4_1':0.0},(174,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(174,518):{'3_1':0.03,'5_1':0.0},(174,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(174,516):{'3_1':0.06,'5_1':0.0},(174,515):{'3_1':0.06,'4_1':0.0},(174,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(174,513):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(174,512):{'3_1':0.06},(174,511):{'3_1':0.06},(174,510):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(174,509):{'3_1':0.06,'4_1':0.0},(174,508):{'3_1':0.06,'4_1':0.0},(174,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(174,506):{'3_1':0.03,'4_1':0.0},(174,505):{'3_1':0.06,'4_1':0.0},(174,504):{'3_1':0.09},(174,503):{'3_1':0.06,'5_2':0.0},(174,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(174,501):{'3_1':0.06,'5_1':0.0},(174,500):{'3_1':0.0,'4_1':0.0},(174,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(174,498):{'3_1':0.03,'5_2':0.0},(174,497):{'3_1':0.0},(174,496):{'3_1':0.0,'4_1':0.0},(174,495):{'3_1':0.03},(174,494):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(174,493):{'3_1':0.0,'4_1':0.0},(174,492):{'3_1':0.03,'5_1':0.0},(174,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(174,490):{'3_1':0.06},(174,489):{'3_1':0.06,'4_1':0.0},(174,488):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(174,487):{'3_1':0.09},(174,486):{'3_1':0.03},(174,485):{'3_1':0.03,'5_2':0.0},(174,484):{'3_1':0.09},(174,483):{'3_1':0.09,'7_1':0.0},(174,482):{'3_1':0.09,'5_2':0.0},(174,481):{'3_1':0.09},(174,480):{'3_1':0.03},(174,479):{'3_1':0.15,'4_1':0.0},(174,478):{'3_1':0.06,'4_1':0.0},(174,477):{'3_1':0.09,'5_2':0.0},(174,476):{'3_1':0.03,'5_2':0.0},(174,475):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(174,474):{'3_1':0.03,'5_1':0.0},(174,473):{'3_1':0.09,'8_20|3_1#3_1':0.0},(174,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(174,471):{'3_1':0.09,'5_1':0.0},(174,470):{'3_1':0.06},(174,469):{'3_1':0.0,'5_1':0.0},(174,468):{'3_1':0.06},(174,467):{'3_1':0.0},(174,466):{'3_1':0.03},(174,465):{'3_1':0.03,'4_1':0.0},(174,464):{'3_1':0.0,'5_2':0.0},(174,463):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(174,462):{'3_1':0.06},(174,461):{'3_1':0.03},(174,460):{'3_1':0.06,'4_1':0.0},(174,459):{'3_1':0.0,'5_1':0.0},(174,458):{'3_1':0.03},(174,457):{'3_1':0.03},(174,456):{'3_1':0.0},(174,455):{'3_1':0.03,'5_1':0.0},(174,454):{'3_1':0.0},(174,453):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(174,452):{'3_1':0.0,'4_1':0.0},(174,451):{'3_1':0.03,'4_1':0.0},(174,450):{'3_1':0.0},(174,449):{'3_1':0.03},(174,448):{'3_1':0.03,'5_1':0.0},(174,447):{'3_1':0.0},(174,446):{'4_1':0.0},(174,445):{'3_1':0.0,'5_1':0.0},(174,444):{'3_1':0.03},(174,443):{'3_1':0.0},(174,442):{'3_1':0.0},(174,441):{'3_1':0.0},(174,440):{'3_1':0.0,'4_1':0.0},(174,439):{'3_1':0.06},(174,438):{'3_1':0.03},(174,437):{'3_1':0.06},(174,436):{'3_1':0.03},(174,435):{'3_1':0.0},(174,434):{'3_1':0.0},(174,433):{'3_1':0.03,'4_1':0.0},(174,432):{'3_1':0.03},(174,431):{'3_1':0.03},(174,430):{'3_1':0.03},(174,429):{'3_1':0.03,'4_1':0.0},(174,428):{'3_1':0.06,'4_1':0.0},(174,427):{'3_1':0.03,'4_1':0.0},(174,426):{'3_1':0.03,'4_1':0.0},(174,425):{'3_1':0.0,'4_1':0.0},(174,424):{'3_1':0.0,'4_1':0.0},(174,423):{'3_1':0.0},(174,422):{'3_1':0.03},(174,421):{'3_1':0.0},(174,420):{'3_1':0.03,'6_1':0.0},(174,419):{'3_1':0.0,'4_1':0.0},(174,418):{'3_1':0.0,'4_1':0.0},(174,416):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(174,415):{'3_1':0.06,'4_1':0.0},(174,414):{'3_1':0.0},(174,413):{'7_1':0.0},(174,412):{'3_1':0.03,'5_1':0.0},(174,411):{'3_1':0.0},(174,410):{'3_1':0.03,'7_1':0.0},(174,409):{'3_1':0.0},(174,408):{'3_1':0.0},(174,407):{'3_1':0.0,'4_1':0.0},(174,406):{'3_1':0.0},(174,405):{'3_1':0.03},(174,404):{'3_1':0.0},(174,403):{'3_1':0.0},(174,402):{'3_1':0.0},(174,401):{'3_1':0.0},(174,400):{'3_1':0.0},(174,399):{'3_1':0.0},(174,397):{'3_1':0.0},(174,396):{'3_1':0.0},(174,395):{'3_1':0.0},(174,394):{'3_1':0.0},(174,391):{'3_1':0.03,'4_1':0.0},(174,390):{'3_1':0.0},(174,389):{'3_1':0.0},(174,388):{'3_1':0.0},(174,387):{'3_1':0.03},(174,386):{'3_1':0.0},(174,385):{'3_1':0.03},(174,384):{'3_1':0.0},(174,383):{'3_1':0.0},(174,382):{'3_1':0.0},(174,381):{'3_1':0.03},(174,380):{'3_1':0.0},(174,379):{'3_1':0.0},(174,378):{'3_1':0.0},(174,377):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(174,376):{'3_1':0.0},(174,375):{'3_1':0.03},(174,374):{'3_1':0.03,'5_1':0.0},(174,373):{'3_1':0.0},(174,372):{'3_1':0.03},(174,371):{'3_1':0.0,'5_1':0.0},(174,370):{'3_1':0.0,'4_1':0.0},(174,369):{'3_1':0.06},(174,368):{'3_1':0.0},(174,367):{'3_1':0.0,'4_1':0.0},(174,365):{'3_1':0.03},(174,364):{'3_1':0.0,'5_1':0.0},(174,363):{'3_1':0.0,'7_1':0.0},(174,362):{'3_1':0.0},(174,361):{'3_1':0.0},(174,360):{'3_1':0.0},(174,359):{'3_1':0.0},(174,358):{'3_1':0.0},(174,357):{'3_1':0.06},(174,356):{'3_1':0.0},(174,355):{'3_1':0.0},(174,354):{'3_1':0.0},(174,353):{'3_1':0.0},(174,352):{'3_1':0.0},(174,351):{'3_1':0.0},(174,350):{'3_1':0.03},(174,349):{'3_1':0.0},(174,348):{'3_1':0.0,'4_1':0.0},(174,346):{'3_1':0.0},(174,345):{'3_1':0.0,'4_1':0.0},(174,343):{'3_1':0.0},(174,341):{'3_1':0.0,'5_1':0.0},(174,338):{'3_1':0.0},(174,337):{'3_1':0.0,'5_1':0.0},(174,335):{'3_1':0.0,'5_2':0.0},(174,333):{'3_1':0.0,'5_1':0.0},(174,331):{'3_1':0.03},(174,330):{'3_1':0.0},(174,329):{'4_1':0.0},(174,328):{'4_1':0.0},(174,327):{'3_1':0.0},(174,326):{'3_1':0.0},(174,325):{'3_1':0.0},(174,324):{'3_1':0.0},(174,323):{'3_1':0.0},(174,322):{'3_1':0.03},(174,321):{'3_1':0.03},(174,320):{'3_1':0.0},(174,319):{'3_1':0.0},(174,318):{'3_1':0.0},(174,316):{'3_1':0.0},(174,315):{'3_1':0.0},(174,314):{'3_1':0.0},(174,313):{'3_1':0.0},(174,312):{'3_1':0.0},(174,311):{'3_1':0.0},(174,310):{'3_1':0.0},(174,309):{'3_1':0.0},(174,308):{'3_1':0.03},(174,307):{'3_1':0.0},(174,306):{'3_1':0.0},(174,305):{'3_1':0.0},(174,303):{'3_1':0.0},(174,302):{'3_1':0.0},(174,301):{'3_1':0.0},(174,300):{'3_1':0.0},(174,297):{'3_1':0.0},(174,296):{'3_1':0.0},(174,295):{'3_1':0.0},(174,293):{'3_1':0.0},(174,292):{'3_1':0.0},(174,291):{'3_1':0.0},(174,289):{'3_1':0.0},(174,287):{'3_1':0.0},(174,284):{'3_1':0.0},(174,283):{'3_1':0.0},(174,280):{'3_1':0.0},(174,279):{'3_1':0.0},(174,278):{'3_1':0.0},(174,275):{'3_1':0.0},(174,274):{'3_1':0.0},(174,273):{'3_1':0.0},(174,268):{'3_1':0.0},(174,263):{'3_1':0.0},(174,258):{'3_1':0.0},(174,254):{'3_1':0.0},(174,252):{'3_1':0.0},(174,251):{'3_1':0.0},(174,250):{'3_1':0.0},(174,248):{'3_1':0.0},(174,247):{'3_1':0.0},(174,244):{'3_1':0.0},(174,242):{'3_1':0.0},(174,238):{'3_1':0.0},(174,229):{'3_1':0.0},(174,226):{'3_1':0.0},(174,216):{'3_1':0.0},(174,210):{'3_1':0.0},(174,205):{'3_1':0.0},(174,204):{'3_1':0.0},(174,201):{'3_1':0.0},(174,199):{'3_1':0.0},(174,198):{'3_1':0.0},(174,197):{'3_1':0.0},(174,194):{'3_1':0.0},(174,193):{'5_1':0.0},(174,192):{'3_1':0.0},(174,191):{'3_1':0.06},(174,190):{'3_1':0.0},(174,188):{'3_1':0.0},(174,187):{'3_1':0.0},(174,178):{'3_1':0.0},(175,727):{'5_2':0.12,'6_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0},(175,726):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(175,725):{'5_2':0.09,'6_1':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_15':0.0},(175,724):{'5_2':0.12,'6_1':0.06,'7_5':0.03,'-3':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(175,723):{'5_2':0.18,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(175,722):{'5_2':0.18,'7_2':0.06,'-3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(175,721):{'5_2':0.15,'7_2':0.06,'3_1':0.06,'7_5':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'8_14':0.0},(175,720):{'5_2':0.09,'7_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'1':-0.03},(175,719):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(175,718):{'5_2':0.15,'6_1':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(175,717):{'5_2':0.18,'7_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(175,716):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(175,715):{'5_2':0.15,'7_2':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0,'7_6':0.0},(175,714):{'5_2':0.15,'7_2':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'8_11':0.0},(175,713):{'5_2':0.18,'7_2':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(175,712):{'5_2':0.09,'7_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_5':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(175,711):{'5_2':0.15,'3_1':0.06,'-3':0.06,'4_1':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(175,710):{'5_2':0.09,'-3':0.09,'3_1':0.06,'7_5':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'7_4':0.0,'7_6':0.0},(175,709):{'5_2':0.12,'3_1':0.09,'-3':0.06,'7_5':0.06,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'9_1':0.0},(175,708):{'5_2':0.18,'3_1':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(175,707):{'5_2':0.15,'7_2':0.09,'3_1':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0},(175,706):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(175,705):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0},(175,704):{'5_2':0.12,'7_3':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0,'8_11':0.0,'8_14':0.0},(175,703):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(175,702):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_3':0.03,'4_1':0.03,'-3':0.03,'7_6':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(175,701):{'5_2':0.21,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_3':0.0,'8_1':0.0},(175,700):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'1':-0.03},(175,699):{'5_2':0.24,'3_1':0.06,'7_3':0.06,'6_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'8_11':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(175,698):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(175,697):{'5_2':0.15,'7_2':0.03,'7_3':0.03,'3_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0},(175,696):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(175,695):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_6':0.0,'8_11':0.0,'1':-0.03},(175,694):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(175,693):{'5_2':0.24,'4_1':0.06,'6_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0,'1':-0.03},(175,692):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'5_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(175,691):{'5_2':0.15,'6_1':0.03,'7_4':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(175,690):{'5_2':0.18,'7_2':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_9':0.0,'-3':0.0},(175,689):{'5_2':0.24,'3_1':0.09,'4_1':0.03,'7_2':0.03,'5_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(175,688):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0},(175,687):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(175,686):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0},(175,685):{'5_2':0.21,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_2':0.0,'-3':0.0},(175,684):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(175,683):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(175,682):{'5_2':0.15,'3_1':0.12,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(175,681):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(175,680):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(175,679):{'3_1':0.12,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(175,678):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'8_14':0.0},(175,677):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(175,676):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(175,675):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(175,674):{'5_2':0.18,'3_1':0.09,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(175,673):{'5_2':0.12,'3_1':0.06,'7_2':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(175,672):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(175,671):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(175,670):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(175,669):{'5_2':0.12,'3_1':0.09,'5_1':0.06,'4_1':0.03,'7_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(175,668):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(175,667):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(175,666):{'5_2':0.15,'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0},(175,665):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(175,664):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(175,663):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(175,662):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(175,661):{'5_2':0.12,'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(175,660):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0},(175,659):{'3_1':0.24,'5_2':0.06,'4_1':0.06,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(175,658):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(175,657):{'3_1':0.18,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(175,656):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(175,655):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(175,654):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(175,653):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(175,652):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0},(175,651):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(175,650):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(175,649):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(175,648):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(175,647):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0},(175,646):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0,'-3':0.0},(175,645):{'3_1':0.18,'5_1':0.09,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(175,644):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_7':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(175,643):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(175,642):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.03,'8_10':0.0,'8_20|3_1#3_1':0.0},(175,641):{'3_1':0.3,'4_1':0.06,'5_2':0.06,'7_5':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(175,640):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(175,639):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_6':0.0},(175,638):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_7':0.0},(175,637):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0},(175,636):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(175,635):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(175,634):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(175,633):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'7_4':0.0,'8_19':0.0},(175,632):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_19':0.0},(175,631):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(175,630):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(175,629):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(175,628):{'3_1':0.12,'7_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(175,627):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(175,626):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0},(175,625):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(175,624):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,623):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(175,622):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(175,621):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(175,620):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(175,619):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(175,618):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'3_1#5_1':0.0},(175,617):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(175,616):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(175,615):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_19':0.0},(175,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0},(175,613):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(175,612):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(175,611):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(175,610):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(175,609):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(175,608):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(175,607):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'9_1':0.0},(175,606):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(175,605):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(175,604):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(175,603):{'3_1':0.15,'4_1':0.03},(175,602):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(175,601):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(175,600):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(175,599):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(175,598):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(175,597):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(175,596):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(175,595):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,594):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(175,593):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(175,592):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(175,591):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(175,590):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(175,589):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(175,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(175,587):{'3_1':0.09,'4_1':0.03,'5_1':0.03},(175,586):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(175,585):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(175,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(175,583):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(175,582):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(175,581):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(175,580):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(175,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,578):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(175,577):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(175,576):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(175,575):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(175,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(175,573):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(175,572):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(175,571):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(175,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(175,569):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(175,568):{'3_1':0.06},(175,567):{'3_1':0.12,'5_1':0.0},(175,566):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(175,565):{'3_1':0.12,'5_1':0.0},(175,564):{'3_1':0.09,'4_1':0.0},(175,563):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(175,562):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(175,561):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_2':0.0},(175,560):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(175,559):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(175,558):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(175,557):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(175,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(175,555):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,554):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_3':0.0},(175,553):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(175,552):{'3_1':0.12,'5_2':0.0},(175,551):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(175,550):{'3_1':0.24,'5_2':0.0,'6_3':0.0},(175,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,548):{'3_1':0.15,'5_1':0.0},(175,547):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,546):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(175,545):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,544):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_2':0.0},(175,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(175,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(175,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(175,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0},(175,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,538):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(175,537):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(175,535):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_3':0.0},(175,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(175,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(175,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(175,531):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_1':0.0},(175,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(175,529):{'3_1':0.15,'5_1':0.0},(175,528):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_1':0.0},(175,527):{'3_1':0.15,'5_1':0.0},(175,526):{'3_1':0.09,'5_1':0.0},(175,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(175,524):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(175,523):{'3_1':0.12,'4_1':0.0},(175,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(175,521):{'3_1':0.03,'4_1':0.0},(175,520):{'3_1':0.09,'4_1':0.0},(175,519):{'3_1':0.09,'5_1':0.0},(175,518):{'3_1':0.06,'5_2':0.0},(175,517):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(175,516):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(175,515):{'3_1':0.03},(175,514):{'3_1':0.09,'5_2':0.0},(175,513):{'3_1':0.03},(175,512):{'3_1':0.09,'5_2':0.0},(175,511):{'3_1':0.03,'4_1':0.0},(175,510):{'3_1':0.03,'4_1':0.0},(175,509):{'3_1':0.06,'5_1':0.0},(175,508):{'3_1':0.09,'5_2':0.0},(175,507):{'3_1':0.09},(175,506):{'3_1':0.03},(175,505):{'3_1':0.06},(175,504):{'3_1':0.03,'4_1':0.0},(175,503):{'3_1':0.0},(175,502):{'3_1':0.06},(175,501):{'3_1':0.0,'4_1':0.0},(175,500):{'3_1':0.03,'5_2':0.0},(175,499):{'3_1':0.06},(175,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(175,497):{'3_1':0.03,'5_1':0.0},(175,496):{'3_1':0.06},(175,495):{'3_1':0.06},(175,494):{'3_1':0.06,'5_2':0.0},(175,493):{'3_1':0.03},(175,492):{'3_1':0.06},(175,491):{'3_1':0.06},(175,490):{'3_1':0.06},(175,489):{'3_1':0.03,'5_2':0.0},(175,488):{'3_1':0.09,'5_1':0.0},(175,487):{'3_1':0.03},(175,486):{'3_1':0.12},(175,485):{'3_1':0.06},(175,484):{'3_1':0.09,'5_2':0.0},(175,483):{'3_1':0.06},(175,482):{'3_1':0.09},(175,481):{'3_1':0.06,'4_1':0.0},(175,480):{'3_1':0.09,'4_1':0.0},(175,479):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(175,478):{'3_1':0.12,'5_2':0.0},(175,477):{'3_1':0.09,'7_1':0.0},(175,476):{'3_1':0.12,'5_1':0.0},(175,475):{'3_1':0.12,'5_1':0.0},(175,474):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(175,473):{'3_1':0.03},(175,472):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(175,471):{'3_1':0.03},(175,470):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(175,469):{'3_1':0.06,'5_1':0.0},(175,468):{'3_1':0.06},(175,467):{'3_1':0.03,'4_1':0.0},(175,466):{'3_1':0.03},(175,465):{'3_1':0.06},(175,464):{'3_1':0.03},(175,463):{'3_1':0.03},(175,462):{'3_1':0.03},(175,461):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(175,460):{'3_1':0.06},(175,459):{'3_1':0.06,'4_1':0.0},(175,458):{'3_1':0.03},(175,457):{'3_1':0.03,'4_1':0.0},(175,456):{'3_1':0.03},(175,455):{'3_1':0.03,'5_1':0.0},(175,454):{'3_1':0.03},(175,453):{'3_1':0.03,'4_1':0.0},(175,452):{'3_1':0.03,'5_1':0.0},(175,451):{'3_1':0.0,'4_1':0.0},(175,450):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(175,449):{'3_1':0.0,'5_1':0.0},(175,448):{'3_1':0.03,'5_1':0.0},(175,447):{'3_1':0.0,'4_1':0.0},(175,446):{'3_1':0.03},(175,445):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(175,444):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(175,443):{'3_1':0.0},(175,442):{'3_1':0.0,'5_1':0.0},(175,441):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(175,440):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(175,439):{'3_1':0.03,'5_1':0.0},(175,438):{'3_1':0.0,'6_2':0.0},(175,437):{'3_1':0.0},(175,436):{'3_1':0.03},(175,435):{'3_1':0.03,'4_1':0.0},(175,434):{'3_1':0.03,'5_1':0.0},(175,433):{'3_1':0.03,'4_1':0.0},(175,432):{'3_1':0.06},(175,431):{'3_1':0.03},(175,430):{'3_1':0.0,'4_1':0.0},(175,429):{'3_1':0.03},(175,428):{'3_1':0.0,'6_1':0.0},(175,427):{'3_1':0.03,'4_1':0.0},(175,426):{'3_1':0.03,'4_1':0.0},(175,425):{'3_1':0.03},(175,424):{'3_1':0.0,'4_1':0.0},(175,423):{'3_1':0.03},(175,422):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(175,421):{'3_1':0.03},(175,420):{'3_1':0.0,'4_1':0.0},(175,419):{'3_1':0.0,'6_2':0.0},(175,418):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(175,417):{'3_1':0.03,'4_1':0.0},(175,416):{'4_1':0.0,'6_2':0.0},(175,415):{'3_1':0.0,'4_1':0.0},(175,414):{'3_1':0.0},(175,412):{'3_1':0.03,'5_1':0.0},(175,411):{'3_1':0.0},(175,410):{'3_1':0.0},(175,409):{'3_1':0.0,'5_1':0.0},(175,408):{'3_1':0.0,'4_1':0.0},(175,406):{'3_1':0.0,'4_1':0.0},(175,405):{'3_1':0.03},(175,403):{'3_1':0.03},(175,402):{'3_1':0.0},(175,401):{'3_1':0.0},(175,400):{'3_1':0.0},(175,399):{'3_1':0.03},(175,398):{'3_1':0.0},(175,396):{'3_1':0.0},(175,395):{'3_1':0.0,'5_1':0.0},(175,394):{'3_1':0.0},(175,393):{'3_1':0.0,'4_1':0.0},(175,392):{'3_1':0.0},(175,391):{'3_1':0.0},(175,390):{'3_1':0.0},(175,389):{'3_1':0.03},(175,387):{'3_1':0.03},(175,385):{'3_1':0.0},(175,384):{'3_1':0.0},(175,383):{'3_1':0.0},(175,382):{'3_1':0.0},(175,381):{'3_1':0.03,'5_1':0.0},(175,380):{'3_1':0.0},(175,379):{'3_1':0.0,'5_1':0.0},(175,378):{'3_1':0.0,'5_1':0.0},(175,377):{'3_1':0.03,'5_1':0.0},(175,376):{'3_1':0.03},(175,375):{'3_1':0.0},(175,374):{'3_1':0.06},(175,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(175,372):{'3_1':0.03},(175,371):{'3_1':0.03},(175,370):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(175,369):{'3_1':0.03,'7_1':0.0},(175,368):{'3_1':0.0,'-3':0.0},(175,367):{'3_1':0.0},(175,366):{'3_1':0.03},(175,365):{'3_1':0.0},(175,364):{'3_1':0.06,'5_1':0.0},(175,363):{'3_1':0.0,'7_1':0.0},(175,362):{'4_1':0.0},(175,361):{'3_1':0.0},(175,360):{'3_1':0.0},(175,359):{'3_1':0.0,'4_1':0.0},(175,357):{'3_1':0.0},(175,356):{'3_1':0.0},(175,355):{'3_1':0.0},(175,354):{'3_1':0.0},(175,353):{'3_1':0.0},(175,352):{'3_1':0.03},(175,351):{'3_1':0.0},(175,350):{'3_1':0.03},(175,346):{'3_1':0.0},(175,343):{'3_1':0.0},(175,342):{'3_1':0.0},(175,341):{'3_1':0.0},(175,340):{'3_1':0.0},(175,339):{'3_1':0.0},(175,338):{'3_1':0.0},(175,337):{'3_1':0.0,'5_1':0.0},(175,335):{'3_1':0.0},(175,334):{'3_1':0.0},(175,333):{'3_1':0.0},(175,332):{'3_1':0.0},(175,331):{'3_1':0.0},(175,330):{'3_1':0.0,'4_1':0.0},(175,329):{'3_1':0.0},(175,328):{'3_1':0.0},(175,327):{'3_1':0.0,'4_1':0.0},(175,326):{'3_1':0.03},(175,325):{'3_1':0.03},(175,324):{'3_1':0.0},(175,323):{'3_1':0.0},(175,322):{'3_1':0.03},(175,321):{'3_1':0.0},(175,320):{'3_1':0.03},(175,319):{'3_1':0.0},(175,318):{'3_1':0.0},(175,317):{'3_1':0.0},(175,316):{'3_1':0.0},(175,315):{'3_1':0.0},(175,314):{'3_1':0.0},(175,313):{'3_1':0.0},(175,312):{'3_1':0.0},(175,311):{'3_1':0.0},(175,310):{'3_1':0.0},(175,309):{'3_1':0.0},(175,308):{'3_1':0.0},(175,306):{'3_1':0.03},(175,304):{'3_1':0.0},(175,303):{'3_1':0.0},(175,302):{'3_1':0.0},(175,301):{'3_1':0.0},(175,300):{'3_1':0.0},(175,299):{'3_1':0.0},(175,298):{'3_1':0.0,'4_1':0.0},(175,297):{'3_1':0.0},(175,296):{'3_1':0.0},(175,295):{'3_1':0.0},(175,294):{'3_1':0.0},(175,293):{'3_1':0.03},(175,292):{'3_1':0.0},(175,290):{'3_1':0.0},(175,289):{'3_1':0.0},(175,285):{'3_1':0.0},(175,284):{'3_1':0.0},(175,283):{'3_1':0.0},(175,282):{'3_1':0.0},(175,279):{'3_1':0.0},(175,278):{'3_1':0.0},(175,276):{'3_1':0.0},(175,272):{'3_1':0.0},(175,271):{'3_1':0.0},(175,269):{'3_1':0.0},(175,268):{'5_1':0.0},(175,265):{'3_1':0.0},(175,259):{'3_1':0.0},(175,258):{'3_1':0.0},(175,248):{'3_1':0.0},(175,244):{'4_1':0.0},(175,243):{'3_1':0.0},(175,236):{'3_1':0.0},(175,234):{'3_1':0.0},(175,233):{'3_1':0.0},(175,231):{'3_1':0.0},(175,214):{'3_1':0.0},(175,210):{'3_1':0.0},(175,209):{'3_1':0.0},(175,203):{'3_1':0.0},(175,201):{'3_1':0.0,'4_1':0.0},(175,200):{'3_1':0.0},(175,196):{'3_1':0.0},(175,194):{'3_1':0.0},(175,192):{'3_1':0.0},(175,191):{'3_1':0.0},(175,189):{'4_1':0.0},(175,180):{'3_1':0.0},(176,727):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(176,726):{'5_2':0.21,'6_1':0.06,'7_2':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(176,725):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'3_1#5_2':0.0},(176,724):{'5_2':0.09,'7_2':0.03,'3_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0},(176,723):{'5_2':0.18,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(176,722):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0},(176,721):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'7_2':0.03,'-3':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0},(176,720):{'5_2':0.18,'7_2':0.06,'6_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(176,719):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'8_14':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0,'-3':0.0},(176,718):{'5_2':0.15,'4_1':0.06,'7_2':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(176,717):{'5_2':0.15,'6_1':0.09,'7_2':0.03,'-3':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(176,716):{'5_2':0.18,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(176,715):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'7_6':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(176,714):{'5_2':0.18,'7_2':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(176,713):{'5_2':0.18,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(176,712):{'5_2':0.18,'6_1':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.03,'-3':0.0,'8_14':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(176,711):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_5':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(176,710):{'5_2':0.12,'7_5':0.09,'3_1':0.06,'7_2':0.03,'6_1':0.0,'-3':0.0,'8_15':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(176,709):{'3_1':0.09,'5_2':0.09,'7_2':0.06,'7_5':0.06,'-3':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_6':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0,'9_1':0.0},(176,708):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_18':0.0},(176,707):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(176,706):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(176,705):{'5_2':0.09,'6_1':0.09,'7_2':0.03,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_6':0.0,'8_2':0.0},(176,704):{'5_2':0.15,'7_2':0.06,'7_3':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(176,703):{'5_2':0.15,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(176,702):{'5_2':0.15,'7_4':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'-3':0.0,'8_11':0.0},(176,701):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(176,700):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(176,699):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'8_15':0.0},(176,698):{'5_2':0.21,'6_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'7_4':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(176,697):{'5_2':0.21,'4_1':0.06,'-3':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0},(176,696):{'5_2':0.24,'7_4':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(176,695):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0},(176,694):{'5_2':0.18,'7_3':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0},(176,693):{'5_2':0.21,'4_1':0.03,'7_3':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0},(176,692):{'5_2':0.18,'6_1':0.06,'7_3':0.06,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(176,691):{'5_2':0.21,'3_1':0.06,'7_3':0.03,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_11':0.0},(176,690):{'5_2':0.27,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(176,689):{'5_2':0.15,'3_1':0.09,'7_2':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(176,688):{'5_2':0.15,'3_1':0.06,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(176,687):{'5_2':0.15,'3_1':0.06,'7_2':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(176,686):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(176,685):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_2':0.0},(176,684):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_5':0.0,'8_11':0.0},(176,683):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_7':0.0},(176,682):{'5_2':0.24,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(176,681):{'3_1':0.15,'5_2':0.12,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(176,680):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(176,679):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(176,678):{'3_1':0.18,'5_2':0.09,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_15':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(176,677):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(176,676):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_13':0.0,'8_19':0.0,'-3':0.0},(176,675):{'5_2':0.18,'3_1':0.15,'6_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'8_19':0.0,'9_1':0.0},(176,674):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'8_15':0.0},(176,673):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(176,672):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(176,671):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'8_14':0.0,'-3':0.0},(176,670):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0},(176,669):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_4':0.03,'5_1':0.03,'7_2':0.0,'7_7':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(176,668):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_7':0.0,'-3':0.0},(176,667):{'5_2':0.09,'3_1':0.09,'-3':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(176,666):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(176,665):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(176,664):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(176,663):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_5':0.0,'7_7':0.0,'6_3':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(176,662):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0,'-3':0.0},(176,661):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(176,660):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(176,659):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(176,658):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_2':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(176,657):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(176,656):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0},(176,655):{'3_1':0.27,'5_2':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(176,654):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0},(176,653):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0},(176,652):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0},(176,651):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(176,650):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0},(176,649):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(176,648):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(176,647):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(176,646):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(176,645):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0,'8_19':0.0},(176,644):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(176,643):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(176,642):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0},(176,641):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0},(176,640):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(176,639):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(176,638):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(176,637):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'6_2':0.0,'3_1#5_1':0.0,'-3':0.0},(176,636):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(176,635):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(176,634):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(176,633):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(176,632):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0},(176,631):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,630):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(176,629):{'3_1':0.18,'5_1':0.03},(176,628):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(176,627):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(176,626):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(176,625):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'7_3':0.0},(176,624):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_5':0.0},(176,623):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0},(176,622):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(176,621):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(176,620):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'-3':0.0},(176,619):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(176,618):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(176,617):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(176,616):{'3_1':0.15,'4_1':0.0,'8_19':0.0,'5_1':0.0,'7_1':0.0},(176,615):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(176,614):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(176,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(176,612):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_5':0.0},(176,611):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(176,610):{'3_1':0.12,'5_1':0.0,'7_4':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(176,609):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(176,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,607):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(176,606):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(176,605):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(176,604):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(176,603):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(176,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,601):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(176,600):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(176,599):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(176,598):{'3_1':0.09,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0},(176,597):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(176,596):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(176,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(176,594):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(176,593):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(176,592):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(176,591):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(176,590):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(176,589):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(176,588):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(176,587):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(176,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(176,585):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(176,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,583):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(176,582):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(176,581):{'3_1':0.12,'4_1':0.0},(176,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(176,579):{'3_1':0.21,'5_1':0.0},(176,578):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(176,577):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(176,576):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(176,575):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,574):{'3_1':0.06,'5_2':0.0},(176,573):{'3_1':0.18},(176,572):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(176,571):{'3_1':0.15,'4_1':0.0},(176,570):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(176,569):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,568):{'3_1':0.09},(176,567):{'3_1':0.06,'4_1':0.03,'6_3':0.0},(176,566):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'7_1':0.0},(176,565):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,564):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(176,563):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(176,562):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(176,561):{'3_1':0.15},(176,560):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(176,559):{'3_1':0.15,'4_1':0.0},(176,558):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(176,557):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(176,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(176,555):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(176,554):{'3_1':0.21},(176,553):{'3_1':0.15,'5_1':0.0},(176,552):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(176,551):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(176,550):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(176,549):{'3_1':0.12,'5_2':0.0,'7_4':0.0},(176,548):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(176,547):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(176,546):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(176,545):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_3':0.0},(176,544):{'3_1':0.27,'5_2':0.0,'6_2':0.0},(176,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_1':0.0},(176,542):{'3_1':0.24,'4_1':0.0},(176,541):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(176,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(176,539):{'3_1':0.18,'5_2':0.0},(176,538):{'3_1':0.15},(176,537):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(176,536):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(176,535):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(176,534):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(176,533):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(176,532):{'3_1':0.24,'5_2':0.0},(176,531):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,530):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,529):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(176,528):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(176,527):{'3_1':0.12,'5_2':0.0},(176,526):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,524):{'3_1':0.09,'5_1':0.0},(176,523):{'3_1':0.06,'4_1':0.0},(176,522):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(176,521):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(176,520):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(176,519):{'3_1':0.06},(176,518):{'3_1':0.03,'5_1':0.0},(176,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(176,514):{'3_1':0.03},(176,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(176,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(176,510):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(176,509):{'3_1':0.15},(176,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(176,507):{'3_1':0.06},(176,506):{'3_1':0.03,'5_1':0.0},(176,505):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(176,504):{'3_1':0.06,'4_1':0.0},(176,503):{'3_1':0.06},(176,502):{'3_1':0.03,'4_1':0.0},(176,501):{'3_1':0.06},(176,500):{'3_1':0.0,'4_1':0.0},(176,499):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(176,498):{'3_1':0.06},(176,497):{'3_1':0.03,'4_1':0.0},(176,496):{'3_1':0.03},(176,495):{'3_1':0.06},(176,494):{'3_1':0.0},(176,493):{'3_1':0.03},(176,492):{'3_1':0.03},(176,491):{'3_1':0.03,'5_1':0.0},(176,490):{'3_1':0.09},(176,489):{'3_1':0.06},(176,488):{'3_1':0.06,'5_1':0.0},(176,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(176,486):{'3_1':0.03,'5_2':0.0},(176,485):{'3_1':0.03},(176,484):{'3_1':0.06,'4_1':0.0},(176,483):{'3_1':0.03},(176,482):{'3_1':0.12},(176,481):{'3_1':0.03},(176,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(176,479):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(176,478):{'3_1':0.06},(176,477):{'3_1':0.0,'7_1':0.0},(176,476):{'3_1':0.12},(176,475):{'3_1':0.09,'5_1':0.0},(176,474):{'3_1':0.03,'5_1':0.0},(176,473):{'3_1':0.12,'5_1':0.0},(176,472):{'3_1':0.06,'4_1':0.0},(176,471):{'3_1':0.03},(176,470):{'3_1':0.03,'5_1':0.0},(176,469):{'3_1':0.03,'5_1':0.0},(176,468):{'3_1':0.06},(176,467):{'3_1':0.06,'4_1':0.0},(176,466):{'3_1':0.03,'4_1':0.0},(176,465):{'3_1':0.0},(176,464):{'3_1':0.03,'4_1':0.0},(176,463):{'3_1':0.03},(176,462):{'3_1':0.06},(176,461):{'3_1':0.03,'5_1':0.0},(176,460):{'3_1':0.03,'4_1':0.0},(176,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(176,458):{'3_1':0.03},(176,457):{'3_1':0.03},(176,456):{'3_1':0.0},(176,455):{'4_1':0.0},(176,454):{'3_1':0.03,'4_1':0.0},(176,453):{'3_1':0.0,'4_1':0.0},(176,452):{'3_1':0.0,'4_1':0.0},(176,451):{'3_1':0.0},(176,450):{'3_1':0.03,'5_1':0.0},(176,449):{'3_1':0.0},(176,448):{'3_1':0.03,'4_1':0.0},(176,447):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(176,446):{'3_1':0.0},(176,445):{'3_1':0.0},(176,444):{'3_1':0.0,'4_1':0.0},(176,443):{'3_1':0.0},(176,442):{'3_1':0.0,'4_1':0.0},(176,441):{'3_1':0.0},(176,440):{'3_1':0.03,'5_2':0.0},(176,439):{'3_1':0.0},(176,438):{'3_1':0.0,'5_1':0.0},(176,437):{'3_1':0.0,'6_2':0.0},(176,436):{'3_1':0.0},(176,435):{'3_1':0.03,'4_1':0.0},(176,434):{'3_1':0.03},(176,433):{'3_1':0.0},(176,432):{'3_1':0.03,'5_2':0.0},(176,431):{'3_1':0.06,'4_1':0.0},(176,430):{'3_1':0.0},(176,429):{'3_1':0.03},(176,428):{'3_1':0.09,'4_1':0.0},(176,427):{'3_1':0.0,'4_1':0.0},(176,426):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(176,425):{'3_1':0.0,'4_1':0.0},(176,424):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(176,423):{'3_1':0.06},(176,422):{'3_1':0.06,'4_1':0.0},(176,421):{'3_1':0.03,'6_2':0.0},(176,420):{'3_1':0.03,'4_1':0.0},(176,419):{'3_1':0.03,'4_1':0.0},(176,418):{'3_1':0.0,'4_1':0.0},(176,417):{'3_1':0.0},(176,416):{'3_1':0.0,'4_1':0.0},(176,415):{'3_1':0.0,'4_1':0.0},(176,414):{'3_1':0.03,'4_1':0.0},(176,413):{'3_1':0.0,'4_1':0.0},(176,412):{'3_1':0.03},(176,411):{'3_1':0.0},(176,410):{'3_1':0.0,'4_1':0.0},(176,409):{'3_1':0.0},(176,408):{'3_1':0.03},(176,407):{'3_1':0.0},(176,406):{'3_1':0.09},(176,405):{'3_1':0.0},(176,404):{'3_1':0.0},(176,403):{'3_1':0.0},(176,402):{'3_1':0.0},(176,401):{'3_1':0.03},(176,400):{'3_1':0.03},(176,399):{'3_1':0.0},(176,398):{'3_1':0.0},(176,397):{'3_1':0.0},(176,395):{'3_1':0.0},(176,392):{'3_1':0.0},(176,391):{'3_1':0.0},(176,390):{'3_1':0.0},(176,389):{'3_1':0.03},(176,388):{'3_1':0.03},(176,387):{'3_1':0.03},(176,386):{'3_1':0.0},(176,385):{'3_1':0.0},(176,384):{'3_1':0.0,'5_1':0.0},(176,383):{'3_1':0.0},(176,382):{'3_1':0.0},(176,381):{'3_1':0.03},(176,380):{'3_1':0.03,'5_1':0.0},(176,379):{'3_1':0.0},(176,378):{'3_1':0.09,'4_1':0.0},(176,377):{'3_1':0.03,'7_1':0.0},(176,376):{'3_1':0.03},(176,375):{'3_1':0.03,'4_1':0.0},(176,374):{'3_1':0.0},(176,373):{'3_1':0.03,'4_1':0.0},(176,372):{'3_1':0.03,'5_1':0.0},(176,371):{'3_1':0.06},(176,370):{'3_1':0.03},(176,369):{'3_1':0.03},(176,368):{'3_1':0.0,'4_1':0.0},(176,367):{'3_1':0.03},(176,366):{'3_1':0.06,'5_1':0.0},(176,365):{'3_1':0.0},(176,364):{'3_1':0.0},(176,363):{'3_1':0.0},(176,362):{'3_1':0.03,'5_1':0.0},(176,361):{'3_1':0.0,'4_1':0.0},(176,360):{'3_1':0.0},(176,359):{'3_1':0.0,'4_1':0.0},(176,358):{'3_1':0.0},(176,357):{'3_1':0.0},(176,355):{'3_1':0.0},(176,354):{'3_1':0.0},(176,353):{'3_1':0.03},(176,352):{'3_1':0.0,'5_1':0.0},(176,351):{'3_1':0.0},(176,350):{'3_1':0.0},(176,349):{'3_1':0.0},(176,348):{'3_1':0.0},(176,346):{'3_1':0.0},(176,345):{'3_1':0.0},(176,344):{'3_1':0.0},(176,343):{'3_1':0.0},(176,342):{'3_1':0.0},(176,340):{'3_1':0.0},(176,338):{'3_1':0.0},(176,337):{'3_1':0.0},(176,336):{'3_1':0.0},(176,335):{'3_1':0.0},(176,334):{'3_1':0.0},(176,333):{'3_1':0.06},(176,332):{'3_1':0.0},(176,330):{'3_1':0.03},(176,328):{'3_1':0.0},(176,327):{'3_1':0.0},(176,326):{'3_1':0.0},(176,325):{'3_1':0.0},(176,324):{'3_1':0.0},(176,323):{'3_1':0.0},(176,322):{'3_1':0.0},(176,321):{'3_1':0.0,'5_1':0.0},(176,320):{'3_1':0.0},(176,319):{'3_1':0.0},(176,318):{'3_1':0.0},(176,316):{'3_1':0.0},(176,314):{'3_1':0.0},(176,312):{'3_1':0.03},(176,310):{'3_1':0.0},(176,309):{'3_1':0.0},(176,308):{'3_1':0.0},(176,307):{'3_1':0.0},(176,306):{'3_1':0.0},(176,305):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(176,304):{'3_1':0.0},(176,303):{'3_1':0.0},(176,302):{'3_1':0.03},(176,301):{'3_1':0.0},(176,300):{'3_1':0.0},(176,299):{'3_1':0.03},(176,298):{'3_1':0.03},(176,297):{'5_2':0.0},(176,296):{'3_1':0.0},(176,295):{'3_1':0.0},(176,294):{'3_1':0.03},(176,293):{'3_1':0.0},(176,292):{'3_1':0.0},(176,291):{'3_1':0.0},(176,290):{'3_1':0.0},(176,289):{'5_1':0.0},(176,288):{'3_1':0.0},(176,276):{'3_1':0.0},(176,275):{'3_1':0.0},(176,274):{'3_1':0.0},(176,272):{'3_1':0.0},(176,268):{'3_1':0.0},(176,264):{'3_1':0.0},(176,263):{'3_1':0.0},(176,262):{'3_1':0.0},(176,259):{'4_1':0.0},(176,248):{'3_1':0.0},(176,247):{'3_1':0.0},(176,243):{'3_1':0.0},(176,239):{'3_1':0.0},(176,237):{'3_1':0.0},(176,236):{'3_1':0.0},(176,234):{'3_1':0.0},(176,233):{'3_1':0.0},(176,229):{'3_1':0.0},(176,228):{'3_1':0.0},(176,227):{'3_1':0.0},(176,226):{'3_1':0.0},(176,225):{'3_1':0.0},(176,224):{'3_1':0.0},(176,211):{'3_1':0.0},(176,210):{'3_1':0.0},(176,209):{'3_1':0.0},(176,207):{'3_1':0.0},(176,205):{'3_1':0.0},(176,194):{'3_1':0.0},(176,192):{'3_1':0.0},(176,190):{'3_1':0.0},(176,189):{'3_1':0.0},(176,187):{'3_1':0.0},(176,185):{'3_1':0.0},(177,727):{'5_2':0.09,'7_2':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0},(177,726):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'6_1':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(177,725):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(177,724):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_14':0.0},(177,723):{'5_2':0.15,'7_2':0.06,'6_1':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(177,722):{'5_2':0.09,'7_2':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'-3':0.0},(177,721):{'5_2':0.15,'7_2':0.06,'-3':0.03,'4_1':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(177,720):{'5_2':0.12,'6_1':0.06,'7_2':0.06,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(177,719):{'5_2':0.21,'6_1':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0},(177,718):{'5_2':0.15,'7_2':0.06,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0},(177,717):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(177,716):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(177,715):{'5_2':0.15,'7_2':0.09,'3_1':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0},(177,714):{'5_2':0.18,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0},(177,713):{'5_2':0.15,'7_2':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(177,712):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'8_3':0.0,'8_14':0.0},(177,711):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(177,710):{'5_2':0.09,'-3':0.06,'7_5':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(177,709):{'5_2':0.09,'7_2':0.09,'-3':0.06,'7_5':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0,'8_3':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0,'8_14':0.0,'1':-0.03},(177,708):{'5_2':0.15,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(177,707):{'5_2':0.18,'7_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'8_3':0.0,'8_4':0.0,'8_13':0.0},(177,706):{'5_2':0.21,'7_3':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(177,705):{'5_2':0.15,'6_1':0.06,'7_2':0.06,'-3':0.03,'4_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'6_2':0.0,'7_5':0.0},(177,704):{'5_2':0.18,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(177,703):{'5_2':0.21,'7_2':0.06,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0,'-3':0.0},(177,702):{'5_2':0.15,'7_2':0.06,'6_1':0.03,'3_1':0.0,'4_1':0.0,'-3':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(177,701):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_8':0.0},(177,700):{'5_2':0.24,'7_2':0.06,'6_1':0.03,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'8_3':0.0,'-3':0.0,'5_1':0.0},(177,699):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0},(177,698):{'5_2':0.15,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'1':-0.03},(177,697):{'5_2':0.24,'3_1':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(177,696):{'5_2':0.27,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(177,695):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(177,694):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(177,693):{'5_2':0.12,'6_1':0.03,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(177,692):{'5_2':0.15,'7_3':0.09,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_13':0.0},(177,691):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'3_1#5_2':0.0},(177,690):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0,'-3':0.0},(177,689):{'5_2':0.15,'3_1':0.12,'7_3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(177,688):{'5_2':0.12,'3_1':0.06,'7_2':0.03,'7_3':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0},(177,687):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(177,686):{'5_2':0.18,'3_1':0.06,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(177,685):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(177,684):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'8_3':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(177,683):{'3_1':0.15,'5_2':0.09,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_14':0.0,'-3':0.0},(177,682):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(177,681):{'5_2':0.15,'3_1':0.12,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'3_1#5_2':0.0},(177,680):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(177,679):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(177,678):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0},(177,677):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0},(177,676):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(177,675):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_6':0.0},(177,674):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(177,673):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(177,672):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'9_1':0.0},(177,671):{'5_2':0.21,'3_1':0.15,'4_1':0.06,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(177,670):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_3':0.0},(177,669):{'3_1':0.18,'5_2':0.09,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(177,668):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_3':0.0,'6_1':0.0},(177,667):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(177,666):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_2':0.0},(177,665):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(177,664):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'7_3':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(177,663):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(177,662):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(177,661):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0},(177,660):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(177,659):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_13':0.0},(177,658):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0},(177,657):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(177,656):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(177,655):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(177,654):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(177,653):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(177,652):{'3_1':0.24,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(177,651):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'7_4':0.0,'7_6':0.0},(177,650):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(177,649):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'8_19':0.0,'-3':0.0},(177,648):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(177,647):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(177,646):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(177,645):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0},(177,644):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'8_15':0.0,'8_19':0.0},(177,643):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(177,642):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(177,641):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(177,640):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(177,639):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(177,638):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0},(177,637):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0},(177,636):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(177,635):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(177,634):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(177,633):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(177,632):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_4':0.0,'7_7':0.0},(177,631):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(177,630):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(177,629):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(177,628):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(177,627):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'-3':0.0},(177,626):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(177,625):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(177,624):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_7':0.0},(177,623):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_2':0.0},(177,622):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(177,621):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(177,620):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(177,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(177,618):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(177,617):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'3_1#5_1':0.0,'1':-0.03},(177,616):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(177,615):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(177,614):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(177,613):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(177,612):{'3_1':0.09,'7_3':0.0,'4_1':0.0,'7_1':0.0},(177,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(177,610):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(177,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(177,608):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(177,607):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(177,606):{'5_2':0.06,'3_1':0.03,'5_1':0.03},(177,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(177,604):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(177,603):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(177,602):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0},(177,601):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(177,600):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(177,599):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'-3':0.0},(177,598):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0},(177,597):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(177,596):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(177,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(177,594):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(177,593):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(177,592):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(177,591):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(177,590):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(177,589):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(177,588):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(177,587):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(177,586):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(177,585):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(177,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,583):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(177,582):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0},(177,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(177,580):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(177,579):{'3_1':0.12,'8_6':0.0},(177,578):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(177,577):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(177,576):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,575):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(177,574):{'3_1':0.09},(177,573):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(177,572):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(177,571):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(177,570):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(177,569):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(177,568):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(177,567):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(177,566):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(177,565):{'3_1':0.12,'4_1':0.0},(177,564):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(177,563):{'3_1':0.12},(177,562):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(177,561):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(177,560):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(177,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(177,558):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(177,557):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(177,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(177,555):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(177,554):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0},(177,553):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(177,552):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(177,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(177,550):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(177,549):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(177,548):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(177,547):{'3_1':0.15,'4_1':0.0},(177,546):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(177,545):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(177,544):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(177,543):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_1':0.0},(177,542):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(177,541):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(177,540):{'3_1':0.18,'5_1':0.0},(177,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(177,538):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(177,537):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(177,536):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_3':0.0},(177,535):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(177,534):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(177,533):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(177,532):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(177,531):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(177,530):{'3_1':0.15},(177,529):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(177,528):{'3_1':0.18,'4_1':0.0},(177,527):{'3_1':0.15},(177,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(177,525):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(177,524):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(177,523):{'3_1':0.12,'4_1':0.0},(177,522):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_3':0.0},(177,521):{'3_1':0.06},(177,520):{'3_1':0.12},(177,519):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(177,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(177,517):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(177,516):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(177,515):{'3_1':0.12},(177,514):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,513):{'3_1':0.06},(177,512):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(177,511):{'3_1':0.06,'4_1':0.0},(177,510):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(177,509):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(177,508):{'3_1':0.03,'5_2':0.0},(177,507):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(177,506):{'3_1':0.06,'5_1':0.0},(177,505):{'3_1':0.03,'4_1':0.0},(177,504):{'3_1':0.03,'5_1':0.0},(177,503):{'3_1':0.06},(177,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(177,501):{'3_1':0.03,'4_1':0.0},(177,500):{'3_1':0.06},(177,499):{'3_1':0.09,'5_1':0.0},(177,498):{'3_1':0.03,'5_2':0.0},(177,497):{'3_1':0.03},(177,496):{'3_1':0.03,'4_1':0.0},(177,495):{'3_1':0.0,'4_1':0.0},(177,494):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(177,493):{'3_1':0.06},(177,492):{'3_1':0.06},(177,491):{'3_1':0.03},(177,490):{'3_1':0.06,'5_2':0.0},(177,489):{'3_1':0.12},(177,488):{'3_1':0.06},(177,487):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(177,486):{'3_1':0.12},(177,485):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(177,484):{'3_1':0.03,'5_1':0.0},(177,483):{'3_1':0.09},(177,482):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(177,481):{'3_1':0.15,'5_1':0.0},(177,480):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(177,479):{'3_1':0.06},(177,478):{'3_1':0.09,'7_1':0.0,'5_2':0.0},(177,477):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(177,476):{'3_1':0.12,'4_1':0.0},(177,475):{'3_1':0.06},(177,474):{'3_1':0.06,'5_1':0.0},(177,473):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(177,472):{'3_1':0.09,'5_1':0.0},(177,471):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,470):{'3_1':0.03},(177,469):{'3_1':0.03},(177,468):{'3_1':0.06},(177,467):{'3_1':0.0,'4_1':0.0},(177,466):{'3_1':0.06,'4_1':0.0},(177,465):{'3_1':0.06},(177,464):{'3_1':0.06},(177,463):{'3_1':0.03,'4_1':0.0},(177,462):{'3_1':0.0,'4_1':0.0},(177,461):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(177,460):{'3_1':0.03},(177,459):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(177,458):{'3_1':0.0,'5_1':0.0},(177,457):{'4_1':0.0,'6_1':0.0},(177,456):{'3_1':0.03,'4_1':0.0},(177,455):{'3_1':0.03},(177,454):{'3_1':0.03},(177,453):{'3_1':0.03},(177,452):{'3_1':0.03,'5_1':0.0},(177,451):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(177,450):{'3_1':0.0,'5_1':0.0},(177,449):{'3_1':0.03},(177,448):{'3_1':0.03},(177,447):{'3_1':0.03,'4_1':0.0},(177,446):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(177,445):{'3_1':0.03},(177,444):{'3_1':0.03},(177,443):{'3_1':0.0,'4_1':0.0},(177,442):{'3_1':0.03},(177,441):{'3_1':0.03},(177,440):{'3_1':0.03},(177,439):{'3_1':0.0},(177,438):{'3_1':0.03},(177,437):{'3_1':0.06},(177,436):{'3_1':0.0},(177,435):{'3_1':0.0,'5_1':0.0},(177,434):{'3_1':0.03},(177,433):{'3_1':0.0},(177,432):{'3_1':0.03,'4_1':0.0},(177,431):{'3_1':0.0},(177,430):{'3_1':0.06},(177,429):{'3_1':0.03,'4_1':0.0},(177,428):{'3_1':0.06,'4_1':0.0},(177,427):{'3_1':0.03},(177,426):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(177,425):{'3_1':0.0},(177,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(177,423):{'3_1':0.03,'5_2':0.0},(177,422):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(177,421):{'3_1':0.03,'4_1':0.0},(177,420):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(177,419):{'3_1':0.0,'4_1':0.0},(177,418):{'3_1':0.0,'4_1':0.0},(177,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(177,416):{'3_1':0.06,'4_1':0.0},(177,415):{'3_1':0.0,'4_1':0.0},(177,414):{'3_1':0.0,'4_1':0.0},(177,413):{'3_1':0.03,'5_2':0.0},(177,412):{'3_1':0.0,'4_1':0.0},(177,411):{'3_1':0.03},(177,410):{'3_1':0.03,'4_1':0.0},(177,409):{'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(177,408):{'3_1':0.0,'5_1':0.0},(177,407):{'3_1':0.0},(177,406):{'3_1':0.0,'5_2':0.0},(177,405):{'3_1':0.06},(177,404):{'3_1':0.0},(177,403):{'3_1':0.03},(177,402):{'3_1':0.0},(177,401):{'3_1':0.0},(177,399):{'3_1':0.03},(177,398):{'3_1':0.03},(177,397):{'3_1':0.03},(177,396):{'3_1':0.0},(177,395):{'3_1':0.0},(177,393):{'3_1':0.0},(177,392):{'3_1':0.0},(177,391):{'3_1':0.0},(177,390):{'3_1':0.0},(177,389):{'3_1':0.0},(177,388):{'3_1':0.0},(177,387):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(177,386):{'3_1':0.0},(177,384):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(177,383):{'3_1':0.03,'4_1':0.0},(177,382):{'3_1':0.03},(177,381):{'3_1':0.0},(177,380):{'3_1':0.03,'5_1':0.0},(177,379):{'3_1':0.03},(177,378):{'3_1':0.0,'4_1':0.0},(177,377):{'3_1':0.03,'5_1':0.0},(177,376):{'3_1':0.0},(177,375):{'3_1':0.0,'5_1':0.0},(177,374):{'3_1':0.06},(177,373):{'3_1':0.0,'5_1':0.0},(177,372):{'3_1':0.03},(177,371):{'3_1':0.0},(177,370):{'3_1':0.03,'5_1':0.0},(177,369):{'3_1':0.0,'5_1':0.0},(177,368):{'3_1':0.03},(177,367):{'3_1':0.03},(177,366):{'3_1':0.03,'4_1':0.0},(177,365):{'3_1':0.0},(177,364):{'3_1':0.0},(177,363):{'3_1':0.0,'7_1':0.0},(177,362):{'3_1':0.0},(177,361):{'3_1':0.0},(177,360):{'3_1':0.0,'4_1':0.0},(177,359):{'3_1':0.0,'4_1':0.0},(177,358):{'3_1':0.0},(177,357):{'3_1':0.0},(177,356):{'3_1':0.03},(177,355):{'3_1':0.0},(177,354):{'3_1':0.0},(177,353):{'3_1':0.03},(177,352):{'3_1':0.0},(177,351):{'3_1':0.03},(177,350):{'3_1':0.0},(177,349):{'3_1':0.03},(177,348):{'3_1':0.0},(177,347):{'3_1':0.0},(177,346):{'3_1':0.0},(177,345):{'3_1':0.0},(177,344):{'3_1':0.0},(177,343):{'3_1':0.0},(177,341):{'3_1':0.0},(177,340):{'3_1':0.0,'5_1':0.0},(177,339):{'3_1':0.0},(177,338):{'3_1':0.0},(177,337):{'3_1':0.0},(177,336):{'3_1':0.0},(177,335):{'3_1':0.0},(177,334):{'3_1':0.0},(177,333):{'3_1':0.0},(177,331):{'3_1':0.0},(177,330):{'3_1':0.0},(177,329):{'3_1':0.0},(177,327):{'3_1':0.0},(177,326):{'3_1':0.0},(177,324):{'3_1':0.0},(177,322):{'3_1':0.0},(177,320):{'3_1':0.0},(177,319):{'3_1':0.03},(177,318):{'3_1':0.03},(177,316):{'3_1':0.0,'5_1':0.0},(177,315):{'3_1':0.0},(177,314):{'3_1':0.0},(177,313):{'3_1':0.0},(177,311):{'3_1':0.03},(177,310):{'3_1':0.0},(177,309):{'3_1':0.0,'5_1':0.0},(177,308):{'3_1':0.03,'4_1':0.0},(177,307):{'3_1':0.0},(177,306):{'3_1':0.0},(177,305):{'3_1':0.0},(177,304):{'3_1':0.0},(177,303):{'3_1':0.0},(177,302):{'3_1':0.03},(177,301):{'3_1':0.0},(177,299):{'3_1':0.03},(177,298):{'3_1':0.0},(177,297):{'3_1':0.0},(177,296):{'3_1':0.0},(177,295):{'3_1':0.0},(177,293):{'3_1':0.0},(177,292):{'3_1':0.0,'4_1':0.0},(177,291):{'3_1':0.0},(177,290):{'3_1':0.0},(177,289):{'3_1':0.0},(177,288):{'3_1':0.0},(177,285):{'3_1':0.0},(177,284):{'3_1':0.0},(177,283):{'3_1':0.0},(177,273):{'3_1':0.0},(177,272):{'3_1':0.0},(177,271):{'3_1':0.0},(177,270):{'3_1':0.0},(177,267):{'3_1':0.0},(177,265):{'3_1':0.0},(177,260):{'3_1':0.0},(177,256):{'3_1':0.0},(177,248):{'3_1':0.0},(177,247):{'3_1':0.0},(177,244):{'3_1':0.0},(177,242):{'3_1':0.0,'4_1':0.0},(177,241):{'3_1':0.0},(177,240):{'3_1':0.0,'5_2':0.0},(177,236):{'5_2':0.0},(177,235):{'3_1':0.0},(177,233):{'3_1':0.0},(177,229):{'3_1':0.0},(177,220):{'3_1':0.0},(177,191):{'3_1':0.0},(177,190):{'3_1':0.0},(177,189):{'3_1':0.0},(177,188):{'3_1':0.0},(177,186):{'3_1':0.0},(177,185):{'3_1':0.0},(177,183):{'3_1':0.0},(178,727):{'5_2':0.12,'3_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0},(178,726):{'5_2':0.09,'6_1':0.03,'7_2':0.03,'4_1':0.03,'-3':0.03,'8_4':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(178,725):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(178,724):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(178,723):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_4':0.0},(178,722):{'5_2':0.09,'7_2':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(178,721):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_4':0.0},(178,720):{'5_2':0.12,'7_2':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(178,719):{'5_2':0.12,'7_2':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0},(178,718):{'5_2':0.27,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(178,717):{'5_2':0.15,'7_2':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0,'1':-0.03},(178,716):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_6':0.0,'-3':0.0,'8_4':0.0,'8_6':0.0},(178,715):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(178,714):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'6_1':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0,'3_1#5_2':0.0},(178,713):{'5_2':0.15,'-3':0.06,'4_1':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_1':0.0},(178,712):{'5_2':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(178,711):{'5_2':0.12,'3_1':0.09,'7_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(178,710):{'3_1':0.06,'5_2':0.06,'-3':0.06,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(178,709):{'3_1':0.12,'7_5':0.09,'-3':0.09,'5_2':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_6':0.0,'2':-0.03},(178,708):{'5_2':0.12,'7_2':0.09,'3_1':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(178,707):{'5_2':0.15,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0},(178,706):{'5_2':0.09,'6_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(178,705):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'1':-0.03},(178,704):{'5_2':0.15,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(178,703):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(178,702):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0,'3_1#5_2':0.0},(178,701):{'5_2':0.12,'3_1':0.03,'7_2':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(178,700):{'5_2':0.15,'4_1':0.09,'-3':0.06,'7_4':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(178,699):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(178,698):{'3_1':0.06,'5_2':0.03,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(178,697):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_5':0.0,'7_6':0.0},(178,696):{'5_2':0.18,'7_2':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0,'8_8':0.0,'-3':0.0},(178,695):{'5_2':0.09,'4_1':0.06,'7_2':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(178,694):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0},(178,693):{'5_2':0.21,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(178,692):{'5_2':0.09,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(178,691):{'5_2':0.12,'7_2':0.06,'4_1':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(178,690):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(178,689):{'5_2':0.12,'7_2':0.06,'4_1':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'8_3':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(178,688):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(178,687):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(178,686):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'7_4':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0,'7_5':0.0,'8_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(178,685):{'5_2':0.18,'3_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_12':0.0,'8_13':0.0,'-3':0.0},(178,684):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'-3':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_14':0.0},(178,683):{'5_2':0.21,'3_1':0.09,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(178,682):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(178,681):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(178,680):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(178,679):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(178,678):{'5_2':0.18,'3_1':0.09,'-3':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_15':0.0,'3_1#5_2':0.0},(178,677):{'5_2':0.12,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(178,676):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(178,675):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(178,674):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(178,673):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(178,672):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(178,671):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0},(178,670):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(178,669):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(178,668):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_3':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0},(178,667):{'3_1':0.18,'5_2':0.15,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_7':0.0},(178,666):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(178,665):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0},(178,664):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(178,663):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0},(178,662):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(178,661):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(178,660):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(178,659):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_13':0.0},(178,658):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(178,657):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(178,656):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0},(178,655):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'7_5':0.0},(178,654):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'7_7':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(178,653):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(178,652):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'6_1':0.0,'7_3':0.0},(178,651):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(178,650):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(178,649):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(178,648):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(178,647):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_7':0.0,'8_19':0.0,'-3':0.0},(178,646):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(178,645):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0},(178,644):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(178,643):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(178,642):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(178,641):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0},(178,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(178,639):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,638):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(178,637):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'7_1':0.0,'-3':0.0},(178,636):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(178,635):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,634):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(178,633):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(178,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(178,631):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(178,630):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(178,629):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_5':0.0},(178,628):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(178,627):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(178,626):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(178,625):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(178,624):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(178,623):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(178,622):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_2':0.0},(178,621):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(178,620):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(178,619):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(178,618):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(178,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(178,616):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(178,615):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(178,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(178,613):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(178,612):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(178,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(178,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(178,609):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(178,608):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_14':0.0},(178,607):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(178,606):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(178,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,604):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(178,603):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(178,602):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_2':0.0},(178,601):{'3_1':0.09},(178,600):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,599):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,598):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_19':0.0},(178,597):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(178,595):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(178,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(178,593):{'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(178,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,591):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(178,590):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(178,589):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(178,588):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(178,587):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(178,586):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(178,585):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(178,584):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(178,583):{'3_1':0.09},(178,582):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(178,581):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(178,580):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(178,579):{'3_1':0.09,'4_1':0.03},(178,578):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(178,577):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(178,576):{'3_1':0.18,'4_1':0.03},(178,575):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(178,574):{'3_1':0.15,'4_1':0.0},(178,573):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(178,572):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(178,571):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(178,570):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(178,569):{'3_1':0.09},(178,568):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(178,566):{'3_1':0.09,'4_1':0.0},(178,565):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(178,564):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,563):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(178,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(178,561):{'3_1':0.12},(178,560):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(178,559):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(178,558):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,557):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(178,556):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(178,555):{'3_1':0.12,'4_1':0.0},(178,554):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(178,553):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'6_3':0.0},(178,552):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,551):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,550):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(178,549):{'3_1':0.15,'5_1':0.0},(178,548):{'3_1':0.12},(178,547):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_2':0.0},(178,546):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(178,545):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(178,544):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(178,543):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(178,542):{'3_1':0.24,'6_2':0.0,'7_3':0.0},(178,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(178,540):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(178,539):{'3_1':0.24,'5_2':0.0},(178,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(178,537):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(178,536):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(178,535):{'3_1':0.15,'5_2':0.0,'6_2':0.0,'5_1':0.0},(178,534):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_5':0.0},(178,533):{'3_1':0.18,'5_1':0.0},(178,532):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,531):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_5':0.0},(178,530):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(178,529):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(178,528):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(178,527):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(178,526):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(178,525):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(178,524):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(178,523):{'3_1':0.12,'4_1':0.0},(178,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(178,521):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(178,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(178,519):{'3_1':0.12,'5_2':0.0},(178,518):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(178,517):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(178,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(178,515):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(178,514):{'3_1':0.03,'5_2':0.0},(178,513):{'3_1':0.09,'5_1':0.0},(178,512):{'3_1':0.06,'4_1':0.0},(178,511):{'3_1':0.06},(178,510):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(178,509):{'3_1':0.0},(178,508):{'3_1':0.06,'5_2':0.0},(178,507):{'3_1':0.03},(178,506):{'3_1':0.0,'4_1':0.0},(178,505):{'3_1':0.03,'4_1':0.0},(178,504):{'3_1':0.03},(178,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(178,502):{'3_1':0.03},(178,501):{'3_1':0.06,'4_1':0.0},(178,500):{'3_1':0.0,'4_1':0.0},(178,499):{'3_1':0.06},(178,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(178,497):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(178,496):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(178,495):{'3_1':0.03},(178,494):{'3_1':0.03},(178,493):{'3_1':0.09,'4_1':0.0},(178,492):{'3_1':0.06},(178,491):{'3_1':0.06,'5_1':0.0},(178,490):{'3_1':0.03,'4_1':0.0},(178,489):{'3_1':0.03,'4_1':0.0},(178,488):{'3_1':0.06},(178,487):{'3_1':0.09,'4_1':0.0},(178,486):{'3_1':0.06},(178,485):{'3_1':0.09},(178,484):{'3_1':0.09},(178,483):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(178,482):{'3_1':0.06,'5_1':0.0},(178,481):{'3_1':0.06},(178,480):{'3_1':0.06,'5_2':0.0},(178,479):{'3_1':0.12,'5_2':0.0},(178,478):{'3_1':0.03,'4_1':0.0,'-3':0.0},(178,477):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,476):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(178,475):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(178,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(178,473):{'3_1':0.06,'4_1':0.0},(178,472):{'3_1':0.09,'4_1':0.0},(178,471):{'3_1':0.06,'5_1':0.0},(178,470):{'3_1':0.06},(178,469):{'3_1':0.03},(178,468):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(178,467):{'3_1':0.06,'4_1':0.0},(178,466):{'3_1':0.0},(178,465):{'3_1':0.03,'5_1':0.0},(178,464):{'3_1':0.03,'4_1':0.0},(178,463):{'3_1':0.06},(178,462):{'3_1':0.03,'5_2':0.0},(178,461):{'3_1':0.0},(178,460):{'3_1':0.03},(178,459):{'3_1':0.06,'6_3':0.0},(178,458):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(178,457):{'3_1':0.03,'5_2':0.0},(178,456):{'3_1':0.06},(178,455):{'3_1':0.06,'4_1':0.0},(178,454):{'3_1':0.0,'4_1':0.0},(178,453):{'3_1':0.06},(178,452):{'3_1':0.0},(178,451):{'3_1':0.06,'4_1':0.0},(178,450):{'3_1':0.0,'4_1':0.0},(178,449):{'3_1':0.0,'4_1':0.0},(178,448):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(178,447):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(178,446):{'3_1':0.0,'4_1':0.0},(178,445):{'3_1':0.06,'5_1':0.0},(178,444):{'3_1':0.03},(178,443):{'3_1':0.03,'4_1':0.0},(178,442):{'3_1':0.03},(178,441):{'3_1':0.0},(178,440):{'3_1':0.0,'4_1':0.0},(178,439):{'3_1':0.03},(178,438):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(178,437):{'3_1':0.0},(178,436):{'3_1':0.0,'5_2':0.0},(178,435):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(178,434):{'3_1':0.0,'5_2':0.0},(178,433):{'3_1':0.0},(178,432):{'3_1':0.03},(178,431):{'3_1':0.03},(178,430):{'3_1':0.03,'5_1':0.0},(178,429):{'3_1':0.0,'5_1':0.0},(178,428):{'3_1':0.12},(178,427):{'3_1':0.03},(178,426):{'3_1':0.06,'4_1':0.0},(178,425):{'3_1':0.03},(178,424):{'3_1':0.03,'4_1':0.0},(178,423):{'3_1':0.03,'4_1':0.0},(178,422):{'3_1':0.0,'4_1':0.0},(178,421):{'3_1':0.03,'4_1':0.0},(178,420):{'3_1':0.0,'4_1':0.0},(178,419):{'3_1':0.03,'4_1':0.0},(178,418):{'3_1':0.03,'4_1':0.0},(178,417):{'3_1':0.03},(178,416):{'3_1':0.03,'5_2':0.0},(178,415):{'3_1':0.0},(178,414):{'3_1':0.03},(178,413):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(178,412):{'3_1':0.0,'6_1':0.0},(178,411):{'3_1':0.0,'5_2':0.0},(178,410):{'3_1':0.03,'7_1':0.0},(178,409):{'3_1':0.0},(178,408):{'3_1':0.0,'7_4':0.0},(178,407):{'3_1':0.0},(178,406):{'3_1':0.0},(178,405):{'3_1':0.0},(178,404):{'3_1':0.0},(178,403):{'3_1':0.0},(178,402):{'3_1':0.0,'4_1':0.0},(178,401):{'3_1':0.0},(178,400):{'3_1':0.03},(178,399):{'3_1':0.0,'7_2':0.0},(178,398):{'3_1':0.0},(178,397):{'3_1':0.0,'5_1':0.0},(178,396):{'3_1':0.03},(178,395):{'3_1':0.0},(178,394):{'3_1':0.0},(178,393):{'3_1':0.0},(178,392):{'3_1':0.0},(178,391):{'3_1':0.0},(178,390):{'3_1':0.0},(178,389):{'3_1':0.0},(178,387):{'3_1':0.0,'4_1':0.0},(178,386):{'3_1':0.03},(178,385):{'3_1':0.03,'5_1':0.0},(178,383):{'3_1':0.06,'4_1':0.0},(178,382):{'3_1':0.03,'5_1':0.0},(178,381):{'3_1':0.0},(178,380):{'3_1':0.03},(178,379):{'3_1':0.0},(178,378):{'3_1':0.06},(178,377):{'3_1':0.0,'5_1':0.0},(178,376):{'3_1':0.06},(178,375):{'3_1':0.03},(178,374):{'3_1':0.0,'7_1':0.0},(178,373):{'3_1':0.03},(178,372):{'3_1':0.0},(178,371):{'3_1':0.06,'5_1':0.0},(178,370):{'3_1':0.0},(178,369):{'3_1':0.03,'5_1':0.0},(178,368):{'3_1':0.0,'9_1':0.0},(178,367):{'3_1':0.0},(178,366):{'3_1':0.03},(178,365):{'3_1':0.0},(178,364):{'3_1':0.0},(178,363):{'3_1':0.0},(178,362):{'3_1':0.03},(178,361):{'3_1':0.03},(178,360):{'3_1':0.0,'-3':0.0},(178,359):{'3_1':0.0,'4_1':0.0},(178,358):{'3_1':0.06},(178,357):{'3_1':0.0},(178,356):{'3_1':0.0},(178,355):{'3_1':0.0},(178,354):{'3_1':0.0},(178,353):{'3_1':0.0},(178,352):{'3_1':0.03,'4_1':0.0},(178,351):{'3_1':0.0},(178,350):{'3_1':0.03},(178,349):{'3_1':0.0},(178,348):{'3_1':0.0},(178,345):{'3_1':0.0},(178,344):{'3_1':0.0},(178,343):{'3_1':0.03},(178,342):{'3_1':0.0},(178,341):{'3_1':0.0,'7_1':0.0},(178,340):{'3_1':0.0,'5_1':0.0},(178,338):{'3_1':0.0},(178,337):{'3_1':0.0},(178,336):{'3_1':0.0},(178,335):{'3_1':0.0},(178,334):{'3_1':0.06},(178,333):{'3_1':0.03},(178,332):{'3_1':0.0},(178,331):{'3_1':0.0},(178,329):{'3_1':0.0},(178,328):{'3_1':0.0,'4_1':0.0},(178,326):{'3_1':0.0,'5_1':0.0},(178,325):{'3_1':0.0},(178,324):{'3_1':0.0},(178,323):{'3_1':0.0},(178,322):{'3_1':0.0},(178,321):{'3_1':0.0},(178,318):{'3_1':0.0},(178,316):{'3_1':0.0},(178,315):{'3_1':0.0},(178,314):{'3_1':0.03},(178,313):{'3_1':0.0},(178,312):{'3_1':0.0},(178,311):{'3_1':0.0},(178,310):{'3_1':0.0},(178,309):{'3_1':0.0},(178,308):{'3_1':0.0},(178,307):{'3_1':0.0},(178,306):{'3_1':0.0},(178,305):{'3_1':0.0},(178,304):{'3_1':0.03},(178,303):{'3_1':0.0},(178,302):{'3_1':0.0},(178,301):{'3_1':0.0},(178,300):{'3_1':0.0},(178,298):{'3_1':0.0},(178,297):{'3_1':0.0},(178,294):{'3_1':0.0},(178,293):{'3_1':0.0,'5_1':0.0},(178,292):{'3_1':0.0},(178,291):{'3_1':0.0},(178,290):{'3_1':0.0},(178,289):{'3_1':0.0},(178,286):{'3_1':0.0},(178,285):{'3_1':0.0},(178,283):{'3_1':0.0},(178,282):{'3_1':0.0},(178,281):{'3_1':0.0},(178,280):{'3_1':0.0},(178,278):{'3_1':0.0},(178,274):{'3_1':0.0},(178,271):{'3_1':0.0},(178,270):{'3_1':0.0},(178,266):{'3_1':0.0},(178,264):{'3_1':0.0},(178,254):{'3_1':0.0},(178,253):{'3_1':0.0},(178,251):{'3_1':0.0},(178,237):{'3_1':0.0},(178,235):{'3_1':0.0},(178,232):{'3_1':0.0},(178,230):{'3_1':0.0},(178,228):{'3_1':0.0},(178,221):{'3_1':0.0},(178,215):{'3_1':0.0},(178,208):{'3_1':0.0},(178,196):{'3_1':0.0},(178,195):{'3_1':0.0},(178,192):{'3_1':0.0},(178,190):{'3_1':0.0},(179,727):{'5_2':0.18,'4_1':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(179,726):{'5_2':0.12,'3_1':0.03,'7_2':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(179,725):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(179,724):{'5_2':0.12,'4_1':0.06,'7_2':0.03,'6_1':0.03,'8_3':0.0,'-3':0.0,'3_1':0.0},(179,723):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(179,722):{'5_2':0.09,'6_1':0.06,'7_2':0.06,'4_1':0.03,'3_1':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(179,721):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0},(179,720):{'5_2':0.15,'6_1':0.06,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(179,719):{'5_2':0.12,'6_1':0.12,'7_2':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0},(179,718):{'5_2':0.15,'6_1':0.09,'7_2':0.03,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0},(179,717):{'5_2':0.12,'6_1':0.12,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(179,716):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0},(179,715):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(179,714):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(179,713):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'-3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0},(179,712):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0},(179,711):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'7_5':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(179,710):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(179,709):{'5_2':0.06,'7_5':0.06,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'8_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0,'1':-0.03},(179,708):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'6_2':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(179,707):{'5_2':0.06,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_4':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'8_15':0.0,'3_1#5_2':0.0},(179,706):{'5_2':0.12,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0},(179,705):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0},(179,704):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(179,703):{'5_2':0.12,'7_3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0},(179,702):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(179,701):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_15':0.0,'3_1#5_2':0.0},(179,700):{'5_2':0.21,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(179,699):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(179,698):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'-3':0.0},(179,697):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'3_1#5_2':0.0},(179,696):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'7_3':0.0,'1':-0.03},(179,695):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(179,694):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(179,693):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'7_7':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(179,692):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'3_1#5_2':0.0,'2':-0.03},(179,691):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_2':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(179,690):{'5_2':0.09,'3_1':0.06,'7_2':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'1':-0.03},(179,689):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(179,688):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(179,687):{'5_2':0.18,'3_1':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_7':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(179,686):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_15':0.0,'1':-0.03},(179,685):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'8_1':0.0,'8_2':0.0,'-3':0.0},(179,684):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_2':0.0,'-3':0.0},(179,683):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(179,682):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(179,681):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(179,680):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(179,679):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(179,678):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_7':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(179,677):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(179,676):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(179,675):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(179,674):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'9_1':0.0},(179,673):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(179,672):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'1':-0.03},(179,671):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_5':0.0,'8_12':0.0},(179,670):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(179,669):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(179,668):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(179,667):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(179,666):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0},(179,665):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(179,664):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_7':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(179,663):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(179,662):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(179,661):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0},(179,660):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(179,659):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(179,658):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'6_1':0.0,'7_2':0.0,'7_7':0.0},(179,657):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(179,656):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0},(179,655):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(179,654):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(179,653):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(179,652):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_7':0.0},(179,651):{'3_1':0.18,'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_7':0.0,'7_3':0.0},(179,650):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(179,649):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(179,648):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(179,647):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(179,646):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(179,645):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(179,644):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0,'7_3':0.0,'8_19':0.0},(179,643):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0,'8_19':0.0,'-3':0.0},(179,642):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(179,641):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(179,640):{'3_1':0.27,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(179,639):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(179,638):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0},(179,637):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(179,636):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(179,635):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(179,634):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(179,633):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,632):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(179,631):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'8_10':0.0},(179,630):{'3_1':0.06,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(179,629):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(179,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(179,627):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(179,626):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(179,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(179,624):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(179,623):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(179,622):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(179,621):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(179,620):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0},(179,619):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(179,618):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'7_5':0.0,'8_6':0.0},(179,617):{'3_1':0.09,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(179,616):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(179,615):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_7':0.0,'8_19':0.0},(179,614):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(179,613):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(179,612):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(179,611):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(179,610):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(179,609):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(179,608):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(179,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(179,606):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0},(179,605):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(179,604):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(179,603):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(179,602):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_3':0.0},(179,601):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,600):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(179,599):{'3_1':0.03,'6_2':0.0,'7_1':0.0},(179,598):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(179,597):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(179,596):{'3_1':0.09,'4_1':0.0},(179,595):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,594):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(179,593):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_4':0.0},(179,592):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(179,591):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(179,590):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(179,589):{'3_1':0.09,'6_1':0.0},(179,588):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(179,587):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(179,586):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(179,585):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(179,584):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(179,583):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(179,582):{'3_1':0.09,'4_1':0.0},(179,581):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,580):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(179,579):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(179,578):{'3_1':0.09,'4_1':0.0},(179,577):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(179,576):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,575):{'3_1':0.09,'4_1':0.0},(179,574):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(179,573):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(179,572):{'3_1':0.09,'4_1':0.0},(179,571):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(179,570):{'3_1':0.15,'4_1':0.03},(179,569):{'3_1':0.12,'4_1':0.0},(179,568):{'3_1':0.06,'4_1':0.0},(179,567):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(179,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(179,565):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(179,564):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(179,563):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,562):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(179,561):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(179,560):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(179,559):{'3_1':0.18,'5_1':0.0},(179,558):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(179,557):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(179,556):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(179,555):{'3_1':0.15},(179,554):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(179,553):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(179,552):{'3_1':0.18,'4_1':0.0},(179,551):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(179,550):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(179,549):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(179,548):{'3_1':0.18,'5_1':0.0},(179,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(179,546):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(179,545):{'3_1':0.15,'5_2':0.0},(179,544):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(179,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(179,542):{'3_1':0.21,'5_1':0.0},(179,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(179,540):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(179,539):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(179,538):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(179,537):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(179,536):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,535):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(179,534):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(179,533):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(179,532):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(179,531):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(179,530):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,529):{'3_1':0.09},(179,528):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,527):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(179,526):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(179,525):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(179,524):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(179,523):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(179,521):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(179,520):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(179,519):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(179,518):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(179,517):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(179,516):{'3_1':0.12,'4_1':0.0},(179,515):{'3_1':0.06,'5_2':0.0},(179,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(179,513):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(179,512):{'3_1':0.09,'5_2':0.0},(179,511):{'3_1':0.06},(179,510):{'3_1':0.03},(179,509):{'3_1':0.06,'5_1':0.0},(179,508):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(179,507):{'3_1':0.03,'5_2':0.0},(179,506):{'3_1':0.0},(179,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,504):{'3_1':0.06,'5_1':0.0},(179,503):{'3_1':0.06},(179,502):{'3_1':0.06,'4_1':0.0},(179,501):{'3_1':0.0,'4_1':0.0},(179,500):{'3_1':0.03},(179,499):{'3_1':0.06,'4_1':0.0},(179,498):{'3_1':0.03},(179,497):{'3_1':0.06},(179,496):{'3_1':0.03},(179,495):{'3_1':0.03,'5_1':0.0},(179,494):{'3_1':0.09,'8_20|3_1#3_1':0.0},(179,493):{'3_1':0.06,'4_1':0.0},(179,492):{'3_1':0.03},(179,491):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(179,490):{'3_1':0.03,'4_1':0.0},(179,489):{'3_1':0.03,'4_1':0.0},(179,488):{'3_1':0.06},(179,487):{'3_1':0.12},(179,486):{'3_1':0.06,'4_1':0.0},(179,485):{'3_1':0.12,'4_1':0.0},(179,484):{'3_1':0.06},(179,483):{'3_1':0.06},(179,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'9_1':0.0},(179,481):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(179,480):{'3_1':0.09,'4_1':0.0},(179,479):{'3_1':0.12},(179,478):{'3_1':0.12,'7_1':0.0},(179,477):{'3_1':0.06},(179,476):{'3_1':0.06},(179,475):{'3_1':0.09},(179,474):{'3_1':0.12},(179,473):{'3_1':0.06,'5_1':0.0},(179,472):{'3_1':0.09},(179,471):{'3_1':0.06,'4_1':0.0},(179,470):{'3_1':0.06},(179,469):{'3_1':0.0,'5_1':0.0},(179,468):{'3_1':0.03,'4_1':0.0},(179,467):{'3_1':0.09,'4_1':0.0},(179,466):{'3_1':0.03},(179,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,464):{'3_1':0.0},(179,463):{'3_1':0.03},(179,462):{'3_1':0.03,'4_1':0.0},(179,461):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(179,460):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(179,459):{'3_1':0.09,'4_1':0.0},(179,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(179,457):{'3_1':0.0,'5_1':0.0},(179,456):{'3_1':0.03,'4_1':0.0},(179,455):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(179,454):{'3_1':0.03,'5_1':0.0},(179,453):{'3_1':0.0},(179,452):{'3_1':0.0,'4_1':0.0},(179,451):{'3_1':0.03},(179,450):{'3_1':0.06,'5_1':0.0},(179,449):{'3_1':0.0,'4_1':0.0},(179,448):{'3_1':0.0,'5_1':0.0},(179,447):{'3_1':0.0,'5_2':0.0,'8_6':0.0},(179,446):{'3_1':0.0,'5_2':0.0},(179,445):{'3_1':0.03,'5_1':0.0},(179,444):{'3_1':0.03,'5_1':0.0},(179,443):{'3_1':0.03},(179,442):{'3_1':0.03,'5_1':0.0},(179,441):{'3_1':0.0},(179,440):{'3_1':0.0},(179,439):{'3_1':0.03,'5_1':0.0},(179,438):{'3_1':0.03,'5_1':0.0},(179,437):{'3_1':0.0},(179,436):{'3_1':0.06},(179,435):{'3_1':0.0},(179,434):{'3_1':0.03},(179,433):{'3_1':0.0},(179,432):{'3_1':0.06},(179,431):{'3_1':0.0},(179,430):{'3_1':0.03},(179,429):{'3_1':0.0,'5_1':0.0},(179,428):{'3_1':0.12,'5_1':0.0},(179,427):{'3_1':0.03},(179,426):{'3_1':0.06,'6_2':0.0},(179,425):{'4_1':0.0},(179,424):{'3_1':0.0,'4_1':0.0},(179,423):{'3_1':0.0,'4_1':0.0},(179,422):{'3_1':0.06,'4_1':0.0},(179,421):{'3_1':0.03,'4_1':0.0},(179,420):{'3_1':0.0},(179,419):{'3_1':0.0,'4_1':0.0},(179,418):{'3_1':0.0,'4_1':0.0},(179,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(179,416):{'3_1':0.03,'4_1':0.0},(179,415):{'3_1':0.03},(179,414):{'3_1':0.0},(179,413):{'3_1':0.0},(179,412):{'3_1':0.0,'4_1':0.0},(179,411):{'3_1':0.0},(179,410):{'3_1':0.0},(179,409):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(179,408):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(179,407):{'3_1':0.0},(179,406):{'3_1':0.0},(179,405):{'3_1':0.0},(179,404):{'3_1':0.0},(179,403):{'3_1':0.0},(179,402):{'3_1':0.0},(179,401):{'3_1':0.0},(179,400):{'3_1':0.03},(179,399):{'3_1':0.0},(179,398):{'3_1':0.0,'5_1':0.0},(179,397):{'3_1':0.0},(179,396):{'3_1':0.0},(179,395):{'3_1':0.0,'4_1':0.0},(179,394):{'3_1':0.0,'4_1':0.0},(179,393):{'3_1':0.0},(179,392):{'3_1':0.0},(179,391):{'3_1':0.0},(179,390):{'3_1':0.03},(179,389):{'3_1':0.03},(179,388):{'3_1':0.0},(179,387):{'3_1':0.0},(179,385):{'3_1':0.0,'5_1':0.0},(179,384):{'3_1':0.0,'4_1':0.0},(179,383):{'3_1':0.03},(179,382):{'3_1':0.03},(179,381):{'3_1':0.03},(179,380):{'3_1':0.03},(179,379):{'3_1':0.03,'5_1':0.0},(179,378):{'3_1':0.0,'5_1':0.0},(179,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(179,376):{'3_1':0.0},(179,375):{'3_1':0.03},(179,374):{'3_1':0.0,'5_1':0.0},(179,373):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(179,372):{'3_1':0.0,'5_1':0.0},(179,371):{'3_1':0.03},(179,370):{'3_1':0.0,'7_1':0.0},(179,369):{'3_1':0.03,'4_1':0.0},(179,368):{'3_1':0.0,'9_1':0.0},(179,367):{'3_1':0.06,'7_1':0.0},(179,366):{'3_1':0.0},(179,365):{'3_1':0.0,'4_1':0.0},(179,364):{'3_1':0.0,'4_1':0.0},(179,363):{'3_1':0.0,'4_1':0.0},(179,362):{'3_1':0.0},(179,361):{'3_1':0.03},(179,360):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(179,359):{'3_1':0.03,'4_1':0.0},(179,358):{'3_1':0.0},(179,357):{'3_1':0.0,'4_1':0.0},(179,356):{'3_1':0.0},(179,355):{'3_1':0.0},(179,354):{'3_1':0.0,'4_1':0.0},(179,353):{'3_1':0.0},(179,352):{'3_1':0.0,'4_1':0.0},(179,351):{'3_1':0.03},(179,350):{'3_1':0.0},(179,349):{'3_1':0.0},(179,348):{'3_1':0.0},(179,347):{'3_1':0.0},(179,346):{'3_1':0.0},(179,345):{'3_1':0.0},(179,344):{'3_1':0.0},(179,343):{'3_1':0.0},(179,342):{'3_1':0.03},(179,341):{'3_1':0.03},(179,340):{'3_1':0.0,'5_1':0.0},(179,339):{'3_1':0.0},(179,338):{'5_2':0.0},(179,337):{'3_1':0.0},(179,336):{'3_1':0.0},(179,335):{'3_1':0.0,'4_1':0.0},(179,333):{'3_1':0.0,'8_1':0.0},(179,332):{'3_1':0.0},(179,331):{'3_1':0.0},(179,330):{'3_1':0.0,'4_1':0.0},(179,329):{'4_1':0.0},(179,328):{'3_1':0.0},(179,327):{'3_1':0.0},(179,326):{'3_1':0.0},(179,324):{'3_1':0.0},(179,323):{'3_1':0.0},(179,322):{'3_1':0.0},(179,321):{'3_1':0.0},(179,320):{'3_1':0.0},(179,318):{'3_1':0.0},(179,316):{'3_1':0.0},(179,315):{'3_1':0.0},(179,314):{'3_1':0.0},(179,313):{'3_1':0.03},(179,312):{'3_1':0.0},(179,311):{'3_1':0.03},(179,310):{'3_1':0.0},(179,308):{'3_1':0.03,'5_1':0.0},(179,307):{'3_1':0.0},(179,306):{'3_1':0.03},(179,305):{'3_1':0.0},(179,304):{'3_1':0.03},(179,303):{'3_1':0.03},(179,302):{'3_1':0.0},(179,301):{'3_1':0.0},(179,300):{'3_1':0.03},(179,299):{'3_1':0.0},(179,298):{'3_1':0.0},(179,297):{'3_1':0.0},(179,296):{'3_1':0.0},(179,295):{'3_1':0.0},(179,294):{'3_1':0.0},(179,293):{'3_1':0.0},(179,291):{'3_1':0.03},(179,288):{'3_1':0.0},(179,286):{'3_1':0.0},(179,285):{'3_1':0.0},(179,283):{'3_1':0.0},(179,282):{'3_1':0.0},(179,281):{'3_1':0.0},(179,267):{'3_1':0.0},(179,264):{'3_1':0.0},(179,263):{'3_1':0.0},(179,260):{'3_1':0.0},(179,259):{'3_1':0.0},(179,258):{'3_1':0.0},(179,255):{'5_2':0.0},(179,254):{'3_1':0.0},(179,249):{'3_1':0.0},(179,242):{'3_1':0.0},(179,239):{'3_1':0.0},(179,230):{'3_1':0.0},(179,228):{'3_1':0.0},(179,216):{'3_1':0.0},(179,211):{'7_2':0.0},(179,208):{'3_1':0.0},(179,192):{'3_1':0.0},(179,189):{'3_1':0.0},(179,188):{'3_1':0.0},(179,184):{'3_1':0.0},(180,727):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_4':0.0,'8_8':0.0},(180,726):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(180,725):{'5_2':0.12,'3_1':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(180,724):{'5_2':0.06,'7_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0},(180,723):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'-3':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(180,722):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.0,'7_3':0.0,'8_3':0.0,'7_5':0.0},(180,721):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(180,720):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(180,719):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'7_2':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(180,718):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_15':0.0},(180,717):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_12':0.0,'-3':0.0},(180,716):{'5_2':0.15,'3_1':0.12,'6_1':0.06,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(180,715):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(180,714):{'5_2':0.12,'3_1':0.06,'7_2':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0,'8_11':0.0,'8_12':0.0,'-3':0.0},(180,713):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'7_2':0.06,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0,'8_11':0.0},(180,712):{'5_2':0.21,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'8_1':0.0},(180,711):{'3_1':0.09,'5_2':0.06,'7_5':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_7':0.0,'8_1':0.0,'-3':0.0},(180,710):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'1':-0.03},(180,709):{'5_2':0.09,'7_5':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'8_10':0.0,'8_11':0.0},(180,708):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'8_15':0.0},(180,707):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_2':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'8_4':0.0,'8_8':0.0,'8_19':0.0},(180,706):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0},(180,705):{'5_2':0.12,'6_1':0.06,'7_2':0.06,'4_1':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_13':0.0},(180,704):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_2':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(180,703):{'5_2':0.24,'3_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(180,702):{'5_2':0.18,'3_1':0.06,'7_2':0.03,'6_1':0.0,'4_1':0.0,'8_3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(180,701):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_3':0.0,'8_4':0.0,'8_15':0.0,'3_1#5_2':0.0},(180,700):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0},(180,699):{'5_2':0.12,'7_2':0.06,'4_1':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(180,698):{'5_2':0.09,'4_1':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'8_3':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0,'3_1#5_2':0.0,'-3':0.0},(180,697):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'8_11':0.0,'3_1#5_2':0.0},(180,696):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0},(180,695):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0},(180,694):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'8_11':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(180,693):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.03,'6_1':0.03,'7_4':0.03,'3_1#5_2':0.0,'-3':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0},(180,692):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'6_2':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(180,691):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0,'1':-0.03},(180,690):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(180,689):{'5_2':0.3,'7_4':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(180,688):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0},(180,687):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_4':0.0},(180,686):{'5_2':0.12,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0},(180,685):{'5_2':0.15,'3_1':0.06,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(180,684):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(180,683):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'8_4':0.0,'3_1#5_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(180,682):{'5_2':0.12,'3_1':0.09,'6_1':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(180,681):{'5_2':0.12,'3_1':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'8_15':0.0},(180,680):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0},(180,679):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(180,678):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(180,677):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(180,676):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(180,675):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(180,674):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0,'-3':0.0},(180,673):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_3':0.03,'7_7':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0,'7_6':0.0},(180,672):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'9_1':0.0},(180,671):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_7':0.0,'7_3':0.0},(180,670):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(180,669):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(180,668):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(180,667):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0},(180,666):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(180,665):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(180,664):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_3':0.0},(180,663):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(180,662):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_2':0.0,'7_7':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(180,661):{'3_1':0.15,'5_2':0.15,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(180,660):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(180,659):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(180,658):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(180,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(180,656):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0},(180,655):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_3':0.0,'7_2':0.0,'7_6':0.0},(180,654):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(180,653):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_4':0.0},(180,652):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(180,651):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(180,650):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(180,649):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(180,648):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(180,647):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(180,646):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'3_1#5_1':0.0},(180,645):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(180,644):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(180,643):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(180,642):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_7':0.0,'8_21|3_1#4_1':0.0},(180,641):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0,'-3':0.0},(180,640):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(180,639):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_7':0.0},(180,638):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_7':0.0},(180,637):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(180,636):{'3_1':0.09,'5_1':0.06,'6_1':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'8_10':0.0,'8_21|3_1#4_1':0.0},(180,635):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0},(180,634):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(180,633):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(180,632):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(180,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_7':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(180,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,629):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(180,628):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(180,627):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(180,626):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,625):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(180,624):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(180,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(180,622):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(180,621):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(180,620):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(180,619):{'3_1':0.15,'7_5':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(180,618):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(180,617):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0,'6_1':0.0,'6_2':0.0},(180,616):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(180,615):{'3_1':0.21,'6_2':0.0,'5_2':0.0,'-3':0.0},(180,614):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'-3':0.0},(180,613):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(180,612):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_11':0.0},(180,611):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(180,610):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0},(180,609):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(180,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(180,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(180,606):{'3_1':0.12,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(180,605):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(180,604):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(180,603):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(180,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,601):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(180,600):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0},(180,599):{'3_1':0.12},(180,598):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,597):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_2':0.0},(180,596):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(180,595):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(180,594):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_2':0.0},(180,593):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_2':0.0},(180,592):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(180,591):{'3_1':0.06,'4_1':0.03},(180,590):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(180,589):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(180,588):{'3_1':0.12},(180,587):{'3_1':0.12,'4_1':0.0},(180,586):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(180,585):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(180,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,582):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(180,581):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(180,580):{'3_1':0.12,'4_1':0.03},(180,579):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(180,578):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(180,577):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(180,576):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(180,575):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(180,574):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(180,573):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(180,572):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(180,571):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(180,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(180,569):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(180,568):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(180,567):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_7':0.0},(180,566):{'3_1':0.15,'4_1':0.0},(180,565):{'3_1':0.09},(180,564):{'3_1':0.09,'4_1':0.0},(180,563):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(180,562):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,561):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(180,560):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(180,559):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(180,558):{'3_1':0.12,'4_1':0.0},(180,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(180,556):{'3_1':0.12,'5_2':0.0},(180,555):{'3_1':0.12,'4_1':0.0},(180,554):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(180,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(180,552):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(180,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(180,550):{'3_1':0.3,'5_2':0.0,'4_1':0.0,'6_3':0.0},(180,549):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,548):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,547):{'3_1':0.18,'4_1':0.0},(180,546):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(180,545):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(180,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,543):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_3':0.0},(180,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(180,541):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0},(180,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(180,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(180,537):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,536):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(180,535):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,534):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(180,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(180,532):{'3_1':0.12,'5_2':0.0},(180,531):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(180,530):{'3_1':0.21,'5_2':0.0},(180,529):{'3_1':0.15,'4_1':0.0},(180,528):{'3_1':0.09},(180,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(180,526):{'3_1':0.09,'5_1':0.0},(180,525):{'3_1':0.06,'4_1':0.0},(180,524):{'3_1':0.15,'4_1':0.0},(180,523):{'3_1':0.06,'4_1':0.0},(180,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(180,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(180,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(180,519):{'3_1':0.09,'4_1':0.0},(180,518):{'3_1':0.12},(180,517):{'3_1':0.03},(180,516):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(180,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(180,514):{'3_1':0.06,'4_1':0.0},(180,513):{'3_1':0.03,'5_1':0.0},(180,512):{'3_1':0.09},(180,511):{'3_1':0.03,'4_1':0.0},(180,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(180,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(180,508):{'3_1':0.06,'4_1':0.0},(180,507):{'3_1':0.03},(180,506):{'3_1':0.06,'4_1':0.03},(180,505):{'3_1':0.06,'4_1':0.0},(180,504):{'3_1':0.06,'4_1':0.0},(180,503):{'3_1':0.03},(180,502):{'3_1':0.0,'4_1':0.0},(180,501):{'3_1':0.06},(180,500):{'3_1':0.06,'4_1':0.0},(180,499):{'3_1':0.03,'4_1':0.0},(180,498):{'3_1':0.0},(180,497):{'3_1':0.03,'4_1':0.0},(180,496):{'3_1':0.0,'4_1':0.0},(180,495):{'3_1':0.03,'4_1':0.0},(180,494):{'3_1':0.06},(180,493):{'3_1':0.03,'4_1':0.0},(180,492):{'3_1':0.03,'4_1':0.0},(180,491):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(180,490):{'3_1':0.09},(180,489):{'3_1':0.06},(180,488):{'3_1':0.06,'5_2':0.0},(180,487):{'3_1':0.03,'4_1':0.0},(180,486):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(180,485):{'3_1':0.06,'5_1':0.0},(180,484):{'3_1':0.06,'5_2':0.0},(180,483):{'3_1':0.09,'7_1':0.0},(180,482):{'3_1':0.06},(180,481):{'3_1':0.03,'4_1':0.0},(180,480):{'3_1':0.06,'4_1':0.0},(180,479):{'3_1':0.12,'4_1':0.0},(180,478):{'3_1':0.09,'5_2':0.0},(180,477):{'3_1':0.09},(180,476):{'3_1':0.06},(180,475):{'3_1':0.12},(180,474):{'3_1':0.09,'4_1':0.0},(180,473):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(180,472):{'3_1':0.03,'4_1':0.0},(180,471):{'3_1':0.03,'4_1':0.0},(180,470):{'3_1':0.03,'5_2':0.0},(180,469):{'3_1':0.03,'7_1':0.0},(180,468):{'3_1':0.06,'4_1':0.0},(180,467):{'3_1':0.03},(180,466):{'3_1':0.03,'5_1':0.0},(180,465):{'4_1':0.0,'3_1':0.0},(180,464):{'3_1':0.06},(180,463):{'3_1':0.06,'4_1':0.0},(180,462):{'5_1':0.0},(180,461):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(180,460):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(180,459):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(180,458):{'3_1':0.0},(180,457):{'3_1':0.0,'4_1':0.0},(180,456):{'3_1':0.03,'4_1':0.0},(180,455):{'3_1':0.03},(180,454):{'3_1':0.0,'4_1':0.0},(180,453):{'3_1':0.06,'4_1':0.0},(180,452):{'3_1':0.03,'4_1':0.0},(180,451):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(180,450):{'3_1':0.0,'4_1':0.0},(180,449):{'3_1':0.03,'5_1':0.0},(180,448):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(180,447):{'3_1':0.03,'5_1':0.0},(180,446):{'3_1':0.06,'4_1':0.0},(180,445):{'3_1':0.06,'4_1':0.0},(180,444):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(180,443):{'3_1':0.03,'5_1':0.0},(180,442):{'3_1':0.0},(180,441):{'3_1':0.09,'4_1':0.0},(180,440):{'3_1':0.03},(180,439):{'3_1':0.03},(180,438):{'3_1':0.0},(180,437):{'3_1':0.03,'4_1':0.0},(180,436):{'3_1':0.06,'5_1':0.0},(180,435):{'3_1':0.0,'5_2':0.0},(180,434):{'3_1':0.03,'5_1':0.0},(180,433):{'3_1':0.0},(180,432):{'3_1':0.03},(180,431):{'3_1':0.03},(180,430):{'3_1':0.03},(180,429):{'3_1':0.0,'4_1':0.0},(180,428):{'3_1':0.0,'4_1':0.0},(180,427):{'3_1':0.03},(180,426):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(180,425):{'3_1':0.06,'4_1':0.0},(180,424):{'3_1':0.03,'4_1':0.0},(180,423):{'3_1':0.06,'4_1':0.0},(180,422):{'3_1':0.03},(180,421):{'3_1':0.03},(180,420):{'3_1':0.03,'4_1':0.0},(180,419):{'3_1':0.03,'4_1':0.0},(180,418):{'3_1':0.0,'4_1':0.0},(180,417):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(180,416):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(180,415):{'3_1':0.0},(180,414):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(180,413):{'3_1':0.0,'7_1':0.0},(180,412):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(180,411):{'3_1':0.0},(180,410):{'3_1':0.0,'7_1':0.0},(180,409):{'3_1':0.0},(180,408):{'3_1':0.0,'4_1':0.0},(180,407):{'3_1':0.0},(180,406):{'3_1':0.0},(180,405):{'3_1':0.0,'7_4':0.0},(180,404):{'3_1':0.06},(180,403):{'3_1':0.03,'4_1':0.0},(180,402):{'3_1':0.0},(180,401):{'3_1':0.0,'4_1':0.0},(180,400):{'3_1':0.03},(180,399):{'3_1':0.03,'4_1':0.0},(180,398):{'3_1':0.0},(180,397):{'3_1':0.0},(180,396):{'3_1':0.0,'5_1':0.0},(180,395):{'3_1':0.0},(180,394):{'3_1':0.0},(180,393):{'3_1':0.03,'4_1':0.0},(180,392):{'3_1':0.0},(180,391):{'3_1':0.0},(180,390):{'3_1':0.0},(180,389):{'3_1':0.03,'4_1':0.0},(180,388):{'3_1':0.03,'5_1':0.0},(180,387):{'3_1':0.0},(180,386):{'3_1':0.03},(180,385):{'3_1':0.0,'7_1':0.0},(180,384):{'3_1':0.03},(180,383):{'3_1':0.06},(180,382):{'3_1':0.03},(180,381):{'3_1':0.0},(180,380):{'3_1':0.03,'5_1':0.0},(180,379):{'3_1':0.03},(180,378):{'3_1':0.0},(180,377):{'3_1':0.0},(180,376):{'3_1':0.03,'7_1':0.0},(180,375):{'3_1':0.03},(180,374):{'3_1':0.03,'4_1':0.0},(180,373):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(180,372):{'3_1':0.0},(180,371):{'3_1':0.03},(180,370):{'3_1':0.03,'4_1':0.0},(180,369):{'3_1':0.03},(180,368):{'3_1':0.03,'4_1':0.0},(180,367):{'3_1':0.03},(180,366):{'3_1':0.0},(180,365):{'3_1':0.0,'5_1':0.0},(180,364):{'3_1':0.0},(180,363):{'3_1':0.0},(180,362):{'3_1':0.0},(180,361):{'3_1':0.03},(180,360):{'3_1':0.0,'7_1':0.0},(180,359):{'3_1':0.0},(180,358):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(180,357):{'3_1':0.0},(180,356):{'3_1':0.0},(180,355):{'3_1':0.03},(180,354):{'3_1':0.0},(180,352):{'3_1':0.03},(180,351):{'3_1':0.0},(180,350):{'3_1':0.0},(180,347):{'3_1':0.0},(180,345):{'3_1':0.03},(180,344):{'3_1':0.0},(180,343):{'3_1':0.0},(180,342):{'3_1':0.0},(180,341):{'3_1':0.0},(180,340):{'3_1':0.0},(180,339):{'3_1':0.0},(180,338):{'3_1':0.0},(180,336):{'3_1':0.0},(180,335):{'3_1':0.0},(180,334):{'3_1':0.0},(180,333):{'3_1':0.0},(180,332):{'3_1':0.0},(180,331):{'3_1':0.0},(180,330):{'3_1':0.0},(180,329):{'3_1':0.0,'5_1':0.0},(180,328):{'3_1':0.0},(180,326):{'3_1':0.0},(180,325):{'5_1':0.0},(180,324):{'3_1':0.0},(180,323):{'3_1':0.0},(180,322):{'3_1':0.0},(180,321):{'3_1':0.0},(180,320):{'3_1':0.0},(180,319):{'3_1':0.0},(180,318):{'3_1':0.0},(180,316):{'3_1':0.0},(180,315):{'3_1':0.03},(180,314):{'3_1':0.0},(180,312):{'3_1':0.0},(180,311):{'3_1':0.0},(180,310):{'3_1':0.0},(180,309):{'3_1':0.03},(180,308):{'3_1':0.03,'5_1':0.0},(180,307):{'3_1':0.0},(180,306):{'3_1':0.0,'5_1':0.0},(180,305):{'3_1':0.0},(180,304):{'3_1':0.0},(180,303):{'3_1':0.0},(180,302):{'3_1':0.0},(180,301):{'3_1':0.0},(180,300):{'3_1':0.0},(180,298):{'3_1':0.0},(180,297):{'3_1':0.0,'5_1':0.0},(180,296):{'3_1':0.0},(180,295):{'3_1':0.0},(180,294):{'3_1':0.0},(180,293):{'3_1':0.0},(180,292):{'3_1':0.0},(180,291):{'3_1':0.0},(180,290):{'3_1':0.0},(180,289):{'3_1':0.0,'5_1':0.0},(180,286):{'3_1':0.0},(180,284):{'3_1':0.0},(180,278):{'3_1':0.0},(180,274):{'3_1':0.0},(180,273):{'3_1':0.0},(180,271):{'3_1':0.0},(180,270):{'3_1':0.0},(180,268):{'3_1':0.0},(180,260):{'3_1':0.0},(180,259):{'3_1':0.0},(180,256):{'3_1':0.0},(180,254):{'3_1':0.0},(180,251):{'3_1':0.0},(180,248):{'3_1':0.0},(180,245):{'3_1':0.0},(180,242):{'3_1':0.0},(180,237):{'3_1':0.0},(180,235):{'3_1':0.0},(180,233):{'3_1':0.0},(180,232):{'3_1':0.0},(180,230):{'3_1':0.0},(180,229):{'3_1':0.0},(180,217):{'3_1':0.0},(180,211):{'3_1':0.0},(180,208):{'4_1':0.0},(181,727):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(181,726):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(181,725):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(181,724):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'7_2':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(181,723):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0},(181,722):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'8_15':0.0},(181,721):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(181,720):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(181,719):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'8_3':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(181,718):{'5_2':0.15,'7_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_6':0.0,'-3':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(181,717):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0},(181,716):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0},(181,715):{'5_2':0.12,'6_1':0.12,'3_1':0.09,'7_2':0.0,'8_1':0.0,'4_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(181,714):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_15':0.0},(181,713):{'5_2':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(181,712):{'5_2':0.18,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'8_3':0.0,'8_6':0.0},(181,711):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_3':0.03,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0},(181,710):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(181,709):{'3_1':0.12,'7_5':0.12,'-3':0.06,'5_2':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_6':0.0,'8_14':0.0},(181,708):{'3_1':0.09,'6_1':0.06,'5_2':0.06,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0},(181,707):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'8_11':0.0},(181,706):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'8_3':0.0},(181,705):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(181,704):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_11':0.0},(181,703):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'3_1':0.0,'6_2':0.0,'8_3':0.0},(181,702):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(181,701):{'5_2':0.21,'3_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'3_1#5_2':0.0},(181,700):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(181,699):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(181,698):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'8_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(181,697):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(181,696):{'5_2':0.09,'6_1':0.03,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_11':0.0,'1':-0.03},(181,695):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(181,694):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.0,'8_11':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_13':0.0,'3_1#5_2':0.0,'-3':0.0},(181,693):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(181,692):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(181,691):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_13':0.0,'8_15':0.0},(181,690):{'5_2':0.21,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'8_11':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,689):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_11':0.0,'8_12':0.0,'-3':0.0},(181,688):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(181,687):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0,'8_13':0.0},(181,686):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0},(181,685):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(181,684):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(181,683):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(181,682):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_2':0.0},(181,681):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_1':0.03,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(181,680):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.0},(181,679):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(181,678):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(181,677):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_6':0.0},(181,676):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(181,675):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_5':0.0,'8_20|3_1#3_1':0.0},(181,674):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.0},(181,673):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'-3':0.0},(181,672):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0},(181,671):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_11':0.0},(181,670):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'7_4':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,669):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(181,668):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'7_7':0.0,'7_3':0.0,'7_4':0.0},(181,667):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_3':0.0},(181,666):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(181,665):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'1':-0.03},(181,664):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,663):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(181,662):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'3_1#5_2':0.0},(181,661):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(181,660):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(181,659):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(181,658):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'7_7':0.0,'8_20|3_1#3_1':0.0},(181,657):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_16':0.0,'8_20|3_1#3_1':0.0},(181,656):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,655):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,654):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'8_21|3_1#4_1':0.0},(181,653):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,652):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(181,651):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,650):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0},(181,649):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.03,'8_21|3_1#4_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0},(181,648):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,647):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(181,646):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(181,645):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,644):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_4':0.0},(181,643):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'9_1':0.0},(181,642):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(181,641):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(181,640):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'9_1':0.0,'5_1':0.0,'6_2':0.0,'8_5':0.0,'3_1#5_1':0.0},(181,639):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0},(181,638):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(181,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(181,636):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(181,635):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(181,634):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(181,632):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(181,631):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(181,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(181,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(181,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(181,626):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(181,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0},(181,624):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(181,623):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(181,622):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(181,621):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(181,620):{'3_1':0.12,'8_20|3_1#3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(181,618):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_2':0.0},(181,617):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(181,616):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(181,615):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(181,614):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(181,613):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,611):{'3_1':0.12,'4_1':0.03,'7_5':0.0},(181,610):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(181,609):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(181,608):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(181,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(181,606):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(181,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,604):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(181,603):{'3_1':0.12,'4_1':0.0},(181,602):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(181,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(181,600):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0},(181,599):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(181,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(181,597):{'3_1':0.09,'4_1':0.0},(181,596):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(181,595):{'3_1':0.06},(181,594):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(181,593):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(181,592):{'3_1':0.12,'4_1':0.03},(181,591):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,590):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0},(181,589):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,588):{'3_1':0.09,'4_1':0.0},(181,587):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(181,586):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(181,585):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,584):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(181,583):{'3_1':0.09,'4_1':0.0},(181,582):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(181,581):{'3_1':0.15,'6_2':0.03,'4_1':0.0,'8_9':0.0},(181,580):{'3_1':0.09,'4_1':0.0},(181,579):{'3_1':0.12,'4_1':0.0},(181,578):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(181,577):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0},(181,576):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(181,575):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_9':0.0},(181,574):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,573):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(181,572):{'3_1':0.15,'4_1':0.0},(181,571):{'3_1':0.03,'4_1':0.0},(181,570):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(181,569):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(181,568):{'3_1':0.06,'4_1':0.03},(181,567):{'3_1':0.06,'4_1':0.0},(181,566):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(181,565):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0},(181,564):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,563):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(181,562):{'3_1':0.12,'6_2':0.0},(181,561):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(181,560):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(181,559):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(181,558):{'3_1':0.21},(181,557):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(181,556):{'3_1':0.15},(181,555):{'3_1':0.15},(181,554):{'3_1':0.12,'4_1':0.0},(181,553):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(181,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(181,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(181,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(181,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(181,548):{'3_1':0.18,'6_2':0.0,'4_1':0.0,'6_3':0.0},(181,547):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(181,546):{'3_1':0.24,'4_1':0.0,'6_2':0.0},(181,545):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(181,544):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,543):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,542):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(181,540):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(181,539):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(181,538):{'3_1':0.15,'4_1':0.0},(181,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(181,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(181,535):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(181,534):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(181,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_9':0.0},(181,532):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(181,530):{'3_1':0.18,'4_1':0.0},(181,529):{'3_1':0.15,'4_1':0.0},(181,528):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(181,527):{'3_1':0.18,'6_2':0.0,'7_4':0.0},(181,526):{'3_1':0.12,'4_1':0.0},(181,525):{'3_1':0.12},(181,524):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(181,523):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0},(181,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(181,521):{'3_1':0.09,'5_1':0.0},(181,520):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(181,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,516):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(181,515):{'3_1':0.09,'4_1':0.0},(181,514):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(181,513):{'3_1':0.06,'4_1':0.0},(181,512):{'3_1':0.09,'4_1':0.03,'7_3':0.0},(181,511):{'3_1':0.09},(181,510):{'3_1':0.03,'6_2':0.0},(181,509):{'3_1':0.09,'4_1':0.0},(181,508):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(181,507):{'3_1':0.06},(181,506):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(181,505):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(181,504):{'3_1':0.03,'6_1':0.0},(181,503):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(181,502):{'3_1':0.03},(181,501):{'3_1':0.06,'5_1':0.0},(181,500):{'3_1':0.0},(181,499):{'3_1':0.06},(181,498):{'3_1':0.06},(181,497):{'3_1':0.06},(181,496):{'3_1':0.0,'4_1':0.0},(181,495):{'3_1':0.03},(181,494):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(181,493):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(181,492):{'3_1':0.03,'4_1':0.0},(181,491):{'3_1':0.06,'4_1':0.0},(181,490):{'3_1':0.06,'4_1':0.0},(181,489):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(181,488):{'3_1':0.06},(181,487):{'3_1':0.06,'6_3':0.0},(181,486):{'3_1':0.06,'4_1':0.0},(181,485):{'3_1':0.06},(181,484):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(181,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(181,482):{'3_1':0.03},(181,481):{'3_1':0.06,'5_2':0.0},(181,480):{'3_1':0.06,'4_1':0.0},(181,479):{'3_1':0.09,'5_1':0.0},(181,478):{'3_1':0.03,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(181,477):{'3_1':0.06,'4_1':0.0},(181,476):{'3_1':0.12,'4_1':0.0},(181,475):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(181,474):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(181,473):{'3_1':0.06},(181,472):{'3_1':0.09,'4_1':0.0},(181,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(181,470):{'3_1':0.09},(181,469):{'3_1':0.0},(181,468):{'3_1':0.03},(181,467):{'3_1':0.03,'4_1':0.0},(181,466):{'3_1':0.03},(181,465):{'3_1':0.03,'4_1':0.0},(181,464):{'3_1':0.06},(181,463):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(181,462):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(181,461):{'3_1':0.06,'4_1':0.0},(181,460):{'3_1':0.03},(181,459):{'3_1':0.0,'5_1':0.0},(181,458):{'3_1':0.03,'4_1':0.0},(181,457):{'3_1':0.0,'4_1':0.0},(181,456):{'3_1':0.0,'4_1':0.0},(181,455):{'3_1':0.03,'4_1':0.0},(181,454):{'3_1':0.03,'4_1':0.0},(181,453):{'3_1':0.03,'4_1':0.0},(181,452):{'3_1':0.03,'6_2':0.0},(181,451):{'3_1':0.03},(181,450):{'3_1':0.0},(181,449):{'3_1':0.03,'7_3':0.0},(181,448):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(181,447):{'3_1':0.0,'4_1':0.0},(181,446):{'4_1':0.03,'3_1':0.0},(181,445):{'3_1':0.03,'4_1':0.0},(181,444):{'3_1':0.03,'4_1':0.0},(181,443):{'3_1':0.03},(181,442):{'3_1':0.0},(181,441):{'3_1':0.06},(181,440):{'3_1':0.06,'8_20|3_1#3_1':0.0},(181,439):{'3_1':0.03},(181,438):{'3_1':0.0,'4_1':0.0},(181,437):{'3_1':0.0},(181,436):{'3_1':0.03,'5_1':0.0},(181,435):{'3_1':0.0,'5_1':0.0},(181,433):{'3_1':0.03},(181,432):{'3_1':0.03,'5_1':0.0},(181,431):{'3_1':0.03},(181,430):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(181,429):{'3_1':0.03},(181,428):{'3_1':0.0,'4_1':0.0},(181,427):{'3_1':0.03,'4_1':0.0},(181,426):{'3_1':0.03},(181,425):{'3_1':0.06,'5_2':0.0},(181,424):{'3_1':0.03},(181,423):{'3_1':0.03},(181,422):{'3_1':0.03},(181,421):{'3_1':0.03,'4_1':0.0},(181,420):{'3_1':0.0},(181,419):{'3_1':0.03,'4_1':0.0},(181,418):{'3_1':0.0,'4_1':0.0},(181,417):{'3_1':0.03,'4_1':0.0},(181,416):{'3_1':0.03,'5_2':0.0},(181,415):{'3_1':0.03,'5_1':0.0},(181,414):{'3_1':0.0},(181,413):{'3_1':0.0,'4_1':0.0},(181,412):{'3_1':0.0},(181,411):{'3_1':0.03,'5_1':0.0},(181,410):{'3_1':0.0,'4_1':0.0},(181,409):{'3_1':0.0,'5_1':0.0},(181,408):{'3_1':0.03,'4_1':0.0},(181,407):{'4_1':0.0},(181,406):{'3_1':0.03,'4_1':0.0},(181,405):{'3_1':0.0,'6_1':0.0},(181,404):{'3_1':0.0},(181,403):{'3_1':0.03},(181,402):{'3_1':0.0,'4_1':0.0},(181,401):{'3_1':0.03},(181,400):{'3_1':0.0},(181,399):{'3_1':0.03},(181,397):{'3_1':0.03},(181,396):{'3_1':0.06},(181,395):{'3_1':0.0},(181,394):{'3_1':0.0},(181,393):{'3_1':0.03},(181,392):{'3_1':0.0},(181,391):{'3_1':0.0},(181,390):{'3_1':0.0},(181,389):{'3_1':0.0},(181,388):{'3_1':0.0},(181,387):{'3_1':0.0},(181,386):{'3_1':0.0},(181,385):{'3_1':0.0,'5_1':0.0},(181,384):{'3_1':0.03},(181,383):{'3_1':0.0},(181,382):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(181,381):{'3_1':0.0},(181,380):{'3_1':0.06},(181,379):{'3_1':0.06},(181,378):{'3_1':0.0},(181,377):{'3_1':0.0,'5_1':0.0},(181,376):{'3_1':0.0,'4_1':0.0},(181,375):{'3_1':0.03,'5_1':0.0},(181,374):{'3_1':0.03},(181,373):{'3_1':0.03,'4_1':0.0},(181,372):{'3_1':0.0},(181,371):{'3_1':0.0},(181,370):{'3_1':0.03},(181,369):{'3_1':0.0,'5_1':0.0},(181,368):{'3_1':0.03,'4_1':0.0},(181,367):{'3_1':0.0,'5_1':0.0},(181,366):{'3_1':0.03,'4_1':0.0},(181,365):{'3_1':0.0},(181,364):{'3_1':0.0},(181,363):{'3_1':0.0},(181,362):{'3_1':0.03,'4_1':0.0},(181,361):{'4_1':0.0,'3_1':0.0},(181,360):{'3_1':0.0,'7_1':0.0},(181,359):{'3_1':0.0},(181,358):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(181,357):{'3_1':0.0},(181,356):{'3_1':0.06},(181,355):{'3_1':0.0,'4_1':0.0},(181,354):{'3_1':0.03},(181,352):{'3_1':0.0},(181,351):{'3_1':0.0},(181,350):{'3_1':0.03},(181,349):{'3_1':0.0},(181,348):{'3_1':0.0},(181,347):{'3_1':0.0},(181,344):{'3_1':0.0},(181,343):{'3_1':0.0},(181,342):{'3_1':0.0},(181,341):{'3_1':0.0},(181,340):{'3_1':0.0},(181,339):{'3_1':0.03},(181,338):{'3_1':0.0,'4_1':0.0},(181,337):{'3_1':0.0},(181,336):{'3_1':0.0},(181,334):{'3_1':0.0},(181,333):{'3_1':0.0,'5_1':0.0},(181,332):{'3_1':0.0},(181,331):{'3_1':0.0,'4_1':0.0},(181,330):{'3_1':0.0},(181,329):{'3_1':0.0},(181,328):{'3_1':0.0,'4_1':0.0},(181,327):{'3_1':0.0},(181,326):{'3_1':0.0},(181,325):{'3_1':0.0,'4_1':0.0},(181,324):{'3_1':0.0,'4_1':0.0},(181,323):{'7_1':0.0},(181,322):{'3_1':0.0},(181,321):{'3_1':0.0},(181,320):{'3_1':0.03},(181,318):{'3_1':0.0},(181,311):{'3_1':0.0},(181,310):{'3_1':0.0},(181,308):{'3_1':0.0},(181,307):{'3_1':0.0},(181,306):{'3_1':0.0},(181,305):{'3_1':0.0},(181,303):{'3_1':0.0},(181,302):{'3_1':0.0,'4_1':0.0},(181,301):{'3_1':0.0},(181,298):{'3_1':0.03},(181,285):{'3_1':0.0},(181,283):{'3_1':0.0},(181,282):{'3_1':0.0},(181,279):{'3_1':0.0},(181,278):{'3_1':0.0},(181,276):{'3_1':0.0},(181,275):{'3_1':0.0},(181,272):{'3_1':0.0},(181,268):{'3_1':0.0},(181,267):{'3_1':0.0},(181,266):{'3_1':0.0},(181,263):{'3_1':0.0},(181,255):{'3_1':0.0},(181,254):{'3_1':0.0},(181,252):{'3_1':0.0},(181,250):{'3_1':0.0},(181,242):{'3_1':0.0},(181,238):{'3_1':0.0},(181,232):{'3_1':0.0},(181,231):{'3_1':0.0},(181,230):{'5_2':0.0},(181,217):{'3_1':0.0},(182,727):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_2':0.03,'-3':0.0,'7_3':0.0,'7_5':0.0},(182,726):{'5_2':0.06,'6_1':0.06,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(182,725):{'3_1':0.09,'5_2':0.09,'7_2':0.06,'6_1':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0},(182,724):{'5_2':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(182,723):{'5_2':0.09,'4_1':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(182,722):{'5_2':0.12,'7_2':0.03,'4_1':0.03,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0},(182,721):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(182,720):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(182,719):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(182,718):{'5_2':0.15,'7_2':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0},(182,717):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'8_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_19':0.0,'1':-0.03},(182,716):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(182,715):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_6':0.0,'8_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(182,714):{'5_2':0.12,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(182,713):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_12':0.0},(182,712):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(182,711):{'5_2':0.12,'7_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(182,710):{'5_2':0.09,'3_1':0.06,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_6':0.0,'8_10':0.0},(182,709):{'3_1':0.09,'7_5':0.06,'5_2':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0},(182,708):{'5_2':0.09,'7_2':0.09,'3_1':0.03,'6_1':0.03,'7_5':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0},(182,707):{'5_2':0.15,'3_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0},(182,706):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(182,705):{'5_2':0.15,'7_2':0.03,'4_1':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'8_3':0.0},(182,704):{'5_2':0.21,'7_2':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(182,703):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(182,702):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(182,701):{'5_2':0.12,'7_2':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(182,700):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'3_1':0.0,'-3':0.0,'5_1':0.0,'3_1#5_2':0.0},(182,699):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(182,698):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(182,697):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(182,696):{'5_2':0.24,'4_1':0.03,'6_1':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'1':-0.03},(182,695):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(182,694):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0},(182,693):{'5_2':0.15,'3_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_13':0.0,'8_15':0.0},(182,692):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.03,'7_1':0.0,'7_5':0.0,'8_13':0.0},(182,691):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(182,690):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(182,689):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'8_11':0.0,'-3':0.0},(182,688):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(182,687):{'5_2':0.21,'3_1':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0},(182,686):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0},(182,685):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'5_1':0.0,'-3':0.0},(182,684):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(182,683):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(182,682):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'8_3':0.0,'6_1':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(182,681):{'5_2':0.18,'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(182,680):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(182,679):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(182,678):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_1':0.0},(182,677):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_7':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(182,676):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0},(182,675):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(182,674):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_7':0.0,'9_1':0.0},(182,673):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(182,672):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(182,671):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(182,670):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(182,669):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(182,668):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0},(182,667):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(182,666):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_7':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(182,665):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(182,664):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(182,663):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'7_3':0.0,'7_7':0.0,'6_1':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(182,662):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(182,661):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(182,660):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_14':0.0},(182,659):{'3_1':0.18,'4_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(182,658):{'3_1':0.12,'5_2':0.12,'6_1':0.03,'4_1':0.0,'7_7':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(182,657):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(182,656):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(182,655):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(182,654):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(182,653):{'3_1':0.24,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(182,652):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_13':0.0,'3_1#5_1':0.0},(182,651):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_7':0.0},(182,650):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(182,649):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(182,648):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'7_7':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(182,647):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(182,646):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'3_1#5_1':0.0},(182,645):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(182,644):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(182,643):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'9_1':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(182,642):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(182,641):{'3_1':0.27,'5_1':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_5':0.0},(182,640):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(182,639):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(182,638):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(182,637):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(182,636):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0},(182,635):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(182,634):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(182,633):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(182,632):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(182,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(182,630):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(182,629):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(182,628):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_2':0.0},(182,627):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,626):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(182,625):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_2':0.0,'8_19':0.0},(182,624):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0,'5_2':0.0,'6_1':0.0},(182,623):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(182,622):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'3_1#5_1':0.0},(182,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(182,620):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_5':0.0,'-3':0.0},(182,619):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(182,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(182,617):{'3_1':0.15,'6_2':0.0,'5_2':0.0,'7_5':0.0},(182,616):{'3_1':0.09,'5_2':0.03,'-3':0.0,'4_1':0.0},(182,615):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(182,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(182,613):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_4':0.0,'7_5':0.0},(182,612):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(182,611):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(182,610):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(182,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(182,608):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(182,607):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(182,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(182,605):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0},(182,604):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(182,603):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(182,602):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(182,601):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(182,600):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,599):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(182,598):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_8':0.0},(182,597):{'3_1':0.09,'4_1':0.06},(182,596):{'3_1':0.09,'4_1':0.03},(182,595):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(182,594):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(182,593):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(182,592):{'3_1':0.09,'5_1':0.0},(182,591):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(182,590):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(182,589):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(182,588):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(182,587):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,586):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(182,585):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(182,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(182,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(182,582):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(182,581):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,580):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(182,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(182,578):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(182,577):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(182,576):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,575):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,574):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(182,573):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,572):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(182,571):{'3_1':0.15,'4_1':0.0},(182,570):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,569):{'3_1':0.09,'4_1':0.03},(182,568):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(182,567):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,566):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(182,565):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(182,564):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(182,563):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(182,561):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'-3':0.0},(182,560):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(182,559):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_6':0.0},(182,558):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(182,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(182,556):{'3_1':0.18,'5_2':0.0},(182,555):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(182,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(182,553):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(182,552):{'3_1':0.15,'5_1':0.0},(182,551):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(182,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(182,549):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(182,548):{'3_1':0.18,'5_1':0.0},(182,547):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(182,546):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(182,545):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(182,544):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_6':0.0},(182,543):{'3_1':0.15,'4_1':0.0,'7_5':0.0},(182,542):{'3_1':0.27,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(182,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(182,540):{'3_1':0.15,'4_1':0.0,'7_5':0.0},(182,539):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(182,538):{'3_1':0.21,'5_1':0.0,'6_3':0.0},(182,537):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(182,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(182,535):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(182,534):{'3_1':0.15,'6_2':0.0},(182,533):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(182,532):{'3_1':0.21,'4_1':0.0},(182,531):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(182,530):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(182,529):{'3_1':0.12,'5_2':0.0},(182,528):{'3_1':0.15,'4_1':0.0,'8_2':0.0},(182,527):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(182,526):{'3_1':0.09,'4_1':0.0},(182,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(182,524):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(182,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(182,522):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(182,521):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(182,520):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(182,519):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(182,518):{'3_1':0.06,'5_1':0.0},(182,517):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(182,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_7':0.0},(182,513):{'3_1':0.06,'4_1':0.0},(182,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(182,511):{'3_1':0.03,'4_1':0.0},(182,510):{'3_1':0.06,'4_1':0.0},(182,509):{'3_1':0.0},(182,508):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(182,507):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(182,506):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(182,505):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(182,504):{'3_1':0.09},(182,503):{'3_1':0.03,'4_1':0.0},(182,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,501):{'3_1':0.06},(182,500):{'3_1':0.06,'4_1':0.0},(182,499):{'3_1':0.03},(182,498):{'3_1':0.06,'5_1':0.0},(182,497):{'3_1':0.06,'5_1':0.0},(182,496):{'3_1':0.06,'4_1':0.0},(182,495):{'3_1':0.06,'4_1':0.0},(182,494):{'3_1':0.03,'4_1':0.0},(182,493):{'3_1':0.06},(182,492):{'3_1':0.03},(182,491):{'3_1':0.09},(182,490):{'3_1':0.06},(182,489):{'3_1':0.06,'4_1':0.0},(182,488):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(182,487):{'3_1':0.12,'4_1':0.0},(182,486):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(182,485):{'3_1':0.03,'4_1':0.0},(182,484):{'3_1':0.06},(182,483):{'3_1':0.03,'5_1':0.0},(182,482):{'3_1':0.15},(182,481):{'3_1':0.03},(182,480):{'3_1':0.06},(182,479):{'3_1':0.03},(182,478):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(182,477):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(182,476):{'3_1':0.12,'5_1':0.0},(182,475):{'3_1':0.18},(182,474):{'3_1':0.09},(182,473):{'3_1':0.06,'4_1':0.0},(182,472):{'3_1':0.03,'5_2':0.0},(182,471):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(182,470):{'3_1':0.06,'4_1':0.0},(182,469):{'3_1':0.0,'4_1':0.0},(182,468):{'3_1':0.06},(182,467):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(182,466):{'3_1':0.03},(182,465):{'3_1':0.0,'4_1':0.0},(182,464):{'4_1':0.0},(182,463):{'3_1':0.06},(182,462):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(182,461):{'3_1':0.0,'4_1':0.0},(182,460):{'3_1':0.03},(182,459):{'3_1':0.03,'5_1':0.0},(182,458):{'3_1':0.03,'4_1':0.0},(182,457):{'3_1':0.03,'4_1':0.0},(182,456):{'3_1':0.03,'4_1':0.0},(182,455):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(182,454):{'3_1':0.09,'4_1':0.0},(182,453):{'3_1':0.03,'4_1':0.0},(182,452):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(182,451):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(182,450):{'3_1':0.03,'4_1':0.0},(182,449):{'3_1':0.0,'4_1':0.0},(182,448):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(182,447):{'3_1':0.03},(182,446):{'3_1':0.03,'6_2':0.0},(182,445):{'3_1':0.03,'4_1':0.0},(182,444):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,443):{'3_1':0.0},(182,442):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(182,441):{'3_1':0.03},(182,440):{'3_1':0.0,'4_1':0.0},(182,439):{'3_1':0.12,'4_1':0.0},(182,438):{'3_1':0.0},(182,437):{'3_1':0.03},(182,436):{'4_1':0.0,'3_1':0.0},(182,435):{'3_1':0.0},(182,434):{'3_1':0.06,'7_1':0.0},(182,433):{'3_1':0.0,'4_1':0.0},(182,432):{'3_1':0.03,'4_1':0.0},(182,431):{'3_1':0.03},(182,430):{'3_1':0.03},(182,429):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(182,428):{'3_1':0.03},(182,427):{'3_1':0.03,'4_1':0.0},(182,426):{'3_1':0.06},(182,425):{'3_1':0.03},(182,424):{'3_1':0.03,'4_1':0.0},(182,423):{'3_1':0.09},(182,422):{'3_1':0.03,'5_2':0.0},(182,421):{'3_1':0.0,'4_1':0.0},(182,420):{'3_1':0.03,'4_1':0.0},(182,419):{'3_1':0.03,'5_2':0.0},(182,418):{'3_1':0.03,'5_1':0.0},(182,417):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(182,416):{'3_1':0.03,'4_1':0.0},(182,415):{'3_1':0.03,'4_1':0.0},(182,414):{'3_1':0.03},(182,412):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,411):{'3_1':0.03},(182,410):{'3_1':0.0},(182,409):{'3_1':0.0},(182,408):{'3_1':0.03},(182,407):{'3_1':0.0},(182,406):{'3_1':0.03},(182,405):{'3_1':0.0},(182,404):{'3_1':0.03,'4_1':0.0},(182,403):{'3_1':0.0},(182,402):{'3_1':0.0},(182,401):{'3_1':0.03},(182,400):{'3_1':0.0},(182,399):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(182,398):{'3_1':0.03},(182,397):{'3_1':0.06},(182,396):{'3_1':0.0},(182,395):{'3_1':0.0},(182,393):{'3_1':0.0},(182,392):{'3_1':0.03},(182,391):{'3_1':0.06,'6_2':0.0},(182,390):{'3_1':0.03},(182,389):{'3_1':0.03},(182,388):{'3_1':0.0,'5_2':0.0},(182,387):{'3_1':0.03},(182,386):{'3_1':0.0},(182,385):{'3_1':0.0},(182,384):{'3_1':0.03},(182,383):{'3_1':0.03},(182,382):{'3_1':0.03},(182,381):{'3_1':0.03,'5_1':0.0},(182,380):{'3_1':0.06},(182,379):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(182,378):{'3_1':0.03,'5_2':0.0},(182,377):{'3_1':0.03,'4_1':0.0},(182,376):{'3_1':0.06},(182,375):{'3_1':0.0,'5_1':0.0},(182,374):{'3_1':0.0,'4_1':0.0},(182,373):{'3_1':0.03,'5_1':0.0},(182,372):{'3_1':0.03,'4_1':0.0},(182,371):{'3_1':0.03},(182,370):{'3_1':0.0,'4_1':0.0},(182,369):{'3_1':0.0,'4_1':0.0},(182,368):{'3_1':0.0},(182,367):{'3_1':0.0,'4_1':0.0},(182,366):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(182,365):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(182,364):{'3_1':0.0},(182,363):{'3_1':0.03,'4_1':0.0},(182,362):{'4_1':0.0},(182,361):{'3_1':0.03},(182,360):{'3_1':0.0,'7_1':0.0},(182,359):{'3_1':0.03},(182,358):{'3_1':0.03},(182,357):{'3_1':0.0,'5_1':0.0},(182,356):{'3_1':0.0},(182,355):{'3_1':0.03},(182,354):{'3_1':0.0},(182,353):{'3_1':0.0},(182,352):{'3_1':0.03},(182,351):{'3_1':0.0,'4_1':0.0},(182,350):{'3_1':0.06},(182,349):{'3_1':0.0},(182,348):{'3_1':0.0},(182,347):{'3_1':0.0},(182,346):{'3_1':0.0},(182,345):{'3_1':0.0},(182,344):{'3_1':0.0},(182,343):{'3_1':0.0},(182,342):{'3_1':0.0},(182,341):{'3_1':0.0,'5_1':0.0},(182,340):{'3_1':0.0},(182,338):{'3_1':0.0},(182,337):{'3_1':0.0},(182,335):{'3_1':0.0},(182,333):{'3_1':0.0},(182,330):{'3_1':0.0},(182,328):{'3_1':0.0,'4_1':0.0},(182,327):{'3_1':0.0},(182,325):{'3_1':0.0},(182,324):{'3_1':0.0,'5_1':0.0},(182,323):{'5_1':0.0},(182,322):{'3_1':0.0},(182,320):{'3_1':0.0},(182,319):{'3_1':0.0},(182,318):{'3_1':0.0},(182,316):{'3_1':0.0},(182,315):{'3_1':0.0},(182,314):{'3_1':0.0},(182,313):{'3_1':0.0},(182,310):{'3_1':0.0},(182,309):{'3_1':0.0},(182,308):{'3_1':0.0},(182,307):{'3_1':0.0},(182,306):{'3_1':0.0},(182,305):{'3_1':0.0},(182,304):{'3_1':0.0},(182,303):{'3_1':0.0},(182,302):{'3_1':0.0},(182,300):{'3_1':0.0},(182,298):{'3_1':0.0},(182,285):{'3_1':0.0},(182,283):{'3_1':0.0},(182,282):{'3_1':0.0},(182,278):{'3_1':0.0},(182,276):{'3_1':0.0},(182,275):{'3_1':0.0},(182,274):{'3_1':0.0},(182,249):{'3_1':0.0},(182,245):{'3_1':0.0},(182,241):{'3_1':0.0},(182,239):{'3_1':0.0},(182,234):{'3_1':0.0},(182,233):{'3_1':0.0},(182,230):{'3_1':0.0},(183,727):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(183,726):{'5_2':0.18,'7_5':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(183,725):{'5_2':0.12,'3_1':0.09,'7_2':0.06,'6_1':0.06,'7_5':0.06,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(183,724):{'5_2':0.12,'4_1':0.03,'7_2':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(183,723):{'5_2':0.06,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0},(183,722):{'5_2':0.06,'7_2':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_12':0.0,'8_14':0.0},(183,721):{'5_2':0.12,'6_1':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(183,720):{'5_2':0.18,'7_2':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(183,719):{'5_2':0.09,'6_1':0.06,'7_2':0.06,'-3':0.03,'4_1':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0,'8_6':0.0,'8_12':0.0,'3_1#5_2':0.0},(183,718):{'5_2':0.15,'7_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(183,717):{'5_2':0.06,'4_1':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(183,716):{'5_2':0.18,'7_2':0.09,'3_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(183,715):{'5_2':0.09,'7_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(183,714):{'5_2':0.12,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'7_3':0.0,'8_3':0.0,'3_1#5_2':0.0},(183,713):{'5_2':0.12,'3_1':0.03,'-3':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'8_3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(183,712):{'5_2':0.15,'7_2':0.06,'-3':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(183,711):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0},(183,710):{'5_2':0.12,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_5':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'8_15':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(183,709):{'3_1':0.06,'-3':0.06,'7_5':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.03,'8_15':0.0},(183,708):{'5_2':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0},(183,707):{'5_2':0.18,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'8_15':0.0},(183,706):{'5_2':0.21,'7_2':0.06,'3_1':0.06,'7_3':0.06,'4_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0},(183,705):{'5_2':0.09,'6_1':0.03,'-3':0.03,'7_3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(183,704):{'5_2':0.15,'3_1':0.03,'-3':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(183,703):{'5_2':0.12,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(183,702):{'5_2':0.15,'7_2':0.06,'6_1':0.06,'3_1':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0},(183,701):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(183,700):{'5_2':0.18,'6_1':0.06,'7_2':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(183,699):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(183,698):{'5_2':0.21,'6_1':0.06,'-3':0.06,'7_2':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(183,697):{'5_2':0.18,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_11':0.0},(183,696):{'5_2':0.12,'3_1':0.06,'7_3':0.03,'7_4':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_6':0.0},(183,695):{'5_2':0.18,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'3_1':0.0,'3_1#5_2':0.0,'-3':0.0},(183,694):{'5_2':0.15,'-3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(183,693):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'7_6':0.0},(183,692):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'-3':0.06,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0,'3_1#5_2':0.0},(183,691):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(183,690):{'5_2':0.15,'6_1':0.06,'3_1':0.06,'7_2':0.03,'4_1':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(183,689):{'5_2':0.24,'3_1':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'3_1#5_2':0.0},(183,688):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(183,687):{'5_2':0.24,'3_1':0.06,'7_2':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(183,686):{'5_2':0.15,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'6_1':0.0,'8_11':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(183,685):{'5_2':0.12,'3_1':0.12,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_1':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0},(183,684):{'5_2':0.18,'3_1':0.09,'4_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0},(183,683):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0},(183,682):{'5_2':0.18,'3_1':0.09,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(183,681):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_8':0.0,'-3':0.0},(183,680):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(183,679):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(183,678):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(183,677):{'3_1':0.12,'5_2':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_7':0.0},(183,676):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(183,675):{'5_2':0.18,'3_1':0.09,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(183,674):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'7_3':0.0,'3_1#5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(183,673):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.0,'6_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'-3':0.0},(183,672):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.0,'8_11':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(183,671):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(183,670):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_7':0.0},(183,669):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(183,668):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(183,667):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'6_3':0.0},(183,666):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0,'-3':0.0},(183,665):{'3_1':0.09,'5_2':0.09,'6_1':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(183,664):{'5_2':0.21,'3_1':0.15,'4_1':0.06,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_7':0.0},(183,663):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(183,662):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(183,661):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_7':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(183,660):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(183,659):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,658):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(183,657):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(183,656):{'3_1':0.18,'5_2':0.12,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,655):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(183,654):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(183,653):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_7':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(183,652):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0},(183,651):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0},(183,650):{'3_1':0.21,'5_2':0.06,'6_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(183,649):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,648):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(183,647):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'8_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(183,646):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0},(183,645):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_7':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(183,644):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'7_4':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(183,643):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(183,642):{'3_1':0.12,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(183,641):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'8_20|3_1#3_1':0.0},(183,640):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,639):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,638):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_10':0.0},(183,637):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_10':0.0},(183,636):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(183,635):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_14':0.0,'-3':0.0},(183,634):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,633):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(183,632):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(183,631):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_3':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(183,630):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(183,629):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(183,628):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(183,627):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(183,626):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_19':0.0,'6_1':0.0,'-3':0.0},(183,625):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(183,624):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(183,623):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(183,622):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_5':0.0},(183,621):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_7':0.0},(183,620):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(183,619):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(183,618):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0,'3_1#5_1':0.0,'-3':0.0},(183,617):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(183,616):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(183,615):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(183,614):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(183,613):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0},(183,612):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(183,611):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(183,610):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(183,609):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(183,608):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0},(183,607):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(183,606):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(183,605):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(183,604):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(183,603):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(183,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(183,601):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(183,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(183,599):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(183,598):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(183,597):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0},(183,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(183,595):{'3_1':0.06,'5_1':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(183,594):{'3_1':0.12,'5_2':0.03},(183,593):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(183,592):{'3_1':0.12,'4_1':0.0},(183,591):{'3_1':0.12,'4_1':0.0,'7_4':0.0},(183,590):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(183,589):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(183,588):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0},(183,587):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(183,586):{'3_1':0.12,'4_1':0.03},(183,585):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(183,584):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(183,583):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(183,582):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(183,581):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(183,580):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(183,579):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(183,578):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(183,577):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(183,576):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(183,575):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(183,574):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(183,573):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(183,572):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(183,571):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(183,570):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(183,569):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(183,568):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(183,567):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(183,566):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(183,565):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(183,564):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(183,563):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(183,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(183,561):{'3_1':0.12,'5_1':0.0},(183,560):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(183,559):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(183,558):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(183,557):{'3_1':0.12,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(183,556):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(183,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(183,554):{'3_1':0.21,'5_2':0.0},(183,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(183,552):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(183,551):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(183,550):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(183,549):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(183,548):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(183,547):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(183,546):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(183,545):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(183,544):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(183,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'7_3':0.0},(183,542):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(183,541):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'7_3':0.0},(183,540):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(183,539):{'3_1':0.15,'4_1':0.0},(183,538):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(183,537):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(183,536):{'3_1':0.24,'5_1':0.03,'6_3':0.0,'5_2':0.0,'6_2':0.0},(183,535):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(183,534):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(183,533):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0},(183,532):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0},(183,531):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(183,530):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(183,529):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0},(183,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(183,527):{'3_1':0.12,'4_1':0.0},(183,526):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(183,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(183,524):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0},(183,523):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(183,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(183,521):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_7':0.0},(183,520):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(183,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(183,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(183,517):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(183,516):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(183,515):{'3_1':0.12,'4_1':0.0,'8_11':0.0},(183,514):{'3_1':0.06,'5_1':0.0},(183,513):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(183,512):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(183,511):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(183,510):{'3_1':0.03},(183,509):{'3_1':0.06},(183,508):{'3_1':0.09,'5_1':0.0},(183,507):{'3_1':0.12,'4_1':0.0},(183,506):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(183,505):{'3_1':0.06},(183,504):{'3_1':0.06,'5_2':0.0},(183,503):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(183,502):{'3_1':0.03,'4_1':0.0},(183,501):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(183,500):{'3_1':0.03,'4_1':0.0},(183,499):{'3_1':0.0,'4_1':0.0},(183,498):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(183,497):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,496):{'3_1':0.06,'7_3':0.0},(183,495):{'3_1':0.06},(183,494):{'3_1':0.0,'3_1#5_2':0.0},(183,493):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(183,492):{'3_1':0.06,'5_2':0.0},(183,491):{'3_1':0.03,'6_3':0.0},(183,490):{'3_1':0.03,'7_3':0.0},(183,489):{'3_1':0.06,'5_2':0.0},(183,488):{'3_1':0.12},(183,487):{'3_1':0.06},(183,486):{'3_1':0.03},(183,485):{'3_1':0.06,'5_2':0.0},(183,484):{'3_1':0.09},(183,483):{'3_1':0.03,'5_2':0.0},(183,482):{'3_1':0.06},(183,481):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(183,479):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(183,478):{'3_1':0.06},(183,477):{'3_1':0.03,'4_1':0.0},(183,476):{'3_1':0.06},(183,475):{'3_1':0.06,'6_3':0.0,'7_1':0.0},(183,474):{'3_1':0.06,'5_1':0.0},(183,473):{'3_1':0.0,'5_1':0.0},(183,472):{'3_1':0.06},(183,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(183,470):{'3_1':0.03},(183,469):{'3_1':0.09},(183,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,467):{'3_1':0.03},(183,466):{'3_1':0.0},(183,465):{'3_1':0.03},(183,464):{'3_1':0.03},(183,463):{'3_1':0.0},(183,462):{'3_1':0.0},(183,461):{'3_1':0.03,'6_2':0.0},(183,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(183,459):{'3_1':0.03},(183,458):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,457):{'3_1':0.03},(183,456):{'3_1':0.03},(183,455):{'3_1':0.03},(183,454):{'3_1':0.0},(183,453):{'3_1':0.06,'4_1':0.0},(183,452):{'3_1':0.0,'4_1':0.0},(183,451):{'3_1':0.03},(183,450):{'3_1':0.03},(183,449):{'3_1':0.03},(183,448):{'3_1':0.06},(183,447):{'3_1':0.0},(183,446):{'3_1':0.0},(183,445):{'3_1':0.0,'4_1':0.0},(183,444):{'3_1':0.03},(183,443):{'3_1':0.03,'5_1':0.0},(183,442):{'3_1':0.0,'5_1':0.0},(183,441):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(183,440):{'3_1':0.06},(183,439):{'3_1':0.0,'4_1':0.0},(183,438):{'3_1':0.03},(183,437):{'3_1':0.03},(183,436):{'3_1':0.03,'4_1':0.0},(183,435):{'3_1':0.0},(183,434):{'3_1':0.03},(183,433):{'3_1':0.03,'5_1':0.0},(183,432):{'3_1':0.09},(183,431):{'3_1':0.03},(183,430):{'3_1':0.0},(183,429):{'3_1':0.03,'5_1':0.0},(183,428):{'3_1':0.03},(183,427):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(183,426):{'3_1':0.03},(183,425):{'3_1':0.03},(183,424):{'3_1':0.03,'4_1':0.0},(183,423):{'3_1':0.0},(183,422):{'3_1':0.03,'6_2':0.0},(183,421):{'3_1':0.0},(183,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(183,419):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(183,418):{'3_1':0.0},(183,417):{'3_1':0.03,'4_1':0.0},(183,416):{'3_1':0.03,'5_2':0.0},(183,415):{'3_1':0.0,'4_1':0.0},(183,414):{'3_1':0.03,'4_1':0.0},(183,413):{'3_1':0.0,'5_2':0.0},(183,412):{'3_1':0.03,'5_1':0.0},(183,411):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(183,410):{'3_1':0.0},(183,409):{'3_1':0.03},(183,408):{'3_1':0.0},(183,407):{'3_1':0.03},(183,406):{'3_1':0.0},(183,405):{'3_1':0.03},(183,404):{'3_1':0.03},(183,403):{'3_1':0.03},(183,402):{'3_1':0.0},(183,401):{'3_1':0.0},(183,400):{'3_1':0.0,'5_2':0.0},(183,399):{'3_1':0.0},(183,398):{'3_1':0.03},(183,397):{'3_1':0.03},(183,396):{'3_1':0.03},(183,395):{'3_1':0.0},(183,394):{'3_1':0.03},(183,390):{'3_1':0.03,'4_1':0.0},(183,389):{'3_1':0.0},(183,388):{'3_1':0.03},(183,387):{'3_1':0.03,'5_2':0.0},(183,386):{'3_1':0.0,'5_2':0.0},(183,385):{'3_1':0.0},(183,384):{'3_1':0.03,'5_1':0.0},(183,383):{'3_1':0.03,'5_1':0.0},(183,382):{'3_1':0.0,'5_2':0.0},(183,381):{'3_1':0.03},(183,380):{'3_1':0.03},(183,379):{'3_1':0.03},(183,378):{'5_1':0.0},(183,377):{'3_1':0.03},(183,376):{'3_1':0.0,'4_1':0.0},(183,375):{'3_1':0.0},(183,374):{'3_1':0.0},(183,373):{'3_1':0.03},(183,372):{'3_1':0.03},(183,371):{'3_1':0.03},(183,370):{'3_1':0.0},(183,369):{'3_1':0.03},(183,368):{'3_1':0.03},(183,367):{'3_1':0.03},(183,366):{'3_1':0.0},(183,365):{'3_1':0.0},(183,364):{'3_1':0.0},(183,363):{'3_1':0.0},(183,362):{'3_1':0.0},(183,361):{'3_1':0.0},(183,360):{'3_1':0.0},(183,359):{'3_1':0.03},(183,358):{'3_1':0.0},(183,357):{'3_1':0.0,'4_1':0.0},(183,356):{'3_1':0.0},(183,355):{'3_1':0.0},(183,352):{'3_1':0.0},(183,351):{'3_1':0.0},(183,350):{'3_1':0.0},(183,349):{'3_1':0.0},(183,348):{'3_1':0.03},(183,347):{'3_1':0.0},(183,346):{'3_1':0.0},(183,345):{'3_1':0.0},(183,344):{'3_1':0.0},(183,343):{'3_1':0.0},(183,342):{'3_1':0.0},(183,341):{'4_1':0.0},(183,340):{'3_1':0.0,'5_1':0.0},(183,339):{'3_1':0.0},(183,337):{'3_1':0.0},(183,336):{'5_1':0.0},(183,334):{'3_1':0.0},(183,333):{'3_1':0.0},(183,331):{'3_1':0.0,'5_1':0.0},(183,330):{'3_1':0.0},(183,328):{'3_1':0.0,'4_1':0.0},(183,327):{'3_1':0.0},(183,326):{'3_1':0.0},(183,325):{'3_1':0.0},(183,324):{'3_1':0.0,'5_1':0.0},(183,323):{'3_1':0.0,'5_1':0.0},(183,322):{'3_1':0.0},(183,321):{'3_1':0.0},(183,320):{'3_1':0.0},(183,319):{'3_1':0.0},(183,318):{'3_1':0.0,'4_1':0.0},(183,315):{'3_1':0.0},(183,313):{'3_1':0.0},(183,311):{'3_1':0.0},(183,310):{'3_1':0.0},(183,309):{'3_1':0.0},(183,308):{'3_1':0.0},(183,307):{'3_1':0.0},(183,306):{'3_1':0.0},(183,305):{'3_1':0.0},(183,304):{'4_1':0.0},(183,303):{'3_1':0.0},(183,302):{'3_1':0.0},(183,301):{'3_1':0.0},(183,300):{'3_1':0.0},(183,298):{'3_1':0.0},(183,284):{'3_1':0.0},(183,283):{'3_1':0.0},(183,282):{'3_1':0.0},(183,278):{'3_1':0.0},(183,274):{'3_1':0.0},(183,272):{'3_1':0.0},(183,271):{'5_2':0.0},(183,269):{'3_1':0.0},(183,264):{'3_1':0.0},(183,248):{'3_1':0.0},(183,242):{'3_1':0.0},(183,238):{'3_1':0.0},(183,236):{'3_1':0.0},(183,230):{'3_1':0.0},(184,727):{'5_2':0.12,'6_1':0.06,'7_5':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(184,726):{'5_2':0.12,'7_2':0.06,'6_1':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_10':0.0},(184,725):{'5_2':0.12,'7_2':0.06,'7_5':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'8_8':0.0,'8_11':0.0},(184,724):{'5_2':0.15,'7_2':0.06,'4_1':0.03,'3_1':0.03,'6_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(184,723):{'5_2':0.09,'3_1':0.09,'7_2':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'8_11':0.0},(184,722):{'5_2':0.12,'3_1':0.06,'7_2':0.06,'6_1':0.03,'4_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(184,721):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'3_1':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(184,720):{'5_2':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_14':0.0},(184,719):{'5_2':0.15,'7_2':0.06,'3_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(184,718):{'5_2':0.09,'7_2':0.03,'6_1':0.0,'-3':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(184,717):{'5_2':0.09,'7_2':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.0,'3_1':0.0,'7_6':0.0,'7_3':0.0,'-3':0.0},(184,716):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(184,715):{'5_2':0.12,'3_1':0.06,'7_2':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_3':0.0},(184,714):{'5_2':0.15,'7_2':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(184,713):{'5_2':0.12,'7_2':0.03,'4_1':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(184,712):{'5_2':0.12,'3_1':0.06,'-3':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(184,711):{'5_2':0.12,'-3':0.06,'7_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0},(184,710):{'5_2':0.12,'3_1':0.09,'-3':0.06,'7_2':0.03,'7_5':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'8_11':0.0},(184,709):{'-3':0.09,'5_2':0.06,'7_5':0.06,'3_1':0.06,'7_2':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_3':0.0},(184,708):{'5_2':0.09,'3_1':0.06,'6_2':0.03,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(184,707):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0},(184,706):{'5_2':0.12,'4_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0},(184,705):{'5_2':0.18,'6_1':0.06,'7_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0},(184,704):{'5_2':0.15,'7_3':0.06,'3_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'8_3':0.0},(184,703):{'5_2':0.15,'3_1':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'3_1#5_2':0.0},(184,702):{'5_2':0.18,'7_2':0.06,'7_4':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_8':0.0,'8_13':0.0},(184,701):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.03,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(184,700):{'5_2':0.21,'4_1':0.09,'3_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(184,699):{'5_2':0.12,'7_2':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(184,698):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(184,697):{'5_2':0.21,'-3':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(184,696):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(184,695):{'5_2':0.18,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(184,694):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0},(184,693):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_2':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(184,692):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(184,691):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0},(184,690):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_13':0.0},(184,689):{'5_2':0.12,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0},(184,688):{'5_2':0.12,'4_1':0.06,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_13':0.0},(184,687):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(184,686):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,685):{'5_2':0.12,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_7':0.0,'8_3':0.0,'8_11':0.0},(184,684):{'5_2':0.09,'3_1':0.09,'6_1':0.03,'-3':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(184,683):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_15':0.0},(184,682):{'3_1':0.15,'5_2':0.09,'8_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0},(184,681):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_3':0.06,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(184,680):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(184,679):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0,'-3':0.0},(184,678):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(184,677):{'5_2':0.15,'7_3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'-3':0.0},(184,676):{'5_2':0.15,'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(184,675):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(184,674):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(184,673):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(184,672):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(184,671):{'5_2':0.15,'3_1':0.09,'7_4':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(184,670):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(184,669):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(184,668):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(184,667):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(184,666):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,665):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(184,664):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,663):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'7_5':0.0},(184,662):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'7_2':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(184,661):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(184,660):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'8_14':0.0,'-3':0.0},(184,659):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(184,658):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(184,657):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(184,656):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0},(184,655):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_7':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(184,654):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(184,653):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,652):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'3_1#5_1':0.0},(184,651):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(184,650):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(184,649):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(184,648):{'3_1':0.24,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(184,647):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(184,646):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(184,645):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(184,644):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(184,643):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(184,642):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,641):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'8_19':0.0,'7_3':0.0},(184,640):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0,'8_19':0.0},(184,639):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'8_19':0.0,'-3':0.0},(184,638):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'8_19':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(184,637):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(184,636):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'-3':0.0},(184,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(184,634):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(184,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(184,632):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(184,631):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(184,630):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(184,629):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(184,628):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(184,627):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(184,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(184,625):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(184,624):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(184,623):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(184,622):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(184,621):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(184,620):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0},(184,619):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(184,618):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(184,617):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(184,616):{'3_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(184,615):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(184,614):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(184,613):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(184,612):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(184,611):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(184,610):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(184,609):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_7':0.0},(184,608):{'3_1':0.12,'5_1':0.0},(184,607):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(184,606):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(184,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(184,604):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(184,603):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(184,602):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(184,601):{'3_1':0.09,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(184,600):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(184,599):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_5':0.0},(184,598):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(184,597):{'3_1':0.09,'4_1':0.0},(184,596):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(184,595):{'3_1':0.09,'4_1':0.0},(184,594):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,593):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(184,592):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(184,591):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(184,590):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(184,589):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(184,588):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(184,587):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(184,586):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(184,585):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(184,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(184,583):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(184,582):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(184,581):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(184,580):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,579):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,578):{'3_1':0.06,'4_1':0.0},(184,577):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(184,576):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(184,575):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(184,574):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(184,573):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(184,572):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,571):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(184,570):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(184,569):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(184,568):{'3_1':0.09,'5_2':0.0},(184,567):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(184,566):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(184,565):{'3_1':0.06,'4_1':0.0,'7_4':0.0,'5_2':0.0},(184,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(184,563):{'3_1':0.09,'5_1':0.0},(184,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(184,561):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(184,560):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(184,559):{'3_1':0.09,'4_1':0.0},(184,558):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(184,557):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(184,556):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(184,555):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0},(184,554):{'3_1':0.12,'5_1':0.0},(184,553):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(184,552):{'3_1':0.12,'5_1':0.0,'7_4':0.0},(184,551):{'3_1':0.15,'5_2':0.0},(184,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(184,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(184,548):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(184,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,546):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(184,545):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(184,544):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0},(184,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(184,542):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(184,541):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(184,540):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(184,539):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(184,538):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_1':0.0},(184,537):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0},(184,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(184,535):{'3_1':0.24,'5_1':0.0,'6_2':0.0},(184,534):{'3_1':0.18,'5_2':0.0},(184,533):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(184,532):{'3_1':0.18},(184,531):{'3_1':0.12,'5_1':0.0},(184,530):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(184,529):{'3_1':0.15,'4_1':0.0},(184,528):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(184,527):{'3_1':0.09,'5_1':0.0},(184,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(184,525):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(184,524):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0},(184,523):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(184,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,521):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(184,520):{'3_1':0.03,'5_1':0.0},(184,519):{'3_1':0.09,'5_2':0.0},(184,518):{'3_1':0.12,'5_1':0.0},(184,517):{'3_1':0.06},(184,516):{'3_1':0.18},(184,515):{'3_1':0.06},(184,514):{'3_1':0.09,'8_20|3_1#3_1':0.0},(184,513):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(184,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(184,511):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(184,510):{'3_1':0.06,'5_1':0.0},(184,509):{'3_1':0.12,'5_2':0.0},(184,508):{'3_1':0.06,'5_2':0.0},(184,507):{'3_1':0.03},(184,506):{'3_1':0.03},(184,505):{'3_1':0.03,'5_2':0.0},(184,504):{'3_1':0.09,'4_1':0.0},(184,503):{'3_1':0.03,'4_1':0.0},(184,502):{'3_1':0.06,'5_1':0.0},(184,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(184,500):{'3_1':0.03,'4_1':0.0},(184,499):{'3_1':0.06},(184,498):{'3_1':0.06,'4_1':0.0},(184,497):{'3_1':0.06},(184,496):{'3_1':0.03,'4_1':0.0},(184,495):{'3_1':0.03},(184,494):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(184,493):{'3_1':0.06},(184,492):{'3_1':0.03},(184,491):{'3_1':0.06},(184,490):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(184,489):{'3_1':0.06},(184,488):{'3_1':0.06},(184,487):{'3_1':0.09},(184,486):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,485):{'3_1':0.09},(184,484):{'3_1':0.06,'5_2':0.0},(184,483):{'3_1':0.09,'5_1':0.0},(184,482):{'3_1':0.06,'5_2':0.0},(184,481):{'3_1':0.09,'4_1':0.0},(184,480):{'3_1':0.06,'5_2':0.0},(184,479):{'3_1':0.12,'5_2':0.0},(184,478):{'3_1':0.09,'5_2':0.0},(184,477):{'3_1':0.09},(184,476):{'3_1':0.09,'5_1':0.0},(184,475):{'3_1':0.09,'5_1':0.0},(184,474):{'3_1':0.06,'4_1':0.0},(184,473):{'3_1':0.06},(184,472):{'3_1':0.09},(184,471):{'3_1':0.12},(184,470):{'3_1':0.06,'5_2':0.0},(184,469):{'3_1':0.0,'5_1':0.0},(184,468):{'3_1':0.03,'4_1':0.0},(184,467):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,466):{'3_1':0.03,'4_1':0.0},(184,465):{'3_1':0.0,'4_1':0.0},(184,464):{'3_1':0.09},(184,463):{'3_1':0.03,'4_1':0.0},(184,462):{'3_1':0.0},(184,461):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(184,460):{'3_1':0.0,'5_1':0.0},(184,459):{'3_1':0.0},(184,458):{'3_1':0.0},(184,457):{'3_1':0.03,'4_1':0.0},(184,456):{'3_1':0.06},(184,455):{'3_1':0.03},(184,454):{'3_1':0.0,'4_1':0.0},(184,453):{'3_1':0.0,'5_1':0.0},(184,452):{'3_1':0.03,'7_3':0.0},(184,451):{'3_1':0.0,'4_1':0.0},(184,450):{'3_1':0.03,'5_1':0.0},(184,449):{'3_1':0.03},(184,448):{'3_1':0.03,'5_1':0.0},(184,447):{'3_1':0.0},(184,446):{'3_1':0.03},(184,445):{'3_1':0.06,'6_2':0.0},(184,444):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(184,443):{'3_1':0.0},(184,442):{'3_1':0.03,'4_1':0.0},(184,441):{'3_1':0.06},(184,440):{'3_1':0.03},(184,439):{'3_1':0.0,'7_1':0.0},(184,438):{'3_1':0.0},(184,437):{'3_1':0.0},(184,436):{'3_1':0.03},(184,435):{'3_1':0.03},(184,434):{'3_1':0.03,'4_1':0.0},(184,433):{'3_1':0.0},(184,432):{'3_1':0.03,'4_1':0.0},(184,431):{'3_1':0.03},(184,430):{'3_1':0.03},(184,429):{'3_1':0.0},(184,428):{'3_1':0.0},(184,427):{'3_1':0.06,'4_1':0.03},(184,426):{'3_1':0.03,'4_1':0.0},(184,425):{'3_1':0.0},(184,424):{'3_1':0.06,'4_1':0.0},(184,423):{'3_1':0.03,'5_2':0.0},(184,422):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(184,421):{'3_1':0.0},(184,420):{'3_1':0.03,'4_1':0.0},(184,419):{'3_1':0.06,'5_2':0.0},(184,418):{'3_1':0.03,'4_1':0.0},(184,417):{'3_1':0.0},(184,416):{'3_1':0.03},(184,415):{'4_1':0.0,'3_1':0.0},(184,414):{'3_1':0.0,'5_1':0.0},(184,413):{'4_1':0.0},(184,412):{'3_1':0.0},(184,411):{'3_1':0.0},(184,410):{'3_1':0.0},(184,409):{'3_1':0.03},(184,408):{'3_1':0.0,'4_1':0.0},(184,407):{'3_1':0.0},(184,406):{'3_1':0.0},(184,405):{'3_1':0.03},(184,404):{'3_1':0.0},(184,403):{'3_1':0.0},(184,401):{'3_1':0.0},(184,400):{'3_1':0.03,'5_1':0.0},(184,399):{'3_1':0.0},(184,398):{'3_1':0.06},(184,397):{'3_1':0.0},(184,396):{'3_1':0.0},(184,395):{'3_1':0.03},(184,394):{'3_1':0.0},(184,393):{'3_1':0.06},(184,392):{'3_1':0.03},(184,391):{'3_1':0.03},(184,390):{'3_1':0.0},(184,389):{'3_1':0.0},(184,388):{'3_1':0.03},(184,387):{'3_1':0.0},(184,386):{'3_1':0.0},(184,385):{'3_1':0.03},(184,384):{'3_1':0.03,'4_1':0.0},(184,383):{'3_1':0.0},(184,382):{'3_1':0.03,'6_2':0.0},(184,381):{'3_1':0.03},(184,380):{'3_1':0.03},(184,379):{'3_1':0.0},(184,378):{'3_1':0.06,'6_1':0.0},(184,377):{'3_1':0.03,'5_1':0.0},(184,376):{'3_1':0.03},(184,375):{'3_1':0.03},(184,374):{'3_1':0.0},(184,373):{'3_1':0.03},(184,372):{'3_1':0.0,'4_1':0.0},(184,371):{'3_1':0.0},(184,370):{'7_1':0.0},(184,369):{'3_1':0.06},(184,368):{'3_1':0.03,'-3':0.0},(184,367):{'4_1':0.0,'7_1':0.0},(184,366):{'3_1':0.0},(184,365):{'3_1':0.0,'4_1':0.0},(184,364):{'3_1':0.0},(184,363):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(184,362):{'3_1':0.0,'5_1':0.0},(184,361):{'3_1':0.0},(184,360):{'3_1':0.0,'7_1':0.0},(184,359):{'3_1':0.0,'4_1':0.0},(184,358):{'3_1':0.03},(184,357):{'3_1':0.0},(184,356):{'3_1':0.0},(184,355):{'3_1':0.0},(184,354):{'3_1':0.0},(184,353):{'3_1':0.0},(184,352):{'3_1':0.0},(184,351):{'3_1':0.0},(184,350):{'3_1':0.03},(184,349):{'3_1':0.0},(184,348):{'3_1':0.0},(184,347):{'3_1':0.0},(184,346):{'3_1':0.0},(184,345):{'3_1':0.0},(184,344):{'3_1':0.0},(184,342):{'3_1':0.0},(184,341):{'3_1':0.0},(184,339):{'3_1':0.0},(184,337):{'3_1':0.0},(184,336):{'3_1':0.0},(184,334):{'3_1':0.0},(184,333):{'3_1':0.0},(184,331):{'3_1':0.0},(184,330):{'3_1':0.0},(184,329):{'3_1':0.0,'4_1':0.0},(184,328):{'3_1':0.0},(184,327):{'3_1':0.0},(184,326):{'3_1':0.0},(184,325):{'3_1':0.0},(184,324):{'3_1':0.0},(184,323):{'3_1':0.0},(184,322):{'3_1':0.0},(184,321):{'3_1':0.0},(184,320):{'3_1':0.0},(184,318):{'3_1':0.0},(184,317):{'3_1':0.0},(184,316):{'3_1':0.0},(184,315):{'3_1':0.03},(184,313):{'3_1':0.03},(184,311):{'3_1':0.03},(184,309):{'3_1':0.0},(184,308):{'3_1':0.0},(184,306):{'3_1':0.0,'4_1':0.0},(184,304):{'3_1':0.03},(184,303):{'3_1':0.0},(184,302):{'3_1':0.0},(184,301):{'3_1':0.0},(184,300):{'3_1':0.0},(184,299):{'3_1':0.0},(184,298):{'3_1':0.0},(184,283):{'3_1':0.0},(184,282):{'3_1':0.0},(184,281):{'3_1':0.0},(184,271):{'3_1':0.0},(184,270):{'3_1':0.0},(184,268):{'3_1':0.0},(184,267):{'3_1':0.0},(184,245):{'3_1':0.0},(184,243):{'3_1':0.0},(184,235):{'3_1':0.0},(184,231):{'3_1':0.0},(184,230):{'3_1':0.0},(184,229):{'3_1':0.0},(185,727):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_5':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(185,726):{'5_2':0.09,'7_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'8_14':0.0},(185,725):{'5_2':0.12,'7_3':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0},(185,724):{'5_2':0.18,'7_2':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(185,723):{'5_2':0.15,'7_2':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(185,722):{'5_2':0.12,'4_1':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(185,721):{'5_2':0.09,'7_6':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0},(185,720):{'5_2':0.12,'7_2':0.06,'3_1':0.0,'6_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0},(185,719):{'5_2':0.21,'7_2':0.06,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(185,718):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.03,'8_3':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(185,717):{'5_2':0.15,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(185,716):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(185,715):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(185,714):{'5_2':0.15,'7_4':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_19':0.0,'-3':0.0},(185,713):{'5_2':0.18,'7_2':0.03,'3_1':0.03,'4_1':0.03,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0},(185,712):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(185,711):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0},(185,710):{'5_2':0.09,'3_1':0.09,'-3':0.06,'7_5':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_10':0.0},(185,709):{'-3':0.12,'7_5':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.0},(185,708):{'5_2':0.15,'3_1':0.06,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_6':0.0,'6_2':0.0,'8_3':0.0},(185,707):{'5_2':0.12,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(185,706):{'5_2':0.15,'7_3':0.06,'7_4':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(185,705):{'5_2':0.09,'-3':0.03,'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'1':-0.03},(185,704):{'5_2':0.24,'-3':0.03,'7_2':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_3':0.0},(185,703):{'5_2':0.18,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0},(185,702):{'5_2':0.21,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0,'8_4':0.0},(185,701):{'5_2':0.15,'7_4':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'8_14':0.0},(185,700):{'5_2':0.24,'3_1':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(185,699):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(185,698):{'5_2':0.18,'7_2':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_9':0.0,'8_11':0.0},(185,697):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(185,696):{'5_2':0.21,'3_1':0.06,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(185,695):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_13':0.0,'3_1#5_2':0.0},(185,694):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(185,693):{'5_2':0.18,'3_1':0.09,'7_2':0.03,'6_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0},(185,692):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(185,691):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(185,690):{'5_2':0.18,'3_1':0.12,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'8_3':0.0,'8_13':0.0},(185,689):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(185,688):{'5_2':0.09,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(185,687):{'5_2':0.18,'3_1':0.06,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_13':0.0},(185,686):{'5_2':0.18,'3_1':0.15,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(185,685):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0},(185,684):{'5_2':0.12,'3_1':0.09,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(185,683):{'3_1':0.15,'5_2':0.15,'7_4':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(185,682):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(185,681):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(185,680):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(185,679):{'5_2':0.15,'3_1':0.06,'7_2':0.0,'7_3':0.0,'4_1':0.0,'8_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(185,678):{'5_2':0.15,'3_1':0.06,'7_3':0.03,'4_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(185,677):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0,'8_4':0.0,'8_15':0.0,'-3':0.0},(185,676):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(185,675):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(185,674):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_4':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0},(185,673):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(185,672):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(185,671):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_7':0.0,'8_11':0.0},(185,670):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(185,669):{'3_1':0.12,'5_2':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_2':0.0,'8_11':0.0},(185,668):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0},(185,667):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(185,666):{'5_2':0.15,'3_1':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_8':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(185,665):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0},(185,664):{'3_1':0.15,'5_2':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(185,663):{'5_2':0.18,'3_1':0.12,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(185,662):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_7':0.0,'8_19':0.0},(185,661):{'3_1':0.18,'5_2':0.12,'7_3':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(185,660):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(185,659):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(185,658):{'3_1':0.24,'5_2':0.12,'4_1':0.0,'-3':0.0,'7_3':0.0},(185,657):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_7':0.0,'7_3':0.0},(185,656):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(185,655):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(185,654):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_7':0.0},(185,653):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_11':0.0,'7_4':0.0},(185,652):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(185,651):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(185,650):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(185,649):{'3_1':0.12,'5_1':0.09,'5_2':0.09,'4_1':0.03,'7_1':0.0},(185,648):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(185,647):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(185,646):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_7':0.0},(185,645):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(185,644):{'3_1':0.27,'5_2':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(185,643):{'3_1':0.27,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(185,642):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(185,641):{'3_1':0.27,'5_1':0.09,'5_2':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'7_7':0.0,'8_16':0.0},(185,640):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(185,639):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(185,638):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(185,637):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(185,636):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0},(185,635):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(185,634):{'3_1':0.18,'5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(185,633):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(185,632):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(185,631):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'8_11':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(185,630):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0},(185,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0},(185,628):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0},(185,627):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(185,626):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_5':0.0,'7_1':0.0},(185,625):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_7':0.0},(185,624):{'3_1':0.18,'4_1':0.0},(185,623):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(185,622):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(185,621):{'3_1':0.21,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(185,620):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(185,619):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0},(185,618):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(185,617):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(185,616):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0,'5_1':0.0},(185,615):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(185,614):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(185,613):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_16':0.0},(185,612):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(185,611):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_11':0.0},(185,610):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(185,609):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(185,608):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(185,607):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(185,606):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(185,605):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_14':0.0},(185,604):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0},(185,603):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(185,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(185,601):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(185,600):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(185,599):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_6':0.0},(185,598):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(185,597):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_4':0.0},(185,596):{'3_1':0.03,'4_1':0.03,'-3':0.0},(185,595):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(185,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,593):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(185,592):{'3_1':0.03,'4_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(185,591):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(185,590):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(185,589):{'3_1':0.06,'5_1':0.0},(185,588):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(185,587):{'3_1':0.09,'6_2':0.0,'7_6':0.0},(185,586):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(185,585):{'3_1':0.12,'4_1':0.0},(185,584):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(185,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,582):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(185,581):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(185,580):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,579):{'3_1':0.06,'6_2':0.0},(185,578):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(185,577):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(185,576):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(185,575):{'3_1':0.09,'5_2':0.0},(185,574):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(185,573):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(185,572):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(185,571):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(185,570):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(185,569):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,568):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(185,567):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(185,566):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(185,565):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0},(185,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,563):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(185,562):{'3_1':0.15,'5_1':0.0},(185,561):{'3_1':0.12,'4_1':0.03,'7_1':0.0},(185,560):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(185,559):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(185,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(185,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,556):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(185,555):{'3_1':0.12,'5_1':0.0},(185,554):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(185,553):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'-3':0.0},(185,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(185,551):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(185,550):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(185,549):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(185,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(185,547):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(185,546):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(185,545):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(185,544):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(185,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,542):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(185,541):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(185,540):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(185,539):{'3_1':0.21,'4_1':0.0},(185,538):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(185,537):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(185,536):{'3_1':0.27,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(185,535):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_4':0.0},(185,534):{'3_1':0.15,'5_1':0.0,'8_11':0.0},(185,533):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(185,532):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(185,531):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(185,530):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(185,529):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(185,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(185,527):{'3_1':0.12,'5_1':0.0},(185,526):{'3_1':0.09,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0},(185,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(185,524):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(185,523):{'3_1':0.12,'5_1':0.0},(185,522):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(185,521):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(185,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(185,519):{'3_1':0.06,'4_1':0.0,'-3':0.0},(185,518):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(185,517):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(185,516):{'3_1':0.06,'5_1':0.0},(185,515):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(185,514):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(185,513):{'3_1':0.03,'5_1':0.0},(185,512):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(185,511):{'3_1':0.03},(185,510):{'3_1':0.06,'4_1':0.0},(185,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(185,508):{'3_1':0.03,'5_1':0.0},(185,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,506):{'3_1':0.03},(185,505):{'3_1':0.06,'4_1':0.0},(185,504):{'3_1':0.06},(185,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(185,502):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(185,501):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(185,500):{'3_1':0.03},(185,499):{'3_1':0.0,'5_1':0.0},(185,498):{'3_1':0.06,'4_1':0.0},(185,497):{'3_1':0.09},(185,496):{'3_1':0.03},(185,495):{'3_1':0.03},(185,494):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(185,493):{'3_1':0.06,'5_1':0.0},(185,492):{'3_1':0.0},(185,491):{'3_1':0.06},(185,490):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(185,489):{'3_1':0.0,'5_1':0.0},(185,488):{'3_1':0.06,'5_1':0.0},(185,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(185,486):{'3_1':0.06},(185,485):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(185,484):{'3_1':0.09},(185,483):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(185,482):{'3_1':0.06,'9_1':0.0},(185,481):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(185,480):{'3_1':0.03},(185,479):{'3_1':0.06},(185,478):{'3_1':0.09},(185,477):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(185,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(185,475):{'3_1':0.06,'5_1':0.0},(185,474):{'3_1':0.12,'5_2':0.0},(185,473):{'3_1':0.06,'5_1':0.0},(185,472):{'3_1':0.12,'4_1':0.0},(185,471):{'3_1':0.03,'4_1':0.0},(185,470):{'3_1':0.0,'5_1':0.0},(185,469):{'3_1':0.03,'4_1':0.0},(185,468):{'3_1':0.09,'5_1':0.0},(185,467):{'3_1':0.03},(185,466):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(185,465):{'3_1':0.06},(185,464):{'3_1':0.06},(185,463):{'3_1':0.0,'5_2':0.0},(185,462):{'3_1':0.0,'4_1':0.0},(185,461):{'3_1':0.0,'4_1':0.0},(185,460):{'3_1':0.03},(185,459):{'3_1':0.06,'5_2':0.0},(185,458):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(185,457):{'3_1':0.03},(185,456):{'3_1':0.06},(185,455):{'3_1':0.0},(185,454):{'3_1':0.03},(185,453):{'3_1':0.03},(185,452):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(185,451):{'3_1':0.0,'7_1':0.0},(185,450):{'3_1':0.03},(185,449):{'3_1':0.09,'6_2':0.0},(185,448):{'3_1':0.03,'5_1':0.0},(185,447):{'3_1':0.0,'5_1':0.0},(185,446):{'3_1':0.0},(185,445):{'3_1':0.03,'4_1':0.0},(185,444):{'3_1':0.06,'4_1':0.0},(185,443):{'3_1':0.06},(185,442):{'3_1':0.03},(185,441):{'3_1':0.03,'5_2':0.0},(185,440):{'3_1':0.03,'5_1':0.0},(185,439):{'3_1':0.06},(185,438):{'3_1':0.03},(185,437):{'3_1':0.03},(185,436):{'3_1':0.03},(185,435):{'3_1':0.03},(185,434):{'3_1':0.0},(185,433):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(185,432):{'3_1':0.03,'5_1':0.0},(185,431):{'3_1':0.0,'4_1':0.0},(185,430):{'3_1':0.0},(185,429):{'3_1':0.0,'4_1':0.0},(185,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(185,427):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(185,426):{'3_1':0.03,'4_1':0.0},(185,425):{'3_1':0.0,'4_1':0.0},(185,424):{'3_1':0.03},(185,423):{'3_1':0.06},(185,422):{'3_1':0.03,'4_1':0.0},(185,421):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(185,420):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(185,419):{'3_1':0.09,'4_1':0.0},(185,418):{'3_1':0.0,'5_2':0.0},(185,417):{'3_1':0.06,'4_1':0.0},(185,416):{'3_1':0.0,'4_1':0.0},(185,415):{'3_1':0.03,'4_1':0.0},(185,414):{'3_1':0.0},(185,413):{'3_1':0.0,'9_1':0.0},(185,412):{'3_1':0.0,'5_1':0.0},(185,411):{'3_1':0.0},(185,410):{'3_1':0.0},(185,409):{'3_1':0.0,'5_1':0.0},(185,408):{'3_1':0.03,'4_1':0.0},(185,407):{'3_1':0.0},(185,406):{'3_1':0.0},(185,405):{'3_1':0.0},(185,404):{'3_1':0.0,'4_1':0.0},(185,403):{'3_1':0.0},(185,402):{'3_1':0.03},(185,401):{'3_1':0.0},(185,400):{'3_1':0.03},(185,399):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(185,398):{'3_1':0.03},(185,397):{'3_1':0.06},(185,396):{'3_1':0.03,'5_1':0.0},(185,395):{'3_1':0.03},(185,394):{'3_1':0.0},(185,393):{'3_1':0.0,'5_2':0.0},(185,392):{'3_1':0.0},(185,391):{'3_1':0.0},(185,390):{'3_1':0.0,'5_1':0.0},(185,389):{'3_1':0.0},(185,388):{'3_1':0.03,'5_2':0.0},(185,387):{'3_1':0.0},(185,386):{'3_1':0.0},(185,385):{'3_1':0.0},(185,384):{'3_1':0.0,'8_1':0.0},(185,383):{'5_1':0.0},(185,382):{'3_1':0.0},(185,381):{'3_1':0.03},(185,380):{'3_1':0.03},(185,379):{'3_1':0.03},(185,378):{'3_1':0.03},(185,377):{'3_1':0.0},(185,376):{'3_1':0.03},(185,375):{'3_1':0.03},(185,374):{'3_1':0.0,'7_1':0.0},(185,373):{'3_1':0.03},(185,372):{'3_1':0.0},(185,371):{'3_1':0.0},(185,370):{'3_1':0.0},(185,369):{'3_1':0.0},(185,368):{'3_1':0.03,'5_2':0.0},(185,367):{'3_1':0.03},(185,365):{'3_1':0.03},(185,364):{'3_1':0.0},(185,363):{'3_1':0.0,'5_1':0.0},(185,362):{'3_1':0.0},(185,361):{'3_1':0.0},(185,359):{'3_1':0.0},(185,358):{'3_1':0.0},(185,356):{'3_1':0.0},(185,355):{'3_1':0.0,'4_1':0.0},(185,354):{'3_1':0.0},(185,353):{'3_1':0.0},(185,351):{'3_1':0.0},(185,350):{'3_1':0.0},(185,349):{'3_1':0.03},(185,347):{'3_1':0.0},(185,346):{'3_1':0.0},(185,345):{'3_1':0.0},(185,344):{'3_1':0.0,'4_1':0.0},(185,343):{'3_1':0.0},(185,342):{'3_1':0.0},(185,341):{'3_1':0.0},(185,333):{'3_1':0.0},(185,332):{'3_1':0.0},(185,330):{'3_1':0.03},(185,329):{'3_1':0.0},(185,326):{'3_1':0.0},(185,324):{'4_1':0.0},(185,323):{'3_1':0.0,'4_1':0.0},(185,322):{'3_1':0.0},(185,321):{'3_1':0.0},(185,320):{'3_1':0.0},(185,319):{'3_1':0.0},(185,317):{'3_1':0.0},(185,316):{'3_1':0.03},(185,314):{'3_1':0.0},(185,313):{'3_1':0.0},(185,311):{'3_1':0.0},(185,310):{'3_1':0.0},(185,309):{'3_1':0.0},(185,307):{'3_1':0.0},(185,306):{'3_1':0.0},(185,305):{'3_1':0.0},(185,304):{'3_1':0.0},(185,303):{'3_1':0.0},(185,302):{'3_1':0.0},(185,301):{'3_1':0.0},(185,300):{'3_1':0.0},(185,299):{'3_1':0.0},(185,298):{'3_1':0.06},(185,283):{'3_1':0.0},(185,282):{'3_1':0.0},(185,281):{'3_1':0.0},(185,279):{'3_1':0.0},(185,278):{'3_1':0.0},(185,274):{'3_1':0.0},(185,269):{'3_1':0.0},(185,249):{'3_1':0.0},(185,246):{'3_1':0.0},(185,243):{'3_1':0.0},(185,241):{'3_1':0.0},(185,234):{'3_1':0.0},(185,232):{'3_1':0.0},(185,231):{'3_1':0.0},(185,230):{'3_1':0.0},(185,201):{'3_1':0.0},(186,727):{'5_2':0.12,'-3':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(186,726):{'5_2':0.15,'6_1':0.06,'7_5':0.03,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(186,725):{'5_2':0.12,'-3':0.06,'4_1':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.0,'3_1':0.0,'7_4':0.0,'8_8':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0},(186,724):{'5_2':0.15,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(186,723):{'5_2':0.15,'7_5':0.06,'6_1':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(186,722):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(186,721):{'5_2':0.21,'-3':0.03,'6_1':0.0,'7_5':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'3_1#5_2':0.0},(186,720):{'5_2':0.15,'7_2':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_8':0.0},(186,719):{'5_2':0.18,'6_1':0.03,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(186,718):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_18':0.0},(186,717):{'5_2':0.15,'7_2':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'3_1':0.0,'7_5':0.0,'8_13':0.0},(186,716):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_6':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'8_1':0.0,'8_3':0.0},(186,715):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(186,714):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'3_1':0.0,'4_1':0.0,'8_14':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(186,713):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(186,712):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_5':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(186,711):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0},(186,710):{'-3':0.09,'5_2':0.09,'7_5':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(186,709):{'3_1':0.12,'5_2':0.09,'7_5':0.09,'-3':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'9_1':0.0},(186,708):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'1':-0.03},(186,707):{'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0},(186,706):{'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0,'-3':0.0},(186,705):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(186,704):{'5_2':0.12,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'8_6':0.0},(186,703):{'5_2':0.12,'7_2':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0},(186,702):{'5_2':0.12,'7_3':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0},(186,701):{'5_2':0.21,'6_1':0.06,'4_1':0.03,'7_6':0.03,'7_3':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_11':0.0},(186,700):{'5_2':0.21,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(186,699):{'5_2':0.21,'7_4':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(186,698):{'5_2':0.12,'7_3':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_1':0.0,'5_1':0.0,'8_11':0.0},(186,697):{'5_2':0.15,'3_1':0.06,'-3':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(186,696):{'5_2':0.12,'3_1':0.09,'7_3':0.06,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(186,695):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_14':0.0},(186,694):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(186,693):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(186,692):{'5_2':0.15,'7_4':0.06,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(186,691):{'5_2':0.09,'3_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0},(186,690):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.03,'-3':0.03,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0,'5_1':0.0},(186,689):{'5_2':0.18,'3_1':0.06,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0},(186,688):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(186,687):{'5_2':0.15,'3_1':0.09,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(186,686):{'5_2':0.12,'3_1':0.06,'-3':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'8_12':0.0,'8_15':0.0},(186,685):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(186,684):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_5':0.0},(186,683):{'3_1':0.12,'5_2':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(186,682):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(186,681):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_12':0.0},(186,680):{'5_2':0.12,'3_1':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(186,679):{'5_2':0.18,'3_1':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0},(186,678):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(186,677):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(186,676):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(186,675):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'8_3':0.0},(186,674):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(186,673):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'8_11':0.0,'-3':0.0},(186,672):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(186,671):{'5_2':0.15,'3_1':0.12,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(186,670):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(186,669):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(186,668):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(186,667):{'5_2':0.18,'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(186,666):{'3_1':0.09,'5_2':0.09,'7_3':0.03,'5_1':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_2':0.0,'8_1':0.0},(186,665):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(186,664):{'3_1':0.18,'5_2':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'7_6':0.0,'8_11':0.0},(186,663):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'8_11':0.0,'-3':0.0},(186,662):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0},(186,661):{'3_1':0.21,'4_1':0.06,'7_3':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0},(186,660):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0},(186,659):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(186,658):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0},(186,657):{'3_1':0.3,'5_2':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(186,656):{'3_1':0.24,'5_2':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0},(186,655):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0},(186,654):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0},(186,653):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(186,652):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(186,651):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(186,650):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_11':0.0,'8_19':0.0},(186,649):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(186,648):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(186,647):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(186,646):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_1':0.0,'6_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(186,645):{'3_1':0.18,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(186,644):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'7_5':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(186,643):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(186,642):{'3_1':0.24,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(186,641):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(186,640):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(186,639):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_1':0.0},(186,638):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(186,637):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_10':0.0},(186,636):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(186,635):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(186,634):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0},(186,633):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0},(186,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,631):{'3_1':0.27,'5_2':0.0,'7_1':0.0,'5_1':0.0,'7_4':0.0},(186,630):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(186,629):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(186,628):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(186,627):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(186,626):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(186,625):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0},(186,624):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0},(186,623):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(186,622):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_13':0.0},(186,621):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(186,620):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(186,619):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(186,618):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'3_1#5_1':0.0},(186,617):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0},(186,616):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(186,615):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'3_1#5_1':0.0,'-3':0.0},(186,614):{'3_1':0.21,'5_2':0.0,'6_1':0.0},(186,613):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(186,612):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(186,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,610):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'-3':0.0},(186,609):{'3_1':0.12,'4_1':0.0,'8_19':0.0},(186,608):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(186,607):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(186,606):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'-3':0.0},(186,605):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(186,604):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(186,603):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(186,602):{'3_1':0.09,'5_1':0.0},(186,601):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(186,600):{'3_1':0.03,'4_1':0.0},(186,599):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(186,598):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(186,597):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(186,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0},(186,595):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(186,594):{'3_1':0.06,'4_1':0.03},(186,593):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,592):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(186,591):{'3_1':0.09,'4_1':0.0},(186,590):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(186,589):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(186,588):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(186,587):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(186,586):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(186,585):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(186,583):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(186,582):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(186,581):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(186,580):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(186,579):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,578):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(186,577):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(186,576):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(186,575):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(186,574):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(186,573):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(186,572):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(186,571):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(186,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,569):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,568):{'3_1':0.06,'5_1':0.0},(186,567):{'3_1':0.15,'4_1':0.0},(186,566):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(186,565):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,564):{'3_1':0.06,'5_1':0.0},(186,563):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(186,562):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(186,561):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(186,560):{'3_1':0.15,'5_2':0.0},(186,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,558):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(186,557):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(186,556):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(186,555):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(186,554):{'3_1':0.21,'5_2':0.0,'-3':0.0},(186,553):{'3_1':0.09},(186,552):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_2':0.0},(186,551):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(186,550):{'3_1':0.24,'5_2':0.0,'6_3':0.0},(186,549):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(186,548):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(186,546):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(186,545):{'3_1':0.12,'5_1':0.0},(186,544):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(186,543):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(186,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(186,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_4':0.0},(186,539):{'3_1':0.12,'7_4':0.0,'5_1':0.0,'7_1':0.0},(186,538):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(186,537):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(186,536):{'3_1':0.21,'4_1':0.0},(186,535):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(186,534):{'3_1':0.18,'5_1':0.0},(186,533):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(186,532):{'3_1':0.15,'6_3':0.0},(186,531):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(186,530):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(186,529):{'3_1':0.12},(186,528):{'3_1':0.15,'5_2':0.0,'7_2':0.0,'5_1':0.0},(186,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(186,526):{'3_1':0.12,'5_1':0.0},(186,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(186,524):{'3_1':0.12,'5_1':0.03,'6_3':0.0},(186,523):{'3_1':0.12,'5_1':0.0},(186,522):{'3_1':0.12,'5_1':0.0,'7_4':0.0},(186,521):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(186,520):{'3_1':0.09,'5_2':0.0},(186,519):{'3_1':0.06,'4_1':0.0},(186,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(186,517):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(186,515):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(186,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(186,513):{'3_1':0.06,'5_1':0.0},(186,512):{'3_1':0.03},(186,511):{'3_1':0.06},(186,510):{'3_1':0.09},(186,509):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(186,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(186,507):{'3_1':0.09,'5_2':0.0},(186,506):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(186,505):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(186,504):{'3_1':0.03,'5_1':0.0},(186,503):{'3_1':0.03,'5_1':0.0},(186,502):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(186,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(186,500):{'3_1':0.03},(186,499):{'3_1':0.03},(186,498):{'3_1':0.0},(186,497):{'3_1':0.0},(186,496):{'3_1':0.03},(186,495):{'3_1':0.06,'4_1':0.0},(186,494):{'3_1':0.06,'5_1':0.0},(186,493):{'3_1':0.0,'5_1':0.0},(186,492):{'3_1':0.06},(186,491):{'3_1':0.06},(186,490):{'3_1':0.06},(186,489):{'3_1':0.06},(186,488):{'3_1':0.09,'5_2':0.0,'6_3':0.0,'7_3':0.0},(186,487):{'3_1':0.12},(186,486):{'3_1':0.12},(186,485):{'3_1':0.09,'5_2':0.0},(186,484):{'3_1':0.06},(186,483):{'3_1':0.03},(186,482):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'9_1':0.0},(186,481):{'3_1':0.06},(186,480):{'3_1':0.06,'5_2':0.0},(186,479):{'3_1':0.09,'5_1':0.0},(186,478):{'3_1':0.15},(186,477):{'3_1':0.09},(186,476):{'3_1':0.09},(186,475):{'3_1':0.06},(186,474):{'3_1':0.06,'5_2':0.0},(186,473):{'3_1':0.06,'5_1':0.0},(186,472):{'3_1':0.06,'5_2':0.0},(186,471):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(186,470):{'3_1':0.06,'5_1':0.0},(186,469):{'3_1':0.0,'4_1':0.0},(186,468):{'3_1':0.06,'5_1':0.0},(186,467):{'3_1':0.03},(186,466):{'3_1':0.0,'5_1':0.0},(186,465):{'3_1':0.03},(186,464):{'3_1':0.03,'5_2':0.0},(186,463):{'3_1':0.06,'5_1':0.0},(186,462):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(186,461):{'3_1':0.0,'4_1':0.0},(186,460):{'3_1':0.03,'5_1':0.0},(186,459):{'3_1':0.09},(186,458):{'3_1':0.03},(186,457):{'3_1':0.03,'4_1':0.0},(186,456):{'3_1':0.03},(186,455):{'3_1':0.03},(186,454):{'3_1':0.03},(186,453):{'3_1':0.0},(186,452):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(186,451):{'3_1':0.06},(186,450):{'3_1':0.03},(186,449):{'3_1':0.03},(186,448):{'3_1':0.03},(186,447):{'3_1':0.0,'4_1':0.0},(186,446):{'3_1':0.03},(186,445):{'3_1':0.0},(186,444):{'3_1':0.09},(186,443):{'3_1':0.0,'4_1':0.0},(186,442):{'3_1':0.0},(186,441):{'3_1':0.0,'4_1':0.0},(186,440):{'3_1':0.0},(186,439):{'3_1':0.0},(186,438):{'3_1':0.0,'4_1':0.0},(186,437):{'3_1':0.03,'4_1':0.0},(186,436):{'3_1':0.03},(186,435):{'3_1':0.0},(186,434):{'3_1':0.0},(186,433):{'3_1':0.03},(186,432):{'3_1':0.03},(186,431):{'3_1':0.03},(186,430):{'3_1':0.03},(186,429):{'3_1':0.0},(186,428):{'3_1':0.03},(186,427):{'3_1':0.0},(186,426):{'3_1':0.03},(186,425):{'3_1':0.03},(186,424):{'3_1':0.03},(186,423):{'3_1':0.03,'4_1':0.0},(186,422):{'3_1':0.03,'5_1':0.0},(186,421):{'3_1':0.0},(186,420):{'3_1':0.0,'6_1':0.0},(186,419):{'3_1':0.06},(186,418):{'3_1':0.03,'5_1':0.0},(186,417):{'3_1':0.0},(186,416):{'3_1':0.0,'4_1':0.0},(186,415):{'3_1':0.06,'4_1':0.0},(186,414):{'3_1':0.0,'4_1':0.0},(186,413):{'3_1':0.0,'4_1':0.0},(186,412):{'3_1':0.0,'4_1':0.0},(186,410):{'3_1':0.0,'7_1':0.0},(186,409):{'3_1':0.03},(186,408):{'3_1':0.0},(186,407):{'3_1':0.0,'4_1':0.0},(186,405):{'3_1':0.0},(186,404):{'3_1':0.0},(186,403):{'3_1':0.0},(186,402):{'3_1':0.0},(186,401):{'3_1':0.0},(186,400):{'3_1':0.0},(186,399):{'3_1':0.0},(186,397):{'3_1':0.0},(186,396):{'3_1':0.03,'8_1':0.0},(186,394):{'3_1':0.0},(186,393):{'3_1':0.0},(186,392):{'3_1':0.0},(186,390):{'3_1':0.03,'5_1':0.0},(186,389):{'3_1':0.0},(186,388):{'3_1':0.0},(186,387):{'3_1':0.0},(186,386):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(186,384):{'3_1':0.0},(186,383):{'3_1':0.0,'5_1':0.0},(186,382):{'3_1':0.03},(186,381):{'3_1':0.0},(186,380):{'3_1':0.0},(186,379):{'3_1':0.03},(186,378):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(186,377):{'3_1':0.0,'5_1':0.0},(186,376):{'3_1':0.06},(186,375):{'3_1':0.03},(186,374):{'3_1':0.03,'5_1':0.0},(186,373):{'3_1':0.0,'5_1':0.0},(186,372):{'3_1':0.0},(186,371):{'3_1':0.0,'5_1':0.0},(186,370):{'3_1':0.03},(186,369):{'3_1':0.0},(186,368):{'3_1':0.0},(186,367):{'3_1':0.0},(186,366):{'3_1':0.0},(186,365):{'3_1':0.0},(186,364):{'3_1':0.0},(186,363):{'3_1':0.0},(186,362):{'3_1':0.0},(186,361):{'3_1':0.0},(186,360):{'3_1':0.0},(186,359):{'3_1':0.0},(186,358):{'3_1':0.0},(186,357):{'3_1':0.03,'5_1':0.0},(186,356):{'3_1':0.0},(186,355):{'3_1':0.0},(186,354):{'3_1':0.0,'5_2':0.0},(186,352):{'3_1':0.0},(186,351):{'3_1':0.0},(186,350):{'3_1':0.0},(186,349):{'3_1':0.0},(186,346):{'3_1':0.0},(186,345):{'3_1':0.0},(186,344):{'3_1':0.0},(186,342):{'3_1':0.0,'5_1':0.0},(186,341):{'3_1':0.0},(186,340):{'3_1':0.0},(186,339):{'3_1':0.0,'5_1':0.0},(186,338):{'3_1':0.0},(186,331):{'3_1':0.0},(186,328):{'3_1':0.0},(186,327):{'3_1':0.0},(186,323):{'3_1':0.0},(186,321):{'3_1':0.0},(186,320):{'3_1':0.0},(186,319):{'3_1':0.0},(186,318):{'3_1':0.0},(186,317):{'3_1':0.0},(186,316):{'3_1':0.0},(186,315):{'3_1':0.0},(186,314):{'3_1':0.0,'5_2':0.0},(186,313):{'3_1':0.0},(186,311):{'3_1':0.0},(186,308):{'3_1':0.0},(186,307):{'3_1':0.0},(186,306):{'3_1':0.0,'5_2':0.0},(186,305):{'3_1':0.03},(186,304):{'3_1':0.0},(186,303):{'3_1':0.0},(186,302):{'3_1':0.0},(186,299):{'3_1':0.0},(186,298):{'3_1':0.0},(186,285):{'4_1':0.0},(186,283):{'3_1':0.0},(186,282):{'3_1':0.0},(186,279):{'3_1':0.0},(186,277):{'3_1':0.0},(186,273):{'3_1':0.0},(186,254):{'3_1':0.0},(186,252):{'3_1':0.0},(186,250):{'3_1':0.0},(186,216):{'5_2':0.0},(186,212):{'5_2':0.0},(186,209):{'3_1':0.0},(186,199):{'3_1':0.0},(186,197):{'3_1':0.0},(187,727):{'5_2':0.15,'7_2':0.06,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(187,726):{'5_2':0.15,'7_5':0.06,'-3':0.03,'7_2':0.0,'3_1':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0},(187,725):{'5_2':0.18,'-3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(187,724):{'5_2':0.15,'7_2':0.03,'7_5':0.03,'3_1':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0},(187,723):{'5_2':0.21,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(187,722):{'5_2':0.15,'6_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_6':0.0},(187,721):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(187,720):{'5_2':0.18,'-3':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(187,719):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(187,718):{'5_2':0.21,'6_1':0.09,'-3':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'8_12':0.0},(187,717):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'8_14':0.0},(187,716):{'5_2':0.18,'4_1':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0},(187,715):{'5_2':0.21,'6_1':0.03,'3_1':0.03,'7_6':0.0,'8_14':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,714):{'5_2':0.21,'7_2':0.06,'6_1':0.06,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(187,713):{'5_2':0.27,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(187,712):{'5_2':0.18,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'8_11':0.0,'7_3':0.0,'8_1':0.0},(187,711):{'5_2':0.18,'-3':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0},(187,710):{'5_2':0.12,'7_5':0.06,'-3':0.06,'6_1':0.03,'7_2':0.03,'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_6':0.0},(187,709):{'3_1':0.09,'-3':0.06,'7_5':0.06,'5_2':0.06,'6_1':0.06,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_18':0.0},(187,708):{'5_2':0.18,'3_1':0.09,'7_5':0.06,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_13':0.0},(187,707):{'5_2':0.12,'3_1':0.06,'7_3':0.03,'7_2':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(187,706):{'5_2':0.21,'7_5':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(187,705):{'5_2':0.12,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0},(187,704):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'7_2':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(187,703):{'5_2':0.15,'-3':0.06,'6_1':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'8_13':0.0,'1':-0.03},(187,702):{'5_2':0.18,'7_3':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'8_11':0.0},(187,701):{'5_2':0.12,'-3':0.06,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0},(187,700):{'5_2':0.18,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(187,699):{'5_2':0.15,'6_1':0.09,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'3_1':0.0,'5_1':0.0,'8_3':0.0,'8_13':0.0,'3_1#5_2':0.0},(187,698):{'5_2':0.18,'6_1':0.06,'7_2':0.06,'7_3':0.03,'7_5':0.03,'3_1':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_14':0.0},(187,697):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'3_1':0.0,'7_6':0.0,'8_12':0.0},(187,696):{'5_2':0.15,'3_1':0.06,'7_5':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'8_11':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(187,695):{'5_2':0.12,'3_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(187,694):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0},(187,693):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0},(187,692):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(187,691):{'5_2':0.21,'7_4':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'3_1':0.0,'8_11':0.0},(187,690):{'5_2':0.21,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,689):{'5_2':0.12,'4_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'5_1':0.0,'7_5':0.0,'8_11':0.0},(187,688):{'5_2':0.18,'7_2':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(187,687):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_12':0.0},(187,686):{'5_2':0.27,'3_1':0.06,'7_4':0.06,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'3_1#5_2':0.0},(187,685):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'7_2':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(187,684):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,683):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,682):{'5_2':0.21,'3_1':0.12,'4_1':0.06,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_16':0.0,'-3':0.0},(187,681):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0},(187,680):{'5_2':0.21,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(187,679):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(187,678):{'3_1':0.18,'5_2':0.18,'7_3':0.03,'6_1':0.0,'8_11':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(187,677):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'1':-0.03},(187,676):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(187,675):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(187,674):{'5_2':0.21,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(187,673):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(187,672):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(187,671):{'3_1':0.15,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(187,670):{'3_1':0.15,'5_2':0.15,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(187,669):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(187,668):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(187,667):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(187,666):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(187,665):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(187,664):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_3':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(187,663):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(187,662):{'3_1':0.15,'5_2':0.09,'6_1':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(187,661):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(187,660):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_1':0.0},(187,659):{'5_2':0.18,'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'8_14':0.0},(187,658):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(187,657):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'8_11':0.0,'8_14':0.0},(187,656):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(187,655):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(187,654):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0,'8_11':0.0,'8_14':0.0},(187,653):{'3_1':0.27,'5_1':0.03,'8_20|3_1#3_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(187,652):{'3_1':0.24,'5_2':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0},(187,651):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(187,650):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_10':0.0},(187,649):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0},(187,648):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_19':0.0},(187,647):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(187,646):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0},(187,645):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(187,644):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'6_3':0.0,'7_3':0.0,'8_19':0.0},(187,643):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(187,642):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(187,641):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(187,640):{'3_1':0.18,'5_2':0.06,'5_1':0.06,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(187,639):{'3_1':0.21,'5_2':0.06,'5_1':0.0},(187,638):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(187,637):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(187,636):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(187,635):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(187,634):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'8_19':0.0},(187,633):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,632):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(187,631):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(187,630):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(187,629):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(187,628):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(187,627):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(187,626):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(187,625):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'8_2':0.0},(187,624):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0},(187,623):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(187,622):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(187,621):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(187,620):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(187,619):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0,'9_1':0.0},(187,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(187,617):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,616):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(187,615):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(187,614):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0},(187,613):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(187,612):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(187,611):{'3_1':0.09,'5_2':0.0},(187,610):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.03,'8_19':0.0},(187,609):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(187,608):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(187,607):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(187,606):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(187,605):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(187,604):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,603):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(187,602):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,601):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_3':0.0},(187,600):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(187,599):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,598):{'3_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0,'-3':0.0},(187,597):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0},(187,596):{'3_1':0.06,'4_1':0.0},(187,595):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(187,594):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,593):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(187,592):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(187,591):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(187,590):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(187,589):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(187,588):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(187,587):{'3_1':0.12,'4_1':0.03},(187,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(187,585):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(187,584):{'3_1':0.06,'5_1':0.0},(187,583):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(187,582):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(187,581):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(187,580):{'3_1':0.06,'4_1':0.03},(187,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(187,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(187,577):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,576):{'3_1':0.09},(187,575):{'3_1':0.09},(187,574):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(187,573):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(187,572):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(187,571):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(187,570):{'3_1':0.15,'4_1':0.0},(187,569):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(187,568):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(187,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,566):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(187,565):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(187,564):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(187,563):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_4':0.0},(187,562):{'3_1':0.09},(187,561):{'3_1':0.12,'4_1':0.0},(187,560):{'3_1':0.15,'6_2':0.0},(187,559):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(187,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(187,557):{'3_1':0.09,'5_2':0.0},(187,556):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(187,555):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(187,554):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(187,553):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(187,552):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(187,551):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_1':0.0},(187,550):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(187,549):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(187,548):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(187,547):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(187,546):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0},(187,545):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(187,544):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(187,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(187,542):{'3_1':0.18,'5_2':0.0},(187,541):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(187,540):{'3_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0},(187,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,538):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(187,537):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(187,536):{'3_1':0.18,'4_1':0.0,'7_1':0.0},(187,535):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(187,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(187,533):{'3_1':0.12},(187,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(187,531):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(187,530):{'3_1':0.15,'5_2':0.0,'7_4':0.0},(187,529):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(187,528):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(187,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(187,526):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(187,525):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(187,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(187,523):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(187,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0},(187,521):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(187,520):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0},(187,519):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(187,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(187,517):{'3_1':0.09},(187,516):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(187,515):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(187,514):{'3_1':0.06,'4_1':0.0},(187,513):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(187,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,511):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(187,510):{'3_1':0.06,'5_1':0.0},(187,509):{'3_1':0.06},(187,508):{'3_1':0.12,'4_1':0.0},(187,507):{'3_1':0.09,'4_1':0.0},(187,506):{'3_1':0.09},(187,505):{'3_1':0.0},(187,504):{'3_1':0.06,'5_1':0.0},(187,503):{'3_1':0.06},(187,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(187,501):{'3_1':0.06},(187,500):{'3_1':0.06,'5_1':0.0},(187,499):{'3_1':0.03},(187,498):{'3_1':0.03},(187,497):{'3_1':0.03,'6_1':0.0,'7_2':0.0},(187,496):{'3_1':0.06,'5_2':0.0},(187,495):{'3_1':0.03,'5_2':0.0},(187,494):{'3_1':0.12,'6_1':0.0},(187,493):{'3_1':0.03,'5_1':0.0},(187,492):{'3_1':0.0},(187,491):{'3_1':0.09},(187,490):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(187,489):{'3_1':0.06,'6_1':0.0},(187,488):{'3_1':0.06},(187,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(187,486):{'3_1':0.09},(187,485):{'3_1':0.06},(187,484):{'3_1':0.09},(187,483):{'3_1':0.09},(187,482):{'3_1':0.06},(187,481):{'3_1':0.12},(187,480):{'3_1':0.06,'4_1':0.0},(187,479):{'3_1':0.09},(187,478):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(187,477):{'3_1':0.06},(187,476):{'3_1':0.09,'5_1':0.0},(187,475):{'3_1':0.15,'4_1':0.0},(187,474):{'3_1':0.09,'5_1':0.0},(187,473):{'3_1':0.09,'7_1':0.0},(187,472):{'3_1':0.03,'5_1':0.0},(187,471):{'3_1':0.09,'5_1':0.0,'7_2':0.0},(187,470):{'3_1':0.06,'5_1':0.0},(187,469):{'3_1':0.06},(187,468):{'3_1':0.03},(187,467):{'3_1':0.09,'5_2':0.0},(187,466):{'3_1':0.06,'4_1':0.0},(187,465):{'3_1':0.03},(187,464):{'3_1':0.0},(187,463):{'3_1':0.03},(187,462):{'3_1':0.06,'4_1':0.0},(187,461):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(187,460):{'3_1':0.06,'4_1':0.0},(187,459):{'3_1':0.06},(187,458):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(187,457):{'3_1':0.03,'4_1':0.0},(187,456):{'3_1':0.06},(187,455):{'3_1':0.03,'4_1':0.0},(187,454):{'3_1':0.03},(187,453):{'3_1':0.06,'5_1':0.0},(187,452):{'3_1':0.06,'5_1':0.0},(187,451):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'9_1':0.0},(187,450):{'3_1':0.03,'5_1':0.0},(187,449):{'3_1':0.03},(187,448):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(187,447):{'3_1':0.03},(187,446):{'3_1':0.03,'6_2':0.0},(187,445):{'3_1':0.03},(187,444):{'3_1':0.03},(187,443):{'3_1':0.0},(187,442):{'3_1':0.0},(187,441):{'3_1':0.0},(187,440):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(187,439):{'3_1':0.03,'4_1':0.0},(187,438):{'3_1':0.0},(187,437):{'3_1':0.03},(187,436):{'3_1':0.03},(187,435):{'3_1':0.03,'5_1':0.0},(187,434):{'3_1':0.03},(187,433):{'3_1':0.06},(187,432):{'3_1':0.0,'6_1':0.0},(187,431):{'3_1':0.06},(187,430):{'3_1':0.03},(187,429):{'3_1':0.0},(187,428):{'3_1':0.0},(187,427):{'3_1':0.03,'4_1':0.03},(187,426):{'3_1':0.03},(187,425):{'3_1':0.03},(187,424):{'3_1':0.03,'6_2':0.0},(187,423):{'3_1':0.03,'6_1':0.0},(187,422):{'3_1':0.0},(187,421):{'3_1':0.03},(187,420):{'3_1':0.03,'4_1':0.0},(187,419):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(187,418):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(187,417):{'3_1':0.0},(187,416):{'3_1':0.03},(187,415):{'3_1':0.0,'4_1':0.0},(187,414):{'3_1':0.0,'4_1':0.0},(187,413):{'3_1':0.0,'4_1':0.0},(187,412):{'3_1':0.0},(187,411):{'3_1':0.0},(187,410):{'3_1':0.0},(187,409):{'3_1':0.0},(187,408):{'3_1':0.0},(187,407):{'3_1':0.0},(187,406):{'3_1':0.0},(187,405):{'3_1':0.0},(187,404):{'3_1':0.0},(187,403):{'3_1':0.0,'4_1':0.0},(187,402):{'3_1':0.0},(187,401):{'3_1':0.0},(187,400):{'3_1':0.03,'5_1':0.0},(187,399):{'3_1':0.0},(187,398):{'3_1':0.0},(187,397):{'3_1':0.0,'4_1':0.0},(187,396):{'3_1':0.0},(187,395):{'3_1':0.0},(187,394):{'3_1':0.0},(187,392):{'3_1':0.0,'7_4':0.0},(187,391):{'3_1':0.0,'4_1':0.0},(187,390):{'3_1':0.0},(187,389):{'3_1':0.0},(187,388):{'3_1':0.0},(187,387):{'3_1':0.0},(187,386):{'3_1':0.0},(187,385):{'3_1':0.03},(187,384):{'3_1':0.0,'5_1':0.0},(187,383):{'3_1':0.0},(187,382):{'3_1':0.0,'5_1':0.0},(187,381):{'3_1':0.03},(187,380):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(187,379):{'3_1':0.0},(187,378):{'3_1':0.03},(187,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(187,376):{'3_1':0.0},(187,375):{'3_1':0.0,'5_1':0.0},(187,374):{'3_1':0.03},(187,373):{'3_1':0.0,'5_1':0.0},(187,372):{'3_1':0.03,'5_1':0.0},(187,370):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(187,369):{'3_1':0.03},(187,368):{'3_1':0.0,'7_1':0.0},(187,367):{'3_1':0.03},(187,366):{'3_1':0.03},(187,365):{'4_1':0.0},(187,363):{'3_1':0.0,'5_1':0.0},(187,362):{'3_1':0.0},(187,361):{'3_1':0.0,'5_1':0.0},(187,360):{'5_1':0.0},(187,358):{'3_1':0.03,'5_1':0.0},(187,357):{'3_1':0.0,'5_1':0.0},(187,356):{'3_1':0.0},(187,354):{'3_1':0.0},(187,352):{'3_1':0.03},(187,351):{'3_1':0.0},(187,349):{'3_1':0.0},(187,348):{'3_1':0.0},(187,347):{'3_1':0.0},(187,345):{'3_1':0.0,'4_1':0.0},(187,344):{'3_1':0.0},(187,343):{'3_1':0.0},(187,342):{'5_1':0.0},(187,341):{'3_1':0.0},(187,340):{'3_1':0.0},(187,339):{'3_1':0.0},(187,338):{'3_1':0.0,'4_1':0.0},(187,337):{'3_1':0.0,'4_1':0.0},(187,335):{'3_1':0.0},(187,332):{'3_1':0.0},(187,331):{'3_1':0.0},(187,330):{'3_1':0.0},(187,329):{'3_1':0.0},(187,326):{'3_1':0.0},(187,325):{'3_1':0.0},(187,324):{'3_1':0.0},(187,323):{'3_1':0.0},(187,322):{'3_1':0.03},(187,320):{'3_1':0.0},(187,319):{'3_1':0.0},(187,318):{'3_1':0.0},(187,317):{'3_1':0.0},(187,316):{'3_1':0.0},(187,315):{'3_1':0.0},(187,314):{'3_1':0.0},(187,313):{'3_1':0.0},(187,312):{'3_1':0.0},(187,311):{'3_1':0.0},(187,310):{'3_1':0.0},(187,308):{'3_1':0.0},(187,307):{'3_1':0.0},(187,305):{'3_1':0.0},(187,304):{'3_1':0.0},(187,303):{'3_1':0.0},(187,302):{'3_1':0.0},(187,301):{'3_1':0.0},(187,299):{'5_1':0.0},(187,298):{'3_1':0.0},(187,296):{'3_1':0.0},(187,295):{'3_1':0.0},(187,293):{'3_1':0.0},(187,292):{'3_1':0.0},(187,291):{'3_1':0.0},(187,290):{'3_1':0.0},(187,289):{'3_1':0.0},(187,288):{'3_1':0.0},(187,284):{'3_1':0.0},(187,282):{'3_1':0.0},(187,281):{'3_1':0.0},(187,278):{'3_1':0.0},(187,274):{'3_1':0.0},(187,273):{'4_1':0.0},(187,269):{'3_1':0.0},(187,268):{'3_1':0.0},(187,251):{'3_1':0.0},(187,234):{'5_2':0.0},(187,233):{'5_2':0.0},(187,213):{'3_1':0.0},(187,201):{'3_1':0.0},(187,197):{'3_1':0.0},(188,727):{'-3':0.06,'5_2':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(188,726):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'3_1':0.0,'7_3':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0},(188,725):{'5_2':0.24,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(188,724):{'5_2':0.21,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_1':0.0},(188,723):{'5_2':0.18,'6_1':0.09,'-3':0.06,'4_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0},(188,722):{'5_2':0.18,'7_2':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'3_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(188,721):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_10':0.0},(188,720):{'5_2':0.24,'7_3':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(188,719):{'5_2':0.18,'7_5':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0},(188,718):{'5_2':0.24,'6_1':0.06,'-3':0.03,'7_5':0.03,'7_3':0.0,'3_1':0.0,'8_4':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_13':0.0},(188,717):{'5_2':0.18,'7_3':0.06,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'3_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_19':0.0},(188,716):{'5_2':0.18,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0,'3_1#5_2':0.0},(188,715):{'5_2':0.09,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0,'4_1':0.0},(188,714):{'5_2':0.24,'6_1':0.06,'7_6':0.03,'3_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(188,713):{'5_2':0.24,'4_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(188,712):{'5_2':0.18,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_4':0.0,'8_3':0.0,'8_14':0.0,'4_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(188,711):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_2':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(188,710):{'3_1':0.09,'7_5':0.09,'5_2':0.09,'6_1':0.06,'-3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0},(188,709):{'7_5':0.12,'5_2':0.12,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'8_10':0.0,'8_11':0.0,'8_14':0.0,'8_15':0.0},(188,708):{'5_2':0.21,'3_1':0.06,'7_5':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'8_1':0.0,'8_14':0.0},(188,707):{'5_2':0.21,'6_1':0.03,'7_2':0.03,'7_3':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'9_1':0.0},(188,706):{'5_2':0.21,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0,'8_15':0.0},(188,705):{'5_2':0.18,'4_1':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'8_11':0.0},(188,704):{'5_2':0.21,'6_1':0.03,'4_1':0.03,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0},(188,703):{'5_2':0.12,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(188,702):{'5_2':0.18,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'8_11':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(188,701):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(188,700):{'5_2':0.15,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_1':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0,'8_13':0.0},(188,699):{'5_2':0.27,'3_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(188,698):{'5_2':0.12,'7_4':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(188,697):{'5_2':0.15,'7_3':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(188,696):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(188,695):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(188,694):{'5_2':0.21,'7_2':0.06,'-3':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'8_11':0.0},(188,693):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(188,692):{'5_2':0.18,'4_1':0.06,'7_3':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'7_2':0.0,'8_4':0.0,'3_1#5_2':0.0},(188,691):{'5_2':0.18,'3_1':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'8_11':0.0},(188,690):{'5_2':0.18,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0},(188,689):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.03,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'3_1#5_2':0.0},(188,688):{'5_2':0.15,'7_3':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(188,687):{'5_2':0.24,'7_2':0.03,'7_4':0.03,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0},(188,686):{'5_2':0.18,'3_1':0.12,'7_2':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(188,685):{'5_2':0.24,'3_1':0.12,'6_1':0.03,'7_3':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(188,684):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(188,683):{'5_2':0.18,'3_1':0.09,'6_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'7_4':0.0,'7_5':0.0},(188,682):{'3_1':0.15,'5_2':0.15,'7_3':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(188,681):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(188,680):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'-3':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(188,679):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_4':0.0},(188,678):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'3_1#5_2':0.0},(188,677):{'5_2':0.18,'3_1':0.12,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(188,676):{'5_2':0.18,'3_1':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.03,'-3':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_8':0.0,'8_11':0.0},(188,675):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'8_15':0.0},(188,674):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(188,673):{'5_2':0.18,'3_1':0.18,'7_3':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_8':0.0},(188,672):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(188,671):{'5_2':0.15,'4_1':0.09,'3_1':0.09,'7_4':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(188,670):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_15':0.0},(188,669):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(188,668):{'5_2':0.15,'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(188,667):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(188,666):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(188,665):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0},(188,664):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(188,663):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(188,662):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(188,661):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_6':0.0},(188,660):{'3_1':0.21,'5_2':0.09,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(188,659):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(188,658):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(188,657):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_3':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_14':0.0,'8_19':0.0},(188,656):{'3_1':0.27,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(188,655):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0,'8_14':0.0,'-3':0.0},(188,654):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0,'8_11':0.0},(188,653):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(188,652):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0},(188,651):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(188,650):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'7_1':0.0,'8_11':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(188,649):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0},(188,648):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(188,647):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(188,646):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'6_1':0.0},(188,645):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(188,644):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'7_1':0.0,'7_4':0.0,'8_19':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0},(188,643):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'8_2':0.0,'-3':0.0},(188,642):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0},(188,641):{'3_1':0.33,'5_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(188,640):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'-3':0.03,'8_11':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(188,639):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(188,638):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_17':0.0},(188,637):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(188,636):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(188,635):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(188,634):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(188,633):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0},(188,632):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(188,631):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(188,630):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'3_1#5_1':0.0},(188,629):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(188,628):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(188,627):{'3_1':0.15,'5_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(188,626):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(188,625):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0},(188,624):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0},(188,623):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0,'5_1':0.0},(188,622):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(188,621):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(188,620):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(188,619):{'3_1':0.15,'5_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(188,618):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(188,617):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(188,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(188,615):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'8_19':0.0},(188,614):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(188,613):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_11':0.0},(188,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(188,611):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(188,610):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(188,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(188,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(188,607):{'3_1':0.15,'5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'8_11':0.0},(188,606):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(188,605):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(188,604):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(188,603):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(188,602):{'3_1':0.09,'5_1':0.09,'5_2':0.0},(188,601):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_14':0.0,'-3':0.0},(188,600):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(188,599):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(188,598):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0},(188,597):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(188,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(188,595):{'3_1':0.06,'4_1':0.06,'5_1':0.06,'5_2':0.0},(188,594):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(188,593):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(188,592):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(188,591):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0},(188,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(188,589):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(188,588):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(188,587):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(188,586):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_19':0.0},(188,585):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(188,584):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(188,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(188,582):{'3_1':0.12,'4_1':0.03},(188,581):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(188,580):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(188,579):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(188,578):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(188,577):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(188,576):{'3_1':0.09,'4_1':0.03,'7_3':0.0},(188,575):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(188,574):{'3_1':0.12,'5_2':0.0},(188,573):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(188,572):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(188,571):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(188,570):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(188,569):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(188,568):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(188,567):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(188,566):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(188,565):{'3_1':0.12,'5_1':0.0,'6_3':0.0,'7_4':0.0},(188,564):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(188,563):{'3_1':0.12,'4_1':0.0,'8_1':0.0},(188,562):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(188,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(188,560):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(188,559):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0},(188,558):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(188,557):{'3_1':0.12,'4_1':0.0},(188,556):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(188,555):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(188,554):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_1':0.0},(188,553):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(188,552):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(188,551):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(188,550):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(188,549):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(188,548):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(188,547):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(188,546):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(188,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(188,544):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_2':0.0},(188,543):{'3_1':0.18,'5_1':0.0,'6_2':0.0,'8_11':0.0},(188,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(188,541):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(188,540):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(188,539):{'3_1':0.15,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(188,538):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(188,537):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_2':0.0},(188,536):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(188,535):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(188,534):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(188,533):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(188,532):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(188,531):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(188,530):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0},(188,529):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(188,528):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(188,527):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(188,526):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(188,525):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(188,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(188,523):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(188,522):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(188,521):{'3_1':0.15,'7_1':0.0,'-3':0.0},(188,520):{'3_1':0.06,'5_2':0.0},(188,519):{'3_1':0.06,'5_1':0.0},(188,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(188,517):{'3_1':0.12,'4_1':0.0},(188,516):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(188,515):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(188,514):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_4':0.0},(188,513):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(188,512):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(188,511):{'3_1':0.06,'5_1':0.0},(188,510):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(188,509):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(188,508):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(188,507):{'3_1':0.03,'5_2':0.0},(188,506):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(188,505):{'3_1':0.06,'5_1':0.0},(188,504):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(188,503):{'3_1':0.06},(188,502):{'3_1':0.09,'4_1':0.0},(188,501):{'3_1':0.09,'5_1':0.0},(188,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(188,499):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(188,498):{'3_1':0.09,'4_1':0.0},(188,497):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(188,496):{'3_1':0.09,'4_1':0.0},(188,495):{'3_1':0.03,'5_2':0.0},(188,494):{'3_1':0.06,'4_1':0.0},(188,493):{'3_1':0.06,'4_1':0.0},(188,492):{'3_1':0.03,'5_2':0.0},(188,491):{'3_1':0.06,'4_1':0.0},(188,490):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(188,489):{'3_1':0.06,'6_2':0.0},(188,488):{'3_1':0.12,'4_1':0.0},(188,487):{'3_1':0.09,'4_1':0.0},(188,486):{'3_1':0.03,'4_1':0.0},(188,485):{'3_1':0.09},(188,484):{'3_1':0.06},(188,483):{'3_1':0.12},(188,482):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(188,481):{'3_1':0.06,'4_1':0.0},(188,480):{'3_1':0.06},(188,479):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(188,478):{'3_1':0.03},(188,477):{'3_1':0.09,'5_2':0.0},(188,476):{'3_1':0.09},(188,475):{'3_1':0.12},(188,474):{'3_1':0.06,'5_1':0.0},(188,473):{'3_1':0.06},(188,472):{'3_1':0.06},(188,471):{'3_1':0.06,'5_1':0.0},(188,470):{'3_1':0.09,'5_2':0.0},(188,469):{'3_1':0.03},(188,468):{'3_1':0.06},(188,467):{'3_1':0.03},(188,466):{'3_1':0.03,'5_2':0.0},(188,465):{'3_1':0.03,'5_1':0.0},(188,464):{'3_1':0.06},(188,463):{'3_1':0.03},(188,462):{'3_1':0.03},(188,461):{'3_1':0.0,'4_1':0.0},(188,460):{'3_1':0.03,'5_1':0.0},(188,459):{'3_1':0.0,'5_1':0.0},(188,458):{'3_1':0.06,'4_1':0.0},(188,457):{'3_1':0.03},(188,456):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(188,455):{'3_1':0.0},(188,454):{'3_1':0.06},(188,453):{'3_1':0.03},(188,452):{'3_1':0.03,'5_1':0.0},(188,451):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(188,450):{'3_1':0.06},(188,449):{'3_1':0.03,'4_1':0.0},(188,448):{'3_1':0.03,'5_1':0.0},(188,447):{'3_1':0.03},(188,446):{'3_1':0.06},(188,445):{'3_1':0.03},(188,444):{'3_1':0.03,'6_2':0.0},(188,443):{'3_1':0.03,'4_1':0.0},(188,442):{'3_1':0.0},(188,441):{'3_1':0.0},(188,440):{'3_1':0.06,'4_1':0.0},(188,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(188,438):{'3_1':0.03},(188,437):{'3_1':0.0,'8_20|3_1#3_1':0.0},(188,436):{'3_1':0.0},(188,435):{'3_1':0.03},(188,434):{'3_1':0.03,'5_1':0.0},(188,433):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(188,432):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(188,431):{'4_1':0.0},(188,430):{'3_1':0.0},(188,429):{'3_1':0.0},(188,428):{'3_1':0.03,'6_2':0.0},(188,427):{'3_1':0.0},(188,426):{'3_1':0.03,'4_1':0.0},(188,425):{'3_1':0.03},(188,424):{'3_1':0.06,'4_1':0.0},(188,423):{'3_1':0.06,'4_1':0.0},(188,422):{'3_1':0.03},(188,421):{'3_1':0.0,'4_1':0.0},(188,420):{'3_1':0.03,'4_1':0.0},(188,419):{'3_1':0.03,'4_1':0.0},(188,418):{'3_1':0.03,'5_2':0.0},(188,417):{'3_1':0.0,'5_1':0.0},(188,416):{'3_1':0.06,'4_1':0.0},(188,415):{'3_1':0.03},(188,414):{'3_1':0.0},(188,413):{'3_1':0.0},(188,412):{'3_1':0.0,'4_1':0.0},(188,411):{'3_1':0.03},(188,410):{'3_1':0.0,'7_1':0.0},(188,408):{'3_1':0.0},(188,407):{'3_1':0.0},(188,406):{'3_1':0.0},(188,405):{'3_1':0.03},(188,403):{'3_1':0.0},(188,402):{'3_1':0.0},(188,401):{'3_1':0.03},(188,400):{'3_1':0.0},(188,399):{'3_1':0.03},(188,398):{'3_1':0.0},(188,397):{'4_1':0.0},(188,396):{'3_1':0.0},(188,394):{'3_1':0.0},(188,393):{'3_1':0.0},(188,392):{'3_1':0.0,'4_1':0.0},(188,390):{'3_1':0.0},(188,389):{'3_1':0.03},(188,388):{'3_1':0.0},(188,387):{'3_1':0.0},(188,386):{'3_1':0.0},(188,385):{'3_1':0.0},(188,384):{'3_1':0.03,'4_1':0.0},(188,383):{'3_1':0.03},(188,382):{'3_1':0.03},(188,381):{'3_1':0.03},(188,380):{'3_1':0.0},(188,379):{'3_1':0.03},(188,378):{'3_1':0.0},(188,376):{'3_1':0.03},(188,375):{'3_1':0.0},(188,374):{'3_1':0.0,'5_1':0.0},(188,373):{'3_1':0.0},(188,372):{'3_1':0.0},(188,371):{'3_1':0.03},(188,370):{'3_1':0.0},(188,369):{'3_1':0.0,'5_2':0.0},(188,368):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(188,367):{'3_1':0.0},(188,366):{'3_1':0.03},(188,365):{'3_1':0.0},(188,364):{'3_1':0.0},(188,363):{'3_1':0.0,'7_1':0.0},(188,362):{'3_1':0.03},(188,360):{'3_1':0.0,'4_1':0.0},(188,359):{'3_1':0.03},(188,358):{'5_1':0.0,'3_1':0.0},(188,357):{'5_1':0.0},(188,355):{'3_1':0.0},(188,354):{'3_1':0.0},(188,353):{'3_1':0.03},(188,352):{'3_1':0.0},(188,351):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(188,350):{'3_1':0.0},(188,349):{'3_1':0.03},(188,348):{'3_1':0.0},(188,347):{'3_1':0.0},(188,346):{'3_1':0.0},(188,345):{'3_1':0.0},(188,344):{'3_1':0.0},(188,343):{'3_1':0.0},(188,342):{'3_1':0.0,'4_1':0.0},(188,341):{'5_1':0.0},(188,340):{'3_1':0.0},(188,338):{'3_1':0.0},(188,337):{'3_1':0.0},(188,336):{'3_1':0.0},(188,335):{'3_1':0.0},(188,334):{'3_1':0.0},(188,333):{'3_1':0.0},(188,332):{'3_1':0.0},(188,329):{'3_1':0.0},(188,328):{'3_1':0.0,'4_1':0.0},(188,327):{'3_1':0.03},(188,326):{'3_1':0.0},(188,323):{'5_1':0.0},(188,322):{'3_1':0.0},(188,321):{'3_1':0.0,'5_1':0.0},(188,320):{'3_1':0.0},(188,319):{'3_1':0.0},(188,318):{'3_1':0.0},(188,317):{'3_1':0.0,'4_1':0.0},(188,316):{'3_1':0.0},(188,314):{'3_1':0.0},(188,313):{'3_1':0.0},(188,312):{'3_1':0.0},(188,311):{'3_1':0.0},(188,310):{'3_1':0.0},(188,309):{'3_1':0.0},(188,308):{'3_1':0.0},(188,307):{'3_1':0.0},(188,306):{'3_1':0.03},(188,305):{'3_1':0.0},(188,304):{'3_1':0.0},(188,303):{'3_1':0.0,'5_1':0.0},(188,302):{'3_1':0.03},(188,301):{'3_1':0.03},(188,300):{'3_1':0.0},(188,299):{'3_1':0.0},(188,298):{'3_1':0.0},(188,297):{'3_1':0.0},(188,296):{'3_1':0.0},(188,295):{'3_1':0.0},(188,293):{'3_1':0.0},(188,292):{'3_1':0.0},(188,289):{'3_1':0.0},(188,286):{'3_1':0.0},(188,285):{'3_1':0.0},(188,283):{'3_1':0.0},(188,282):{'3_1':0.0},(188,281):{'3_1':0.0},(188,280):{'3_1':0.0},(188,278):{'3_1':0.0},(188,276):{'3_1':0.0},(188,274):{'3_1':0.0},(188,266):{'3_1':0.0},(188,248):{'3_1':0.0},(188,236):{'5_2':0.0},(188,233):{'3_1':0.0},(188,208):{'3_1':0.0},(189,727):{'5_2':0.18,'-3':0.06,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'3_1':0.0,'7_6':0.0},(189,726):{'5_2':0.18,'6_1':0.06,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'3_1':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'3_1#5_2':0.0},(189,725):{'5_2':0.15,'6_1':0.09,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'8_4':0.0},(189,724):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(189,723):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0},(189,722):{'5_2':0.18,'6_1':0.09,'-3':0.03,'7_5':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(189,721):{'5_2':0.15,'4_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1':0.0,'6_3':0.0,'7_4':0.0,'8_8':0.0},(189,720):{'5_2':0.21,'6_1':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(189,719):{'5_2':0.15,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(189,718):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0,'2':-0.03},(189,717):{'5_2':0.18,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0},(189,716):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(189,715):{'5_2':0.15,'-3':0.09,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'8_3':0.0,'8_8':0.0},(189,714):{'5_2':0.12,'6_1':0.06,'7_2':0.06,'-3':0.06,'3_1':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0},(189,713):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'7_2':0.0,'8_3':0.0,'8_15':0.0},(189,712):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'7_3':0.0,'8_1':0.0,'8_15':0.0,'3_1#5_2':0.0},(189,711):{'5_2':0.21,'3_1':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0,'8_15':0.0},(189,710):{'5_2':0.15,'3_1':0.06,'7_5':0.06,'-3':0.06,'4_1':0.03,'6_1':0.0,'7_6':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(189,709):{'3_1':0.09,'-3':0.06,'6_1':0.03,'7_2':0.03,'5_2':0.03,'7_5':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(189,708):{'5_2':0.09,'7_2':0.06,'-3':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_6':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_10':0.0,'8_14':0.0},(189,707):{'5_2':0.15,'6_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0,'8_1':0.0,'-3':0.0},(189,706):{'5_2':0.18,'3_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(189,705):{'5_2':0.15,'6_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'8_1':0.0},(189,704):{'5_2':0.15,'7_4':0.06,'6_1':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'5_1':0.0,'8_13':0.0,'8_14':0.0},(189,703):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.03,'-3':0.03,'7_5':0.03,'7_3':0.0,'8_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_4':0.0,'8_14':0.0,'9_1':0.0,'5_1':0.0},(189,702):{'5_2':0.15,'-3':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(189,701):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(189,700):{'5_2':0.15,'-3':0.03,'7_4':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_14':0.0},(189,699):{'5_2':0.15,'7_3':0.06,'-3':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(189,698):{'5_2':0.15,'3_1':0.09,'7_3':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(189,697):{'5_2':0.12,'-3':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0,'1':-0.03},(189,696):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_2':0.03,'7_6':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0,'8_13':0.0,'1':-0.03},(189,695):{'5_2':0.24,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(189,694):{'5_2':0.15,'-3':0.06,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0},(189,693):{'5_2':0.21,'4_1':0.06,'7_4':0.03,'3_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(189,692):{'5_2':0.15,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_13':0.0},(189,691):{'5_2':0.12,'-3':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0},(189,690):{'5_2':0.21,'3_1':0.09,'6_1':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(189,689):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'-3':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(189,688):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'8_14':0.0},(189,687):{'5_2':0.21,'3_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'8_13':0.0,'8_14':0.0},(189,686):{'5_2':0.18,'3_1':0.12,'6_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0},(189,685):{'3_1':0.12,'5_2':0.09,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(189,684):{'5_2':0.15,'3_1':0.09,'-3':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'9_1':0.0},(189,683):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0,'8_15':0.0,'-3':0.0},(189,682):{'5_2':0.15,'3_1':0.12,'7_3':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_7':0.0,'8_3':0.0,'-3':0.0},(189,681):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'8_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_13':0.0,'-3':0.0},(189,680):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_1':0.06,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_14':0.0},(189,679):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_8':0.0,'8_12':0.0},(189,678):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0},(189,677):{'3_1':0.12,'5_2':0.12,'7_4':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(189,676):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(189,675):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0},(189,674):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0,'8_11':0.0},(189,673):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(189,672):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'9_1':0.0,'-3':0.0},(189,671):{'3_1':0.21,'5_2':0.12,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(189,670):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(189,669):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(189,668):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(189,667):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(189,666):{'3_1':0.24,'5_2':0.18,'4_1':0.03,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(189,665):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(189,664):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(189,663):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(189,662):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0},(189,661):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(189,660):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(189,659):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'8_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(189,658):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(189,657):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(189,656):{'3_1':0.3,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(189,655):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(189,654):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_17':0.0},(189,653):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_4':0.0},(189,652):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(189,651):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_19':0.0},(189,650):{'3_1':0.3,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(189,649):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0},(189,648):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_3':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(189,647):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'8_2':0.0,'8_19':0.0},(189,646):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(189,645):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(189,644):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_17':0.0,'8_19':0.0,'-3':0.0},(189,643):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0,'9_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(189,642):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_17':0.0,'8_19':0.0},(189,641):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_17':0.0},(189,640):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'4_1':0.0,'7_5':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(189,639):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_6':0.0,'8_10':0.0,'8_19':0.0},(189,638):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0,'8_19':0.0},(189,637):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(189,636):{'3_1':0.06,'5_1':0.06,'5_2':0.0,'7_4':0.0},(189,635):{'3_1':0.18,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(189,634):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(189,633):{'3_1':0.18,'5_1':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(189,632):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'7_6':0.0},(189,631):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(189,630):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0},(189,629):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0},(189,628):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(189,627):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(189,626):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(189,625):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(189,624):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(189,623):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0},(189,622):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,621):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'9_1':0.0},(189,620):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0},(189,619):{'3_1':0.15,'5_2':0.0},(189,618):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'8_19':0.0,'-3':0.0},(189,617):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(189,616):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(189,615):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(189,614):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0},(189,613):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(189,612):{'3_1':0.18,'5_1':0.03,'7_3':0.0,'4_1':0.0},(189,611):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(189,610):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(189,609):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(189,608):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(189,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(189,606):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(189,605):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(189,604):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(189,603):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(189,602):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(189,601):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(189,600):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,599):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_6':0.0},(189,598):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(189,597):{'5_1':0.03,'3_1':0.03,'4_1':0.03},(189,596):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(189,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(189,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(189,593):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(189,592):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,591):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(189,590):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(189,589):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0},(189,588):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(189,587):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(189,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,585):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(189,584):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(189,583):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,582):{'3_1':0.18},(189,581):{'3_1':0.12,'5_1':0.0},(189,580):{'3_1':0.15,'4_1':0.0},(189,579):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,578):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_4':0.0},(189,577):{'3_1':0.15,'4_1':0.03,'8_19':0.0},(189,576):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(189,575):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(189,574):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(189,573):{'3_1':0.09,'4_1':0.0},(189,572):{'3_1':0.09,'4_1':0.0},(189,571):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(189,570):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,569):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(189,568):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(189,567):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,566):{'3_1':0.15,'4_1':0.0},(189,565):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(189,564):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,563):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(189,562):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(189,561):{'3_1':0.09,'5_2':0.0},(189,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(189,559):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(189,558):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(189,557):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(189,556):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(189,555):{'3_1':0.27,'5_1':0.0,'6_2':0.0},(189,554):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,553):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(189,552):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(189,551):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(189,550):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(189,549):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(189,548):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_3':0.0},(189,547):{'3_1':0.12,'5_2':0.0,'6_3':0.0,'7_2':0.0},(189,546):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_2':0.0},(189,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,543):{'3_1':0.21,'5_1':0.0},(189,542):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(189,541):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(189,540):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(189,539):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(189,538):{'3_1':0.24,'5_1':0.0},(189,537):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(189,536):{'3_1':0.18,'5_2':0.0},(189,535):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(189,534):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_2':0.0},(189,533):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(189,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(189,531):{'3_1':0.21,'5_1':0.0},(189,530):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0},(189,529):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,528):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,527):{'3_1':0.06,'5_2':0.0},(189,526):{'3_1':0.12,'5_2':0.0},(189,525):{'3_1':0.09,'6_3':0.0},(189,524):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(189,523):{'3_1':0.06},(189,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(189,521):{'3_1':0.09,'4_1':0.0,'7_5':0.0},(189,520):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(189,519):{'3_1':0.12,'5_2':0.0},(189,518):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(189,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(189,516):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(189,515):{'3_1':0.06,'4_1':0.0},(189,514):{'3_1':0.03,'4_1':0.0},(189,513):{'3_1':0.09},(189,512):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(189,511):{'3_1':0.06},(189,510):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(189,509):{'3_1':0.09,'5_1':0.0},(189,508):{'3_1':0.03,'5_2':0.0},(189,507):{'3_1':0.03,'4_1':0.0},(189,506):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(189,505):{'3_1':0.06},(189,504):{'3_1':0.06,'5_2':0.0},(189,503):{'3_1':0.06,'5_1':0.0},(189,502):{'3_1':0.09,'4_1':0.0},(189,501):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(189,500):{'3_1':0.03},(189,499):{'3_1':0.03,'4_1':0.0},(189,498):{'3_1':0.03},(189,497):{'3_1':0.03},(189,496):{'3_1':0.03,'5_1':0.0},(189,495):{'3_1':0.03,'5_2':0.0},(189,494):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(189,493):{'3_1':0.03},(189,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(189,491):{'3_1':0.03},(189,490):{'3_1':0.0},(189,489):{'3_1':0.06},(189,488):{'3_1':0.09},(189,487):{'3_1':0.06},(189,486):{'3_1':0.06},(189,485):{'3_1':0.03,'6_3':0.0},(189,484):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(189,483):{'3_1':0.06,'6_3':0.0},(189,482):{'3_1':0.09,'9_1':0.0},(189,481):{'3_1':0.09,'5_1':0.0},(189,480):{'3_1':0.06,'7_1':0.0},(189,479):{'3_1':0.15,'5_1':0.0},(189,478):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(189,477):{'3_1':0.03},(189,476):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(189,475):{'3_1':0.03},(189,474):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(189,473):{'3_1':0.06,'5_1':0.0},(189,472):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(189,471):{'3_1':0.03},(189,470):{'3_1':0.06,'7_1':0.0},(189,469):{'3_1':0.06,'4_1':0.0},(189,468):{'3_1':0.06},(189,467):{'3_1':0.12,'5_2':0.0},(189,466):{'3_1':0.0},(189,465):{'3_1':0.06},(189,464):{'3_1':0.03},(189,463):{'3_1':0.03},(189,462):{'3_1':0.06,'4_1':0.0},(189,461):{'3_1':0.06,'4_1':0.0},(189,460):{'3_1':0.06,'4_1':0.0},(189,459):{'3_1':0.06,'5_2':0.0},(189,458):{'3_1':0.03},(189,457):{'3_1':0.0},(189,456):{'3_1':0.03},(189,455):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(189,454):{'3_1':0.06,'4_1':0.0},(189,453):{'3_1':0.0,'4_1':0.0},(189,452):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(189,451):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(189,450):{'3_1':0.06},(189,449):{'3_1':0.0},(189,448):{'3_1':0.03,'4_1':0.03},(189,447):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(189,446):{'3_1':0.0},(189,445):{'3_1':0.03},(189,444):{'3_1':0.0},(189,443):{'3_1':0.0,'4_1':0.0},(189,442):{'3_1':0.03},(189,441):{'3_1':0.03},(189,440):{'3_1':0.03,'6_2':0.0},(189,439):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(189,438):{'3_1':0.03},(189,437):{'3_1':0.0},(189,436):{'3_1':0.03,'5_1':0.0},(189,435):{'3_1':0.0,'4_1':0.0},(189,434):{'3_1':0.0},(189,433):{'3_1':0.03,'4_1':0.0},(189,432):{'3_1':0.0,'5_1':0.0},(189,431):{'3_1':0.03},(189,430):{'3_1':0.0,'5_2':0.0},(189,429):{'3_1':0.03},(189,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(189,427):{'4_1':0.0},(189,426):{'3_1':0.0,'4_1':0.0},(189,425):{'3_1':0.03},(189,424):{'3_1':0.0,'4_1':0.0},(189,423):{'3_1':0.06,'4_1':0.0},(189,422):{'3_1':0.0},(189,421):{'3_1':0.0},(189,420):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(189,419):{'3_1':0.0},(189,418):{'3_1':0.0,'5_2':0.0},(189,417):{'4_1':0.0,'3_1':0.0},(189,416):{'3_1':0.0,'4_1':0.0},(189,415):{'3_1':0.03,'4_1':0.0},(189,413):{'3_1':0.03},(189,412):{'3_1':0.0},(189,411):{'3_1':0.0},(189,410):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(189,409):{'3_1':0.0,'5_1':0.0},(189,408):{'3_1':0.0,'5_1':0.0},(189,407):{'3_1':0.0},(189,406):{'3_1':0.0},(189,405):{'3_1':0.0},(189,403):{'3_1':0.0,'4_1':0.0},(189,402):{'3_1':0.0},(189,401):{'3_1':0.0},(189,400):{'3_1':0.03},(189,399):{'3_1':0.0},(189,398):{'3_1':0.0,'6_1':0.0},(189,397):{'3_1':0.0},(189,396):{'3_1':0.0},(189,395):{'3_1':0.0,'4_1':0.0},(189,394):{'3_1':0.0},(189,393):{'3_1':0.0},(189,392):{'3_1':0.0},(189,390):{'3_1':0.0},(189,388):{'3_1':0.03},(189,387):{'3_1':0.0},(189,385):{'3_1':0.0},(189,384):{'3_1':0.0},(189,383):{'3_1':0.0},(189,382):{'3_1':0.0},(189,381):{'3_1':0.0},(189,380):{'3_1':0.03,'5_1':0.0},(189,379):{'3_1':0.0},(189,378):{'3_1':0.0,'5_1':0.0},(189,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(189,376):{'3_1':0.0,'4_1':0.0},(189,375):{'3_1':0.03},(189,374):{'3_1':0.03},(189,373):{'3_1':0.03},(189,372):{'3_1':0.0},(189,371):{'3_1':0.0,'4_1':0.0},(189,370):{'3_1':0.03},(189,369):{'3_1':0.0},(189,368):{'3_1':0.0},(189,367):{'3_1':0.0},(189,366):{'3_1':0.0},(189,364):{'3_1':0.0},(189,363):{'3_1':0.0},(189,362):{'3_1':0.03},(189,361):{'3_1':0.0,'4_1':0.0},(189,359):{'3_1':0.0},(189,358):{'5_1':0.0},(189,357):{'3_1':0.0},(189,356):{'3_1':0.0},(189,355):{'3_1':0.0},(189,354):{'3_1':0.0},(189,353):{'3_1':0.0},(189,352):{'3_1':0.0},(189,351):{'3_1':0.0},(189,350):{'3_1':0.0},(189,349):{'3_1':0.03},(189,348):{'3_1':0.0,'5_1':0.0},(189,347):{'3_1':0.0},(189,345):{'3_1':0.0},(189,344):{'3_1':0.0},(189,342):{'3_1':0.0},(189,341):{'3_1':0.0},(189,339):{'3_1':0.0},(189,338):{'3_1':0.0},(189,337):{'3_1':0.0},(189,336):{'3_1':0.0},(189,335):{'3_1':0.0},(189,334):{'3_1':0.0},(189,333):{'3_1':0.0},(189,332):{'3_1':0.0},(189,331):{'3_1':0.0},(189,330):{'3_1':0.0},(189,329):{'3_1':0.0},(189,327):{'3_1':0.03,'5_1':0.0},(189,326):{'3_1':0.0},(189,325):{'3_1':0.0},(189,323):{'3_1':0.0},(189,322):{'3_1':0.0},(189,321):{'3_1':0.0,'4_1':0.0},(189,319):{'3_1':0.0},(189,318):{'3_1':0.0},(189,317):{'3_1':0.0},(189,316):{'3_1':0.0,'4_1':0.0},(189,315):{'3_1':0.0},(189,314):{'3_1':0.0},(189,312):{'3_1':0.0},(189,309):{'3_1':0.0},(189,308):{'3_1':0.0},(189,307):{'3_1':0.03},(189,306):{'3_1':0.0},(189,304):{'3_1':0.0},(189,303):{'3_1':0.0},(189,300):{'3_1':0.0},(189,299):{'3_1':0.0},(189,298):{'3_1':0.0},(189,297):{'3_1':0.0},(189,294):{'3_1':0.0},(189,292):{'3_1':0.0},(189,291):{'3_1':0.0},(189,289):{'3_1':0.0},(189,288):{'3_1':0.0},(189,286):{'3_1':0.0},(189,285):{'3_1':0.0},(189,284):{'3_1':0.0},(189,282):{'3_1':0.0},(189,281):{'3_1':0.0},(189,276):{'3_1':0.0},(189,264):{'3_1':0.0},(189,263):{'3_1':0.0},(189,230):{'3_1':0.0},(189,228):{'3_1':0.0},(189,213):{'3_1':0.0},(190,727):{'5_2':0.18,'6_1':0.06,'7_5':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(190,726):{'5_2':0.21,'6_1':0.03,'-3':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0},(190,725):{'5_2':0.12,'6_1':0.06,'7_5':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(190,724):{'5_2':0.15,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0},(190,723):{'5_2':0.15,'6_1':0.06,'3_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_12':0.0},(190,722):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(190,721):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(190,720):{'5_2':0.21,'7_2':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(190,719):{'5_2':0.21,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(190,718):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0,'8_15':0.0},(190,717):{'5_2':0.18,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0,'3_1#5_2':0.0},(190,716):{'5_2':0.15,'6_1':0.09,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0},(190,715):{'5_2':0.3,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(190,714):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0},(190,713):{'5_2':0.09,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'3_1':0.0,'8_6':0.0},(190,712):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(190,711):{'5_2':0.12,'7_5':0.09,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'8_11':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'1':-0.03},(190,710):{'5_2':0.12,'7_5':0.12,'-3':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.03,'8_11':0.0,'9_1':0.0},(190,709):{'7_5':0.12,'5_2':0.09,'-3':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0,'8_3':0.0},(190,708):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'7_4':0.0,'8_11':0.0,'9_1':0.0},(190,707):{'5_2':0.15,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0},(190,706):{'5_2':0.21,'7_4':0.03,'7_5':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(190,705):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(190,704):{'5_2':0.18,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_2':0.0},(190,703):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'8_13':0.0,'8_14':0.0},(190,702):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(190,701):{'5_2':0.12,'6_1':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(190,700):{'5_2':0.33,'7_3':0.03,'3_1':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(190,699):{'5_2':0.12,'3_1':0.06,'7_4':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'8_13':0.0},(190,698):{'5_2':0.15,'3_1':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(190,697):{'5_2':0.21,'6_1':0.06,'-3':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'8_4':0.0,'7_5':0.0},(190,696):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'7_3':0.06,'7_2':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(190,695):{'5_2':0.18,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'1':-0.03},(190,694):{'5_2':0.15,'7_5':0.06,'7_3':0.03,'3_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(190,693):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(190,692):{'5_2':0.18,'3_1':0.15,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(190,691):{'5_2':0.21,'6_1':0.06,'3_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'8_11':0.0,'8_14':0.0},(190,690):{'5_2':0.21,'3_1':0.09,'7_4':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(190,689):{'5_2':0.15,'3_1':0.12,'7_3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(190,688):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_6':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(190,687):{'5_2':0.15,'3_1':0.15,'6_1':0.03,'7_5':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(190,686):{'5_2':0.3,'3_1':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0},(190,685):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(190,684):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(190,683):{'3_1':0.15,'5_2':0.12,'6_1':0.03,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(190,682):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'7_6':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(190,681):{'5_2':0.15,'3_1':0.09,'7_4':0.06,'6_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_13':0.0},(190,680):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(190,679):{'3_1':0.12,'5_2':0.12,'6_1':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(190,678):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(190,677):{'5_2':0.18,'3_1':0.12,'4_1':0.09,'7_5':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(190,676):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'6_1':0.0,'8_6':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(190,675):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'1':-0.03},(190,674):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'7_3':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(190,673):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(190,672):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(190,671):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(190,670):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_11':0.0,'8_12':0.0},(190,669):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'3_1#5_1':0.0},(190,668):{'3_1':0.18,'5_2':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(190,667):{'3_1':0.18,'5_2':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(190,666):{'5_2':0.18,'3_1':0.12,'4_1':0.09,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0},(190,665):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(190,664):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(190,663):{'3_1':0.15,'5_2':0.12,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(190,662):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(190,661):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(190,660):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(190,659):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'8_19':0.0},(190,658):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(190,657):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0},(190,656):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(190,655):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0},(190,654):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(190,653):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_19':0.0},(190,652):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(190,651):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.06},(190,650):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(190,649):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0},(190,648):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(190,647):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'6_3':0.0,'7_4':0.0,'8_19':0.0},(190,646):{'3_1':0.3,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0},(190,645):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(190,644):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(190,643):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(190,642):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(190,641):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(190,640):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'5_2':0.03,'8_19':0.0,'7_1':0.0,'7_5':0.0},(190,639):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0},(190,638):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(190,637):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(190,636):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(190,635):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_9':0.0},(190,634):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(190,633):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(190,632):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(190,631):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(190,630):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(190,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_4':0.0},(190,628):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0},(190,627):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(190,626):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(190,625):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(190,624):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(190,623):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(190,622):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(190,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'8_13':0.0},(190,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(190,619):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(190,618):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_20|3_1#3_1':0.0},(190,617):{'3_1':0.15,'5_2':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(190,616):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_5':0.0},(190,615):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(190,614):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(190,613):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(190,612):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(190,611):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(190,610):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(190,609):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(190,608):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(190,607):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(190,606):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(190,605):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(190,604):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(190,603):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(190,602):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(190,601):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(190,600):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(190,599):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(190,598):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(190,597):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(190,596):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(190,595):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(190,594):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(190,593):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(190,592):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_14':0.0},(190,591):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(190,590):{'3_1':0.06,'4_1':0.0},(190,589):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(190,588):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(190,587):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0},(190,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(190,585):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0},(190,584):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0},(190,583):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(190,582):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(190,581):{'3_1':0.09,'4_1':0.03,'7_5':0.0},(190,580):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(190,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(190,578):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(190,577):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(190,576):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(190,575):{'3_1':0.09,'4_1':0.0},(190,574):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0},(190,573):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(190,572):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(190,571):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(190,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(190,569):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(190,568):{'3_1':0.09,'4_1':0.0},(190,567):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(190,566):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0},(190,565):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(190,564):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(190,563):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(190,562):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(190,561):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(190,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(190,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(190,558):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(190,557):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(190,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(190,555):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'-3':0.0},(190,554):{'3_1':0.09,'5_1':0.0,'7_5':0.0},(190,553):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'3_1#5_1':0.0},(190,552):{'3_1':0.15,'5_2':0.0,'7_5':0.0},(190,551):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(190,550):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(190,549):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(190,548):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_5':0.0},(190,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(190,546):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(190,545):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(190,544):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(190,543):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(190,542):{'3_1':0.21,'5_2':0.0,'7_3':0.0},(190,541):{'3_1':0.21,'5_1':0.0},(190,540):{'3_1':0.18,'4_1':0.0,'6_3':0.0},(190,539):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(190,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(190,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(190,536):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(190,535):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(190,534):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(190,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(190,532):{'3_1':0.15,'4_1':0.0,'7_4':0.0,'7_5':0.0},(190,531):{'3_1':0.24,'5_2':0.0,'6_1':0.0},(190,530):{'3_1':0.15,'5_2':0.0},(190,529):{'3_1':0.15,'5_2':0.0},(190,528):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(190,527):{'3_1':0.18,'7_3':0.0,'5_1':0.0},(190,526):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'9_1':0.0},(190,525):{'3_1':0.09,'5_2':0.0},(190,524):{'3_1':0.15,'5_1':0.0},(190,523):{'3_1':0.12,'4_1':0.0},(190,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(190,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(190,520):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(190,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(190,518):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(190,517):{'3_1':0.03,'4_1':0.0},(190,516):{'3_1':0.09,'5_1':0.0},(190,515):{'3_1':0.09,'4_1':0.0},(190,514):{'3_1':0.03,'5_1':0.0},(190,513):{'3_1':0.06,'4_1':0.0},(190,512):{'3_1':0.06,'5_1':0.0},(190,511):{'3_1':0.09,'5_2':0.0},(190,510):{'3_1':0.03,'7_3':0.0},(190,509):{'3_1':0.06,'4_1':0.0},(190,508):{'3_1':0.06,'5_1':0.0},(190,507):{'3_1':0.03},(190,506):{'3_1':0.06,'5_2':0.0},(190,505):{'3_1':0.09},(190,504):{'3_1':0.06,'5_1':0.0},(190,503):{'3_1':0.0,'5_1':0.0},(190,502):{'3_1':0.03},(190,501):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(190,500):{'3_1':0.06,'4_1':0.0},(190,499):{'3_1':0.0},(190,498):{'3_1':0.03},(190,497):{'3_1':0.09},(190,496):{'3_1':0.06,'4_1':0.0},(190,495):{'3_1':0.03,'5_1':0.0},(190,494):{'3_1':0.03},(190,493):{'3_1':0.06,'4_1':0.0},(190,492):{'3_1':0.06,'5_2':0.0},(190,491):{'3_1':0.06},(190,490):{'3_1':0.09},(190,489):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(190,488):{'3_1':0.09},(190,487):{'3_1':0.06,'5_2':0.0},(190,486):{'3_1':0.09},(190,485):{'3_1':0.12},(190,484):{'3_1':0.06},(190,483):{'3_1':0.06,'4_1':0.0},(190,482):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(190,481):{'3_1':0.06},(190,480):{'3_1':0.06},(190,479):{'3_1':0.12},(190,478):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(190,477):{'3_1':0.09},(190,476):{'3_1':0.06,'5_2':0.0},(190,475):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(190,474):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(190,473):{'3_1':0.06},(190,472):{'3_1':0.09,'5_1':0.0},(190,471):{'3_1':0.06},(190,470):{'3_1':0.09},(190,469):{'3_1':0.06},(190,468):{'3_1':0.03,'5_2':0.0},(190,467):{'3_1':0.03},(190,466):{'3_1':0.0,'5_1':0.0},(190,465):{'3_1':0.0},(190,464):{'3_1':0.0,'7_3':0.0},(190,463):{'3_1':0.03},(190,462):{'3_1':0.03},(190,461):{'3_1':0.03},(190,460):{'3_1':0.06},(190,459):{'3_1':0.03},(190,458):{'3_1':0.06,'5_1':0.0},(190,457):{'3_1':0.0,'6_1':0.0},(190,456):{'3_1':0.03},(190,455):{'3_1':0.0},(190,454):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(190,453):{'3_1':0.03},(190,452):{'3_1':0.06},(190,451):{'3_1':0.03,'4_1':0.0},(190,450):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(190,449):{'3_1':0.0,'5_1':0.0},(190,448):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(190,447):{'3_1':0.03},(190,446):{'3_1':0.0,'4_1':0.0},(190,445):{'3_1':0.03,'4_1':0.0},(190,444):{'3_1':0.0},(190,443):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(190,442):{'3_1':0.03},(190,441):{'3_1':0.0},(190,440):{'3_1':0.03},(190,439):{'3_1':0.0},(190,438):{'3_1':0.0},(190,437):{'3_1':0.03,'4_1':0.0},(190,436):{'3_1':0.03},(190,435):{'3_1':0.0,'5_1':0.0},(190,434):{'3_1':0.03},(190,433):{'3_1':0.03},(190,432):{'3_1':0.06},(190,431):{'3_1':0.0,'4_1':0.0},(190,430):{'3_1':0.03,'4_1':0.0},(190,429):{'3_1':0.06},(190,428):{'3_1':0.0},(190,427):{'3_1':0.03,'5_2':0.0},(190,426):{'3_1':0.0},(190,425):{'3_1':0.0,'4_1':0.0},(190,424):{'3_1':0.0,'4_1':0.0},(190,423):{'3_1':0.06,'4_1':0.0},(190,422):{'3_1':0.03},(190,421):{'3_1':0.03},(190,420):{'3_1':0.03,'4_1':0.0},(190,419):{'3_1':0.06},(190,418):{'3_1':0.0},(190,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(190,416):{'3_1':0.03},(190,415):{'3_1':0.03,'4_1':0.0},(190,414):{'3_1':0.0},(190,413):{'3_1':0.0,'9_1':0.0},(190,412):{'3_1':0.0},(190,411):{'3_1':0.0,'4_1':0.0},(190,410):{'3_1':0.0},(190,409):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(190,408):{'3_1':0.0},(190,407):{'3_1':0.0},(190,406):{'3_1':0.0},(190,405):{'3_1':0.0},(190,404):{'3_1':0.0},(190,403):{'3_1':0.0},(190,402):{'3_1':0.03},(190,401):{'3_1':0.0},(190,400):{'3_1':0.0},(190,399):{'3_1':0.0},(190,398):{'3_1':0.0},(190,397):{'3_1':0.0,'8_3':0.0},(190,395):{'3_1':0.0},(190,394):{'3_1':0.0},(190,393):{'3_1':0.03},(190,392):{'3_1':0.0},(190,391):{'3_1':0.0},(190,390):{'3_1':0.0},(190,389):{'3_1':0.0},(190,388):{'3_1':0.0},(190,387):{'3_1':0.0},(190,386):{'3_1':0.0},(190,385):{'3_1':0.0},(190,384):{'3_1':0.0},(190,383):{'3_1':0.03},(190,382):{'3_1':0.0,'5_1':0.0},(190,381):{'3_1':0.0},(190,380):{'3_1':0.03},(190,379):{'3_1':0.0},(190,378):{'3_1':0.03,'5_1':0.0},(190,377):{'3_1':0.0,'5_1':0.0},(190,376):{'3_1':0.03},(190,375):{'3_1':0.0,'5_2':0.0},(190,374):{'3_1':0.0},(190,373):{'3_1':0.0},(190,372):{'3_1':0.06},(190,371):{'3_1':0.03,'5_2':0.0},(190,370):{'3_1':0.03},(190,369):{'3_1':0.0,'5_2':0.0},(190,368):{'3_1':0.03},(190,367):{'3_1':0.0},(190,366):{'3_1':0.0,'5_2':0.0},(190,365):{'3_1':0.0,'5_2':0.0},(190,364):{'3_1':0.03},(190,363):{'3_1':0.03,'5_2':0.0},(190,362):{'3_1':0.0,'4_1':0.0},(190,361):{'3_1':0.03,'4_1':0.0},(190,360):{'3_1':0.0},(190,359):{'3_1':0.0,'5_1':0.0},(190,358):{'3_1':0.0},(190,357):{'3_1':0.0,'5_1':0.0},(190,356):{'3_1':0.0,'5_2':0.0},(190,354):{'3_1':0.0},(190,353):{'3_1':0.0},(190,352):{'3_1':0.03,'4_1':0.0},(190,351):{'3_1':0.0},(190,350):{'3_1':0.0},(190,349):{'3_1':0.0},(190,348):{'3_1':0.0},(190,347):{'3_1':0.0},(190,346):{'3_1':0.0,'4_1':0.0},(190,345):{'3_1':0.0},(190,344):{'3_1':0.0},(190,341):{'3_1':0.0,'4_1':0.0},(190,340):{'3_1':0.0},(190,339):{'3_1':0.0},(190,338):{'3_1':0.0},(190,337):{'3_1':0.0},(190,336):{'3_1':0.0},(190,335):{'3_1':0.0},(190,334):{'3_1':0.06},(190,332):{'3_1':0.0},(190,331):{'3_1':0.03},(190,329):{'3_1':0.0},(190,326):{'3_1':0.0},(190,324):{'3_1':0.0},(190,323):{'3_1':0.0},(190,322):{'3_1':0.0},(190,321):{'3_1':0.0},(190,320):{'3_1':0.0},(190,319):{'3_1':0.0},(190,317):{'3_1':0.0},(190,316):{'3_1':0.0},(190,315):{'3_1':0.0},(190,314):{'3_1':0.0},(190,313):{'3_1':0.0},(190,312):{'3_1':0.0},(190,311):{'3_1':0.0},(190,309):{'3_1':0.0},(190,308):{'3_1':0.0},(190,307):{'3_1':0.03},(190,306):{'3_1':0.0},(190,305):{'3_1':0.0},(190,303):{'3_1':0.0},(190,302):{'3_1':0.0,'5_2':0.0},(190,301):{'3_1':0.0,'4_1':0.0},(190,300):{'3_1':0.0},(190,298):{'3_1':0.0},(190,297):{'3_1':0.0},(190,296):{'3_1':0.0},(190,295):{'3_1':0.03},(190,294):{'3_1':0.0},(190,293):{'3_1':0.0},(190,292):{'3_1':0.0},(190,289):{'3_1':0.0},(190,288):{'3_1':0.0},(190,283):{'3_1':0.0},(190,279):{'3_1':0.0},(190,278):{'3_1':0.0},(190,276):{'3_1':0.0},(190,275):{'3_1':0.0},(190,273):{'3_1':0.0},(190,270):{'3_1':0.0},(190,269):{'3_1':0.0},(190,267):{'5_2':0.0},(190,253):{'3_1':0.0},(190,248):{'3_1':0.0},(190,236):{'3_1':0.0},(190,231):{'3_1':0.0},(190,230):{'3_1':0.0},(190,212):{'3_1':0.0},(190,211):{'3_1':0.0},(190,197):{'3_1':0.0},(191,727):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(191,726):{'5_2':0.15,'6_1':0.06,'7_5':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(191,725):{'5_2':0.24,'7_5':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(191,724):{'5_2':0.15,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0,'8_8':0.0,'3_1#5_2':0.0},(191,723):{'5_2':0.21,'-3':0.06,'7_5':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'8_4':0.0,'7_4':0.0,'8_13':0.0},(191,722):{'5_2':0.18,'-3':0.06,'4_1':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'7_4':0.0},(191,721):{'5_2':0.18,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0},(191,720):{'5_2':0.18,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0,'1':-0.03},(191,719):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'7_2':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0},(191,718):{'5_2':0.18,'-3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(191,717):{'5_2':0.15,'-3':0.03,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(191,716):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_2':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(191,715):{'5_2':0.12,'7_2':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0},(191,714):{'5_2':0.12,'7_2':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'8_6':0.0,'8_14':0.0},(191,713):{'5_2':0.24,'6_1':0.06,'7_2':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(191,712):{'5_2':0.21,'7_2':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(191,711):{'5_2':0.15,'-3':0.06,'7_5':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(191,710):{'5_2':0.15,'-3':0.12,'3_1':0.09,'7_5':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'1':-0.03},(191,709):{'-3':0.15,'3_1':0.06,'5_2':0.03,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'8_6':0.0,'8_10':0.0,'8_15':0.0},(191,708):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(191,707):{'5_2':0.3,'-3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'1':-0.03},(191,706):{'5_2':0.18,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(191,705):{'5_2':0.18,'6_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'1':-0.03},(191,704):{'5_2':0.12,'6_1':0.06,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'7_6':0.0,'8_3':0.0},(191,703):{'5_2':0.15,'6_1':0.06,'7_4':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0},(191,702):{'5_2':0.15,'6_1':0.06,'-3':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'3_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(191,701):{'5_2':0.18,'7_3':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_13':0.0},(191,700):{'5_2':0.18,'-3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_15':0.0},(191,699):{'5_2':0.12,'7_2':0.06,'3_1':0.03,'7_4':0.03,'7_6':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0},(191,698):{'5_2':0.18,'4_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'8_13':0.0,'3_1#5_2':0.0},(191,697):{'5_2':0.18,'7_3':0.09,'-3':0.09,'3_1':0.06,'7_2':0.06,'7_5':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(191,696):{'5_2':0.21,'3_1':0.09,'7_3':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(191,695):{'5_2':0.24,'-3':0.06,'7_3':0.03,'4_1':0.03,'7_2':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0,'7_4':0.0,'8_13':0.0},(191,694):{'5_2':0.18,'7_2':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'3_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(191,693):{'5_2':0.21,'3_1':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(191,692):{'5_2':0.21,'3_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0},(191,691):{'5_2':0.18,'7_2':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_3':0.0},(191,690):{'5_2':0.21,'3_1':0.03,'-3':0.03,'7_4':0.03,'7_5':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'8_4':0.0},(191,689):{'5_2':0.21,'3_1':0.09,'4_1':0.06,'7_3':0.03,'-3':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0},(191,688):{'5_2':0.15,'3_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'1':-0.03},(191,687):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(191,686):{'5_2':0.21,'3_1':0.06,'7_2':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0},(191,685):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(191,684):{'5_2':0.18,'3_1':0.15,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0},(191,683):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(191,682):{'3_1':0.18,'5_2':0.18,'7_4':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'8_3':0.0,'8_14':0.0},(191,681):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(191,680):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(191,679):{'5_2':0.15,'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'8_8':0.0,'8_14':0.0},(191,678):{'5_2':0.18,'3_1':0.12,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(191,677):{'5_2':0.21,'3_1':0.12,'-3':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0},(191,676):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(191,675):{'5_2':0.18,'3_1':0.12,'4_1':0.09,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_1':0.0},(191,674):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(191,673):{'3_1':0.18,'5_2':0.15,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(191,672):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'6_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'9_1':0.0},(191,671):{'5_2':0.18,'3_1':0.12,'5_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(191,670):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(191,669):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0},(191,668):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(191,667):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_6':0.0,'7_4':0.0},(191,666):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(191,665):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(191,664):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(191,663):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(191,662):{'5_2':0.18,'3_1':0.15,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0},(191,661):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(191,660):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(191,659):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(191,658):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'-3':0.0},(191,657):{'3_1':0.18,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0},(191,656):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(191,655):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'8_13':0.0,'8_19':0.0},(191,654):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0},(191,653):{'3_1':0.42,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0},(191,652):{'3_1':0.24,'5_2':0.15,'5_1':0.09,'4_1':0.0,'6_2':0.0,'7_3':0.0},(191,651):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(191,650):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'6_2':0.0,'4_1':0.0,'6_1':0.0},(191,649):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0},(191,648):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(191,647):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(191,646):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0},(191,645):{'3_1':0.18,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(191,644):{'3_1':0.21,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(191,643):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'8_19':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(191,642):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'7_1':0.0,'-3':0.0,'8_19':0.0,'9_1':0.0},(191,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_3':0.0,'7_5':0.0,'8_19':0.0,'3_1#5_1':0.0},(191,640):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_2':0.0,'8_19':0.0},(191,639):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(191,638):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(191,637):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(191,636):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(191,635):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'8_19':0.0},(191,634):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(191,633):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(191,632):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(191,631):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(191,630):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0},(191,629):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0},(191,628):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(191,627):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(191,626):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(191,625):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(191,624):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(191,623):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0},(191,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(191,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(191,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(191,619):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_1':0.0},(191,618):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(191,617):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(191,616):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0},(191,615):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(191,614):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(191,613):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(191,612):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(191,611):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(191,610):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_17':0.0},(191,609):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'-3':0.0},(191,608):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(191,607):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(191,606):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0},(191,605):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(191,604):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(191,603):{'3_1':0.18,'5_2':0.0},(191,602):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(191,601):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(191,600):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(191,599):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(191,598):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(191,597):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(191,596):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(191,595):{'3_1':0.09,'5_2':0.0},(191,594):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(191,593):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(191,592):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(191,591):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_6':0.0},(191,590):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(191,589):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(191,588):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(191,587):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(191,586):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(191,585):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0},(191,584):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(191,583):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(191,582):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(191,581):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(191,580):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(191,579):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_4':0.0},(191,578):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(191,577):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(191,576):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(191,575):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(191,574):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(191,573):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(191,572):{'3_1':0.09,'5_1':0.0},(191,571):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(191,570):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(191,569):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(191,568):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(191,567):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(191,566):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(191,565):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(191,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(191,563):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(191,562):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(191,561):{'3_1':0.09,'4_1':0.0},(191,560):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(191,559):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(191,558):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(191,557):{'3_1':0.15,'5_1':0.0},(191,556):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(191,555):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(191,554):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(191,553):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(191,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(191,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(191,550):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0},(191,549):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(191,548):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(191,547):{'3_1':0.15,'5_2':0.0},(191,546):{'3_1':0.21,'5_1':0.0},(191,545):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(191,544):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(191,543):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(191,542):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(191,541):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(191,540):{'3_1':0.15,'5_2':0.0},(191,539):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'7_1':0.0},(191,538):{'3_1':0.21,'5_1':0.0},(191,537):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(191,536):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_3':0.0},(191,535):{'3_1':0.18},(191,534):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(191,533):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(191,532):{'3_1':0.15,'7_3':0.0},(191,531):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(191,530):{'3_1':0.18},(191,529):{'3_1':0.15,'5_2':0.0},(191,528):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(191,527):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(191,526):{'3_1':0.09,'5_2':0.0},(191,525):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(191,524):{'3_1':0.15},(191,523):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(191,522):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(191,521):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(191,520):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(191,519):{'3_1':0.06},(191,518):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(191,517):{'3_1':0.09,'7_5':0.0},(191,516):{'3_1':0.06,'4_1':0.0},(191,515):{'3_1':0.06,'5_2':0.0},(191,514):{'3_1':0.12},(191,513):{'3_1':0.06,'5_2':0.0},(191,512):{'3_1':0.06},(191,511):{'3_1':0.03,'5_2':0.0},(191,510):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(191,509):{'3_1':0.0,'4_1':0.0},(191,508):{'3_1':0.03,'5_1':0.0},(191,507):{'3_1':0.03},(191,506):{'3_1':0.03,'5_2':0.0},(191,505):{'4_1':0.0,'6_3':0.0},(191,504):{'3_1':0.06},(191,503):{'3_1':0.06},(191,502):{'3_1':0.03,'4_1':0.0},(191,501):{'3_1':0.03},(191,500):{'3_1':0.03,'4_1':0.0},(191,499):{'3_1':0.03},(191,498):{'3_1':0.06,'4_1':0.0},(191,497):{'3_1':0.09,'5_2':0.0},(191,496):{'3_1':0.09,'4_1':0.0},(191,495):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(191,494):{'3_1':0.0,'5_2':0.0},(191,493):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(191,492):{'3_1':0.03,'5_1':0.0},(191,491):{'3_1':0.09},(191,490):{'3_1':0.06,'5_2':0.0},(191,489):{'3_1':0.06},(191,488):{'3_1':0.15},(191,487):{'3_1':0.06},(191,486):{'3_1':0.06,'4_1':0.0},(191,485):{'3_1':0.09,'4_1':0.0},(191,484):{'3_1':0.06},(191,483):{'3_1':0.06,'7_1':0.0},(191,482):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(191,481):{'3_1':0.09,'5_1':0.0},(191,480):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(191,479):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(191,478):{'3_1':0.06,'7_1':0.0},(191,477):{'3_1':0.09,'7_4':0.0},(191,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(191,475):{'3_1':0.09,'4_1':0.0},(191,474):{'3_1':0.09},(191,473):{'3_1':0.06,'5_2':0.0},(191,472):{'3_1':0.06},(191,471):{'3_1':0.09,'5_2':0.0},(191,470):{'3_1':0.03},(191,469):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(191,468):{'3_1':0.09},(191,467):{'3_1':0.03,'4_1':0.0},(191,466):{'3_1':0.06},(191,465):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(191,464):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(191,463):{'3_1':0.03},(191,462):{'3_1':0.03},(191,461):{'3_1':0.03,'4_1':0.0},(191,460):{'3_1':0.03},(191,459):{'3_1':0.03},(191,458):{'3_1':0.0},(191,457):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(191,456):{'3_1':0.0,'5_1':0.0},(191,455):{'3_1':0.03},(191,454):{'3_1':0.0,'5_1':0.0},(191,453):{'3_1':0.03,'4_1':0.0},(191,452):{'3_1':0.03,'5_1':0.0},(191,451):{'3_1':0.06,'5_1':0.0},(191,450):{'3_1':0.0,'5_2':0.0},(191,449):{'3_1':0.03,'4_1':0.0},(191,448):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(191,447):{'3_1':0.0},(191,446):{'3_1':0.0},(191,445):{'3_1':0.03,'4_1':0.0},(191,444):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(191,443):{'3_1':0.0},(191,442):{'3_1':0.03,'4_1':0.0},(191,440):{'3_1':0.0,'5_2':0.0},(191,439):{'3_1':0.0},(191,438):{'3_1':0.0},(191,437):{'3_1':0.03,'5_1':0.0},(191,436):{'3_1':0.03},(191,435):{'3_1':0.0},(191,434):{'3_1':0.03},(191,433):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(191,432):{'3_1':0.03},(191,431):{'3_1':0.03,'4_1':0.0},(191,430):{'3_1':0.03},(191,429):{'3_1':0.03},(191,428):{'3_1':0.03,'4_1':0.0},(191,427):{'3_1':0.03},(191,426):{'3_1':0.0,'4_1':0.0},(191,425):{'3_1':0.0},(191,424):{'3_1':0.03,'4_1':0.0},(191,423):{'3_1':0.09,'4_1':0.0},(191,422):{'3_1':0.03},(191,421):{'3_1':0.0},(191,420):{'3_1':0.03,'4_1':0.0},(191,419):{'3_1':0.03,'4_1':0.0},(191,418):{'3_1':0.0,'5_2':0.0},(191,417):{'3_1':0.0,'4_1':0.0},(191,416):{'3_1':0.03,'4_1':0.0},(191,415):{'3_1':0.0,'4_1':0.0},(191,414):{'3_1':0.03},(191,413):{'4_1':0.0,'3_1':0.0,'9_1':0.0},(191,412):{'3_1':0.0,'7_1':0.0},(191,411):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(191,410):{'3_1':0.03,'5_1':0.0},(191,409):{'3_1':0.0,'5_1':0.0},(191,408):{'3_1':0.03},(191,407):{'3_1':0.0},(191,406):{'3_1':0.0},(191,405):{'3_1':0.0},(191,404):{'3_1':0.0},(191,402):{'3_1':0.0},(191,401):{'3_1':0.0},(191,400):{'3_1':0.0},(191,399):{'3_1':0.03},(191,397):{'3_1':0.0},(191,396):{'3_1':0.0},(191,395):{'3_1':0.0},(191,394):{'3_1':0.0},(191,393):{'3_1':0.0},(191,392):{'4_1':0.0},(191,391):{'3_1':0.0},(191,390):{'5_1':0.0},(191,388):{'3_1':0.0},(191,387):{'3_1':0.0},(191,386):{'3_1':0.03},(191,385):{'3_1':0.0},(191,384):{'3_1':0.0},(191,383):{'3_1':0.0,'4_1':0.0},(191,382):{'3_1':0.0},(191,381):{'3_1':0.0},(191,380):{'3_1':0.03},(191,379):{'3_1':0.03,'5_1':0.0},(191,378):{'3_1':0.03,'5_2':0.0},(191,377):{'3_1':0.03,'5_2':0.0},(191,376):{'3_1':0.03},(191,375):{'3_1':0.03},(191,374):{'3_1':0.03,'7_1':0.0},(191,373):{'3_1':0.03},(191,372):{'5_1':0.0},(191,371):{'3_1':0.03},(191,370):{'3_1':0.0},(191,369):{'3_1':0.03},(191,368):{'3_1':0.0,'5_1':0.0},(191,367):{'3_1':0.0,'9_1':0.0},(191,366):{'3_1':0.0},(191,365):{'3_1':0.0},(191,363):{'3_1':0.0},(191,362):{'3_1':0.0},(191,361):{'3_1':0.03},(191,360):{'3_1':0.0,'5_1':0.0},(191,359):{'3_1':0.03},(191,358):{'3_1':0.0},(191,356):{'3_1':0.0},(191,355):{'3_1':0.0},(191,354):{'3_1':0.0},(191,353):{'3_1':0.0},(191,352):{'3_1':0.0},(191,351):{'3_1':0.0},(191,350):{'3_1':0.0},(191,349):{'3_1':0.03},(191,348):{'3_1':0.0},(191,347):{'3_1':0.03},(191,345):{'3_1':0.0},(191,344):{'3_1':0.0},(191,343):{'3_1':0.0},(191,342):{'3_1':0.0,'5_1':0.0},(191,341):{'3_1':0.0},(191,339):{'3_1':0.0},(191,338):{'3_1':0.0},(191,337):{'3_1':0.0},(191,335):{'3_1':0.0},(191,333):{'3_1':0.0},(191,332):{'3_1':0.0},(191,330):{'3_1':0.0},(191,329):{'3_1':0.06},(191,328):{'3_1':0.0},(191,327):{'3_1':0.0},(191,326):{'3_1':0.0},(191,325):{'3_1':0.0},(191,324):{'3_1':0.0},(191,323):{'3_1':0.0},(191,322):{'3_1':0.0},(191,321):{'3_1':0.0},(191,320):{'3_1':0.03},(191,319):{'3_1':0.0},(191,318):{'3_1':0.0},(191,317):{'3_1':0.0},(191,316):{'3_1':0.0},(191,315):{'3_1':0.0},(191,312):{'3_1':0.0,'5_1':0.0},(191,310):{'3_1':0.0},(191,309):{'3_1':0.0},(191,308):{'3_1':0.0},(191,307):{'3_1':0.0},(191,306):{'3_1':0.0},(191,305):{'3_1':0.0},(191,304):{'3_1':0.0},(191,303):{'3_1':0.0},(191,302):{'3_1':0.0},(191,301):{'3_1':0.0},(191,300):{'3_1':0.0},(191,299):{'3_1':0.0},(191,298):{'3_1':0.0},(191,297):{'3_1':0.0},(191,295):{'3_1':0.0},(191,294):{'3_1':0.03},(191,293):{'3_1':0.0},(191,292):{'3_1':0.0},(191,290):{'3_1':0.0},(191,289):{'3_1':0.0},(191,287):{'3_1':0.0},(191,286):{'4_1':0.0},(191,284):{'3_1':0.0},(191,282):{'3_1':0.0},(191,281):{'4_1':0.0},(191,280):{'3_1':0.0},(191,279):{'3_1':0.0},(191,278):{'3_1':0.03},(191,277):{'3_1':0.0},(191,271):{'3_1':0.0},(191,270):{'3_1':0.0},(191,267):{'5_2':0.0},(191,232):{'3_1':0.0},(191,229):{'3_1':0.0},(191,198):{'3_1':0.0},(191,197):{'3_1':0.0},(192,727):{'5_2':0.18,'6_1':0.03,'7_6':0.03,'4_1':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0},(192,726):{'5_2':0.15,'6_1':0.06,'7_3':0.03,'7_5':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0},(192,725):{'5_2':0.12,'6_1':0.09,'7_2':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(192,724):{'5_2':0.18,'-3':0.09,'7_2':0.03,'6_1':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(192,723):{'5_2':0.12,'7_3':0.03,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_1':0.0,'8_13':0.0},(192,722):{'5_2':0.09,'6_1':0.06,'7_2':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'8_11':0.0},(192,721):{'5_2':0.18,'6_1':0.06,'-3':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0,'8_15':0.0},(192,720):{'5_2':0.18,'6_1':0.09,'7_3':0.03,'7_6':0.03,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(192,719):{'5_2':0.21,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(192,718):{'5_2':0.27,'6_1':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.03,'-3':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(192,717):{'5_2':0.21,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(192,716):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(192,715):{'5_2':0.18,'7_2':0.06,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0},(192,714):{'5_2':0.18,'-3':0.09,'7_3':0.06,'7_2':0.03,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0},(192,713):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(192,712):{'5_2':0.21,'4_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'8_11':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0},(192,711):{'5_2':0.12,'3_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(192,710):{'5_2':0.15,'7_5':0.12,'3_1':0.06,'-3':0.06,'5_1':0.0,'7_6':0.0,'7_2':0.0,'8_14':0.0,'4_1':0.0,'6_1':0.0},(192,709):{'5_2':0.18,'-3':0.15,'7_5':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_6':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(192,708):{'5_2':0.15,'6_1':0.06,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(192,707):{'5_2':0.18,'6_1':0.06,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(192,706):{'5_2':0.21,'7_3':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0},(192,705):{'5_2':0.18,'7_3':0.06,'7_4':0.03,'7_5':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'7_6':0.0},(192,704):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(192,703):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_5':0.06,'-3':0.06,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(192,702):{'5_2':0.21,'-3':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(192,701):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_5':0.0},(192,700):{'5_2':0.21,'6_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(192,699):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0},(192,698):{'5_2':0.18,'3_1':0.06,'7_3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0},(192,697):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_14':0.0},(192,696):{'5_2':0.24,'3_1':0.06,'7_3':0.06,'6_1':0.06,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(192,695):{'5_2':0.15,'3_1':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(192,694):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'7_3':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(192,693):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0},(192,692):{'5_2':0.18,'3_1':0.09,'-3':0.06,'6_1':0.06,'7_3':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(192,691):{'5_2':0.21,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'1':-0.03},(192,690):{'5_2':0.12,'7_2':0.06,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(192,689):{'5_2':0.18,'3_1':0.09,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(192,688):{'5_2':0.18,'3_1':0.06,'6_1':0.06,'7_3':0.03,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(192,687):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0},(192,686):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'5_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'4_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0},(192,685):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(192,684):{'5_2':0.27,'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(192,683):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0,'8_11':0.0},(192,682):{'5_2':0.21,'3_1':0.12,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_6':0.0},(192,681):{'3_1':0.15,'5_2':0.15,'7_4':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'8_13':0.0,'8_14':0.0,'3_1#5_2':0.0},(192,680):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_2':0.0,'6_1':0.0,'-3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(192,679):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(192,678):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'8_14':0.0,'8_19':0.0},(192,677):{'5_2':0.15,'3_1':0.09,'5_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(192,676):{'3_1':0.24,'5_2':0.15,'4_1':0.06,'6_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(192,675):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'9_1':0.0,'-3':0.0},(192,674):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(192,673):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(192,672):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0},(192,671):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0},(192,670):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(192,669):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(192,668):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(192,667):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(192,666):{'5_2':0.21,'3_1':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(192,665):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(192,664):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(192,663):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(192,662):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(192,661):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(192,660):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(192,659):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(192,658):{'3_1':0.21,'5_2':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(192,657):{'3_1':0.27,'5_2':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.0,'7_4':0.0},(192,656):{'3_1':0.27,'5_2':0.15,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0},(192,655):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(192,654):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0},(192,653):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(192,652):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0},(192,651):{'3_1':0.27,'5_2':0.15,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(192,650):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(192,649):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(192,648):{'3_1':0.33,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0},(192,647):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0},(192,646):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(192,645):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0},(192,644):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'7_4':0.0,'8_19':0.0,'4_1':0.0,'7_1':0.0},(192,643):{'3_1':0.21,'5_2':0.09,'5_1':0.09,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(192,642):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'6_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(192,641):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_3':0.0,'8_19':0.0},(192,640):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'8_19':0.0,'4_1':0.0,'7_3':0.0},(192,639):{'3_1':0.27,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(192,638):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(192,637):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(192,636):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(192,635):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_4':0.0,'6_2':0.0},(192,634):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(192,633):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(192,632):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(192,631):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0},(192,630):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(192,629):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(192,628):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_5':0.0},(192,627):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0},(192,626):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(192,625):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(192,624):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(192,623):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(192,622):{'3_1':0.09,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0},(192,621):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(192,620):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0},(192,619):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(192,618):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_1':0.0,'-3':0.0},(192,617):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0},(192,616):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(192,615):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'-3':0.0},(192,614):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(192,613):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(192,612):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_4':0.0},(192,611):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'7_5':0.0},(192,610):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(192,609):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(192,608):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(192,607):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(192,606):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(192,605):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(192,604):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(192,603):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(192,602):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(192,601):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,600):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(192,599):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(192,598):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(192,597):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(192,596):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(192,595):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(192,594):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(192,593):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(192,592):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0},(192,591):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,590):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(192,589):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(192,588):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(192,587):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(192,586):{'3_1':0.06,'7_4':0.0},(192,585):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(192,584):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(192,583):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(192,582):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(192,581):{'3_1':0.09,'4_1':0.0},(192,580):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(192,579):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(192,578):{'3_1':0.12,'4_1':0.0},(192,577):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(192,576):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(192,575):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(192,574):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(192,573):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(192,572):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0},(192,571):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(192,570):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(192,569):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0},(192,568):{'3_1':0.09,'4_1':0.0},(192,567):{'3_1':0.09,'4_1':0.0},(192,566):{'3_1':0.15,'4_1':0.0},(192,565):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(192,564):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(192,563):{'3_1':0.12,'5_1':0.0},(192,562):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(192,561):{'3_1':0.09,'5_1':0.0},(192,560):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(192,559):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(192,558):{'3_1':0.15},(192,557):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(192,556):{'3_1':0.12,'5_1':0.0},(192,555):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(192,554):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0,'4_1':0.0},(192,553):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(192,552):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(192,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,550):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(192,549):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(192,548):{'3_1':0.18,'5_2':0.0},(192,547):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(192,546):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(192,545):{'3_1':0.24},(192,544):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(192,543):{'3_1':0.18,'5_1':0.0},(192,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(192,541):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,540):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(192,539):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(192,538):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(192,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(192,536):{'3_1':0.3,'7_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,535):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,534):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(192,533):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(192,532):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(192,531):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0},(192,530):{'3_1':0.18,'5_2':0.0},(192,529):{'3_1':0.15,'5_1':0.0},(192,528):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(192,527):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(192,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(192,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(192,524):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(192,523):{'3_1':0.12},(192,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,521):{'3_1':0.09},(192,520):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(192,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(192,518):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(192,517):{'3_1':0.09,'5_2':0.0},(192,516):{'3_1':0.09},(192,515):{'3_1':0.06,'5_2':0.0},(192,514):{'3_1':0.03},(192,513):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(192,512):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(192,511):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(192,510):{'3_1':0.0},(192,509):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,508):{'3_1':0.03,'5_1':0.0},(192,507):{'3_1':0.03},(192,506):{'3_1':0.06},(192,505):{'3_1':0.06,'5_2':0.0},(192,504):{'3_1':0.03},(192,503):{'3_1':0.06,'5_2':0.0},(192,502):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(192,501):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(192,500):{'3_1':0.06},(192,499):{'3_1':0.03},(192,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(192,497):{'3_1':0.09},(192,496):{'3_1':0.0,'4_1':0.0},(192,495):{'3_1':0.03},(192,494):{'3_1':0.06,'5_2':0.0},(192,493):{'3_1':0.0},(192,492):{'3_1':0.03,'5_1':0.0},(192,491):{'3_1':0.03},(192,490):{'3_1':0.09,'5_2':0.0},(192,489):{'3_1':0.03,'5_2':0.0},(192,488):{'3_1':0.03,'5_1':0.0},(192,487):{'3_1':0.09},(192,486):{'3_1':0.06,'5_2':0.0},(192,485):{'3_1':0.06,'5_2':0.0},(192,484):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(192,483):{'3_1':0.12},(192,482):{'3_1':0.09,'5_1':0.0,'9_1':0.0},(192,481):{'3_1':0.06},(192,480):{'3_1':0.09,'5_1':0.0},(192,479):{'3_1':0.12,'4_1':0.0},(192,478):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(192,477):{'3_1':0.09},(192,476):{'3_1':0.03},(192,475):{'3_1':0.09},(192,474):{'3_1':0.09},(192,473):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(192,472):{'3_1':0.06,'5_1':0.0},(192,471):{'3_1':0.03,'5_2':0.0},(192,470):{'3_1':0.03,'4_1':0.0},(192,469):{'3_1':0.03},(192,468):{'3_1':0.09},(192,467):{'3_1':0.09,'5_2':0.0},(192,466):{'3_1':0.06},(192,465):{'3_1':0.06,'4_1':0.0},(192,464):{'3_1':0.03,'4_1':0.0},(192,463):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(192,462):{'3_1':0.0},(192,461):{'3_1':0.03,'4_1':0.0},(192,460):{'3_1':0.03,'4_1':0.0},(192,459):{'3_1':0.03,'4_1':0.0},(192,458):{'3_1':0.0},(192,457):{'3_1':0.03},(192,456):{'3_1':0.03},(192,455):{'3_1':0.0},(192,454):{'3_1':0.06},(192,453):{'3_1':0.03},(192,452):{'3_1':0.03},(192,451):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(192,450):{'3_1':0.0},(192,449):{'3_1':0.03,'4_1':0.0},(192,448):{'3_1':0.0,'5_1':0.0},(192,447):{'3_1':0.06},(192,446):{'3_1':0.0,'5_2':0.0},(192,445):{'3_1':0.0},(192,444):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(192,443):{'3_1':0.0},(192,442):{'3_1':0.0},(192,441):{'3_1':0.03},(192,440):{'3_1':0.03,'4_1':0.0},(192,439):{'3_1':0.0},(192,438):{'3_1':0.0},(192,437):{'3_1':0.0},(192,436):{'3_1':0.03,'5_1':0.0},(192,435):{'3_1':0.0,'4_1':0.0},(192,434):{'3_1':0.03,'5_1':0.0},(192,433):{'3_1':0.03,'4_1':0.0},(192,432):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(192,431):{'3_1':0.03,'4_1':0.0},(192,430):{'3_1':0.03},(192,429):{'3_1':0.03,'4_1':0.0},(192,428):{'3_1':0.0,'4_1':0.0},(192,427):{'4_1':0.0,'3_1':0.0},(192,426):{'3_1':0.0},(192,425):{'3_1':0.0},(192,424):{'3_1':0.03,'4_1':0.0},(192,423):{'3_1':0.03,'4_1':0.0},(192,422):{'3_1':0.03,'4_1':0.0},(192,421):{'3_1':0.0,'4_1':0.0},(192,420):{'3_1':0.0},(192,419):{'3_1':0.0,'4_1':0.0},(192,418):{'3_1':0.03},(192,417):{'3_1':0.0,'4_1':0.0},(192,416):{'3_1':0.03},(192,415):{'4_1':0.0,'3_1':0.0},(192,414):{'3_1':0.0},(192,413):{'3_1':0.0,'4_1':0.0},(192,412):{'3_1':0.0},(192,411):{'5_1':0.0},(192,410):{'5_1':0.0,'3_1':0.0},(192,409):{'3_1':0.0},(192,408):{'3_1':0.0},(192,407):{'3_1':0.0},(192,406):{'3_1':0.0,'4_1':0.0},(192,405):{'3_1':0.0},(192,404):{'3_1':0.0},(192,403):{'3_1':0.0},(192,401):{'3_1':0.0},(192,400):{'3_1':0.0},(192,399):{'3_1':0.0},(192,398):{'3_1':0.0},(192,397):{'3_1':0.03},(192,396):{'3_1':0.0,'6_1':0.0},(192,395):{'3_1':0.0},(192,394):{'3_1':0.0},(192,393):{'3_1':0.0},(192,391):{'3_1':0.0},(192,390):{'3_1':0.03},(192,389):{'3_1':0.03},(192,388):{'3_1':0.0},(192,387):{'3_1':0.0},(192,386):{'3_1':0.0},(192,385):{'3_1':0.0},(192,384):{'3_1':0.0},(192,383):{'3_1':0.03},(192,382):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(192,381):{'3_1':0.03,'5_1':0.0},(192,380):{'3_1':0.0},(192,379):{'3_1':0.0},(192,378):{'3_1':0.03},(192,377):{'3_1':0.0},(192,376):{'5_1':0.0,'3_1':0.0},(192,375):{'3_1':0.0},(192,374):{'3_1':0.06},(192,373):{'3_1':0.0},(192,372):{'3_1':0.0},(192,371):{'3_1':0.0},(192,370):{'3_1':0.0,'5_1':0.0},(192,369):{'3_1':0.03},(192,368):{'3_1':0.0},(192,367):{'3_1':0.06},(192,366):{'3_1':0.0},(192,365):{'3_1':0.0},(192,364):{'3_1':0.0},(192,363):{'3_1':0.0},(192,362):{'3_1':0.0},(192,361):{'3_1':0.03},(192,359):{'3_1':0.0},(192,358):{'3_1':0.0,'5_1':0.0},(192,357):{'3_1':0.0,'5_1':0.0},(192,356):{'3_1':0.0},(192,355):{'3_1':0.03},(192,354):{'3_1':0.0},(192,353):{'3_1':0.0},(192,352):{'3_1':0.0},(192,351):{'3_1':0.0},(192,350):{'3_1':0.0},(192,349):{'3_1':0.0},(192,348):{'3_1':0.0,'5_1':0.0},(192,347):{'3_1':0.03},(192,346):{'3_1':0.0},(192,345):{'3_1':0.0},(192,344):{'3_1':0.0},(192,342):{'5_1':0.0},(192,340):{'3_1':0.0},(192,339):{'3_1':0.0},(192,338):{'3_1':0.0},(192,337):{'4_1':0.0},(192,336):{'3_1':0.0,'4_1':0.0},(192,335):{'3_1':0.0},(192,333):{'3_1':0.0},(192,332):{'3_1':0.0},(192,331):{'3_1':0.0},(192,329):{'3_1':0.0},(192,328):{'3_1':0.0},(192,327):{'4_1':0.0},(192,326):{'3_1':0.0},(192,323):{'3_1':0.03},(192,321):{'3_1':0.0},(192,319):{'3_1':0.0},(192,318):{'3_1':0.0},(192,317):{'3_1':0.0,'4_1':0.0},(192,315):{'3_1':0.0},(192,314):{'3_1':0.0},(192,312):{'3_1':0.0},(192,311):{'3_1':0.0},(192,310):{'3_1':0.0},(192,309):{'3_1':0.0},(192,308):{'3_1':0.0},(192,307):{'3_1':0.0},(192,306):{'3_1':0.0},(192,304):{'3_1':0.0},(192,302):{'3_1':0.0},(192,301):{'3_1':0.0},(192,299):{'3_1':0.0},(192,297):{'3_1':0.0},(192,295):{'3_1':0.0},(192,294):{'3_1':0.0,'5_1':0.0},(192,293):{'3_1':0.0},(192,292):{'3_1':0.0},(192,291):{'3_1':0.0},(192,289):{'3_1':0.0},(192,288):{'5_1':0.0},(192,287):{'3_1':0.0},(192,286):{'3_1':0.0},(192,285):{'3_1':0.0},(192,283):{'3_1':0.0},(192,281):{'3_1':0.0},(192,278):{'3_1':0.0},(192,277):{'3_1':0.0},(192,276):{'3_1':0.0},(192,271):{'3_1':0.0},(192,270):{'4_1':0.0},(192,263):{'5_2':0.0},(192,240):{'3_1':0.0},(192,237):{'3_1':0.0},(192,234):{'3_1':0.0},(192,233):{'3_1':0.0},(192,231):{'3_1':0.0},(192,227):{'3_1':0.0},(192,201):{'3_1':0.0},(193,727):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(193,726):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_5':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(193,725):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'-3':0.03,'7_5':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(193,724):{'5_2':0.12,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(193,723):{'5_2':0.21,'6_1':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(193,722):{'5_2':0.15,'6_1':0.06,'-3':0.06,'7_5':0.03,'3_1':0.03,'7_6':0.03,'4_1':0.0,'8_11':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(193,721):{'5_2':0.24,'7_5':0.06,'7_3':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_15':0.0},(193,720):{'5_2':0.15,'6_1':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'8_1':0.0,'3_1':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(193,719):{'5_2':0.21,'6_1':0.09,'-3':0.03,'7_6':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0},(193,718):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(193,717):{'5_2':0.09,'6_1':0.06,'7_2':0.06,'7_3':0.03,'-3':0.03,'7_5':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_1':0.0},(193,716):{'5_2':0.15,'7_3':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'6_1':0.0,'8_14':0.0},(193,715):{'5_2':0.21,'-3':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(193,714):{'5_2':0.24,'7_3':0.06,'3_1':0.03,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(193,713):{'5_2':0.24,'3_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'7_2':0.0,'7_6':0.0},(193,712):{'5_2':0.18,'3_1':0.06,'7_5':0.06,'7_2':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(193,711):{'5_2':0.15,'-3':0.12,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(193,710):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(193,709):{'5_2':0.12,'7_5':0.06,'-3':0.06,'3_1':0.06,'7_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_6':0.0},(193,708):{'5_2':0.18,'3_1':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(193,707):{'5_2':0.09,'7_3':0.06,'7_2':0.03,'6_1':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'6_3':0.0,'7_5':0.0,'8_4':0.0,'8_13':0.0},(193,706):{'5_2':0.15,'7_4':0.06,'7_3':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'6_1':0.0,'8_14':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(193,705):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(193,704):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(193,703):{'5_2':0.24,'6_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(193,702):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(193,701):{'5_2':0.21,'7_4':0.06,'3_1':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0},(193,700):{'5_2':0.21,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(193,699):{'5_2':0.18,'-3':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'9_1':0.0},(193,698):{'5_2':0.24,'4_1':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0},(193,697):{'5_2':0.18,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0},(193,696):{'5_2':0.18,'3_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(193,695):{'5_2':0.15,'7_3':0.09,'3_1':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0,'1':-0.03},(193,694):{'5_2':0.18,'-3':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.03,'5_1':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(193,693):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_2':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(193,692):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0},(193,691):{'5_2':0.15,'7_3':0.06,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(193,690):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_4':0.0},(193,689):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'1':-0.03},(193,688):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_15':0.0},(193,687):{'5_2':0.12,'3_1':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'3_1#5_2':0.0},(193,686):{'5_2':0.15,'3_1':0.06,'5_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0},(193,685):{'5_2':0.21,'3_1':0.09,'7_3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(193,684):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(193,683):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'8_15':0.0},(193,682):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(193,681):{'5_2':0.18,'3_1':0.15,'5_1':0.03,'6_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(193,680):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(193,679):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(193,678):{'5_2':0.21,'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_1':0.0,'8_15':0.0},(193,677):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_6':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(193,676):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_3':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(193,675):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(193,674):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'7_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0},(193,673):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0},(193,672):{'5_2':0.15,'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(193,671):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(193,670):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(193,669):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(193,668):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(193,667):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'-3':0.0,'8_15':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(193,666):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(193,665):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(193,664):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(193,663):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(193,662):{'3_1':0.18,'5_2':0.12,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0},(193,661):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(193,660):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0},(193,659):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(193,658):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(193,657):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(193,656):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'7_4':0.0},(193,655):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(193,654):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0},(193,653):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(193,652):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0},(193,651):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'3_1#5_1':0.0},(193,650):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_3':0.0,'7_4':0.0,'8_2':0.0},(193,649):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0},(193,648):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_19':0.0,'7_3':0.0,'-3':0.0},(193,647):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03},(193,646):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'7_4':0.0,'8_19':0.0,'4_1':0.0,'7_1':0.0},(193,645):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'-3':0.0},(193,644):{'3_1':0.3,'5_1':0.06,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(193,643):{'3_1':0.24,'5_2':0.06,'5_1':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0},(193,642):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_19':0.0,'7_1':0.0},(193,641):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(193,640):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0},(193,639):{'3_1':0.09,'5_2':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0},(193,638):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(193,637):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0,'7_5':0.0},(193,636):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(193,635):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0},(193,634):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_2':0.0},(193,633):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(193,632):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0},(193,631):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(193,630):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(193,629):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_3':0.0},(193,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(193,627):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0},(193,626):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(193,625):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(193,624):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_19':0.0},(193,623):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(193,622):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(193,621):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(193,620):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(193,619):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(193,618):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(193,617):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_6':0.0,'8_19':0.0},(193,616):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0},(193,615):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'-3':0.0},(193,614):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(193,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(193,612):{'3_1':0.12,'7_1':0.0,'5_1':0.0,'5_2':0.0},(193,611):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0},(193,610):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(193,609):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(193,608):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(193,607):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(193,606):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(193,605):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(193,604):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(193,603):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,602):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(193,601):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(193,600):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'9_1':0.0},(193,599):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(193,598):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(193,597):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_2':0.0},(193,596):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(193,595):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(193,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(193,593):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(193,592):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(193,591):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_19':0.0},(193,590):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(193,589):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(193,588):{'3_1':0.06,'5_1':0.0},(193,587):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(193,586):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,585):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(193,584):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(193,583):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(193,582):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(193,581):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(193,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(193,579):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,578):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(193,577):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(193,576):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,575):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(193,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,573):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(193,572):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(193,571):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(193,570):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(193,569):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(193,568):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(193,567):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(193,566):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,565):{'3_1':0.06,'4_1':0.0},(193,564):{'3_1':0.09,'5_1':0.0},(193,563):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(193,562):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(193,561):{'3_1':0.09},(193,560):{'3_1':0.09,'5_1':0.03},(193,559):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(193,558):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(193,557):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(193,556):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(193,555):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(193,554):{'3_1':0.12,'5_2':0.0},(193,553):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(193,552):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(193,551):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(193,550):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,549):{'3_1':0.12,'5_2':0.0},(193,548):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(193,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,546):{'3_1':0.12},(193,545):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(193,544):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(193,543):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(193,542):{'3_1':0.15,'5_2':0.0},(193,541):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(193,540):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(193,539):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(193,538):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(193,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(193,536):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(193,535):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(193,534):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(193,533):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(193,532):{'3_1':0.15,'5_2':0.0},(193,531):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(193,530):{'3_1':0.09,'5_2':0.0},(193,529):{'3_1':0.15},(193,528):{'3_1':0.12,'5_1':0.0},(193,527):{'3_1':0.06,'5_1':0.0},(193,526):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(193,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(193,524):{'3_1':0.03,'5_1':0.0},(193,523):{'3_1':0.12,'4_1':0.0},(193,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,521):{'3_1':0.06},(193,520):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(193,519):{'3_1':0.15,'5_1':0.0},(193,518):{'3_1':0.06,'4_1':0.0},(193,517):{'3_1':0.03,'5_1':0.0},(193,516):{'3_1':0.06,'5_1':0.0},(193,515):{'3_1':0.09,'5_1':0.0},(193,514):{'3_1':0.12,'5_2':0.0},(193,513):{'3_1':0.03,'5_2':0.0},(193,512):{'3_1':0.03,'5_2':0.0},(193,511):{'3_1':0.03},(193,510):{'3_1':0.03,'5_2':0.0},(193,509):{'3_1':0.0},(193,508):{'3_1':0.06},(193,507):{'3_1':0.12,'5_2':0.0},(193,506):{'3_1':0.0},(193,505):{'3_1':0.03},(193,504):{'3_1':0.03},(193,503):{'3_1':0.0,'5_2':0.0},(193,502):{'3_1':0.03,'4_1':0.0},(193,501):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(193,500):{'3_1':0.06},(193,499):{'3_1':0.03},(193,498):{'3_1':0.03},(193,497):{'3_1':0.03,'4_1':0.0},(193,496):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(193,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(193,494):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(193,493):{'3_1':0.03,'5_2':0.0},(193,492):{'3_1':0.0},(193,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(193,490):{'3_1':0.0},(193,489):{'3_1':0.06},(193,488):{'3_1':0.03},(193,487):{'3_1':0.0},(193,486):{'3_1':0.09},(193,485):{'3_1':0.09},(193,484):{'3_1':0.06},(193,483):{'3_1':0.06},(193,482):{'3_1':0.12},(193,481):{'3_1':0.06},(193,480):{'3_1':0.06},(193,479):{'3_1':0.15,'5_1':0.0},(193,478):{'3_1':0.03},(193,477):{'3_1':0.06},(193,476):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(193,475):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(193,474):{'3_1':0.09,'5_1':0.0,'6_3':0.0,'7_1':0.0},(193,473):{'3_1':0.09,'5_2':0.0},(193,472):{'3_1':0.03,'5_1':0.0},(193,471):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(193,470):{'3_1':0.03,'4_1':0.0},(193,469):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(193,468):{'3_1':0.06},(193,467):{'3_1':0.09,'4_1':0.0},(193,466):{'3_1':0.06},(193,465):{'3_1':0.0,'4_1':0.0},(193,464):{'3_1':0.03},(193,463):{'3_1':0.03},(193,462):{'3_1':0.03},(193,461):{'3_1':0.03},(193,460):{'3_1':0.03},(193,459):{'3_1':0.0},(193,458):{'3_1':0.0},(193,457):{'3_1':0.03},(193,456):{'3_1':0.03},(193,455):{'3_1':0.0},(193,454):{'3_1':0.03},(193,453):{'3_1':0.03},(193,452):{'3_1':0.03,'5_2':0.0},(193,451):{'3_1':0.03,'4_1':0.0},(193,450):{'3_1':0.0},(193,449):{'3_1':0.0},(193,448):{'3_1':0.03,'5_2':0.0},(193,447):{'3_1':0.06},(193,446):{'3_1':0.0,'4_1':0.0},(193,445):{'3_1':0.0},(193,444):{'3_1':0.0},(193,443):{'3_1':0.0},(193,442):{'3_1':0.0},(193,441):{'3_1':0.0},(193,440):{'3_1':0.03,'5_1':0.0},(193,439):{'3_1':0.03},(193,438):{'3_1':0.0,'4_1':0.0},(193,437):{'3_1':0.0},(193,436):{'3_1':0.03},(193,435):{'3_1':0.0},(193,434):{'3_1':0.0},(193,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(193,432):{'3_1':0.03,'4_1':0.0},(193,431):{'3_1':0.0},(193,430):{'3_1':0.0,'4_1':0.0},(193,429):{'3_1':0.03},(193,428):{'3_1':0.03},(193,427):{'3_1':0.03,'5_2':0.0},(193,426):{'3_1':0.0},(193,425):{'3_1':0.03},(193,424):{'3_1':0.03,'4_1':0.0},(193,423):{'3_1':0.09,'4_1':0.0},(193,422):{'3_1':0.0,'4_1':0.0},(193,421):{'3_1':0.0,'4_1':0.0},(193,420):{'3_1':0.0,'4_1':0.0},(193,419):{'3_1':0.06},(193,418):{'3_1':0.0,'4_1':0.0},(193,417):{'3_1':0.0,'4_1':0.0},(193,416):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(193,415):{'3_1':0.03,'5_1':0.0},(193,414):{'3_1':0.0},(193,413):{'3_1':0.0,'9_1':0.0},(193,412):{'3_1':0.0,'7_1':0.0},(193,411):{'3_1':0.0,'5_1':0.0},(193,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(193,409):{'3_1':0.0,'6_1':0.0},(193,407):{'3_1':0.0,'5_1':0.0},(193,406):{'3_1':0.0},(193,405):{'3_1':0.0},(193,404):{'3_1':0.0},(193,403):{'3_1':0.0},(193,402):{'3_1':0.0},(193,401):{'3_1':0.0},(193,400):{'3_1':0.0},(193,399):{'3_1':0.03},(193,398):{'3_1':0.0},(193,397):{'3_1':0.0},(193,396):{'3_1':0.0},(193,394):{'3_1':0.0},(193,393):{'3_1':0.0},(193,392):{'3_1':0.0},(193,391):{'3_1':0.0},(193,390):{'3_1':0.0},(193,389):{'3_1':0.0},(193,388):{'3_1':0.0},(193,387):{'3_1':0.0},(193,386):{'3_1':0.0},(193,385):{'3_1':0.0},(193,384):{'3_1':0.0,'5_1':0.0},(193,383):{'3_1':0.0},(193,382):{'3_1':0.0,'4_1':0.0},(193,381):{'3_1':0.0},(193,380):{'3_1':0.0},(193,379):{'3_1':0.0,'5_1':0.0},(193,378):{'3_1':0.03},(193,377):{'3_1':0.03,'5_1':0.0},(193,376):{'3_1':0.06},(193,375):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(193,374):{'3_1':0.03},(193,373):{'3_1':0.03,'6_2':0.0},(193,372):{'3_1':0.03},(193,371):{'3_1':0.0},(193,370):{'3_1':0.03},(193,369):{'3_1':0.03},(193,368):{'3_1':0.0},(193,367):{'3_1':0.0},(193,365):{'3_1':0.03},(193,364):{'3_1':0.0},(193,363):{'3_1':0.0},(193,362):{'3_1':0.03},(193,361):{'3_1':0.0},(193,360):{'3_1':0.0,'4_1':0.0},(193,359):{'3_1':0.0},(193,358):{'3_1':0.0},(193,357):{'3_1':0.0},(193,356):{'3_1':0.0},(193,355):{'3_1':0.0},(193,354):{'3_1':0.0},(193,353):{'3_1':0.03},(193,352):{'3_1':0.0},(193,351):{'3_1':0.0},(193,350):{'3_1':0.0},(193,349):{'3_1':0.0},(193,348):{'3_1':0.0},(193,347):{'3_1':0.0},(193,345):{'3_1':0.0},(193,344):{'3_1':0.0},(193,343):{'3_1':0.0},(193,342):{'3_1':0.0},(193,341):{'3_1':0.0},(193,340):{'3_1':0.0},(193,338):{'3_1':0.0},(193,337):{'3_1':0.0},(193,334):{'3_1':0.0},(193,333):{'3_1':0.0},(193,332):{'3_1':0.03},(193,329):{'3_1':0.0},(193,328):{'3_1':0.0,'4_1':0.0},(193,327):{'3_1':0.0,'5_2':0.0},(193,326):{'3_1':0.0},(193,324):{'3_1':0.0},(193,323):{'3_1':0.0},(193,322):{'3_1':0.0},(193,321):{'3_1':0.0},(193,319):{'3_1':0.03},(193,318):{'3_1':0.0},(193,317):{'3_1':0.0},(193,316):{'3_1':0.0},(193,315):{'3_1':0.03,'5_1':0.0},(193,313):{'3_1':0.0},(193,311):{'3_1':0.03,'4_1':0.0},(193,310):{'3_1':0.0},(193,309):{'3_1':0.0},(193,308):{'3_1':0.0},(193,307):{'3_1':0.03},(193,306):{'3_1':0.0},(193,305):{'3_1':0.0},(193,303):{'3_1':0.0},(193,302):{'3_1':0.0},(193,301):{'3_1':0.0},(193,300):{'3_1':0.0},(193,299):{'3_1':0.0},(193,297):{'3_1':0.0},(193,296):{'3_1':0.0},(193,295):{'3_1':0.0},(193,294):{'3_1':0.0,'5_1':0.0},(193,292):{'3_1':0.0},(193,291):{'3_1':0.0},(193,289):{'3_1':0.0},(193,287):{'3_1':0.0},(193,285):{'3_1':0.0,'4_1':0.0},(193,282):{'5_1':0.0},(193,276):{'3_1':0.0},(193,274):{'3_1':0.0},(193,273):{'3_1':0.0},(193,238):{'3_1':0.0},(193,235):{'3_1':0.0},(193,234):{'3_1':0.0},(193,200):{'3_1':0.0},(193,199):{'3_1':0.0},(194,727):{'5_2':0.15,'7_5':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_13':0.0,'3_1#5_2':0.0},(194,726):{'5_2':0.12,'7_3':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(194,725):{'5_2':0.15,'7_3':0.03,'7_5':0.03,'7_6':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0},(194,724):{'5_2':0.18,'6_1':0.09,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'3_1#5_2':0.0},(194,723):{'5_2':0.15,'-3':0.03,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(194,722):{'5_2':0.15,'6_1':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_15':0.0},(194,721):{'5_2':0.12,'6_1':0.06,'7_2':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0},(194,720):{'5_2':0.18,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(194,719):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0},(194,718):{'5_2':0.24,'-3':0.06,'3_1':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(194,717):{'5_2':0.15,'6_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0},(194,716):{'5_2':0.18,'6_1':0.06,'7_5':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(194,715):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_5':0.03,'7_6':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(194,714):{'5_2':0.12,'6_1':0.06,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(194,713):{'5_2':0.12,'-3':0.06,'6_1':0.06,'7_5':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'3_1':0.0},(194,712):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(194,711):{'5_2':0.12,'3_1':0.03,'7_5':0.03,'7_3':0.03,'-3':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_6':0.0,'8_11':0.0},(194,710):{'5_2':0.15,'3_1':0.09,'-3':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(194,709):{'5_2':0.18,'7_5':0.06,'-3':0.06,'3_1':0.03,'5_1':0.0,'7_6':0.0,'6_1':0.0,'7_2':0.0,'4_1':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(194,708):{'5_2':0.15,'3_1':0.06,'7_5':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0},(194,707):{'5_2':0.12,'3_1':0.03,'6_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(194,706):{'5_2':0.24,'6_1':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(194,705):{'5_2':0.18,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(194,704):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(194,703):{'5_2':0.21,'4_1':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0},(194,702):{'5_2':0.12,'6_1':0.09,'7_3':0.03,'7_2':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(194,701):{'5_2':0.21,'7_3':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(194,700):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(194,699):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_2':0.0},(194,698):{'5_2':0.15,'6_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0},(194,697):{'5_2':0.12,'7_2':0.06,'7_3':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(194,696):{'5_2':0.18,'6_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0},(194,695):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0},(194,694):{'5_2':0.15,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'1':-0.03},(194,693):{'5_2':0.21,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(194,692):{'5_2':0.12,'7_4':0.03,'7_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0},(194,691):{'5_2':0.15,'3_1':0.12,'7_2':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(194,690):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'3_1#5_2':0.0},(194,689):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_6':0.03,'7_3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'8_15':0.0,'-3':0.0},(194,688):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(194,687):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(194,686):{'5_2':0.21,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0},(194,685):{'5_2':0.09,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(194,684):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(194,683):{'5_2':0.21,'3_1':0.15,'7_4':0.03,'6_1':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(194,682):{'5_2':0.18,'3_1':0.09,'-3':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(194,681):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_6':0.0,'8_12':0.0},(194,680):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(194,679):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0},(194,678):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0},(194,677):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0,'9_1':0.0,'-3':0.0},(194,676):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0},(194,675):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(194,674):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0},(194,673):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(194,672):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0},(194,671):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0},(194,670):{'5_2':0.18,'3_1':0.18,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(194,669):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_2':0.0},(194,668):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(194,667):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(194,666):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(194,665):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(194,664):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(194,663):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_10':0.0,'8_20|3_1#3_1':0.0},(194,662):{'3_1':0.15,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(194,661):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(194,660):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(194,659):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(194,658):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(194,657):{'3_1':0.21,'5_1':0.06,'4_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0,'8_19':0.0},(194,656):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'6_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(194,655):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(194,654):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.03,'-3':0.0},(194,653):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(194,652):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(194,651):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(194,650):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(194,649):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(194,648):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0},(194,647):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(194,646):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(194,645):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0},(194,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(194,643):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'8_19':0.0},(194,642):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(194,641):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0},(194,640):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0},(194,639):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'-3':0.0,'7_4':0.0,'9_1':0.0,'3_1#5_1':0.0},(194,638):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0},(194,637):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(194,636):{'3_1':0.15,'5_1':0.09,'7_1':0.0,'4_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(194,635):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(194,634):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(194,633):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(194,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(194,631):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_6':0.0},(194,630):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(194,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(194,628):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0},(194,627):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(194,626):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(194,625):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(194,624):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(194,623):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0,'8_19':0.0},(194,622):{'3_1':0.12,'5_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(194,621):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'5_2':0.0},(194,620):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(194,619):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(194,618):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(194,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'5_2':0.0,'8_19':0.0},(194,616):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0},(194,615):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(194,614):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(194,613):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_5':0.0},(194,612):{'3_1':0.09,'5_1':0.03,'7_1':0.0,'5_2':0.0},(194,611):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(194,610):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'8_19':0.0},(194,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(194,608):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0},(194,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,606):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(194,605):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(194,604):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(194,603):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(194,602):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(194,601):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(194,600):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(194,599):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(194,598):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_4':0.0},(194,597):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(194,596):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(194,595):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(194,594):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(194,593):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0},(194,592):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0},(194,591):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(194,590):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(194,589):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(194,588):{'3_1':0.06,'4_1':0.0,'-3':0.0},(194,587):{'3_1':0.12,'4_1':0.0},(194,586):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(194,585):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(194,584):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(194,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,582):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(194,581):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0},(194,580):{'3_1':0.12,'5_1':0.0},(194,579):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(194,578):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(194,577):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(194,576):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_4':0.0},(194,575):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(194,574):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(194,573):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(194,572):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(194,571):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(194,570):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(194,569):{'3_1':0.09,'4_1':0.03},(194,568):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_3':0.0},(194,567):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(194,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(194,565):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(194,564):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(194,563):{'3_1':0.12,'5_2':0.0},(194,562):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(194,561):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_2':0.0},(194,560):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(194,559):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(194,558):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(194,557):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(194,556):{'3_1':0.06,'5_1':0.0},(194,555):{'3_1':0.18},(194,554):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(194,553):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(194,552):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(194,551):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(194,550):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(194,549):{'3_1':0.18,'5_1':0.0},(194,548):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(194,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,546):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(194,545):{'3_1':0.21,'5_2':0.0},(194,544):{'3_1':0.24,'4_1':0.0,'7_3':0.0},(194,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(194,542):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(194,541):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_3':0.0},(194,540):{'3_1':0.18,'5_2':0.0},(194,539):{'3_1':0.15,'5_2':0.0},(194,538):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(194,537):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(194,536):{'3_1':0.24,'5_2':0.0},(194,535):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(194,534):{'3_1':0.18},(194,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,532):{'3_1':0.15,'5_2':0.03},(194,531):{'3_1':0.06,'5_1':0.0},(194,530):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(194,529):{'3_1':0.15},(194,528):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(194,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(194,526):{'3_1':0.06,'4_1':0.0},(194,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(194,524):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(194,523):{'3_1':0.15,'5_2':0.0},(194,522):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(194,521):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(194,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(194,519):{'3_1':0.06},(194,518):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(194,517):{'3_1':0.06},(194,516):{'3_1':0.03,'5_1':0.0},(194,515):{'3_1':0.03},(194,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(194,513):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(194,512):{'3_1':0.06,'5_1':0.0},(194,511):{'3_1':0.03,'8_20|3_1#3_1':0.0},(194,510):{'3_1':0.06},(194,509):{'3_1':0.06},(194,508):{'3_1':0.06},(194,507):{'3_1':0.06},(194,506):{'3_1':0.0},(194,505):{'3_1':0.06,'4_1':0.0},(194,504):{'3_1':0.03,'5_1':0.0},(194,503):{'3_1':0.03},(194,502):{'3_1':0.03},(194,501):{'3_1':0.03,'5_1':0.0},(194,500):{'3_1':0.03},(194,499):{'3_1':0.03,'5_2':0.0},(194,498):{'3_1':0.0,'5_2':0.0},(194,497):{'3_1':0.0},(194,496):{'3_1':0.03},(194,495):{'3_1':0.06,'4_1':0.0},(194,494):{'3_1':0.03,'5_2':0.0},(194,493):{'3_1':0.06,'5_1':0.0},(194,492):{'3_1':0.03,'5_2':0.0},(194,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(194,490):{'3_1':0.03},(194,489):{'3_1':0.06,'5_2':0.0},(194,488):{'3_1':0.03,'4_1':0.0},(194,487):{'3_1':0.06},(194,486):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,485):{'3_1':0.09},(194,484):{'3_1':0.09,'5_2':0.0},(194,483):{'3_1':0.09,'7_1':0.0},(194,482):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(194,481):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(194,480):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(194,479):{'3_1':0.09,'5_2':0.0},(194,478):{'3_1':0.09,'5_2':0.0,'9_1':0.0},(194,477):{'3_1':0.03,'4_1':0.0},(194,476):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(194,475):{'3_1':0.06},(194,474):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(194,473):{'3_1':0.09},(194,472):{'3_1':0.06},(194,471):{'3_1':0.06},(194,470):{'3_1':0.03},(194,469):{'3_1':0.03},(194,468):{'3_1':0.03,'5_2':0.0},(194,467):{'3_1':0.03},(194,466):{'3_1':0.0},(194,465):{'3_1':0.0,'4_1':0.0},(194,464):{'3_1':0.03},(194,463):{'3_1':0.0},(194,462):{'3_1':0.03},(194,461):{'3_1':0.06,'4_1':0.0},(194,460):{'3_1':0.0},(194,459):{'3_1':0.03,'5_2':0.0},(194,458):{'3_1':0.0},(194,457):{'3_1':0.0},(194,456):{'3_1':0.03,'5_1':0.0},(194,455):{'3_1':0.0},(194,454):{'3_1':0.03},(194,453):{'3_1':0.03},(194,452):{'3_1':0.0},(194,451):{'3_1':0.03,'4_1':0.0},(194,450):{'3_1':0.03,'4_1':0.0},(194,449):{'3_1':0.03,'5_1':0.0},(194,448):{'3_1':0.0},(194,447):{'3_1':0.0,'5_1':0.0},(194,446):{'3_1':0.03},(194,445):{'3_1':0.0},(194,444):{'3_1':0.0},(194,443):{'3_1':0.0},(194,442):{'3_1':0.0},(194,440):{'3_1':0.03,'5_2':0.0},(194,439):{'3_1':0.0},(194,438):{'3_1':0.0},(194,437):{'3_1':0.03,'4_1':0.0},(194,436):{'3_1':0.03,'4_1':0.0},(194,435):{'5_1':0.0},(194,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(194,433):{'3_1':0.03},(194,432):{'3_1':0.06},(194,431):{'3_1':0.03},(194,430):{'4_1':0.0},(194,429):{'4_1':0.0,'3_1':0.0},(194,428):{'3_1':0.0},(194,427):{'3_1':0.0,'4_1':0.0},(194,426):{'3_1':0.03,'4_1':0.0},(194,425):{'3_1':0.0},(194,424):{'3_1':0.0},(194,423):{'3_1':0.06,'4_1':0.0},(194,422):{'3_1':0.03,'4_1':0.0},(194,421):{'3_1':0.0},(194,420):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(194,419):{'3_1':0.03,'4_1':0.0},(194,418):{'3_1':0.03},(194,417):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(194,416):{'3_1':0.03,'4_1':0.0},(194,415):{'3_1':0.03,'4_1':0.03},(194,413):{'3_1':0.0},(194,412):{'3_1':0.0,'9_1':0.0},(194,410):{'3_1':0.0,'5_1':0.0},(194,409):{'5_1':0.0},(194,408):{'3_1':0.0,'4_1':0.0},(194,407):{'3_1':0.0},(194,405):{'3_1':0.0},(194,403):{'3_1':0.0},(194,402):{'3_1':0.03},(194,401):{'3_1':0.0},(194,400):{'3_1':0.0,'4_1':0.0},(194,399):{'3_1':0.0},(194,398):{'3_1':0.0},(194,397):{'3_1':0.0},(194,396):{'3_1':0.0},(194,395):{'3_1':0.0,'8_1':0.0},(194,394):{'3_1':0.0,'4_1':0.0},(194,393):{'3_1':0.0},(194,392):{'3_1':0.0},(194,391):{'3_1':0.0},(194,390):{'3_1':0.0},(194,389):{'3_1':0.0},(194,388):{'3_1':0.0,'4_1':0.0},(194,387):{'3_1':0.0,'5_2':0.0},(194,386):{'3_1':0.0},(194,385):{'3_1':0.0},(194,383):{'3_1':0.0},(194,382):{'3_1':0.0},(194,381):{'3_1':0.0},(194,380):{'3_1':0.0},(194,379):{'3_1':0.0},(194,378):{'3_1':0.0,'5_1':0.0},(194,377):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(194,376):{'3_1':0.03},(194,374):{'3_1':0.03},(194,373):{'3_1':0.0},(194,372):{'3_1':0.03},(194,371):{'3_1':0.03},(194,370):{'3_1':0.03},(194,369):{'3_1':0.03},(194,368):{'3_1':0.0,'5_1':0.0},(194,367):{'3_1':0.0,'7_1':0.0},(194,366):{'3_1':0.0},(194,365):{'3_1':0.0},(194,364):{'3_1':0.03,'4_1':0.0},(194,363):{'3_1':0.03},(194,362):{'3_1':0.0,'5_1':0.0},(194,361):{'3_1':0.0},(194,360):{'3_1':0.0},(194,359):{'3_1':0.03},(194,358):{'3_1':0.0},(194,357):{'3_1':0.0},(194,356):{'3_1':0.0},(194,353):{'3_1':0.0},(194,352):{'3_1':0.0},(194,351):{'3_1':0.0},(194,350):{'3_1':0.0},(194,348):{'3_1':0.0},(194,347):{'3_1':0.03},(194,346):{'3_1':0.0},(194,344):{'3_1':0.0},(194,342):{'3_1':0.0},(194,341):{'3_1':0.0},(194,337):{'3_1':0.0},(194,335):{'3_1':0.0},(194,334):{'3_1':0.0},(194,332):{'3_1':0.0},(194,331):{'3_1':0.0},(194,330):{'3_1':0.0},(194,329):{'3_1':0.0},(194,327):{'3_1':0.0},(194,325):{'3_1':0.0},(194,324):{'3_1':0.0},(194,323):{'3_1':0.0},(194,321):{'3_1':0.0,'5_1':0.0},(194,320):{'3_1':0.03},(194,319):{'3_1':0.0},(194,318):{'3_1':0.0},(194,317):{'5_1':0.0},(194,315):{'5_1':0.0},(194,314):{'3_1':0.0},(194,313):{'3_1':0.0},(194,312):{'3_1':0.0},(194,311):{'3_1':0.0},(194,310):{'3_1':0.0},(194,309):{'3_1':0.0},(194,308):{'3_1':0.0},(194,307):{'3_1':0.0},(194,306):{'3_1':0.0},(194,305):{'3_1':0.0},(194,304):{'5_1':0.0},(194,303):{'3_1':0.0},(194,301):{'3_1':0.0},(194,299):{'3_1':0.0},(194,298):{'3_1':0.0},(194,296):{'3_1':0.0},(194,295):{'3_1':0.0},(194,294):{'3_1':0.0},(194,293):{'3_1':0.0},(194,291):{'3_1':0.0},(194,289):{'3_1':0.0},(194,288):{'3_1':0.0},(194,286):{'4_1':0.0},(194,285):{'3_1':0.0},(194,284):{'3_1':0.0},(194,283):{'3_1':0.0},(194,282):{'3_1':0.0},(194,281):{'3_1':0.0},(194,280):{'3_1':0.0,'4_1':0.0},(194,278):{'3_1':0.0},(194,277):{'3_1':0.0},(194,241):{'3_1':0.0},(194,239):{'3_1':0.0},(194,230):{'3_1':0.0},(194,227):{'5_2':0.0},(194,199):{'3_1':0.0},(194,198):{'3_1':0.03},(195,727):{'5_2':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0},(195,726):{'5_2':0.09,'7_5':0.06,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_6':0.0,'3_1':0.0},(195,725):{'5_2':0.18,'-3':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0,'7_2':0.0,'8_6':0.0,'8_13':0.0},(195,724):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0},(195,723):{'5_2':0.12,'6_1':0.06,'7_5':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0},(195,722):{'5_2':0.18,'6_1':0.03,'-3':0.03,'7_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(195,721):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'-3':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0},(195,720):{'5_2':0.15,'6_1':0.06,'7_5':0.03,'7_2':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'3_1#5_2':0.0,'7_6':0.0,'8_1':0.0},(195,719):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'7_6':0.03,'-3':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'8_11':0.0},(195,718):{'5_2':0.09,'6_1':0.06,'7_5':0.03,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(195,717):{'5_2':0.18,'3_1':0.03,'7_5':0.03,'6_1':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0,'8_15':0.0},(195,716):{'6_1':0.09,'5_2':0.09,'-3':0.06,'4_1':0.03,'7_6':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(195,715):{'5_2':0.18,'6_1':0.09,'7_2':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_15':0.0},(195,714):{'5_2':0.12,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'3_1':0.0,'7_5':0.0,'5_1':0.0},(195,713):{'5_2':0.18,'-3':0.03,'4_1':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(195,712):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(195,711):{'5_2':0.15,'3_1':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(195,710):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'7_5':0.06,'-3':0.06,'8_6':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(195,709):{'3_1':0.12,'5_2':0.09,'7_5':0.09,'-3':0.06,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_2':0.0,'1':-0.03},(195,708):{'5_2':0.09,'7_2':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(195,707):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'8_19':0.0},(195,706):{'5_2':0.21,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'3_1':0.0,'7_5':0.0},(195,705):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0,'-3':0.0},(195,704):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'7_2':0.03,'3_1':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(195,703):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_2':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(195,702):{'5_2':0.12,'7_2':0.06,'6_1':0.03,'7_6':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(195,701):{'5_2':0.09,'6_1':0.09,'-3':0.03,'7_4':0.03,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(195,700):{'5_2':0.18,'4_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0},(195,699):{'5_2':0.21,'6_1':0.03,'7_3':0.03,'3_1':0.03,'7_2':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_3':0.0,'8_14':0.0},(195,698):{'5_2':0.15,'7_2':0.03,'7_6':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_11':0.0},(195,697):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'3_1#5_2':0.0},(195,696):{'5_2':0.21,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(195,695):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(195,694):{'5_2':0.21,'7_4':0.03,'-3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0},(195,693):{'5_2':0.12,'-3':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0},(195,692):{'5_2':0.18,'-3':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(195,691):{'5_2':0.15,'3_1':0.06,'-3':0.06,'6_1':0.03,'7_5':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(195,690):{'5_2':0.24,'3_1':0.06,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(195,689):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(195,688):{'5_2':0.21,'6_1':0.03,'3_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(195,687):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_2':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(195,686):{'5_2':0.15,'3_1':0.09,'-3':0.03,'7_2':0.03,'6_1':0.0,'4_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(195,685):{'5_2':0.24,'3_1':0.06,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(195,684):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(195,683):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(195,682):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(195,681):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0},(195,680):{'5_2':0.21,'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0},(195,679):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(195,678):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'-3':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(195,677):{'5_2':0.15,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(195,676):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_7':0.0},(195,675):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(195,674):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(195,673):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(195,672):{'5_2':0.21,'3_1':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(195,671):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(195,670):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_3':0.0},(195,669):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0},(195,668):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0},(195,667):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(195,666):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(195,665):{'5_2':0.12,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(195,664):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(195,663):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0},(195,662):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(195,661):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_2':0.0,'-3':0.0},(195,660):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(195,659):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(195,658):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(195,657):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(195,656):{'3_1':0.27,'5_2':0.09,'5_1':0.03,'6_3':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(195,655):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(195,654):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0},(195,653):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_19':0.0},(195,652):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0},(195,651):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(195,650):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0},(195,649):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(195,648):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_19':0.0,'-3':0.0},(195,647):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_19':0.0},(195,646):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(195,645):{'3_1':0.15,'5_1':0.09,'5_2':0.06,'4_1':0.03,'-3':0.0},(195,644):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(195,643):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(195,642):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0,'6_2':0.0,'7_1':0.0},(195,641):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(195,640):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(195,639):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'9_1':0.0},(195,638):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(195,637):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(195,636):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(195,635):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(195,634):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(195,633):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_1':0.0,'4_1':0.0,'7_4':0.0,'8_2':0.0},(195,632):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0,'-3':0.0},(195,631):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(195,630):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0},(195,629):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(195,628):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(195,627):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(195,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(195,625):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(195,624):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(195,623):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(195,622):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_5':0.0,'4_1':0.0},(195,621):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(195,620):{'3_1':0.15,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(195,619):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(195,618):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(195,617):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(195,616):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_19':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(195,615):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(195,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(195,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(195,612):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(195,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(195,610):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(195,609):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(195,608):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(195,607):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0},(195,606):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0,'5_1':0.0,'7_1':0.0},(195,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(195,604):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(195,603):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(195,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,601):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(195,600):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(195,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(195,598):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(195,597):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(195,596):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(195,595):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(195,594):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(195,593):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(195,592):{'5_1':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_2':0.0},(195,591):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(195,590):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(195,589):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(195,588):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,587):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(195,586):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(195,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(195,584):{'3_1':0.12,'4_1':0.03,'7_1':0.0},(195,583):{'3_1':0.15,'5_1':0.0},(195,582):{'3_1':0.06,'4_1':0.0},(195,581):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(195,580):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(195,579):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(195,577):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(195,576):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(195,575):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(195,574):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(195,573):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(195,572):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,571):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(195,570):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(195,569):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,568):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(195,567):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(195,566):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(195,565):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(195,564):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(195,563):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(195,562):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(195,561):{'3_1':0.09,'5_1':0.03,'7_3':0.0},(195,560):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(195,559):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(195,558):{'3_1':0.15,'5_1':0.0},(195,557):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(195,556):{'3_1':0.15,'5_2':0.0},(195,555):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(195,554):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(195,553):{'3_1':0.15,'5_2':0.0},(195,552):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(195,551):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(195,550):{'3_1':0.12,'5_1':0.0,'6_3':0.0,'7_1':0.0},(195,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(195,548):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(195,547):{'3_1':0.12,'5_1':0.0},(195,546):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(195,545):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(195,544):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(195,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(195,542):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(195,541):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(195,540):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(195,539):{'3_1':0.15,'5_1':0.0},(195,538):{'3_1':0.12,'5_1':0.0},(195,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(195,535):{'3_1':0.15},(195,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,533):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,532):{'3_1':0.15,'5_1':0.0},(195,531):{'3_1':0.12,'5_1':0.0},(195,530):{'3_1':0.09},(195,529):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(195,528):{'3_1':0.09,'5_1':0.0},(195,527):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(195,526):{'3_1':0.09,'5_2':0.0},(195,525):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(195,524):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(195,523):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(195,522):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(195,521):{'3_1':0.09,'5_1':0.0},(195,520):{'3_1':0.06},(195,519):{'3_1':0.03,'4_1':0.0},(195,518):{'3_1':0.0,'5_1':0.0},(195,517):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(195,516):{'3_1':0.06,'5_1':0.0},(195,515):{'3_1':0.0},(195,514):{'3_1':0.03,'5_2':0.0},(195,513):{'3_1':0.09},(195,512):{'3_1':0.09,'5_1':0.0},(195,511):{'3_1':0.03,'5_2':0.0},(195,510):{'3_1':0.0},(195,509):{'3_1':0.03,'5_2':0.0},(195,508):{'3_1':0.03,'4_1':0.0},(195,507):{'3_1':0.03},(195,506):{'3_1':0.03},(195,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(195,504):{'3_1':0.03},(195,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(195,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(195,501):{'3_1':0.03},(195,500):{'3_1':0.06,'4_1':0.0},(195,499):{'3_1':0.06,'5_1':0.0},(195,498):{'3_1':0.03},(195,497):{'3_1':0.06},(195,496):{'3_1':0.03},(195,495):{'3_1':0.03},(195,494):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(195,493):{'3_1':0.03,'4_1':0.0},(195,492):{'3_1':0.03},(195,491):{'3_1':0.06},(195,490):{'3_1':0.15,'5_1':0.0},(195,489):{'3_1':0.06,'5_2':0.0},(195,488):{'3_1':0.06,'5_1':0.0},(195,487):{'3_1':0.03,'5_2':0.0},(195,486):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(195,485):{'3_1':0.06},(195,484):{'3_1':0.0},(195,483):{'3_1':0.03},(195,482):{'3_1':0.06,'5_2':0.0},(195,481):{'3_1':0.03},(195,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(195,479):{'3_1':0.09,'4_1':0.0},(195,478):{'3_1':0.06},(195,477):{'3_1':0.09},(195,476):{'3_1':0.06,'4_1':0.0},(195,475):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(195,474):{'3_1':0.06,'5_1':0.0},(195,473):{'3_1':0.06},(195,472):{'3_1':0.06},(195,471):{'3_1':0.03,'5_1':0.0},(195,470):{'3_1':0.06,'4_1':0.0},(195,469):{'3_1':0.06},(195,468):{'3_1':0.03,'5_2':0.0},(195,467):{'3_1':0.03},(195,466):{'3_1':0.06},(195,465):{'3_1':0.03},(195,464):{'3_1':0.0},(195,463):{'3_1':0.03,'4_1':0.0},(195,461):{'3_1':0.0},(195,460):{'3_1':0.09},(195,459):{'3_1':0.0,'4_1':0.0},(195,457):{'3_1':0.0},(195,456):{'3_1':0.0},(195,454):{'4_1':0.0,'3_1':0.0},(195,453):{'3_1':0.03,'4_1':0.0},(195,452):{'3_1':0.06},(195,451):{'3_1':0.03},(195,450):{'3_1':0.0},(195,449):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(195,448):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(195,447):{'3_1':0.03},(195,446):{'3_1':0.03},(195,445):{'3_1':0.0},(195,444):{'3_1':0.0},(195,443):{'3_1':0.0},(195,442):{'3_1':0.0},(195,441):{'3_1':0.0},(195,440):{'3_1':0.06,'4_1':0.0},(195,439):{'3_1':0.03},(195,438):{'3_1':0.0},(195,437):{'3_1':0.03},(195,436):{'3_1':0.03,'4_1':0.0},(195,435):{'3_1':0.0,'4_1':0.0},(195,434):{'3_1':0.0},(195,433):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(195,432):{'3_1':0.06},(195,431):{'3_1':0.06,'4_1':0.0},(195,430):{'3_1':0.03},(195,429):{'3_1':0.03},(195,428):{'3_1':0.03,'5_1':0.0},(195,427):{'3_1':0.0},(195,426):{'3_1':0.03,'4_1':0.0},(195,425):{'3_1':0.0,'8_1':0.0},(195,424):{'3_1':0.03,'4_1':0.0},(195,423):{'3_1':0.03,'4_1':0.03},(195,422):{'3_1':0.0,'4_1':0.0},(195,421):{'3_1':0.03,'4_1':0.0},(195,420):{'3_1':0.03,'4_1':0.0},(195,419):{'3_1':0.03,'4_1':0.0},(195,418):{'3_1':0.03,'4_1':0.0},(195,417):{'3_1':0.03,'4_1':0.0},(195,416):{'3_1':0.0,'4_1':0.0},(195,415):{'3_1':0.0,'4_1':0.0},(195,414):{'3_1':0.03},(195,413):{'3_1':0.03},(195,410):{'3_1':0.0},(195,409):{'3_1':0.0,'5_1':0.0},(195,408):{'3_1':0.0},(195,407):{'3_1':0.0},(195,406):{'3_1':0.0},(195,405):{'3_1':0.0},(195,404):{'3_1':0.0},(195,403):{'3_1':0.0},(195,402):{'3_1':0.0,'4_1':0.0},(195,401):{'3_1':0.0},(195,400):{'3_1':0.0},(195,399):{'3_1':0.0},(195,398):{'3_1':0.0},(195,397):{'3_1':0.0},(195,396):{'3_1':0.0},(195,395):{'3_1':0.03,'4_1':0.0},(195,394):{'3_1':0.0},(195,393):{'3_1':0.0},(195,392):{'3_1':0.0},(195,391):{'5_1':0.0},(195,390):{'3_1':0.0},(195,389):{'3_1':0.0},(195,388):{'3_1':0.0},(195,387):{'3_1':0.0},(195,386):{'3_1':0.0},(195,385):{'3_1':0.0,'5_1':0.0},(195,383):{'3_1':0.0},(195,382):{'3_1':0.0},(195,381):{'3_1':0.0},(195,380):{'3_1':0.0},(195,379):{'3_1':0.0},(195,378):{'3_1':0.0,'6_1':0.0},(195,377):{'3_1':0.0,'5_1':0.0},(195,376):{'3_1':0.03},(195,375):{'3_1':0.03,'4_1':0.0},(195,374):{'3_1':0.03,'5_1':0.0},(195,373):{'3_1':0.0},(195,371):{'3_1':0.06,'5_1':0.0},(195,370):{'3_1':0.03,'5_1':0.0},(195,369):{'3_1':0.0},(195,368):{'3_1':0.0},(195,367):{'4_1':0.0},(195,366):{'3_1':0.03},(195,364):{'3_1':0.0},(195,363):{'3_1':0.0},(195,362):{'3_1':0.0},(195,360):{'3_1':0.0},(195,359):{'3_1':0.0},(195,358):{'3_1':0.0},(195,357):{'3_1':0.03},(195,356):{'3_1':0.0},(195,355):{'3_1':0.0},(195,354):{'3_1':0.03},(195,353):{'3_1':0.0,'4_1':0.0},(195,352):{'3_1':0.0},(195,351):{'3_1':0.0},(195,346):{'3_1':0.0},(195,343):{'3_1':0.0},(195,342):{'3_1':0.0},(195,341):{'3_1':0.0},(195,340):{'3_1':0.0},(195,338):{'3_1':0.0},(195,337):{'3_1':0.0},(195,335):{'3_1':0.0},(195,331):{'3_1':0.0,'5_1':0.0},(195,330):{'3_1':0.0},(195,329):{'3_1':0.0},(195,328):{'3_1':0.0},(195,326):{'3_1':0.0,'4_1':0.0},(195,325):{'3_1':0.0},(195,324):{'3_1':0.0,'4_1':0.0},(195,323):{'3_1':0.0},(195,322):{'3_1':0.0},(195,321):{'3_1':0.03},(195,318):{'3_1':0.0},(195,317):{'3_1':0.0},(195,316):{'3_1':0.0},(195,315):{'3_1':0.0},(195,314):{'3_1':0.0},(195,313):{'3_1':0.0},(195,312):{'3_1':0.0},(195,311):{'3_1':0.0},(195,310):{'3_1':0.0},(195,307):{'3_1':0.03},(195,306):{'3_1':0.0},(195,304):{'5_1':0.0},(195,303):{'3_1':0.03,'5_1':0.0},(195,302):{'5_1':0.0},(195,301):{'3_1':0.0},(195,299):{'3_1':0.0},(195,298):{'3_1':0.0},(195,296):{'3_1':0.0},(195,294):{'3_1':0.0},(195,292):{'3_1':0.0},(195,289):{'3_1':0.0},(195,288):{'3_1':0.0},(195,285):{'3_1':0.0},(195,283):{'3_1':0.0},(195,281):{'3_1':0.0},(195,278):{'3_1':0.0},(195,276):{'3_1':0.0},(195,275):{'3_1':0.0},(195,274):{'3_1':0.0},(195,273):{'3_1':0.0},(195,240):{'3_1':0.0},(195,200):{'3_1':0.0},(196,727):{'5_2':0.09,'6_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_2':0.0},(196,726):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(196,725):{'5_2':0.18,'6_1':0.09,'7_5':0.06,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0},(196,724):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(196,723):{'5_2':0.18,'6_1':0.06,'7_5':0.03,'4_1':0.03,'7_6':0.0,'-3':0.0,'7_2':0.0,'3_1':0.0,'7_3':0.0},(196,722):{'5_2':0.18,'4_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0},(196,721):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_5':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0},(196,720):{'5_2':0.12,'7_3':0.06,'6_1':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'3_1':0.0},(196,719):{'5_2':0.18,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_6':0.0,'7_5':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'8_11':0.0},(196,718):{'5_2':0.15,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_5':0.0,'4_1':0.0,'7_3':0.0},(196,717):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'6_2':0.0,'7_6':0.0,'7_2':0.0,'8_1':0.0,'8_14':0.0},(196,716):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_3':0.0,'4_1':0.0,'7_2':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(196,715):{'5_2':0.12,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'8_11':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(196,714):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(196,713):{'5_2':0.24,'6_1':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_6':0.0},(196,712):{'5_2':0.15,'-3':0.06,'7_2':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_13':0.0},(196,711):{'3_1':0.06,'5_2':0.06,'7_3':0.06,'4_1':0.06,'6_1':0.06,'-3':0.03,'7_5':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(196,710):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'-3':0.06,'4_1':0.06,'7_5':0.06,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0},(196,709):{'5_2':0.12,'7_5':0.12,'6_1':0.06,'-3':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(196,708):{'5_2':0.09,'7_5':0.06,'3_1':0.06,'-3':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_15':0.0},(196,707):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(196,706):{'5_2':0.12,'6_1':0.09,'7_3':0.03,'3_1':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(196,705):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(196,704):{'5_2':0.24,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(196,703):{'5_2':0.21,'6_1':0.06,'7_3':0.06,'3_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'7_2':0.0,'8_6':0.0},(196,702):{'5_2':0.12,'6_1':0.03,'7_4':0.03,'7_5':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(196,701):{'5_2':0.18,'7_3':0.03,'7_5':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'1':-0.03},(196,700):{'5_2':0.21,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(196,699):{'5_2':0.18,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(196,698):{'5_2':0.18,'6_1':0.06,'7_3':0.06,'7_4':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_13':0.0,'8_14':0.0},(196,697):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'4_1':0.03,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(196,696):{'5_2':0.18,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(196,695):{'5_2':0.24,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0,'1':-0.03},(196,694):{'5_2':0.18,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_11':0.0},(196,693):{'5_2':0.21,'3_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0},(196,692):{'5_2':0.09,'7_3':0.09,'3_1':0.06,'-3':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(196,691):{'5_2':0.18,'-3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'1':-0.03},(196,690):{'5_2':0.18,'3_1':0.09,'-3':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(196,689):{'5_2':0.18,'6_1':0.03,'-3':0.03,'3_1':0.03,'5_1':0.03,'7_2':0.03,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(196,688):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(196,687):{'5_2':0.12,'3_1':0.06,'7_3':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'4_1':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(196,686):{'5_2':0.27,'3_1':0.09,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(196,685):{'5_2':0.24,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0},(196,684):{'3_1':0.12,'5_2':0.09,'6_1':0.06,'-3':0.03,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(196,683):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(196,682):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(196,681):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(196,680):{'5_2':0.15,'3_1':0.09,'7_4':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(196,679):{'5_2':0.15,'3_1':0.12,'-3':0.03,'6_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(196,678):{'3_1':0.15,'5_2':0.15,'4_1':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(196,677):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_15':0.0},(196,676):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(196,675):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(196,674):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'-3':0.03,'7_1':0.0,'7_2':0.0},(196,673):{'3_1':0.15,'5_2':0.09,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(196,672):{'5_2':0.15,'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(196,671):{'3_1':0.21,'5_2':0.12,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(196,670):{'3_1':0.09,'5_2':0.09,'-3':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(196,669):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(196,668):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'7_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0},(196,667):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(196,666):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(196,665):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_1':0.0,'-3':0.0},(196,664):{'3_1':0.21,'4_1':0.06,'6_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0},(196,663):{'5_2':0.12,'3_1':0.12,'5_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0,'6_1':0.0},(196,662):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(196,661):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(196,660):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'6_2':0.0,'7_1':0.0},(196,659):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(196,658):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'8_19':0.0},(196,657):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'-3':0.0},(196,656):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0,'-3':0.0},(196,655):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(196,654):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_7':0.0},(196,653):{'3_1':0.27,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(196,652):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_19':0.0},(196,651):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'3_1#5_1':0.0,'-3':0.0},(196,650):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(196,649):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(196,648):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(196,647):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0},(196,646):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'8_19':0.0,'7_3':0.0},(196,645):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'-3':0.0,'7_3':0.0,'8_19':0.0},(196,644):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0},(196,643):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'7_1':0.0,'4_1':0.0,'-3':0.0},(196,642):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(196,641):{'3_1':0.27,'5_2':0.06,'5_1':0.06,'7_3':0.0},(196,640):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(196,639):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(196,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(196,637):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(196,636):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0},(196,635):{'3_1':0.12,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(196,634):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0,'8_2':0.0},(196,633):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(196,632):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(196,631):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(196,630):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(196,629):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(196,628):{'3_1':0.09,'5_1':0.06,'5_2':0.03,'4_1':0.0},(196,627):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(196,626):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(196,625):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.0,'8_19':0.0},(196,624):{'3_1':0.15,'5_1':0.0,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(196,623):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(196,622):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(196,621):{'3_1':0.15,'5_1':0.09,'5_2':0.03,'7_5':0.0,'7_4':0.0},(196,620):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(196,619):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_19':0.0},(196,618):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(196,617):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'7_5':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(196,616):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(196,615):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(196,614):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(196,613):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(196,612):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(196,611):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(196,610):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(196,609):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(196,608):{'3_1':0.15,'7_3':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(196,607):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_3':0.0},(196,606):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0},(196,605):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0,'4_1':0.0},(196,604):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(196,603):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(196,602):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(196,601):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(196,600):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(196,599):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(196,598):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(196,597):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(196,596):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(196,595):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(196,594):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(196,593):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_3':0.0,'-3':0.0},(196,592):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(196,591):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(196,590):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(196,589):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(196,588):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(196,587):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(196,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(196,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(196,584):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(196,583):{'3_1':0.06,'4_1':0.0},(196,582):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0},(196,581):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(196,580):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(196,579):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(196,578):{'3_1':0.06,'4_1':0.0},(196,577):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(196,576):{'3_1':0.15,'4_1':0.03},(196,575):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(196,574):{'3_1':0.06},(196,573):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(196,572):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(196,571):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(196,570):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(196,569):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(196,568):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(196,567):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(196,566):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(196,565):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(196,564):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(196,563):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(196,562):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_4':0.0},(196,561):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(196,560):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(196,559):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(196,558):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0},(196,557):{'3_1':0.09},(196,556):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(196,555):{'3_1':0.12},(196,554):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(196,553):{'3_1':0.15,'5_1':0.0},(196,552):{'3_1':0.15,'5_1':0.0},(196,551):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(196,550):{'3_1':0.15,'5_1':0.0},(196,549):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(196,548):{'3_1':0.15,'5_1':0.0},(196,547):{'3_1':0.06,'5_1':0.0},(196,546):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(196,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(196,544):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(196,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(196,542):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_2':0.0},(196,541):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(196,540):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(196,539):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(196,538):{'3_1':0.09,'5_1':0.0},(196,537):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(196,536):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(196,535):{'3_1':0.18,'5_2':0.0},(196,534):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0},(196,533):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(196,532):{'3_1':0.12,'5_2':0.0},(196,531):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0},(196,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(196,529):{'3_1':0.15,'5_2':0.0},(196,528):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(196,527):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(196,526):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(196,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(196,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(196,523):{'3_1':0.12},(196,522):{'3_1':0.09,'5_1':0.0},(196,521):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(196,520):{'3_1':0.09,'5_1':0.0},(196,519):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(196,518):{'3_1':0.06,'5_1':0.0},(196,517):{'3_1':0.06},(196,516):{'3_1':0.06},(196,515):{'3_1':0.09,'5_2':0.0},(196,514):{'3_1':0.06,'5_2':0.0},(196,513):{'3_1':0.03,'4_1':0.0},(196,512):{'3_1':0.03,'5_2':0.0},(196,511):{'3_1':0.03},(196,510):{'3_1':0.06,'4_1':0.0},(196,509):{'3_1':0.03},(196,508):{'3_1':0.03,'5_2':0.0},(196,507):{'3_1':0.03},(196,506):{'3_1':0.03},(196,505):{'3_1':0.03},(196,504):{'3_1':0.06},(196,503):{'3_1':0.06},(196,502):{'3_1':0.0,'4_1':0.0},(196,501):{'3_1':0.03,'4_1':0.0},(196,500):{'3_1':0.03,'5_1':0.0},(196,499):{'3_1':0.03},(196,498):{'3_1':0.0},(196,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(196,496):{'3_1':0.0,'4_1':0.0},(196,495):{'3_1':0.03,'4_1':0.0},(196,494):{'3_1':0.03},(196,493):{'3_1':0.03},(196,492):{'3_1':0.03},(196,491):{'3_1':0.12},(196,490):{'3_1':0.06,'4_1':0.0},(196,489):{'3_1':0.03,'5_1':0.0},(196,488):{'3_1':0.06},(196,487):{'3_1':0.03},(196,486):{'3_1':0.09,'5_2':0.0},(196,485):{'3_1':0.06},(196,484):{'3_1':0.09},(196,483):{'3_1':0.09},(196,482):{'3_1':0.06,'4_1':0.0,'9_1':0.0},(196,481):{'3_1':0.06,'4_1':0.0},(196,480):{'3_1':0.06},(196,479):{'3_1':0.06,'5_1':0.0},(196,478):{'3_1':0.06},(196,477):{'3_1':0.06,'9_1':0.0},(196,476):{'3_1':0.09},(196,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(196,474):{'3_1':0.06},(196,473):{'3_1':0.06,'5_1':0.0},(196,472):{'3_1':0.09},(196,471):{'3_1':0.09},(196,470):{'3_1':0.0},(196,469):{'5_2':0.0,'3_1':0.0},(196,468):{'3_1':0.0},(196,467):{'3_1':0.0,'5_2':0.0},(196,466):{'3_1':0.03},(196,465):{'3_1':0.0},(196,464):{'3_1':0.09},(196,463):{'3_1':0.06,'5_2':0.0},(196,462):{'3_1':0.0},(196,461):{'3_1':0.03},(196,460):{'3_1':0.03,'5_1':0.0},(196,459):{'3_1':0.03,'4_1':0.0},(196,458):{'3_1':0.0},(196,457):{'3_1':0.0,'4_1':0.0},(196,456):{'3_1':0.0},(196,455):{'3_1':0.03,'7_3':0.0},(196,454):{'3_1':0.0},(196,453):{'3_1':0.06},(196,452):{'3_1':0.03,'4_1':0.0},(196,451):{'3_1':0.0},(196,450):{'3_1':0.0},(196,449):{'3_1':0.0},(196,448):{'3_1':0.03},(196,447):{'3_1':0.0,'5_2':0.0},(196,446):{'3_1':0.03},(196,445):{'3_1':0.0,'4_1':0.0},(196,444):{'3_1':0.0},(196,443):{'3_1':0.0,'6_1':0.0},(196,442):{'3_1':0.03,'4_1':0.0},(196,441):{'3_1':0.03,'5_2':0.0},(196,440):{'3_1':0.03,'4_1':0.0},(196,439):{'3_1':0.0,'5_1':0.0},(196,438):{'3_1':0.03},(196,437):{'3_1':0.03,'5_1':0.0},(196,436):{'3_1':0.0,'5_1':0.0},(196,435):{'3_1':0.0},(196,434):{'3_1':0.0,'4_1':0.0},(196,433):{'3_1':0.0},(196,432):{'3_1':0.03,'4_1':0.0},(196,431):{'3_1':0.03,'5_1':0.0},(196,430):{'3_1':0.0},(196,429):{'3_1':0.03},(196,428):{'3_1':0.0,'4_1':0.0},(196,427):{'3_1':0.06},(196,426):{'3_1':0.06},(196,425):{'3_1':0.03,'4_1':0.0},(196,424):{'3_1':0.0,'4_1':0.0},(196,423):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(196,422):{'3_1':0.03},(196,421):{'3_1':0.03},(196,420):{'3_1':0.0,'4_1':0.0},(196,419):{'3_1':0.03,'4_1':0.0},(196,418):{'3_1':0.0},(196,417):{'3_1':0.03},(196,416):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(196,415):{'3_1':0.0,'4_1':0.0},(196,414):{'3_1':0.0,'4_1':0.0},(196,413):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(196,412):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(196,411):{'3_1':0.0},(196,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(196,409):{'3_1':0.0},(196,408):{'3_1':0.03},(196,407):{'3_1':0.0},(196,406):{'4_1':0.0},(196,405):{'3_1':0.0},(196,404):{'3_1':0.03},(196,403):{'3_1':0.0},(196,402):{'3_1':0.0},(196,401):{'3_1':0.0},(196,400):{'3_1':0.0},(196,399):{'3_1':0.0},(196,398):{'3_1':0.0},(196,397):{'3_1':0.0},(196,396):{'3_1':0.0},(196,395):{'3_1':0.0,'4_1':0.0},(196,394):{'3_1':0.0},(196,392):{'3_1':0.0},(196,391):{'3_1':0.0},(196,390):{'3_1':0.0},(196,389):{'3_1':0.0,'5_1':0.0},(196,388):{'3_1':0.03},(196,387):{'3_1':0.0},(196,386):{'3_1':0.03,'5_1':0.0},(196,385):{'3_1':0.0},(196,384):{'3_1':0.0},(196,383):{'3_1':0.0,'5_1':0.0},(196,382):{'3_1':0.0},(196,381):{'3_1':0.0},(196,380):{'3_1':0.0},(196,379):{'3_1':0.0},(196,378):{'3_1':0.0},(196,377):{'3_1':0.0,'5_1':0.0},(196,376):{'3_1':0.0,'5_1':0.0},(196,375):{'3_1':0.0},(196,374):{'3_1':0.0,'5_1':0.0},(196,373):{'3_1':0.0},(196,372):{'3_1':0.03},(196,371):{'3_1':0.0},(196,370):{'3_1':0.0},(196,369):{'3_1':0.03},(196,368):{'3_1':0.0},(196,367):{'3_1':0.0},(196,366):{'3_1':0.0},(196,365):{'3_1':0.0},(196,364):{'3_1':0.0},(196,362):{'3_1':0.0},(196,361):{'3_1':0.0},(196,360):{'3_1':0.0},(196,358):{'3_1':0.0},(196,357):{'3_1':0.0},(196,356):{'3_1':0.03},(196,355):{'3_1':0.0},(196,354):{'3_1':0.0},(196,353):{'3_1':0.0},(196,352):{'3_1':0.0},(196,351):{'3_1':0.0},(196,350):{'3_1':0.03},(196,348):{'5_2':0.0},(196,347):{'3_1':0.03,'5_1':0.0},(196,346):{'3_1':0.03,'5_2':0.0},(196,344):{'3_1':0.0},(196,343):{'3_1':0.0},(196,342):{'3_1':0.0},(196,341):{'3_1':0.0},(196,339):{'3_1':0.0},(196,337):{'3_1':0.0},(196,336):{'3_1':0.0},(196,335):{'3_1':0.0},(196,334):{'3_1':0.0},(196,333):{'3_1':0.0},(196,326):{'3_1':0.0,'5_1':0.0},(196,324):{'3_1':0.0},(196,322):{'3_1':0.0},(196,321):{'3_1':0.0},(196,319):{'3_1':0.03},(196,318):{'3_1':0.0},(196,317):{'3_1':0.0},(196,314):{'3_1':0.0},(196,313):{'3_1':0.0},(196,297):{'3_1':0.0,'4_1':0.0},(196,295):{'3_1':0.0},(196,294):{'3_1':0.0},(196,292):{'3_1':0.0},(196,291):{'3_1':0.0},(196,290):{'3_1':0.0},(196,287):{'3_1':0.0},(196,286):{'3_1':0.0},(196,282):{'3_1':0.0,'4_1':0.0},(196,281):{'3_1':0.0},(196,280):{'3_1':0.0},(196,279):{'3_1':0.0},(196,277):{'3_1':0.0},(196,273):{'3_1':0.0},(196,238):{'3_1':0.0},(196,237):{'3_1':0.0},(196,234):{'3_1':0.0},(196,233):{'3_1':0.0},(196,232):{'5_2':0.0},(196,230):{'3_1':0.0},(196,227):{'3_1':0.0},(196,224):{'3_1':0.0},(197,727):{'5_2':0.21,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(197,726):{'5_2':0.15,'6_1':0.09,'-3':0.06,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_6':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(197,725):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(197,724):{'5_2':0.18,'-3':0.06,'3_1':0.03,'7_5':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(197,723):{'5_2':0.24,'6_1':0.12,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0},(197,722):{'5_2':0.15,'7_5':0.06,'6_1':0.06,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0},(197,721):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(197,720):{'5_2':0.12,'-3':0.09,'6_1':0.06,'4_1':0.03,'7_6':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_14':0.0},(197,719):{'5_2':0.24,'6_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(197,718):{'5_2':0.21,'6_1':0.06,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'3_1':0.0,'8_14':0.0},(197,717):{'5_2':0.12,'6_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'3_1#5_2':0.0},(197,716):{'5_2':0.21,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_14':0.0},(197,715):{'5_2':0.33,'-3':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0},(197,714):{'5_2':0.3,'6_1':0.06,'7_2':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0,'3_1#5_2':0.0},(197,713):{'5_2':0.15,'7_2':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0},(197,712):{'5_2':0.3,'3_1':0.03,'-3':0.03,'7_2':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_11':0.0},(197,711):{'5_2':0.18,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_5':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(197,710):{'5_2':0.18,'-3':0.12,'7_5':0.09,'6_1':0.03,'3_1':0.03,'7_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(197,709):{'5_2':0.12,'3_1':0.06,'7_5':0.06,'-3':0.06,'7_2':0.03,'6_1':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0,'3_1#5_2':0.0,'1':-0.03},(197,708):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'7_5':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_6':0.0},(197,707):{'5_2':0.18,'6_1':0.09,'7_5':0.06,'-3':0.03,'7_2':0.03,'4_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(197,706):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'-3':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'1':-0.03},(197,705):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0},(197,704):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'7_6':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(197,703):{'5_2':0.18,'6_1':0.06,'7_3':0.06,'4_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0},(197,702):{'5_2':0.21,'-3':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(197,701):{'5_2':0.18,'-3':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(197,700):{'5_2':0.18,'6_1':0.06,'7_3':0.06,'-3':0.03,'7_4':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_14':0.0,'1':-0.03},(197,699):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(197,698):{'5_2':0.15,'3_1':0.06,'7_3':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(197,697):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(197,696):{'5_2':0.21,'3_1':0.12,'-3':0.06,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0},(197,695):{'5_2':0.21,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_6':0.03,'-3':0.03,'5_1':0.0,'3_1':0.0,'8_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0},(197,694):{'5_2':0.18,'3_1':0.06,'7_4':0.06,'7_3':0.03,'6_1':0.03,'7_2':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(197,693):{'5_2':0.12,'7_4':0.06,'6_1':0.06,'-3':0.06,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(197,692):{'5_2':0.15,'3_1':0.12,'6_1':0.09,'4_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0},(197,691):{'5_2':0.27,'3_1':0.06,'-3':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(197,690):{'5_2':0.21,'3_1':0.09,'6_1':0.06,'4_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.03,'7_1':0.0,'7_6':0.0},(197,689):{'5_2':0.18,'3_1':0.06,'7_3':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(197,688):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'7_3':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'9_1':0.0},(197,687):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_3':0.06,'6_1':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(197,686):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'-3':0.03,'7_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(197,685):{'5_2':0.21,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0},(197,684):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_1':0.0,'7_5':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0},(197,683):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(197,682):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(197,681):{'5_2':0.15,'4_1':0.09,'3_1':0.09,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0,'8_15':0.0},(197,680):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'7_4':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(197,679):{'5_2':0.18,'3_1':0.12,'6_1':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(197,678):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'5_1':0.03,'-3':0.0,'7_1':0.0},(197,677):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(197,676):{'3_1':0.18,'5_2':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(197,675):{'3_1':0.27,'5_2':0.12,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(197,674):{'3_1':0.24,'4_1':0.12,'5_2':0.09,'7_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(197,673):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(197,672):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(197,671):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(197,670):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(197,669):{'5_2':0.18,'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(197,668):{'5_2':0.15,'3_1':0.15,'4_1':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'8_14':0.0},(197,667):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0},(197,666):{'3_1':0.27,'5_2':0.12,'4_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(197,665):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_4':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0},(197,664):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(197,663):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(197,662):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(197,661):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_6':0.0},(197,660):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0,'-3':0.0},(197,659):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(197,658):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_1':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(197,657):{'3_1':0.3,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(197,656):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_7':0.0,'-3':0.0},(197,655):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(197,654):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.06,'7_1':0.0,'7_2':0.0,'-3':0.0},(197,653):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(197,652):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'8_19':0.0},(197,651):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'7_1':0.0,'5_1':0.0,'7_4':0.0},(197,650):{'3_1':0.24,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(197,649):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(197,648):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_19':0.0},(197,647):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0},(197,646):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(197,645):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_3':0.0,'7_4':0.0,'7_5':0.0},(197,644):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'7_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(197,643):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'6_3':0.0},(197,642):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0},(197,641):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_1':0.0},(197,640):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0},(197,639):{'3_1':0.12,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(197,638):{'3_1':0.21,'5_2':0.09,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(197,637):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0},(197,636):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0},(197,635):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(197,634):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_2':0.0},(197,633):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(197,632):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(197,631):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(197,630):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(197,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(197,628):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0},(197,627):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(197,626):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(197,625):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(197,624):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(197,623):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(197,622):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(197,621):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'8_19':0.0},(197,620):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(197,619):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0},(197,618):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0},(197,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0,'3_1#5_1':0.0,'-3':0.0},(197,616):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(197,615):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(197,614):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0,'8_19':0.0},(197,613):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(197,612):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(197,611):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(197,610):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(197,609):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(197,608):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(197,607):{'3_1':0.06,'5_1':0.03,'7_3':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(197,606):{'3_1':0.12,'5_2':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0},(197,605):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_5':0.0},(197,604):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'3_1#5_1':0.0},(197,603):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(197,602):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(197,601):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(197,599):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(197,598):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(197,597):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_1':0.0},(197,596):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(197,595):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(197,594):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_18':0.0},(197,593):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0},(197,592):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(197,591):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(197,590):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(197,589):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(197,588):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0},(197,587):{'3_1':0.15,'4_1':0.03,'7_1':0.0},(197,586):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(197,585):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0},(197,584):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(197,583):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(197,582):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(197,581):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(197,580):{'3_1':0.15,'4_1':0.0},(197,579):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,578):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(197,577):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(197,576):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(197,575):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,574):{'3_1':0.15,'4_1':0.03,'5_1':0.03},(197,573):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(197,572):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(197,571):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_3':0.0},(197,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,569):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_4':0.0},(197,568):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(197,567):{'3_1':0.15,'4_1':0.0},(197,566):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(197,565):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,564):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(197,563):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(197,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,561):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'4_1':0.0},(197,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(197,559):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(197,558):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(197,557):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(197,556):{'3_1':0.06,'5_1':0.0},(197,555):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(197,554):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(197,553):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(197,552):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(197,551):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(197,550):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0},(197,549):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(197,548):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(197,547):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(197,546):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(197,545):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(197,544):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(197,543):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(197,542):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(197,541):{'3_1':0.21,'5_1':0.0,'7_3':0.0},(197,540):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(197,539):{'3_1':0.09,'5_1':0.03},(197,538):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0},(197,537):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(197,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0},(197,535):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(197,534):{'3_1':0.15,'5_1':0.0},(197,533):{'3_1':0.15,'5_2':0.0},(197,532):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(197,531):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(197,530):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,528):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(197,527):{'3_1':0.15,'5_1':0.0},(197,526):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'9_1':0.0},(197,525):{'3_1':0.09,'5_2':0.0},(197,524):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(197,523):{'3_1':0.12,'5_1':0.0},(197,522):{'3_1':0.09,'5_1':0.0},(197,521):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(197,520):{'3_1':0.06,'5_1':0.0},(197,519):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(197,518):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(197,517):{'3_1':0.06,'5_1':0.0},(197,516):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(197,515):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(197,514):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(197,513):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(197,512):{'3_1':0.03,'5_1':0.0},(197,511):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(197,510):{'3_1':0.06,'4_1':0.0},(197,509):{'3_1':0.03},(197,508):{'3_1':0.06},(197,507):{'3_1':0.03,'5_2':0.0},(197,506):{'3_1':0.03},(197,505):{'3_1':0.06},(197,504):{'3_1':0.03,'5_2':0.0},(197,503):{'3_1':0.03,'7_3':0.0},(197,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(197,501):{'3_1':0.0,'4_1':0.0},(197,500):{'3_1':0.0,'5_2':0.0},(197,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(197,498):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(197,497):{'3_1':0.0},(197,496):{'3_1':0.03,'4_1':0.0},(197,495):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(197,494):{'3_1':0.03,'8_20|3_1#3_1':0.0},(197,493):{'3_1':0.06,'5_1':0.0},(197,492):{'3_1':0.03,'4_1':0.0},(197,491):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(197,490):{'3_1':0.03},(197,489):{'3_1':0.0},(197,488):{'3_1':0.0,'5_2':0.0},(197,487):{'3_1':0.09,'4_1':0.0},(197,486):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(197,485):{'3_1':0.06,'4_1':0.0},(197,484):{'3_1':0.03},(197,483):{'3_1':0.06},(197,482):{'3_1':0.09,'4_1':0.0},(197,481):{'3_1':0.06,'4_1':0.0},(197,480):{'3_1':0.09,'4_1':0.0},(197,479):{'3_1':0.09},(197,478):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(197,477):{'3_1':0.12},(197,476):{'3_1':0.06},(197,475):{'3_1':0.09,'5_2':0.0},(197,474):{'3_1':0.09},(197,473):{'3_1':0.06,'5_1':0.0},(197,472):{'3_1':0.06,'5_1':0.0},(197,471):{'3_1':0.09},(197,470):{'3_1':0.15},(197,469):{'3_1':0.06,'4_1':0.0},(197,468):{'3_1':0.09,'5_1':0.0},(197,467):{'3_1':0.09},(197,466):{'3_1':0.03},(197,465):{'3_1':0.06,'4_1':0.0},(197,464):{'3_1':0.0},(197,463):{'3_1':0.03},(197,462):{'3_1':0.0},(197,461):{'3_1':0.06,'4_1':0.0},(197,460):{'3_1':0.0,'4_1':0.0},(197,459):{'3_1':0.03},(197,458):{'3_1':0.03},(197,457):{'3_1':0.0},(197,456):{'3_1':0.0},(197,455):{'3_1':0.06},(197,454):{'3_1':0.03},(197,453):{'3_1':0.03,'4_1':0.0},(197,452):{'3_1':0.03,'5_1':0.0},(197,451):{'3_1':0.03,'4_1':0.0},(197,450):{'3_1':0.03,'5_1':0.0},(197,449):{'3_1':0.0,'4_1':0.0},(197,448):{'3_1':0.03,'5_1':0.0},(197,447):{'3_1':0.0,'5_2':0.0},(197,446):{'3_1':0.03},(197,445):{'3_1':0.0},(197,444):{'3_1':0.0,'4_1':0.0},(197,443):{'3_1':0.0},(197,442):{'3_1':0.0},(197,441):{'3_1':0.03},(197,440):{'3_1':0.0,'4_1':0.0},(197,439):{'3_1':0.03},(197,438):{'3_1':0.03,'5_1':0.0},(197,437):{'3_1':0.03},(197,436):{'3_1':0.03,'4_1':0.0},(197,435):{'3_1':0.0,'4_1':0.0},(197,434):{'3_1':0.03},(197,433):{'3_1':0.03},(197,432):{'3_1':0.06,'4_1':0.0},(197,431):{'3_1':0.03},(197,430):{'3_1':0.0,'4_1':0.0},(197,429):{'3_1':0.0},(197,428):{'4_1':0.0,'3_1':0.0},(197,427):{'3_1':0.03},(197,426):{'3_1':0.06,'4_1':0.0},(197,425):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(197,424):{'3_1':0.0},(197,423):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(197,422):{'3_1':0.0,'4_1':0.0},(197,421):{'3_1':0.0},(197,420):{'3_1':0.0},(197,419):{'3_1':0.0,'4_1':0.0},(197,418):{'3_1':0.0,'4_1':0.0},(197,417):{'3_1':0.0,'4_1':0.0},(197,416):{'3_1':0.03,'4_1':0.0},(197,414):{'4_1':0.0,'3_1':0.0},(197,413):{'3_1':0.0,'4_1':0.0},(197,412):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(197,411):{'3_1':0.0,'4_1':0.0},(197,410):{'3_1':0.0,'5_1':0.0},(197,409):{'5_1':0.0,'4_1':0.0},(197,408):{'3_1':0.0,'5_1':0.0},(197,407):{'3_1':0.0},(197,406):{'3_1':0.0},(197,405):{'3_1':0.0},(197,404):{'4_1':0.0},(197,403):{'3_1':0.0},(197,402):{'3_1':0.0},(197,401):{'3_1':0.0},(197,399):{'3_1':0.0},(197,398):{'3_1':0.0},(197,397):{'3_1':0.0},(197,395):{'3_1':0.0},(197,394):{'3_1':0.0},(197,392):{'3_1':0.0,'6_2':0.0},(197,391):{'3_1':0.0},(197,390):{'3_1':0.0,'5_1':0.0},(197,389):{'3_1':0.0},(197,388):{'3_1':0.0},(197,387):{'3_1':0.0},(197,386):{'3_1':0.0},(197,385):{'3_1':0.0},(197,384):{'3_1':0.0},(197,383):{'3_1':0.03},(197,382):{'3_1':0.0},(197,381):{'3_1':0.03,'5_1':0.0},(197,380):{'3_1':0.06},(197,379):{'3_1':0.0},(197,378):{'3_1':0.03},(197,377):{'3_1':0.0,'5_1':0.0},(197,376):{'3_1':0.0},(197,375):{'3_1':0.03},(197,374):{'3_1':0.0,'5_1':0.0},(197,373):{'3_1':0.06},(197,372):{'3_1':0.0},(197,371):{'3_1':0.03},(197,370):{'3_1':0.0,'7_1':0.0},(197,369):{'3_1':0.03},(197,368):{'3_1':0.0},(197,367):{'3_1':0.0},(197,366):{'3_1':0.03},(197,365):{'3_1':0.0},(197,364):{'3_1':0.0},(197,363):{'3_1':0.0},(197,362):{'3_1':0.0},(197,361):{'3_1':0.0},(197,360):{'3_1':0.03},(197,358):{'3_1':0.0},(197,357):{'3_1':0.03,'4_1':0.0},(197,356):{'3_1':0.0},(197,355):{'3_1':0.0},(197,354):{'3_1':0.0},(197,353):{'3_1':0.0},(197,352):{'3_1':0.0},(197,350):{'3_1':0.0},(197,349):{'3_1':0.0},(197,348):{'3_1':0.0},(197,347):{'3_1':0.0},(197,345):{'3_1':0.0},(197,344):{'3_1':0.0},(197,343):{'3_1':0.0},(197,342):{'3_1':0.0},(197,341):{'3_1':0.0},(197,339):{'3_1':0.0},(197,338):{'3_1':0.0},(197,337):{'3_1':0.0},(197,336):{'3_1':0.0},(197,335):{'3_1':0.0,'4_1':0.0},(197,334):{'3_1':0.0},(197,333):{'4_1':0.0},(197,332):{'3_1':0.0},(197,331):{'3_1':0.0},(197,330):{'3_1':0.0},(197,329):{'3_1':0.0},(197,328):{'3_1':0.0},(197,327):{'3_1':0.0},(197,325):{'3_1':0.0},(197,323):{'3_1':0.0},(197,322):{'3_1':0.0},(197,320):{'3_1':0.0},(197,319):{'3_1':0.03},(197,318):{'3_1':0.0},(197,317):{'3_1':0.0},(197,316):{'3_1':0.0},(197,315):{'3_1':0.0,'5_1':0.0},(197,313):{'3_1':0.0},(197,312):{'3_1':0.0},(197,310):{'4_1':0.0},(197,309):{'3_1':0.0},(197,308):{'3_1':0.0},(197,307):{'3_1':0.03},(197,306):{'3_1':0.0},(197,305):{'5_1':0.0},(197,304):{'3_1':0.0},(197,303):{'3_1':0.0},(197,302):{'3_1':0.0,'5_1':0.0},(197,301):{'3_1':0.0},(197,300):{'3_1':0.0},(197,299):{'3_1':0.0},(197,298):{'3_1':0.0},(197,297):{'3_1':0.0},(197,295):{'3_1':0.03},(197,294):{'3_1':0.0},(197,292):{'3_1':0.0},(197,288):{'3_1':0.0},(197,287):{'3_1':0.0},(197,286):{'3_1':0.0},(197,285):{'3_1':0.0},(197,284):{'3_1':0.0},(197,282):{'3_1':0.0},(197,277):{'3_1':0.0},(197,275):{'3_1':0.0,'4_1':0.0},(197,235):{'7_2':0.0},(197,233):{'3_1':0.0,'7_2':0.0},(197,231):{'3_1':0.0},(197,216):{'3_1':0.0},(197,213):{'3_1':0.0},(198,727):{'5_2':0.21,'6_1':0.03,'7_5':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0},(198,726):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(198,725):{'5_2':0.18,'6_1':0.06,'7_5':0.06,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(198,724):{'5_2':0.18,'6_1':0.09,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0},(198,723):{'5_2':0.15,'6_1':0.06,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(198,722):{'5_2':0.18,'6_1':0.03,'7_6':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0},(198,721):{'5_2':0.18,'6_1':0.06,'7_3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_15':0.0},(198,720):{'5_2':0.18,'6_1':0.12,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(198,719):{'5_2':0.18,'-3':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0},(198,718):{'5_2':0.12,'6_1':0.06,'-3':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'8_11':0.0,'7_5':0.0,'8_3':0.0},(198,717):{'5_2':0.24,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.03,'7_6':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_13':0.0},(198,716):{'5_2':0.27,'6_1':0.06,'-3':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'3_1':0.0,'7_4':0.0,'4_1':0.0,'7_1':0.0,'3_1#5_2':0.0},(198,715):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_6':0.03,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_15':0.0},(198,714):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'7_6':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'3_1#5_2':0.0},(198,713):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(198,712):{'5_2':0.15,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(198,711):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0,'6_2':0.0,'7_6':0.0},(198,710):{'5_2':0.12,'7_5':0.09,'6_1':0.06,'-3':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_6':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0,'7_4':0.0},(198,709):{'-3':0.12,'5_2':0.09,'7_5':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(198,708):{'5_2':0.15,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_5':0.03,'6_2':0.03,'7_2':0.0,'7_3':0.0,'8_6':0.0,'4_1':0.0,'8_2':0.0},(198,707):{'5_2':0.12,'7_5':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_14':0.0,'1':-0.03},(198,706):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'8_11':0.0,'8_14':0.0},(198,705):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(198,704):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(198,703):{'5_2':0.18,'7_5':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_6':0.0,'7_2':0.0},(198,702):{'5_2':0.24,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(198,701):{'5_2':0.18,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.03,'7_6':0.0,'8_11':0.0},(198,700):{'5_2':0.21,'7_3':0.06,'-3':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(198,699):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(198,698):{'5_2':0.21,'6_1':0.06,'-3':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'3_1':0.0,'4_1':0.0},(198,697):{'5_2':0.09,'3_1':0.06,'-3':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.03,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'8_14':0.0},(198,696):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'7_4':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(198,695):{'5_2':0.24,'6_1':0.06,'-3':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_4':0.0},(198,694):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(198,693):{'5_2':0.18,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'9_1':0.0},(198,692):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(198,691):{'5_2':0.15,'6_1':0.09,'7_3':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(198,690):{'5_2':0.15,'6_1':0.06,'3_1':0.06,'7_4':0.06,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'9_1':0.0},(198,689):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(198,688):{'5_2':0.09,'3_1':0.06,'7_4':0.06,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0},(198,687):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'7_2':0.03,'-3':0.03,'4_1':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(198,686):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(198,685):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(198,684):{'5_2':0.21,'3_1':0.18,'4_1':0.09,'-3':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(198,683):{'5_2':0.21,'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(198,682):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(198,681):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_2':0.0,'-3':0.0},(198,680):{'5_2':0.21,'3_1':0.18,'4_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(198,679):{'3_1':0.15,'5_2':0.12,'7_4':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'6_3':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0},(198,678):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(198,677):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_5':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(198,676):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(198,675):{'3_1':0.12,'5_2':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(198,674):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(198,673):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(198,672):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(198,671):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(198,670):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(198,669):{'3_1':0.24,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(198,668):{'3_1':0.21,'5_2':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(198,667):{'3_1':0.27,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(198,666):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(198,665):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(198,664):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_1':0.0,'-3':0.0},(198,663):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0},(198,662):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(198,661):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'8_19':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(198,660):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(198,659):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0},(198,658):{'3_1':0.3,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(198,657):{'3_1':0.15,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(198,656):{'3_1':0.3,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(198,655):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(198,654):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(198,653):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(198,652):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(198,651):{'3_1':0.15,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_4':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(198,650):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(198,649):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0},(198,648):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0},(198,647):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_5':0.0},(198,646):{'3_1':0.21,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(198,645):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(198,644):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(198,643):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(198,642):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(198,641):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(198,640):{'3_1':0.27,'5_2':0.09,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'3_1#5_1':0.0},(198,639):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_19':0.0},(198,638):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(198,637):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(198,636):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(198,635):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(198,634):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(198,633):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0},(198,632):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_1':0.0},(198,631):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(198,630):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(198,629):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(198,628):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(198,627):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(198,626):{'3_1':0.21,'4_1':0.0,'7_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(198,625):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(198,624):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'-3':0.0},(198,623):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'7_1':0.0,'-3':0.0},(198,622):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_19':0.0},(198,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(198,620):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(198,619):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(198,618):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0,'4_1':0.0},(198,617):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'3_1#5_1':0.0},(198,616):{'3_1':0.12,'5_1':0.06,'7_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(198,615):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(198,614):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(198,613):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(198,612):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(198,611):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03},(198,610):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0},(198,609):{'3_1':0.12,'4_1':0.0},(198,608):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(198,607):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(198,606):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(198,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(198,604):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(198,603):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(198,602):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,601):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(198,600):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(198,599):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(198,598):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(198,597):{'3_1':0.06,'4_1':0.03,'6_3':0.0,'7_6':0.0},(198,596):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(198,595):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(198,594):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(198,593):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(198,592):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(198,591):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(198,590):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(198,589):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(198,588):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0},(198,587):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(198,586):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(198,585):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(198,584):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(198,582):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(198,581):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(198,580):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_3':0.0},(198,579):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(198,578):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(198,577):{'3_1':0.03,'4_1':0.0},(198,576):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(198,575):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(198,574):{'3_1':0.09,'4_1':0.03},(198,573):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(198,572):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_2':0.0},(198,571):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(198,570):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(198,569):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(198,568):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(198,567):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(198,566):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,565):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(198,564):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(198,563):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(198,562):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(198,561):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(198,560):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,559):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(198,558):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(198,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(198,556):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(198,555):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(198,554):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(198,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(198,552):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(198,551):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(198,550):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(198,549):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(198,548):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(198,547):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(198,546):{'3_1':0.09,'5_2':0.0},(198,545):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(198,544):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(198,543):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,542):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(198,541):{'3_1':0.15,'5_1':0.0},(198,540):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(198,539):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(198,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(198,537):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(198,536):{'3_1':0.21,'5_1':0.0,'6_3':0.0},(198,535):{'3_1':0.15,'5_1':0.0,'7_2':0.0},(198,534):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_1':0.0},(198,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(198,532):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(198,531):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,530):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(198,529):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(198,528):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(198,527):{'3_1':0.09,'5_1':0.0},(198,526):{'3_1':0.06,'5_2':0.0},(198,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(198,524):{'3_1':0.06,'5_1':0.0},(198,523):{'3_1':0.09},(198,522):{'3_1':0.06},(198,521):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(198,520):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(198,519):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(198,518):{'3_1':0.03,'5_1':0.0},(198,517):{'3_1':0.03},(198,516):{'3_1':0.06},(198,515):{'3_1':0.03},(198,514):{'3_1':0.06},(198,513):{'3_1':0.03,'5_2':0.0},(198,512):{'3_1':0.09},(198,511):{'3_1':0.09,'5_2':0.0},(198,510):{'3_1':0.06,'4_1':0.0},(198,509):{'3_1':0.03},(198,508):{'3_1':0.03,'5_1':0.0},(198,507):{'3_1':0.06},(198,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(198,505):{'3_1':0.0},(198,504):{'4_1':0.0},(198,503):{'3_1':0.06},(198,502):{'3_1':0.0,'5_1':0.0},(198,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(198,500):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(198,499):{'3_1':0.03,'5_2':0.0},(198,498):{'3_1':0.03,'4_1':0.0},(198,497):{'3_1':0.06,'5_1':0.0},(198,496):{'3_1':0.06},(198,495):{'3_1':0.0},(198,494):{'3_1':0.0},(198,493):{'3_1':0.06,'5_2':0.0},(198,492):{'3_1':0.03},(198,491):{'3_1':0.03},(198,490):{'3_1':0.06,'5_2':0.0},(198,489):{'3_1':0.03,'5_1':0.0},(198,488):{'3_1':0.06,'5_2':0.0},(198,487):{'3_1':0.06},(198,486):{'3_1':0.03,'5_1':0.0},(198,485):{'3_1':0.09},(198,484):{'3_1':0.09},(198,483):{'3_1':0.09,'5_1':0.0},(198,482):{'3_1':0.06},(198,481):{'3_1':0.09},(198,480):{'3_1':0.06,'5_2':0.0},(198,479):{'3_1':0.0},(198,478):{'3_1':0.12},(198,477):{'3_1':0.09,'7_1':0.0},(198,476):{'3_1':0.03,'5_2':0.0},(198,475):{'3_1':0.06,'5_1':0.0},(198,474):{'3_1':0.06,'7_1':0.0},(198,473):{'3_1':0.09},(198,472):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(198,471):{'3_1':0.06},(198,470):{'3_1':0.03},(198,469):{'3_1':0.03,'5_2':0.0},(198,468):{'3_1':0.03,'4_1':0.0},(198,467):{'3_1':0.03},(198,466):{'3_1':0.03},(198,465):{'3_1':0.0},(198,464):{'3_1':0.0},(198,463):{'3_1':0.06},(198,462):{'3_1':0.0,'4_1':0.0},(198,461):{'3_1':0.03,'4_1':0.0},(198,460):{'3_1':0.03},(198,459):{'3_1':0.03},(198,458):{'3_1':0.03},(198,457):{'3_1':0.03},(198,456):{'3_1':0.0},(198,454):{'3_1':0.0},(198,453):{'3_1':0.0},(198,452):{'3_1':0.06},(198,451):{'4_1':0.0,'5_2':0.0},(198,450):{'3_1':0.0},(198,449):{'3_1':0.0,'5_1':0.0},(198,448):{'3_1':0.06},(198,447):{'3_1':0.03},(198,446):{'3_1':0.0},(198,445):{'3_1':0.06},(198,444):{'3_1':0.06},(198,443):{'3_1':0.0},(198,442):{'3_1':0.0},(198,441):{'3_1':0.0},(198,440):{'3_1':0.06},(198,439):{'3_1':0.0},(198,438):{'3_1':0.0,'5_1':0.0},(198,437):{'3_1':0.0},(198,436):{'3_1':0.0},(198,435):{'3_1':0.03},(198,434):{'3_1':0.0,'5_1':0.0},(198,433):{'3_1':0.03},(198,432):{'3_1':0.06},(198,431):{'3_1':0.03},(198,430):{'3_1':0.0,'4_1':0.0},(198,429):{'3_1':0.0},(198,428):{'3_1':0.03,'4_1':0.0},(198,427):{'3_1':0.0,'4_1':0.0},(198,426):{'3_1':0.0,'4_1':0.0},(198,425):{'3_1':0.0,'4_1':0.0},(198,424):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(198,423):{'3_1':0.06},(198,422):{'3_1':0.0,'4_1':0.0},(198,421):{'3_1':0.0,'4_1':0.0},(198,420):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(198,419):{'3_1':0.06},(198,418):{'3_1':0.0,'6_1':0.0},(198,417):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(198,416):{'3_1':0.03,'4_1':0.0},(198,415):{'3_1':0.0,'5_1':0.0},(198,414):{'3_1':0.03,'4_1':0.0},(198,413):{'4_1':0.0,'5_2':0.0},(198,412):{'4_1':0.0},(198,411):{'3_1':0.0},(198,410):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(198,409):{'3_1':0.0},(198,407):{'3_1':0.0},(198,406):{'3_1':0.0,'5_2':0.0},(198,405):{'3_1':0.0},(198,404):{'3_1':0.0},(198,403):{'3_1':0.0},(198,402):{'3_1':0.0},(198,401):{'4_1':0.0,'3_1':0.0},(198,400):{'3_1':0.0},(198,399):{'3_1':0.03},(198,398):{'3_1':0.0},(198,397):{'3_1':0.0},(198,396):{'3_1':0.0},(198,395):{'3_1':0.0},(198,394):{'3_1':0.0,'4_1':0.0},(198,393):{'3_1':0.0,'4_1':0.0},(198,392):{'3_1':0.0},(198,391):{'3_1':0.0},(198,390):{'3_1':0.0},(198,388):{'3_1':0.0},(198,387):{'3_1':0.0},(198,386):{'3_1':0.0},(198,385):{'3_1':0.0},(198,384):{'3_1':0.0},(198,383):{'3_1':0.0},(198,382):{'3_1':0.0},(198,381):{'3_1':0.0},(198,380):{'3_1':0.03,'5_1':0.0},(198,379):{'3_1':0.0},(198,378):{'3_1':0.03},(198,377):{'3_1':0.03},(198,376):{'3_1':0.06,'5_1':0.0},(198,375):{'3_1':0.0,'5_2':0.0},(198,374):{'3_1':0.0},(198,373):{'3_1':0.0},(198,372):{'3_1':0.0,'7_1':0.0},(198,371):{'3_1':0.03,'5_1':0.0},(198,370):{'3_1':0.0},(198,369):{'3_1':0.0},(198,368):{'3_1':0.0},(198,367):{'3_1':0.0,'4_1':0.0},(198,366):{'3_1':0.0},(198,365):{'3_1':0.0},(198,364):{'3_1':0.0},(198,363):{'3_1':0.0,'5_1':0.0},(198,362):{'3_1':0.0},(198,361):{'3_1':0.03},(198,360):{'3_1':0.0},(198,358):{'3_1':0.0},(198,355):{'3_1':0.0},(198,354):{'3_1':0.0},(198,353):{'3_1':0.0},(198,352):{'3_1':0.0},(198,351):{'3_1':0.0},(198,348):{'3_1':0.0},(198,347):{'3_1':0.0},(198,345):{'3_1':0.0},(198,344):{'3_1':0.0},(198,342):{'3_1':0.0},(198,341):{'3_1':0.0},(198,340):{'3_1':0.0},(198,339):{'3_1':0.0},(198,337):{'3_1':0.0},(198,336):{'3_1':0.03},(198,335):{'3_1':0.03},(198,334):{'3_1':0.0},(198,333):{'3_1':0.0},(198,332):{'3_1':0.0},(198,331):{'3_1':0.0},(198,330):{'3_1':0.0,'5_1':0.0},(198,329):{'3_1':0.03,'4_1':0.0},(198,328):{'3_1':0.0},(198,326):{'3_1':0.0,'5_1':0.0},(198,325):{'3_1':0.0,'4_1':0.0},(198,324):{'3_1':0.0},(198,321):{'3_1':0.0,'4_1':0.0},(198,320):{'3_1':0.0},(198,319):{'3_1':0.03},(198,318):{'3_1':0.0},(198,317):{'3_1':0.0},(198,316):{'3_1':0.0},(198,315):{'3_1':0.0},(198,314):{'3_1':0.0},(198,312):{'3_1':0.0},(198,311):{'3_1':0.0},(198,310):{'3_1':0.03},(198,308):{'3_1':0.0},(198,307):{'3_1':0.0},(198,306):{'3_1':0.0},(198,304):{'3_1':0.0},(198,303):{'3_1':0.0},(198,302):{'3_1':0.0},(198,301):{'3_1':0.0},(198,299):{'3_1':0.0},(198,298):{'3_1':0.0},(198,294):{'3_1':0.0},(198,293):{'3_1':0.0},(198,292):{'3_1':0.0},(198,290):{'3_1':0.0},(198,289):{'3_1':0.0},(198,287):{'3_1':0.0},(198,285):{'3_1':0.0},(198,284):{'3_1':0.0,'4_1':0.0},(198,283):{'3_1':0.0},(198,282):{'3_1':0.0},(198,281):{'3_1':0.0},(198,279):{'3_1':0.0},(198,278):{'3_1':0.0},(198,277):{'3_1':0.0},(198,273):{'3_1':0.0},(198,266):{'3_1':0.0},(198,263):{'3_1':0.0},(198,254):{'3_1':0.0},(198,253):{'3_1':0.0},(198,237):{'3_1':0.0},(198,234):{'3_1':0.0},(198,226):{'6_1':0.0},(198,207):{'3_1':0.0},(199,727):{'5_2':0.15,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(199,726):{'5_2':0.12,'-3':0.03,'6_1':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'4_1':0.0},(199,725):{'5_2':0.15,'7_5':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0},(199,724):{'5_2':0.15,'6_1':0.03,'7_5':0.03,'7_6':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_11':0.0,'6_2':0.0},(199,723):{'5_2':0.24,'6_1':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(199,722):{'5_2':0.12,'6_1':0.06,'7_5':0.06,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0},(199,721):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(199,720):{'5_2':0.24,'6_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'3_1':0.0,'7_5':0.0,'8_11':0.0},(199,719):{'5_2':0.15,'7_5':0.06,'6_1':0.03,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(199,718):{'5_2':0.21,'6_1':0.03,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(199,717):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'-3':0.03,'7_5':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'8_15':0.0},(199,716):{'5_2':0.18,'7_5':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0},(199,715):{'5_2':0.18,'6_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'6_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(199,714):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(199,713):{'5_2':0.12,'6_1':0.09,'-3':0.09,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0},(199,712):{'5_2':0.27,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(199,711):{'5_2':0.09,'7_5':0.06,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_15':0.0,'3_1#5_2':0.0},(199,710):{'5_2':0.12,'3_1':0.06,'7_2':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0},(199,709):{'7_5':0.12,'5_2':0.12,'3_1':0.09,'-3':0.09,'6_1':0.06,'4_1':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'9_1':0.0},(199,708):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_4':0.03,'7_5':0.0,'4_1':0.0,'7_6':0.0,'8_6':0.0},(199,707):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(199,706):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_2':0.0},(199,705):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_4':0.0,'8_18':0.0},(199,704):{'5_2':0.18,'6_1':0.03,'-3':0.03,'7_3':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(199,703):{'5_2':0.15,'6_1':0.09,'-3':0.09,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'7_6':0.0,'7_7':0.0},(199,702):{'5_2':0.12,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(199,701):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(199,700):{'5_2':0.21,'-3':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'1':-0.03},(199,699):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(199,698):{'5_2':0.24,'6_1':0.06,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.03,'6_2':0.0,'7_1':0.0,'7_7':0.0},(199,697):{'5_2':0.09,'6_1':0.06,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0},(199,696):{'5_2':0.27,'3_1':0.06,'6_1':0.06,'7_3':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'8_2':0.0},(199,695):{'5_2':0.18,'4_1':0.06,'6_1':0.06,'7_3':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'6_2':0.0,'8_11':0.0},(199,694):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_3':0.06,'-3':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(199,693):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(199,692):{'5_2':0.12,'3_1':0.09,'-3':0.06,'6_1':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(199,691):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_3':0.0},(199,690):{'5_2':0.21,'6_1':0.06,'7_3':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(199,689):{'5_2':0.15,'3_1':0.06,'7_3':0.03,'4_1':0.03,'-3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(199,688):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(199,687):{'5_2':0.18,'3_1':0.09,'6_1':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(199,686):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'8_11':0.0},(199,685):{'5_2':0.18,'3_1':0.06,'-3':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(199,684):{'5_2':0.21,'3_1':0.09,'7_5':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'8_15':0.0},(199,683):{'3_1':0.18,'5_2':0.18,'6_1':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(199,682):{'5_2':0.18,'3_1':0.12,'-3':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(199,681):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'7_4':0.0,'-3':0.0,'7_3':0.0},(199,680):{'3_1':0.12,'6_1':0.09,'5_2':0.09,'4_1':0.06,'7_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0},(199,679):{'3_1':0.15,'5_2':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(199,678):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'7_3':0.03,'5_1':0.0,'8_3':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'8_1':0.0},(199,677):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(199,676):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(199,675):{'3_1':0.18,'5_2':0.12,'7_3':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0,'8_15':0.0,'-3':0.0},(199,674):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(199,673):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'-3':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(199,672):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_16':0.0,'-3':0.0},(199,671):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(199,670):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'8_10':0.0},(199,669):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0},(199,668):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(199,667):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0},(199,666):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(199,665):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'8_15':0.0},(199,664):{'3_1':0.21,'4_1':0.12,'5_2':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(199,663):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(199,662):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(199,661):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0},(199,660):{'3_1':0.3,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(199,659):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(199,658):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(199,657):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_19':0.0,'7_5':0.0,'-3':0.0},(199,656):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(199,655):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(199,654):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(199,653):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(199,652):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.03,'8_19':0.0,'6_2':0.0,'7_4':0.0},(199,651):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_19':0.0},(199,650):{'3_1':0.27,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(199,649):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(199,648):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(199,647):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0},(199,646):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'-3':0.0},(199,645):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(199,644):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(199,643):{'3_1':0.21,'5_2':0.09,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(199,642):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'8_19':0.0},(199,641):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0},(199,640):{'3_1':0.21,'5_1':0.09,'5_2':0.06,'4_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(199,639):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_4':0.0,'-3':0.0},(199,638):{'3_1':0.12,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_6':0.0},(199,637):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(199,636):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(199,635):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(199,634):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(199,633):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(199,632):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_19':0.0},(199,631):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_4':0.0},(199,630):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_19':0.0},(199,629):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_12':0.0},(199,628):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(199,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(199,626):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(199,625):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_5':0.0},(199,624):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(199,623):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(199,622):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(199,621):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(199,620):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(199,619):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(199,618):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_19':0.0,'3_1#5_1':0.0},(199,617):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(199,616):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(199,615):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(199,614):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(199,613):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(199,612):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(199,611):{'3_1':0.15,'5_2':0.0},(199,610):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(199,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(199,608):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(199,607):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(199,606):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(199,605):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_19':0.0,'-3':0.0},(199,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(199,603):{'3_1':0.06,'4_1':0.0,'8_7':0.0},(199,602):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(199,601):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(199,600):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,599):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(199,598):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(199,597):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(199,596):{'3_1':0.06,'4_1':0.06},(199,595):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(199,594):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(199,593):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(199,592):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,591):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(199,590):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(199,589):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,588):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(199,587):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(199,586):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(199,585):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(199,584):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(199,583):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(199,582):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(199,581):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(199,580):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(199,579):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(199,578):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(199,577):{'3_1':0.12,'4_1':0.0},(199,576):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(199,575):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(199,574):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(199,573):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(199,572):{'3_1':0.06,'4_1':0.0},(199,571):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(199,570):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(199,569):{'3_1':0.09,'4_1':0.0},(199,568):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(199,567):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(199,566):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(199,565):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(199,564):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(199,563):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(199,562):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(199,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(199,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(199,559):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(199,558):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(199,557):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(199,556):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(199,555):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(199,554):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(199,553):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(199,552):{'3_1':0.12,'5_1':0.0},(199,551):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(199,550):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(199,549):{'3_1':0.15,'5_2':0.0},(199,548):{'3_1':0.18,'4_1':0.0},(199,547):{'3_1':0.12,'5_1':0.0},(199,546):{'3_1':0.24,'4_1':0.0},(199,545):{'3_1':0.15,'5_2':0.0},(199,544):{'3_1':0.12,'4_1':0.0},(199,543):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(199,542):{'3_1':0.09},(199,541):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(199,540):{'3_1':0.21,'5_1':0.0},(199,539):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(199,538):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(199,537):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(199,536):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(199,535):{'3_1':0.15,'5_1':0.0},(199,534):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(199,533):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(199,532):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(199,531):{'3_1':0.18,'5_1':0.0},(199,530):{'3_1':0.18},(199,529):{'3_1':0.09,'5_1':0.0},(199,528):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(199,527):{'3_1':0.09,'5_2':0.0},(199,526):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(199,525):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,524):{'3_1':0.09},(199,523):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(199,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(199,521):{'3_1':0.09,'5_1':0.0},(199,520):{'3_1':0.03,'5_2':0.0},(199,519):{'3_1':0.09},(199,518):{'3_1':0.03},(199,517):{'3_1':0.03,'5_2':0.0},(199,516):{'3_1':0.03,'5_2':0.0},(199,515):{'3_1':0.09,'5_1':0.0},(199,514):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(199,513):{'3_1':0.03,'4_1':0.0},(199,512):{'3_1':0.06},(199,511):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(199,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(199,509):{'3_1':0.03},(199,508):{'3_1':0.03},(199,507):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(199,506):{'3_1':0.03},(199,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(199,504):{'3_1':0.0,'5_2':0.0},(199,503):{'3_1':0.03,'5_1':0.0},(199,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(199,501):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(199,500):{'3_1':0.03,'5_1':0.0},(199,499):{'3_1':0.03},(199,498):{'3_1':0.06},(199,497):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(199,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(199,495):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(199,494):{'3_1':0.06,'4_1':0.0},(199,493):{'3_1':0.03,'5_1':0.0},(199,492):{'3_1':0.06},(199,491):{'3_1':0.03,'5_2':0.0},(199,490):{'3_1':0.09},(199,489):{'3_1':0.06},(199,488):{'3_1':0.12},(199,487):{'3_1':0.06},(199,486):{'3_1':0.06,'5_2':0.0},(199,485):{'3_1':0.09},(199,484):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(199,483):{'3_1':0.09},(199,482):{'3_1':0.09,'4_1':0.0},(199,481):{'3_1':0.06},(199,480):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(199,479):{'3_1':0.15},(199,478):{'3_1':0.06},(199,477):{'3_1':0.12,'7_1':0.0},(199,476):{'3_1':0.09,'5_1':0.0},(199,475):{'3_1':0.12},(199,474):{'3_1':0.06},(199,473):{'3_1':0.06},(199,472):{'3_1':0.06},(199,471):{'3_1':0.12},(199,470):{'3_1':0.09},(199,469):{'3_1':0.03,'7_1':0.0},(199,468):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(199,467):{'3_1':0.03},(199,466):{'3_1':0.09},(199,465):{'3_1':0.03},(199,464):{'3_1':0.03},(199,463):{'3_1':0.03,'4_1':0.0},(199,462):{'3_1':0.03},(199,461):{'3_1':0.03},(199,460):{'3_1':0.03},(199,459):{'3_1':0.0,'5_2':0.0},(199,458):{'3_1':0.0},(199,457):{'3_1':0.03,'4_1':0.0},(199,456):{'3_1':0.03},(199,455):{'3_1':0.0},(199,454):{'3_1':0.06},(199,453):{'3_1':0.06,'4_1':0.0},(199,452):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(199,451):{'3_1':0.03},(199,450):{'3_1':0.06,'4_1':0.0},(199,449):{'3_1':0.0},(199,448):{'3_1':0.03},(199,447):{'3_1':0.0},(199,446):{'3_1':0.0},(199,445):{'3_1':0.03},(199,444):{'3_1':0.03},(199,443):{'3_1':0.0},(199,442):{'3_1':0.0},(199,441):{'3_1':0.03},(199,440):{'3_1':0.0,'4_1':0.0},(199,439):{'3_1':0.0,'5_1':0.0},(199,438):{'3_1':0.0},(199,437):{'3_1':0.0},(199,436):{'3_1':0.03},(199,435):{'3_1':0.03},(199,434):{'3_1':0.0},(199,433):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(199,432):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(199,431):{'3_1':0.03},(199,430):{'3_1':0.03,'4_1':0.0},(199,429):{'3_1':0.03,'4_1':0.0},(199,428):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(199,427):{'3_1':0.03},(199,426):{'3_1':0.03,'4_1':0.0},(199,425):{'3_1':0.0,'4_1':0.0},(199,424):{'3_1':0.0},(199,423):{'3_1':0.06,'4_1':0.0},(199,422):{'3_1':0.03},(199,421):{'3_1':0.0,'4_1':0.0},(199,420):{'3_1':0.0},(199,419):{'3_1':0.03,'4_1':0.0},(199,418):{'3_1':0.03},(199,417):{'3_1':0.0,'4_1':0.0},(199,416):{'3_1':0.0,'5_1':0.0},(199,415):{'3_1':0.03,'4_1':0.0},(199,414):{'3_1':0.03},(199,413):{'3_1':0.0,'4_1':0.0},(199,412):{'3_1':0.0,'4_1':0.0},(199,411):{'3_1':0.0},(199,410):{'3_1':0.0,'4_1':0.0},(199,409):{'3_1':0.0},(199,408):{'3_1':0.0},(199,407):{'3_1':0.0},(199,406):{'3_1':0.03},(199,404):{'3_1':0.0},(199,403):{'3_1':0.0},(199,402):{'3_1':0.0,'4_1':0.0},(199,401):{'3_1':0.0,'6_1':0.0},(199,400):{'3_1':0.0},(199,399):{'3_1':0.0},(199,398):{'3_1':0.0},(199,396):{'3_1':0.03},(199,395):{'3_1':0.03},(199,394):{'3_1':0.0},(199,393):{'3_1':0.0},(199,392):{'3_1':0.0},(199,389):{'3_1':0.0,'5_1':0.0},(199,388):{'3_1':0.0},(199,387):{'3_1':0.0,'4_1':0.0},(199,386):{'3_1':0.0},(199,385):{'3_1':0.0},(199,383):{'3_1':0.0},(199,382):{'3_1':0.03},(199,381):{'3_1':0.03,'4_1':0.0},(199,380):{'3_1':0.0,'5_1':0.0},(199,379):{'3_1':0.0},(199,378):{'3_1':0.0,'5_1':0.0},(199,377):{'3_1':0.0,'5_1':0.0},(199,376):{'3_1':0.03,'5_1':0.0},(199,375):{'3_1':0.03,'4_1':0.0},(199,374):{'3_1':0.03},(199,373):{'3_1':0.03,'5_1':0.0},(199,372):{'3_1':0.0},(199,371):{'3_1':0.03},(199,370):{'3_1':0.03},(199,369):{'3_1':0.0},(199,368):{'3_1':0.0},(199,367):{'3_1':0.0,'4_1':0.0},(199,366):{'3_1':0.03},(199,364):{'3_1':0.03},(199,363):{'3_1':0.0},(199,362):{'3_1':0.0},(199,361):{'3_1':0.0},(199,360):{'3_1':0.0},(199,359):{'3_1':0.0},(199,358):{'3_1':0.0,'5_1':0.0},(199,356):{'3_1':0.0},(199,355):{'3_1':0.0},(199,354):{'3_1':0.0},(199,352):{'3_1':0.0},(199,351):{'3_1':0.0},(199,350):{'3_1':0.03},(199,349):{'3_1':0.0},(199,348):{'3_1':0.0},(199,346):{'5_2':0.0},(199,345):{'3_1':0.0},(199,344):{'3_1':0.0},(199,343):{'3_1':0.0},(199,342):{'3_1':0.0},(199,338):{'3_1':0.0},(199,337):{'3_1':0.0,'4_1':0.0},(199,336):{'3_1':0.0},(199,335):{'3_1':0.0},(199,334):{'3_1':0.0},(199,332):{'3_1':0.0,'5_1':0.0},(199,331):{'3_1':0.0},(199,330):{'5_1':0.0},(199,328):{'3_1':0.0},(199,327):{'5_1':0.0},(199,326):{'3_1':0.0},(199,325):{'3_1':0.0,'5_1':0.0},(199,324):{'3_1':0.0},(199,322):{'3_1':0.0},(199,321):{'3_1':0.0},(199,320):{'3_1':0.0},(199,318):{'3_1':0.03},(199,317):{'3_1':0.0},(199,316):{'3_1':0.0},(199,315):{'3_1':0.0},(199,313):{'3_1':0.0},(199,312):{'3_1':0.0,'5_2':0.0},(199,311):{'3_1':0.0,'5_1':0.0},(199,309):{'3_1':0.0},(199,308):{'3_1':0.0},(199,306):{'3_1':0.0},(199,305):{'4_1':0.0},(199,303):{'3_1':0.0},(199,302):{'3_1':0.0},(199,301):{'3_1':0.0},(199,300):{'3_1':0.0},(199,299):{'3_1':0.0},(199,297):{'3_1':0.0},(199,296):{'3_1':0.0},(199,295):{'3_1':0.0},(199,294):{'3_1':0.0},(199,293):{'3_1':0.0},(199,290):{'3_1':0.0},(199,286):{'3_1':0.0},(199,285):{'3_1':0.0},(199,281):{'3_1':0.0},(199,279):{'3_1':0.0},(199,278):{'3_1':0.0},(199,264):{'3_1':0.0},(199,238):{'3_1':0.0},(199,232):{'3_1':0.0},(199,215):{'3_1':0.0},(199,203):{'3_1':0.0},(200,727):{'5_2':0.24,'6_1':0.09,'-3':0.03,'7_5':0.0,'3_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0},(200,726):{'5_2':0.21,'6_1':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0},(200,725):{'5_2':0.15,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'3_1':0.0,'4_1':0.0,'3_1#5_2':0.0},(200,724):{'5_2':0.18,'6_1':0.12,'-3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(200,723):{'5_2':0.27,'6_1':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(200,722):{'5_2':0.21,'6_1':0.09,'7_5':0.03,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(200,721):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_7':0.0,'8_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(200,720):{'6_1':0.15,'5_2':0.12,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'3_1#5_2':0.0},(200,719):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(200,718):{'5_2':0.21,'6_1':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(200,717):{'5_2':0.18,'6_1':0.06,'7_6':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'8_15':0.0,'-3':0.0},(200,716):{'5_2':0.15,'6_1':0.06,'-3':0.03,'7_3':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(200,715):{'5_2':0.27,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(200,714):{'5_2':0.09,'6_1':0.09,'7_3':0.06,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'8_6':0.0,'4_1':0.0},(200,713):{'5_2':0.15,'6_1':0.06,'7_3':0.03,'3_1':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_1':0.0},(200,712):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'7_5':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'3_1#5_2':0.0,'1':-0.03},(200,711):{'5_2':0.24,'3_1':0.06,'6_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(200,710):{'5_2':0.15,'3_1':0.09,'7_5':0.09,'-3':0.06,'6_1':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'8_6':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(200,709):{'5_2':0.12,'-3':0.12,'3_1':0.06,'6_1':0.03,'7_5':0.03,'5_1':0.03,'4_1':0.0,'8_6':0.0,'6_2':0.0},(200,708):{'5_2':0.18,'6_1':0.12,'-3':0.09,'3_1':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_13':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'9_1':0.0},(200,707):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_18':0.0,'-3':0.0},(200,706):{'5_2':0.15,'6_1':0.09,'7_3':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(200,705):{'5_2':0.27,'6_1':0.06,'3_1':0.06,'7_3':0.06,'-3':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0},(200,704):{'5_2':0.24,'6_1':0.09,'4_1':0.06,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'-3':0.0},(200,703):{'5_2':0.21,'6_1':0.09,'7_4':0.06,'-3':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0},(200,702):{'5_2':0.27,'6_1':0.06,'-3':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'8_15':0.0},(200,701):{'5_2':0.12,'6_1':0.12,'-3':0.03,'7_6':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0},(200,700):{'5_2':0.21,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_6':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0},(200,699):{'5_2':0.12,'7_3':0.03,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'8_8':0.0},(200,698):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'7_3':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'8_11':0.0},(200,697):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'1':-0.03},(200,696):{'5_2':0.18,'3_1':0.06,'-3':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0},(200,695):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.03,'6_1':0.0,'8_3':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(200,694):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_5':0.03,'-3':0.0,'7_4':0.0,'7_2':0.0},(200,693):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_1':0.0,'8_14':0.0},(200,692):{'5_2':0.18,'6_1':0.09,'7_2':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'1':-0.03},(200,691):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'6_1':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'8_14':0.0},(200,690):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(200,689):{'5_2':0.27,'6_1':0.03,'7_3':0.03,'3_1':0.03,'-3':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0},(200,688):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(200,687):{'5_2':0.18,'3_1':0.15,'6_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'1':-0.03},(200,686):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(200,685):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(200,684):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(200,683):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_15':0.0},(200,682):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0},(200,681):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'7_3':0.03,'5_1':0.03,'7_4':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_15':0.0},(200,680):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(200,679):{'3_1':0.12,'5_2':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(200,678):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(200,677):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'6_3':0.0,'8_6':0.0,'8_15':0.0,'-3':0.0},(200,676):{'3_1':0.15,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0},(200,675):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(200,674):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_7':0.0},(200,673):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(200,672):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'8_11':0.0,'8_19':0.0,'-3':0.0},(200,671):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'7_4':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(200,670):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0},(200,669):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(200,668):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0},(200,667):{'3_1':0.18,'5_2':0.12,'4_1':0.03,'7_3':0.03,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(200,666):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(200,665):{'3_1':0.24,'5_2':0.12,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(200,664):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_18':0.0},(200,663):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(200,662):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0},(200,661):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.03,'7_4':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(200,660):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(200,659):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(200,658):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(200,657):{'3_1':0.27,'5_2':0.15,'4_1':0.09,'5_1':0.06,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(200,656):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(200,655):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(200,654):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.0},(200,653):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0},(200,652):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.03},(200,651):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(200,650):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.06,'6_3':0.0,'8_19':0.0},(200,649):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(200,648):{'3_1':0.33,'5_2':0.06,'4_1':0.06,'5_1':0.03,'8_19':0.0},(200,647):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(200,646):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(200,645):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(200,644):{'3_1':0.3,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(200,643):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0},(200,642):{'3_1':0.21,'5_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(200,641):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(200,640):{'3_1':0.24,'5_1':0.09,'5_2':0.06,'7_3':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0,'-3':0.0},(200,639):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_4':0.0},(200,638):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0},(200,637):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(200,636):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(200,635):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_4':0.0},(200,634):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(200,633):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(200,632):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(200,631):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0},(200,630):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(200,629):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(200,628):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0},(200,627):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,626):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(200,625):{'3_1':0.18,'7_3':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(200,624):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(200,623):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'-3':0.0},(200,622):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(200,621):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(200,620):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(200,619):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0},(200,618):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(200,617):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(200,616):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(200,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,614):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'7_3':0.0,'5_2':0.0},(200,613):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(200,612):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(200,611):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(200,610):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(200,609):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(200,608):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(200,607):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(200,606):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(200,605):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(200,604):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(200,603):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0},(200,602):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(200,601):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0},(200,600):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_5':0.0},(200,599):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(200,598):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(200,597):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,596):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,595):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(200,594):{'3_1':0.12,'4_1':0.03,'7_3':0.0},(200,593):{'4_1':0.06,'3_1':0.03},(200,592):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(200,591):{'3_1':0.06,'4_1':0.0},(200,590):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(200,589):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(200,588):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(200,587):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,586):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,585):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(200,584):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0},(200,583):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(200,582):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(200,581):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(200,580):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(200,579):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(200,578):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(200,577):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_4':0.0},(200,576):{'3_1':0.21,'4_1':0.0},(200,575):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(200,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,573):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,572):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(200,571):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,570):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(200,569):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0},(200,568):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(200,567):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(200,566):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,565):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(200,564):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(200,563):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(200,562):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(200,561):{'3_1':0.18,'5_2':0.0},(200,560):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(200,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,558):{'3_1':0.12,'4_1':0.0},(200,557):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(200,556):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(200,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,554):{'3_1':0.15,'5_1':0.0,'6_3':0.0},(200,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(200,552):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,551):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(200,550):{'3_1':0.09,'4_1':0.0,'7_5':0.0},(200,549):{'3_1':0.15,'5_1':0.0},(200,548):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(200,547):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(200,546):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(200,545):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(200,544):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(200,543):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(200,542):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_3':0.0},(200,541):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(200,540):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(200,539):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(200,538):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(200,537):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(200,536):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(200,535):{'3_1':0.18,'5_2':0.0},(200,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(200,532):{'3_1':0.09,'5_1':0.0},(200,531):{'3_1':0.15,'4_1':0.0,'7_3':0.0},(200,530):{'3_1':0.15,'5_1':0.0},(200,529):{'3_1':0.15},(200,528):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(200,527):{'3_1':0.12,'4_1':0.0},(200,526):{'3_1':0.06,'5_2':0.0},(200,525):{'3_1':0.15,'4_1':0.0},(200,524):{'3_1':0.12},(200,523):{'3_1':0.12},(200,522):{'3_1':0.06},(200,521):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(200,520):{'3_1':0.15,'5_1':0.0},(200,519):{'3_1':0.06,'5_1':0.0},(200,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(200,517):{'3_1':0.03,'5_2':0.0},(200,516):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(200,515):{'3_1':0.06,'4_1':0.0},(200,514):{'3_1':0.06,'5_1':0.0},(200,513):{'3_1':0.06,'7_3':0.0},(200,512):{'3_1':0.06},(200,511):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(200,510):{'3_1':0.09},(200,509):{'3_1':0.09,'4_1':0.0},(200,508):{'3_1':0.03},(200,507):{'3_1':0.03},(200,506):{'3_1':0.03},(200,505):{'3_1':0.0,'4_1':0.0},(200,504):{'3_1':0.03,'4_1':0.0},(200,503):{'3_1':0.03,'5_2':0.0},(200,502):{'3_1':0.03},(200,501):{'3_1':0.03,'4_1':0.0},(200,500):{'3_1':0.06},(200,499):{'3_1':0.06,'5_2':0.0},(200,498):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(200,497):{'3_1':0.06,'5_2':0.0},(200,496):{'3_1':0.03},(200,495):{'3_1':0.03},(200,494):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(200,493):{'3_1':0.03,'4_1':0.0},(200,492):{'3_1':0.15},(200,491):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(200,490):{'3_1':0.03,'4_1':0.0},(200,489):{'3_1':0.03},(200,488):{'3_1':0.06},(200,487):{'3_1':0.06,'4_1':0.0},(200,486):{'3_1':0.09},(200,485):{'3_1':0.06},(200,484):{'3_1':0.06,'4_1':0.0},(200,483):{'3_1':0.06},(200,482):{'3_1':0.09,'7_5':0.0},(200,481):{'3_1':0.03,'5_1':0.0},(200,480):{'3_1':0.09,'5_2':0.0},(200,479):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(200,478):{'3_1':0.03},(200,477):{'3_1':0.03},(200,476):{'3_1':0.06},(200,475):{'3_1':0.06,'5_2':0.0},(200,474):{'3_1':0.06,'5_2':0.0},(200,473):{'3_1':0.09,'4_1':0.0},(200,472):{'3_1':0.09,'4_1':0.0},(200,471):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(200,470):{'3_1':0.09},(200,469):{'3_1':0.06},(200,468):{'3_1':0.06,'5_2':0.0},(200,467):{'3_1':0.03,'5_2':0.0},(200,466):{'3_1':0.03},(200,465):{'3_1':0.03,'5_2':0.0},(200,464):{'3_1':0.03},(200,463):{'3_1':0.03},(200,462):{'3_1':0.0},(200,461):{'3_1':0.0},(200,460):{'3_1':0.0,'5_1':0.0},(200,459):{'3_1':0.06},(200,458):{'3_1':0.0},(200,457):{'3_1':0.03},(200,456):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(200,455):{'3_1':0.06},(200,454):{'3_1':0.03,'4_1':0.0},(200,453):{'3_1':0.0,'5_2':0.0},(200,452):{'3_1':0.03,'5_1':0.0},(200,451):{'3_1':0.0,'7_1':0.0},(200,450):{'3_1':0.03,'4_1':0.0},(200,449):{'3_1':0.03,'5_1':0.0},(200,448):{'3_1':0.03,'5_1':0.0},(200,447):{'3_1':0.0,'5_1':0.0},(200,446):{'3_1':0.03},(200,445):{'3_1':0.03},(200,444):{'3_1':0.0},(200,443):{'3_1':0.03},(200,442):{'3_1':0.0},(200,441):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(200,440):{'3_1':0.0},(200,439):{'3_1':0.06,'5_1':0.0},(200,438):{'3_1':0.0},(200,437):{'3_1':0.0},(200,436):{'3_1':0.0},(200,435):{'3_1':0.0,'4_1':0.0},(200,434):{'3_1':0.03},(200,433):{'3_1':0.03},(200,432):{'3_1':0.0},(200,431):{'3_1':0.0,'4_1':0.0},(200,430):{'3_1':0.0,'5_2':0.0},(200,429):{'3_1':0.03},(200,428):{'3_1':0.06},(200,427):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(200,426):{'3_1':0.03,'5_2':0.0},(200,425):{'3_1':0.0},(200,424):{'3_1':0.0,'4_1':0.0},(200,423):{'3_1':0.03},(200,422):{'3_1':0.0,'4_1':0.0},(200,421):{'3_1':0.0},(200,420):{'3_1':0.03,'4_1':0.0},(200,419):{'3_1':0.03,'4_1':0.0},(200,418):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(200,417):{'3_1':0.0,'5_2':0.0},(200,416):{'3_1':0.03,'5_1':0.0},(200,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(200,414):{'3_1':0.0,'4_1':0.0},(200,413):{'3_1':0.0},(200,412):{'3_1':0.0,'7_1':0.0},(200,410):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(200,409):{'3_1':0.0},(200,408):{'3_1':0.0},(200,407):{'3_1':0.0},(200,406):{'3_1':0.0},(200,405):{'3_1':0.0},(200,404):{'3_1':0.03},(200,403):{'3_1':0.0},(200,402):{'3_1':0.0},(200,401):{'3_1':0.0},(200,399):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(200,398):{'4_1':0.0},(200,397):{'3_1':0.0},(200,396):{'3_1':0.0},(200,395):{'3_1':0.0},(200,394):{'3_1':0.0},(200,392):{'3_1':0.0,'4_1':0.0},(200,391):{'3_1':0.03,'5_2':0.0},(200,390):{'3_1':0.0},(200,388):{'3_1':0.0},(200,387):{'3_1':0.0},(200,386):{'3_1':0.03},(200,385):{'3_1':0.0},(200,383):{'3_1':0.0,'5_1':0.0},(200,382):{'3_1':0.0},(200,381):{'3_1':0.03},(200,380):{'3_1':0.0,'4_1':0.0},(200,379):{'3_1':0.03,'5_1':0.0},(200,378):{'3_1':0.03,'5_1':0.0},(200,377):{'3_1':0.06},(200,376):{'3_1':0.03},(200,375):{'3_1':0.03},(200,374):{'3_1':0.03},(200,373):{'3_1':0.03},(200,372):{'3_1':0.03,'7_1':0.0},(200,371):{'3_1':0.03,'5_1':0.0},(200,370):{'3_1':0.0},(200,369):{'3_1':0.0},(200,368):{'3_1':0.0},(200,367):{'3_1':0.0,'7_1':0.0},(200,366):{'3_1':0.03},(200,365):{'3_1':0.0},(200,364):{'3_1':0.0},(200,363):{'3_1':0.0},(200,362):{'3_1':0.0},(200,361):{'3_1':0.03,'9_1':0.0},(200,360):{'3_1':0.0},(200,359):{'3_1':0.0,'5_1':0.0},(200,358):{'3_1':0.0,'4_1':0.0},(200,357):{'3_1':0.0},(200,355):{'3_1':0.0},(200,354):{'3_1':0.0},(200,353):{'3_1':0.0},(200,352):{'3_1':0.0},(200,351):{'3_1':0.0},(200,349):{'3_1':0.0},(200,348):{'3_1':0.0},(200,346):{'3_1':0.0},(200,344):{'3_1':0.0},(200,343):{'3_1':0.0},(200,342):{'3_1':0.0},(200,341):{'3_1':0.0},(200,339):{'3_1':0.0},(200,335):{'3_1':0.0},(200,334):{'3_1':0.03},(200,332):{'3_1':0.0},(200,330):{'3_1':0.0},(200,329):{'3_1':0.0},(200,328):{'4_1':0.0},(200,327):{'3_1':0.0},(200,326):{'3_1':0.0},(200,325):{'3_1':0.0,'4_1':0.0},(200,324):{'3_1':0.0},(200,323):{'3_1':0.0},(200,322):{'3_1':0.0,'4_1':0.0},(200,321):{'3_1':0.0},(200,320):{'3_1':0.0},(200,319):{'3_1':0.0},(200,317):{'3_1':0.0},(200,316):{'3_1':0.0},(200,312):{'7_2':0.0},(200,311):{'3_1':0.0,'5_1':0.0},(200,310):{'3_1':0.0},(200,306):{'3_1':0.0},(200,305):{'3_1':0.0},(200,304):{'3_1':0.0},(200,303):{'3_1':0.0},(200,302):{'3_1':0.0},(200,301):{'3_1':0.0},(200,300):{'3_1':0.0},(200,298):{'3_1':0.0},(200,296):{'3_1':0.0},(200,294):{'3_1':0.0,'5_1':0.0},(200,293):{'3_1':0.0},(200,289):{'3_1':0.0},(200,288):{'3_1':0.0},(200,287):{'3_1':0.0},(200,286):{'3_1':0.0},(200,285):{'3_1':0.0},(200,283):{'3_1':0.0},(200,282):{'3_1':0.0},(200,281):{'3_1':0.0},(200,280):{'3_1':0.0},(200,277):{'3_1':0.0},(200,257):{'3_1':0.0,'5_2':0.0},(200,239):{'3_1':0.0},(200,238):{'3_1':0.0},(200,231):{'3_1':0.0},(200,230):{'3_1':0.0},(200,229):{'3_1':0.0},(200,227):{'5_2':0.0},(200,205):{'3_1':0.0},(200,204):{'3_1':0.0},(201,727):{'5_2':0.18,'7_5':0.06,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(201,726):{'5_2':0.27,'6_1':0.06,'-3':0.06,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(201,725):{'5_2':0.3,'6_1':0.06,'7_5':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_6':0.0,'7_2':0.0},(201,724):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0},(201,723):{'5_2':0.21,'6_1':0.09,'7_3':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(201,722):{'5_2':0.21,'6_1':0.09,'-3':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(201,721):{'5_2':0.24,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_2':0.03,'7_6':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0},(201,720):{'5_2':0.24,'6_1':0.09,'7_3':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'8_15':0.0},(201,719):{'5_2':0.18,'6_1':0.12,'-3':0.06,'7_5':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'7_6':0.0,'7_2':0.0,'3_1#5_2':0.0},(201,718):{'5_2':0.24,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_5':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(201,717):{'5_2':0.21,'6_1':0.09,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(201,716):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'-3':0.03,'3_1':0.03,'7_6':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0},(201,715):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'7_2':0.03,'-3':0.03,'7_6':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0},(201,714):{'5_2':0.18,'6_1':0.09,'7_5':0.06,'7_3':0.03,'-3':0.03,'7_6':0.0,'7_2':0.0,'8_1':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(201,713):{'5_2':0.24,'6_1':0.06,'7_2':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'7_6':0.0,'8_1':0.0,'7_5':0.0,'8_11':0.0,'8_12':0.0,'8_15':0.0},(201,712):{'5_2':0.21,'6_1':0.06,'-3':0.06,'7_5':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'8_14':0.0},(201,711):{'5_2':0.24,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'7_4':0.0,'8_1':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(201,710):{'5_2':0.21,'7_5':0.09,'-3':0.06,'6_1':0.06,'3_1':0.06,'8_6':0.0,'7_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(201,709):{'5_2':0.12,'-3':0.12,'7_5':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'6_2':0.0},(201,708):{'5_2':0.18,'6_1':0.06,'-3':0.06,'7_2':0.03,'5_1':0.03,'7_5':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(201,707):{'5_2':0.24,'6_1':0.15,'7_6':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_11':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'8_14':0.0},(201,706):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_7':0.0,'8_14':0.0,'8_18':0.0},(201,705):{'5_2':0.21,'-3':0.06,'3_1':0.06,'7_3':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(201,704):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(201,703):{'5_2':0.21,'3_1':0.09,'6_1':0.06,'-3':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0},(201,702):{'5_2':0.21,'6_1':0.06,'7_3':0.03,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.03,'7_4':0.03,'7_2':0.0},(201,701):{'5_2':0.3,'6_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(201,700):{'5_2':0.21,'6_1':0.15,'-3':0.06,'7_3':0.03,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(201,699):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'-3':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(201,698):{'5_2':0.24,'-3':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0},(201,697):{'5_2':0.24,'6_1':0.09,'-3':0.09,'7_2':0.03,'3_1':0.03,'7_3':0.03,'5_1':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0},(201,696):{'5_2':0.21,'6_1':0.06,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_5':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(201,695):{'5_2':0.15,'6_1':0.06,'3_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(201,694):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0},(201,693):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'7_2':0.03,'-3':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'9_1':0.0},(201,692):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'7_4':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(201,691):{'5_2':0.24,'3_1':0.06,'-3':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(201,690):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'7_3':0.06,'-3':0.03,'6_1':0.03,'7_2':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(201,689):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'3_1#5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(201,688):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'-3':0.06,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(201,687):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0},(201,686):{'5_2':0.15,'3_1':0.12,'5_1':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'8_10':0.0,'-3':0.0},(201,685):{'5_2':0.18,'3_1':0.09,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(201,684):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'8_1':0.0},(201,683):{'5_2':0.15,'3_1':0.15,'4_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0},(201,682):{'3_1':0.18,'5_2':0.12,'5_1':0.03,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(201,681):{'3_1':0.21,'5_2':0.18,'4_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0},(201,680):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(201,679):{'3_1':0.15,'5_2':0.15,'4_1':0.09,'6_1':0.03,'7_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(201,678):{'3_1':0.21,'5_2':0.15,'4_1':0.09,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(201,677):{'3_1':0.21,'5_2':0.09,'4_1':0.09,'6_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(201,676):{'3_1':0.18,'5_2':0.15,'4_1':0.09,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(201,675):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(201,674):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'7_3':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(201,673):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_10':0.0},(201,672):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(201,671):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(201,670):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(201,669):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'7_5':0.0},(201,668):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'6_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(201,667):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.06,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(201,666):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(201,665):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'7_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(201,664):{'3_1':0.24,'5_2':0.12,'7_1':0.03,'4_1':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(201,663):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(201,662):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(201,661):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'7_1':0.0,'6_1':0.0},(201,660):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'5_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(201,659):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'7_1':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0,'1':-0.03},(201,658):{'3_1':0.36,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(201,657):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(201,656):{'3_1':0.21,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(201,655):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(201,654):{'3_1':0.3,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'6_3':0.0,'8_19':0.0},(201,653):{'3_1':0.24,'5_2':0.09,'5_1':0.06,'4_1':0.06,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(201,652):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'3_1#5_1':0.0},(201,651):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_1':0.0},(201,650):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0},(201,649):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(201,648):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(201,647):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(201,646):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0,'9_1':0.0},(201,645):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(201,644):{'3_1':0.18,'5_2':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(201,643):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(201,642):{'3_1':0.21,'5_1':0.12,'5_2':0.06,'7_1':0.03,'4_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(201,641):{'3_1':0.15,'5_1':0.12,'5_2':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(201,640):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0,'7_4':0.0},(201,639):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(201,638):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0},(201,637):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'7_4':0.0,'4_1':0.0},(201,636):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_1':0.0},(201,635):{'3_1':0.15,'5_2':0.06,'5_1':0.03,'7_1':0.0},(201,634):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0},(201,633):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'-3':0.0},(201,632):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(201,631):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(201,630):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(201,629):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(201,628):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(201,627):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(201,626):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(201,625):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(201,624):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(201,623):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(201,622):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_5':0.0},(201,621):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(201,620):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(201,619):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(201,618):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0,'8_19':0.0},(201,617):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_3':0.0,'4_1':0.0,'7_6':0.0},(201,616):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_6':0.0},(201,615):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0},(201,614):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(201,613):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_1':0.0},(201,612):{'3_1':0.06,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(201,611):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(201,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(201,609):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0},(201,608):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(201,607):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(201,606):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0},(201,605):{'3_1':0.09,'5_2':0.03,'5_1':0.03},(201,604):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0},(201,603):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_4':0.0},(201,602):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(201,601):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(201,600):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(201,599):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(201,598):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(201,597):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(201,596):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(201,595):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(201,594):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(201,593):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_6':0.0},(201,592):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(201,591):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,590):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(201,589):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(201,588):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,587):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(201,586):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(201,585):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(201,584):{'3_1':0.06,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(201,583):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(201,582):{'3_1':0.12,'5_1':0.03},(201,581):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(201,580):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(201,579):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_1':0.0},(201,578):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,577):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,576):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(201,575):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(201,574):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(201,573):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(201,572):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(201,571):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(201,570):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(201,569):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(201,568):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(201,567):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_2':0.0},(201,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(201,565):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(201,564):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(201,563):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(201,562):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_3':0.0},(201,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(201,560):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(201,559):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(201,558):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(201,557):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(201,556):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0},(201,555):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_4':0.0},(201,554):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(201,553):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(201,552):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(201,551):{'3_1':0.06,'5_1':0.03,'5_2':0.0,'8_4':0.0},(201,550):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(201,549):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(201,548):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(201,547):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(201,546):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_2':0.0},(201,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(201,544):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(201,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,542):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(201,541):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(201,540):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(201,539):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(201,538):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(201,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(201,536):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(201,535):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'8_11':0.0},(201,534):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(201,533):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(201,532):{'3_1':0.15,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(201,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(201,530):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(201,529):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(201,528):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(201,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(201,526):{'3_1':0.12,'5_1':0.0},(201,525):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(201,524):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(201,523):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(201,522):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(201,521):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(201,520):{'3_1':0.12},(201,519):{'3_1':0.06,'4_1':0.0},(201,518):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(201,517):{'3_1':0.06},(201,516):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(201,515):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0},(201,514):{'3_1':0.09,'5_1':0.0},(201,513):{'3_1':0.0,'5_1':0.0},(201,512):{'3_1':0.06,'4_1':0.0},(201,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(201,510):{'3_1':0.09,'8_20|3_1#3_1':0.0},(201,509):{'3_1':0.0},(201,508):{'3_1':0.06,'4_1':0.0},(201,507):{'3_1':0.03,'7_5':0.0},(201,506):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(201,505):{'3_1':0.03},(201,504):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(201,503):{'3_1':0.12,'4_1':0.0},(201,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(201,501):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(201,500):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(201,499):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(201,498):{'3_1':0.06,'4_1':0.0},(201,497):{'3_1':0.06,'4_1':0.0},(201,496):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(201,495):{'3_1':0.03},(201,494):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(201,493):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(201,492):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(201,491):{'3_1':0.09},(201,490):{'3_1':0.12},(201,489):{'3_1':0.03,'5_1':0.0},(201,488):{'3_1':0.03},(201,487):{'3_1':0.09,'5_2':0.0},(201,486):{'3_1':0.06},(201,485):{'3_1':0.09,'5_1':0.0},(201,484):{'3_1':0.06},(201,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(201,482):{'3_1':0.09,'5_2':0.0},(201,481):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(201,480):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(201,478):{'3_1':0.09},(201,477):{'3_1':0.09},(201,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(201,475):{'3_1':0.09},(201,474):{'3_1':0.12,'5_2':0.0},(201,473):{'3_1':0.09},(201,472):{'3_1':0.0,'5_2':0.0},(201,471):{'3_1':0.06,'5_1':0.0},(201,470):{'3_1':0.09},(201,469):{'3_1':0.06},(201,468):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(201,467):{'3_1':0.03},(201,466):{'3_1':0.0,'4_1':0.0},(201,465):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(201,464):{'3_1':0.06},(201,463):{'3_1':0.0,'5_2':0.0},(201,462):{'3_1':0.0},(201,461):{'3_1':0.03},(201,460):{'3_1':0.03},(201,459):{'3_1':0.06},(201,458):{'3_1':0.0},(201,457):{'3_1':0.0,'4_1':0.0},(201,456):{'3_1':0.0},(201,455):{'3_1':0.0,'5_2':0.0},(201,454):{'3_1':0.03,'4_1':0.0},(201,453):{'3_1':0.06},(201,452):{'3_1':0.03,'5_2':0.0},(201,451):{'3_1':0.03},(201,450):{'3_1':0.03},(201,449):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(201,448):{'3_1':0.06},(201,447):{'3_1':0.0,'4_1':0.0},(201,446):{'3_1':0.0,'5_1':0.0},(201,445):{'3_1':0.03},(201,444):{'3_1':0.0},(201,443):{'3_1':0.0},(201,442):{'3_1':0.0},(201,441):{'3_1':0.0},(201,440):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(201,439):{'3_1':0.0,'7_1':0.0},(201,438):{'3_1':0.0,'5_2':0.0},(201,437):{'3_1':0.03},(201,436):{'3_1':0.03,'4_1':0.0},(201,435):{'3_1':0.0},(201,434):{'3_1':0.0},(201,433):{'3_1':0.0,'4_1':0.0},(201,432):{'3_1':0.03,'5_1':0.0},(201,431):{'3_1':0.12},(201,430):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(201,429):{'3_1':0.0},(201,428):{'3_1':0.06,'4_1':0.0},(201,427):{'3_1':0.03,'4_1':0.0},(201,426):{'3_1':0.0,'4_1':0.0},(201,425):{'3_1':0.03},(201,424):{'3_1':0.0,'4_1':0.0},(201,423):{'3_1':0.0},(201,422):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(201,421):{'3_1':0.0},(201,420):{'3_1':0.03,'4_1':0.0},(201,419):{'3_1':0.0,'4_1':0.0},(201,418):{'3_1':0.0},(201,417):{'3_1':0.03,'4_1':0.0},(201,416):{'3_1':0.0,'4_1':0.0},(201,415):{'3_1':0.0},(201,414):{'3_1':0.0,'4_1':0.0},(201,413):{'3_1':0.0},(201,412):{'3_1':0.0,'4_1':0.0},(201,411):{'3_1':0.0,'6_1':0.0},(201,410):{'3_1':0.0},(201,409):{'3_1':0.0,'8_20|3_1#3_1':0.0},(201,408):{'3_1':0.0,'6_1':0.0},(201,406):{'3_1':0.0},(201,404):{'3_1':0.0},(201,403):{'3_1':0.0},(201,402):{'3_1':0.03},(201,401):{'3_1':0.03},(201,400):{'3_1':0.0},(201,399):{'3_1':0.0},(201,398):{'3_1':0.0},(201,397):{'3_1':0.0},(201,396):{'3_1':0.0},(201,395):{'3_1':0.0},(201,394):{'3_1':0.0,'4_1':0.0},(201,393):{'3_1':0.0},(201,392):{'3_1':0.0},(201,391):{'3_1':0.0},(201,390):{'3_1':0.0},(201,389):{'3_1':0.0},(201,388):{'3_1':0.0},(201,387):{'3_1':0.0},(201,386):{'3_1':0.0,'5_2':0.0},(201,385):{'3_1':0.0,'5_1':0.0},(201,384):{'3_1':0.0},(201,383):{'3_1':0.03},(201,382):{'3_1':0.0},(201,381):{'3_1':0.0,'5_1':0.0},(201,380):{'3_1':0.06,'5_1':0.0},(201,379):{'3_1':0.0,'7_1':0.0},(201,378):{'3_1':0.0,'5_2':0.0},(201,377):{'3_1':0.03},(201,376):{'3_1':0.0},(201,375):{'3_1':0.0},(201,374):{'3_1':0.0,'5_2':0.0},(201,373):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(201,372):{'3_1':0.03,'5_1':0.0},(201,371):{'3_1':0.03},(201,370):{'3_1':0.0,'5_1':0.0},(201,367):{'3_1':0.0},(201,365):{'3_1':0.0,'9_1':0.0},(201,364):{'3_1':0.0},(201,363):{'3_1':0.03,'5_1':0.0},(201,362):{'3_1':0.0},(201,361):{'3_1':0.0},(201,360):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(201,359):{'3_1':0.0},(201,358):{'3_1':0.0},(201,356):{'3_1':0.0,'4_1':0.0},(201,355):{'3_1':0.0},(201,352):{'3_1':0.0},(201,351):{'3_1':0.0},(201,349):{'3_1':0.0},(201,348):{'3_1':0.0},(201,346):{'3_1':0.03},(201,345):{'3_1':0.0,'5_1':0.0},(201,344):{'3_1':0.0},(201,342):{'3_1':0.0},(201,341):{'3_1':0.0},(201,338):{'3_1':0.0},(201,337):{'3_1':0.0},(201,335):{'3_1':0.0},(201,334):{'3_1':0.0},(201,333):{'3_1':0.0},(201,332):{'3_1':0.0},(201,331):{'3_1':0.0,'7_1':0.0},(201,329):{'3_1':0.0},(201,328):{'3_1':0.0},(201,327):{'3_1':0.03},(201,325):{'3_1':0.0},(201,324):{'3_1':0.0},(201,323):{'3_1':0.0},(201,322):{'3_1':0.0},(201,321):{'3_1':0.0},(201,320):{'3_1':0.03},(201,319):{'3_1':0.0},(201,318):{'3_1':0.0,'4_1':0.0},(201,317):{'3_1':0.0},(201,316):{'3_1':0.0},(201,315):{'3_1':0.03},(201,314):{'3_1':0.0},(201,313):{'3_1':0.0},(201,312):{'3_1':0.0},(201,311):{'3_1':0.0},(201,310):{'3_1':0.0},(201,309):{'4_1':0.0},(201,308):{'3_1':0.0},(201,307):{'3_1':0.0},(201,306):{'4_1':0.0},(201,305):{'3_1':0.0},(201,303):{'3_1':0.03},(201,302):{'3_1':0.0},(201,301):{'3_1':0.0},(201,299):{'3_1':0.0},(201,298):{'3_1':0.0},(201,297):{'3_1':0.0},(201,295):{'3_1':0.0},(201,293):{'3_1':0.0},(201,290):{'3_1':0.0},(201,287):{'3_1':0.0},(201,264):{'4_1':0.0},(201,262):{'4_1':0.0},(201,258):{'3_1':0.0},(201,257):{'3_1':0.0},(201,216):{'3_1':0.0},(201,212):{'3_1':0.0},(201,211):{'3_1':0.0},(201,207):{'3_1':0.0},(201,205):{'3_1':0.0},(202,727):{'5_2':0.18,'6_1':0.09,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'-3':0.0},(202,726):{'5_2':0.24,'6_1':0.03,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'8_10':0.0},(202,725):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'-3':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0},(202,724):{'5_2':0.18,'6_1':0.18,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0},(202,723):{'5_2':0.21,'6_1':0.09,'7_3':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(202,722):{'5_2':0.15,'6_1':0.15,'7_3':0.03,'7_5':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(202,721):{'5_2':0.24,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(202,720):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(202,719):{'5_2':0.18,'6_1':0.09,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'7_2':0.0},(202,718):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'6_2':0.0,'7_7':0.0},(202,717):{'5_2':0.18,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0,'8_15':0.0},(202,716):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'-3':0.03,'7_6':0.03,'7_5':0.0,'7_3':0.0,'3_1#5_2':0.0},(202,715):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(202,714):{'5_2':0.24,'6_1':0.09,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0},(202,713):{'5_2':0.24,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0},(202,712):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(202,711):{'5_2':0.24,'6_1':0.06,'7_5':0.06,'-3':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(202,710):{'5_2':0.21,'-3':0.09,'7_5':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0,'9_1':0.0},(202,709):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_5':0.03,'-3':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(202,708):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'7_5':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'4_1':0.0,'1':-0.03},(202,707):{'5_2':0.24,'6_1':0.12,'3_1':0.03,'7_3':0.03,'-3':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0},(202,706):{'5_2':0.3,'6_1':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0,'3_1#5_2':0.0},(202,705):{'5_2':0.24,'6_1':0.06,'-3':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(202,704):{'5_2':0.18,'6_1':0.12,'7_3':0.06,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_6':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(202,703):{'5_2':0.21,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0,'8_15':0.0},(202,702):{'5_2':0.15,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0,'8_13':0.0},(202,701):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_4':0.03,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_4':0.0},(202,700):{'5_2':0.15,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0,'1':-0.03},(202,699):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'-3':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(202,698):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_5':0.0,'3_1':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(202,697):{'5_2':0.18,'3_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(202,696):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0},(202,695):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0},(202,694):{'5_2':0.24,'6_1':0.12,'4_1':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(202,693):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_3':0.03,'6_1':0.03,'-3':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0,'1':-0.03},(202,692):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0},(202,691):{'5_2':0.24,'3_1':0.06,'6_1':0.06,'5_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(202,690):{'5_2':0.18,'3_1':0.12,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(202,689):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0,'8_20|3_1#3_1':0.0},(202,688):{'5_2':0.12,'6_1':0.12,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(202,687):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'7_7':0.0},(202,686):{'5_2':0.24,'3_1':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.03,'7_3':0.03,'6_2':0.0,'7_2':0.0},(202,685):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0},(202,684):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(202,683):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(202,682):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_2':0.0},(202,681):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'5_1':0.03,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(202,680):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(202,679):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(202,678):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'5_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(202,677):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(202,676):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_15':0.0},(202,675):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_7':0.0},(202,674):{'3_1':0.24,'5_2':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(202,673):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(202,672):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(202,671):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(202,670):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(202,669):{'4_1':0.18,'3_1':0.15,'5_2':0.09,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(202,668):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_15':0.0,'-3':0.0},(202,667):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(202,666):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(202,665):{'3_1':0.27,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'1':-0.03},(202,664):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(202,663):{'3_1':0.27,'5_2':0.15,'4_1':0.09,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0},(202,662):{'5_2':0.21,'3_1':0.15,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(202,661):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0},(202,660):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(202,659):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(202,658):{'3_1':0.27,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(202,657):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_3':0.0,'8_19':0.0,'-3':0.0},(202,656):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(202,655):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_19':0.0},(202,654):{'3_1':0.24,'4_1':0.12,'5_1':0.06,'6_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(202,653):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'8_19':0.0},(202,652):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0,'8_19':0.0},(202,651):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_4':0.0,'8_19':0.0},(202,650):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0},(202,649):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(202,648):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_3':0.0},(202,647):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'8_19':0.0,'7_1':0.0,'-3':0.0},(202,646):{'3_1':0.24,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_4':0.0,'8_19':0.0},(202,645):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(202,644):{'3_1':0.24,'5_2':0.12,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0},(202,643):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.06,'7_4':0.0,'8_19':0.0,'-3':0.0,'6_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(202,642):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(202,641):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(202,640):{'3_1':0.27,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_1':0.0},(202,639):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0},(202,638):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(202,637):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(202,636):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(202,635):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'9_1':0.0},(202,634):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0},(202,633):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(202,632):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0},(202,631):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(202,630):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(202,629):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(202,628):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(202,627):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(202,626):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(202,625):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'6_2':0.0},(202,624):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(202,623):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(202,622):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.0},(202,621):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0},(202,620):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(202,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(202,618):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(202,617):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(202,616):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(202,615):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(202,614):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(202,613):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(202,612):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'-3':0.0},(202,611):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'8_19':0.0,'5_2':0.0},(202,610):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0},(202,609):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(202,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(202,607):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(202,606):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(202,605):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_5':0.0},(202,604):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(202,603):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(202,602):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0},(202,601):{'3_1':0.12,'5_1':0.0},(202,600):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(202,599):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(202,597):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(202,596):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(202,595):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,594):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(202,593):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(202,592):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(202,591):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(202,590):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(202,589):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(202,588):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,587):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(202,586):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(202,585):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(202,584):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(202,583):{'3_1':0.15,'4_1':0.0},(202,582):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(202,581):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(202,580):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(202,579):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_4':0.0},(202,578):{'3_1':0.09,'4_1':0.0},(202,577):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,576):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(202,575):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(202,574):{'3_1':0.09,'6_2':0.0},(202,573):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(202,572):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(202,571):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(202,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(202,569):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(202,568):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(202,567):{'3_1':0.12,'4_1':0.0},(202,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,565):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(202,564):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(202,563):{'3_1':0.09,'4_1':0.0},(202,562):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(202,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(202,560):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(202,559):{'3_1':0.12,'5_2':0.0},(202,558):{'3_1':0.09,'5_1':0.0},(202,557):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(202,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(202,555):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(202,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(202,553):{'3_1':0.12,'5_1':0.0},(202,552):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(202,551):{'3_1':0.09,'5_1':0.0},(202,550):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(202,549):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(202,548):{'3_1':0.15,'4_1':0.0},(202,547):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(202,546):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(202,545):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(202,544):{'3_1':0.15},(202,543):{'3_1':0.15,'6_3':0.0},(202,542):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(202,541):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(202,540):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(202,539):{'3_1':0.12,'5_1':0.0},(202,538):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(202,537):{'3_1':0.21,'5_1':0.0},(202,536):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,535):{'3_1':0.18,'5_2':0.0},(202,534):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(202,533):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(202,531):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(202,530):{'3_1':0.06},(202,529):{'3_1':0.15,'5_1':0.0},(202,528):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(202,527):{'3_1':0.12},(202,526):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(202,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(202,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(202,523):{'3_1':0.09,'5_1':0.0},(202,522):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(202,521):{'3_1':0.06,'5_1':0.0},(202,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(202,519):{'3_1':0.06},(202,518):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(202,517):{'3_1':0.06,'5_2':0.0},(202,516):{'3_1':0.03,'4_1':0.0},(202,515):{'3_1':0.12},(202,514):{'3_1':0.06},(202,513):{'3_1':0.0,'5_1':0.0},(202,512):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(202,511):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(202,510):{'3_1':0.03,'7_3':0.0},(202,509):{'3_1':0.03},(202,508):{'3_1':0.03},(202,507):{'3_1':0.03},(202,506):{'3_1':0.09,'4_1':0.0},(202,505):{'3_1':0.0,'4_1':0.0},(202,504):{'3_1':0.09},(202,503):{'3_1':0.09,'4_1':0.0},(202,502):{'3_1':0.06},(202,501):{'3_1':0.06},(202,500):{'3_1':0.03,'5_2':0.0},(202,499):{'3_1':0.06},(202,498):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(202,497):{'3_1':0.06},(202,496):{'3_1':0.09},(202,495):{'3_1':0.06},(202,494):{'3_1':0.03,'8_20|3_1#3_1':0.0},(202,493):{'3_1':0.09,'5_2':0.0},(202,492):{'3_1':0.06},(202,491):{'3_1':0.09,'5_2':0.0},(202,490):{'3_1':0.09,'5_2':0.0},(202,489):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(202,488):{'3_1':0.03},(202,487):{'3_1':0.03,'5_2':0.0},(202,486):{'3_1':0.03,'5_2':0.0},(202,485):{'3_1':0.06},(202,484):{'3_1':0.06},(202,483):{'3_1':0.09,'5_1':0.0},(202,482):{'3_1':0.12,'4_1':0.0},(202,481):{'3_1':0.06,'4_1':0.0},(202,480):{'3_1':0.06,'6_1':0.0},(202,479):{'3_1':0.06,'5_2':0.0},(202,478):{'3_1':0.09},(202,477):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(202,476):{'3_1':0.09,'5_1':0.0},(202,475):{'3_1':0.12,'5_1':0.0},(202,474):{'3_1':0.09},(202,473):{'3_1':0.06},(202,472):{'3_1':0.06,'4_1':0.0},(202,471):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(202,470):{'3_1':0.06},(202,469):{'3_1':0.03},(202,468):{'3_1':0.03},(202,467):{'3_1':0.06},(202,466):{'3_1':0.06},(202,465):{'3_1':0.0},(202,464):{'3_1':0.0},(202,463):{'3_1':0.0,'5_2':0.0},(202,462):{'3_1':0.0},(202,461):{'3_1':0.06},(202,460):{'3_1':0.0,'5_1':0.0},(202,459):{'3_1':0.03},(202,458):{'3_1':0.03,'5_1':0.0},(202,457):{'3_1':0.0,'5_1':0.0},(202,456):{'3_1':0.03,'4_1':0.0},(202,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(202,454):{'3_1':0.03},(202,453):{'3_1':0.03,'5_2':0.0},(202,452):{'3_1':0.03,'5_2':0.0},(202,451):{'3_1':0.0},(202,450):{'3_1':0.03,'5_2':0.0},(202,449):{'3_1':0.03,'4_1':0.0},(202,447):{'3_1':0.0,'5_2':0.0},(202,446):{'3_1':0.0},(202,445):{'3_1':0.03},(202,444):{'3_1':0.03},(202,443):{'3_1':0.0},(202,442):{'3_1':0.0},(202,441):{'3_1':0.0},(202,440):{'3_1':0.03},(202,439):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(202,438):{'3_1':0.0},(202,437):{'3_1':0.0,'4_1':0.0},(202,436):{'3_1':0.0,'5_1':0.0},(202,435):{'3_1':0.0},(202,434):{'3_1':0.03},(202,433):{'3_1':0.03},(202,432):{'3_1':0.06,'4_1':0.0},(202,431):{'3_1':0.0,'5_1':0.0},(202,430):{'3_1':0.0},(202,429):{'3_1':0.0,'4_1':0.0},(202,428):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(202,427):{'3_1':0.03,'4_1':0.0},(202,426):{'3_1':0.06,'4_1':0.0},(202,425):{'3_1':0.0},(202,424):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(202,423):{'3_1':0.06,'4_1':0.0},(202,422):{'3_1':0.0,'4_1':0.0},(202,421):{'3_1':0.0,'4_1':0.0},(202,420):{'3_1':0.0,'4_1':0.0},(202,419):{'3_1':0.03},(202,418):{'3_1':0.03,'4_1':0.0},(202,417):{'3_1':0.06},(202,416):{'3_1':0.0,'4_1':0.0},(202,415):{'3_1':0.03},(202,414):{'3_1':0.0},(202,413):{'3_1':0.03,'4_1':0.0},(202,412):{'3_1':0.0,'4_1':0.0},(202,411):{'3_1':0.0,'6_1':0.0},(202,410):{'3_1':0.0},(202,409):{'3_1':0.03},(202,404):{'3_1':0.0},(202,402):{'3_1':0.0},(202,401):{'3_1':0.03},(202,400):{'6_2':0.0},(202,399):{'3_1':0.03},(202,398):{'3_1':0.0},(202,397):{'3_1':0.0,'8_3':0.0},(202,396):{'3_1':0.0,'4_1':0.0},(202,395):{'4_1':0.0},(202,394):{'3_1':0.0},(202,393):{'3_1':0.0,'4_1':0.0},(202,392):{'3_1':0.0},(202,391):{'3_1':0.0},(202,389):{'3_1':0.0},(202,388):{'3_1':0.0},(202,387):{'3_1':0.0,'4_1':0.0},(202,386):{'3_1':0.0},(202,384):{'3_1':0.0},(202,383):{'3_1':0.03},(202,382):{'3_1':0.0},(202,381):{'3_1':0.0},(202,380):{'3_1':0.03,'4_1':0.0},(202,379):{'3_1':0.0},(202,378):{'3_1':0.0},(202,377):{'3_1':0.03,'5_1':0.0},(202,376):{'3_1':0.0},(202,375):{'3_1':0.03},(202,374):{'3_1':0.0},(202,373):{'3_1':0.03},(202,372):{'3_1':0.0},(202,371):{'3_1':0.0},(202,370):{'3_1':0.0},(202,369):{'3_1':0.03},(202,367):{'3_1':0.0},(202,366):{'3_1':0.0},(202,365):{'3_1':0.03},(202,364):{'3_1':0.0},(202,363):{'3_1':0.0},(202,362):{'3_1':0.0},(202,361):{'3_1':0.0},(202,360):{'3_1':0.0},(202,359):{'3_1':0.0,'5_1':0.0},(202,356):{'4_1':0.0},(202,355):{'3_1':0.0},(202,352):{'5_1':0.0},(202,350):{'5_1':0.0},(202,349):{'3_1':0.0},(202,348):{'3_1':0.0},(202,346):{'3_1':0.0},(202,343):{'3_1':0.0},(202,342):{'3_1':0.0},(202,340):{'3_1':0.0},(202,338):{'3_1':0.0},(202,337):{'3_1':0.0},(202,336):{'3_1':0.0},(202,335):{'3_1':0.0},(202,334):{'3_1':0.0},(202,333):{'3_1':0.0},(202,332):{'3_1':0.0},(202,331):{'3_1':0.0},(202,326):{'3_1':0.0},(202,325):{'3_1':0.0},(202,324):{'3_1':0.0},(202,320):{'3_1':0.0},(202,319):{'3_1':0.0},(202,318):{'3_1':0.0},(202,317):{'3_1':0.0},(202,315):{'3_1':0.0},(202,314):{'3_1':0.0},(202,312):{'3_1':0.0},(202,311):{'3_1':0.0},(202,309):{'3_1':0.0},(202,308):{'3_1':0.0},(202,307):{'3_1':0.0},(202,306):{'3_1':0.0},(202,304):{'3_1':0.0},(202,303):{'3_1':0.0},(202,302):{'3_1':0.0},(202,296):{'3_1':0.0},(202,295):{'3_1':0.0},(202,294):{'3_1':0.0},(202,292):{'3_1':0.0},(202,290):{'3_1':0.0},(202,288):{'3_1':0.0},(202,287):{'3_1':0.0},(202,283):{'3_1':0.0},(202,276):{'3_1':0.0},(202,254):{'3_1':0.0},(202,241):{'3_1':0.0},(202,240):{'3_1':0.0},(202,232):{'3_1':0.0},(202,210):{'3_1':0.0},(202,208):{'3_1':0.0},(202,206):{'3_1':0.0},(203,727):{'5_2':0.15,'6_1':0.09,'7_5':0.03,'3_1':0.0,'7_2':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0},(203,726):{'5_2':0.21,'6_1':0.12,'-3':0.03,'7_3':0.0,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_11':0.0},(203,725):{'5_2':0.18,'6_1':0.15,'7_3':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0},(203,724):{'6_1':0.21,'5_2':0.18,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(203,723):{'5_2':0.24,'6_1':0.09,'-3':0.06,'4_1':0.03,'7_6':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0},(203,722):{'5_2':0.24,'6_1':0.12,'4_1':0.06,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'8_6':0.0},(203,721):{'5_2':0.21,'6_1':0.09,'7_5':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(203,720):{'5_2':0.15,'6_1':0.09,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0,'-3':0.0},(203,719):{'5_2':0.24,'6_1':0.12,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0},(203,718):{'5_2':0.21,'6_1':0.12,'-3':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'8_15':0.0},(203,717):{'5_2':0.15,'6_1':0.15,'7_3':0.03,'7_5':0.03,'-3':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'3_1#5_2':0.0,'7_6':0.0},(203,716):{'5_2':0.24,'6_1':0.09,'3_1':0.03,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_11':0.0},(203,715):{'5_2':0.15,'6_1':0.12,'-3':0.06,'3_1':0.06,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(203,714):{'5_2':0.21,'6_1':0.09,'7_6':0.03,'7_4':0.0,'7_5':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0},(203,713):{'5_2':0.24,'6_1':0.15,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(203,712):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(203,711):{'5_2':0.18,'6_1':0.06,'7_5':0.06,'3_1':0.03,'-3':0.03,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'7_4':0.0,'7_6':0.0,'8_10':0.0},(203,710):{'6_1':0.12,'5_2':0.09,'3_1':0.09,'-3':0.06,'8_6':0.03,'4_1':0.0,'7_5':0.0,'7_2':0.0,'5_1':0.0},(203,709):{'3_1':0.15,'7_5':0.15,'5_2':0.06,'-3':0.06,'6_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0},(203,708):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'-3':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(203,707):{'5_2':0.24,'6_1':0.12,'-3':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(203,706):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.03,'-3':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(203,705):{'5_2':0.18,'6_1':0.09,'7_3':0.06,'-3':0.03,'4_1':0.03,'3_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(203,704):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(203,703):{'5_2':0.27,'6_1':0.12,'-3':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0},(203,702):{'5_2':0.27,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'-3':0.0,'8_11':0.0},(203,701):{'5_2':0.24,'6_1':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(203,700):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(203,699):{'5_2':0.18,'6_1':0.06,'3_1':0.06,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(203,698):{'5_2':0.15,'4_1':0.12,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(203,697):{'5_2':0.15,'6_1':0.09,'-3':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(203,696):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(203,695):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.06,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_6':0.0},(203,694):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(203,693):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0},(203,692):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0},(203,691):{'5_2':0.24,'3_1':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_3':0.0},(203,690):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(203,689):{'5_2':0.27,'7_3':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'3_1#5_2':0.0},(203,688):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.03,'7_5':0.0,'-3':0.0,'7_4':0.0,'8_6':0.0},(203,687):{'5_2':0.15,'3_1':0.15,'6_1':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0},(203,686):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(203,685):{'5_2':0.15,'3_1':0.12,'6_1':0.09,'4_1':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(203,684):{'5_2':0.18,'3_1':0.15,'4_1':0.06,'7_3':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(203,683):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(203,682):{'5_2':0.18,'3_1':0.12,'4_1':0.06,'6_1':0.06,'5_1':0.03,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(203,681):{'3_1':0.15,'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(203,680):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(203,679):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(203,678):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(203,677):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0},(203,676):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0},(203,675):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(203,674):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(203,673):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(203,672):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(203,671):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'5_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(203,670):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(203,669):{'4_1':0.18,'3_1':0.15,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(203,668):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(203,667):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(203,666):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0},(203,665):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(203,664):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(203,663):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.06,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0,'-3':0.0},(203,662):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(203,661):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0},(203,660):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'5_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(203,659):{'3_1':0.24,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_10':0.0},(203,658):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(203,657):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_7':0.0},(203,656):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_6':0.0},(203,655):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'8_19':0.0},(203,654):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_1':0.0,'7_5':0.0},(203,653):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(203,652):{'3_1':0.24,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(203,651):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_4':0.0},(203,650):{'3_1':0.18,'5_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_3':0.0},(203,649):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(203,648):{'3_1':0.24,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_4':0.0},(203,647):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0},(203,646):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0,'8_19':0.0,'-3':0.0},(203,645):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(203,644):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0},(203,643):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(203,642):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_5':0.0,'9_1':0.0,'-3':0.0},(203,641):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(203,640):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'7_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(203,639):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(203,638):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(203,637):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(203,636):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(203,635):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(203,634):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(203,633):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(203,632):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(203,631):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(203,630):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(203,629):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(203,628):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(203,627):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(203,626):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(203,625):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(203,624):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_19':0.0},(203,623):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(203,622):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(203,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(203,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(203,619):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(203,618):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(203,617):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(203,616):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(203,615):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'7_4':0.0},(203,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(203,613):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(203,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(203,611):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(203,610):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_5':0.0},(203,609):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(203,608):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(203,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(203,606):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,605):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(203,604):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(203,603):{'3_1':0.12,'4_1':0.0},(203,602):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(203,601):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(203,600):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,599):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,598):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(203,597):{'3_1':0.06,'4_1':0.03},(203,596):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(203,595):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(203,594):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_7':0.0},(203,593):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_4':0.0},(203,592):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,591):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(203,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(203,589):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(203,588):{'3_1':0.06,'4_1':0.06},(203,587):{'3_1':0.09,'4_1':0.0},(203,586):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(203,585):{'3_1':0.15,'5_1':0.0,'7_6':0.0},(203,584):{'3_1':0.09,'4_1':0.0},(203,583):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(203,582):{'3_1':0.12,'4_1':0.06},(203,581):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(203,580):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(203,579):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,578):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(203,577):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(203,576):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(203,575):{'3_1':0.12,'4_1':0.0},(203,574):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(203,573):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(203,572):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(203,571):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(203,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(203,569):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,568):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(203,567):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0},(203,566):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(203,565):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(203,564):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(203,563):{'3_1':0.12,'4_1':0.0},(203,562):{'3_1':0.15,'4_1':0.0},(203,561):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,560):{'3_1':0.15,'4_1':0.0},(203,559):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(203,558):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0},(203,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(203,556):{'3_1':0.09,'5_2':0.0},(203,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(203,553):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(203,552):{'3_1':0.12},(203,551):{'3_1':0.18,'4_1':0.0},(203,550):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(203,549):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(203,548):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(203,547):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(203,546):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(203,545):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(203,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(203,543):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(203,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(203,541):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(203,540):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(203,539):{'3_1':0.18,'5_1':0.0},(203,538):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_5':0.0},(203,537):{'3_1':0.06,'5_2':0.0},(203,536):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(203,535):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(203,534):{'3_1':0.06},(203,533):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(203,532):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(203,531):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(203,530):{'3_1':0.15},(203,529):{'3_1':0.12,'5_1':0.0},(203,528):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(203,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(203,526):{'3_1':0.09,'5_2':0.0},(203,525):{'3_1':0.09,'5_2':0.03},(203,524):{'3_1':0.09},(203,523):{'3_1':0.09,'5_2':0.0},(203,522):{'3_1':0.12},(203,521):{'3_1':0.09,'4_1':0.0},(203,520):{'3_1':0.12,'5_1':0.0},(203,519):{'3_1':0.03,'5_2':0.0},(203,518):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(203,517):{'3_1':0.12,'5_2':0.0},(203,516):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(203,515):{'3_1':0.06,'4_1':0.0},(203,514):{'3_1':0.03,'5_2':0.0},(203,513):{'3_1':0.06,'5_1':0.0},(203,512):{'3_1':0.06},(203,511):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(203,510):{'3_1':0.06},(203,509):{'3_1':0.06,'7_3':0.0},(203,508):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(203,507):{'3_1':0.06,'4_1':0.0},(203,506):{'3_1':0.06,'4_1':0.0},(203,505):{'3_1':0.09,'5_2':0.0},(203,504):{'3_1':0.06},(203,503):{'3_1':0.03},(203,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(203,501):{'3_1':0.06},(203,500):{'3_1':0.06,'5_1':0.0},(203,499):{'3_1':0.0,'4_1':0.0},(203,498):{'3_1':0.03,'4_1':0.0},(203,497):{'3_1':0.03,'4_1':0.0},(203,496):{'3_1':0.06,'4_1':0.0},(203,495):{'3_1':0.09,'4_1':0.0},(203,494):{'3_1':0.0,'4_1':0.0},(203,493):{'3_1':0.06,'4_1':0.0},(203,492):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(203,491):{'3_1':0.06},(203,490):{'3_1':0.12,'4_1':0.0},(203,489):{'3_1':0.06},(203,488):{'3_1':0.06,'4_1':0.0},(203,487):{'3_1':0.09},(203,486):{'3_1':0.15},(203,485):{'3_1':0.03,'5_2':0.0},(203,484):{'3_1':0.09},(203,483):{'3_1':0.09,'7_1':0.0},(203,482):{'3_1':0.12,'5_1':0.0},(203,481):{'3_1':0.09,'4_1':0.0},(203,480):{'3_1':0.06,'4_1':0.0},(203,479):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(203,478):{'3_1':0.12},(203,477):{'3_1':0.09},(203,476):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(203,475):{'3_1':0.12,'4_1':0.0},(203,474):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(203,473):{'3_1':0.06},(203,472):{'3_1':0.09},(203,471):{'3_1':0.09},(203,470):{'3_1':0.09,'5_2':0.0},(203,469):{'3_1':0.06,'5_1':0.0},(203,468):{'3_1':0.0},(203,467):{'3_1':0.03},(203,466):{'3_1':0.06},(203,465):{'3_1':0.09,'5_2':0.0},(203,464):{'3_1':0.06},(203,463):{'3_1':0.03},(203,462):{'3_1':0.0,'4_1':0.0},(203,461):{'3_1':0.0},(203,460):{'3_1':0.0},(203,459):{'3_1':0.03},(203,458):{'3_1':0.0},(203,457):{'3_1':0.0,'4_1':0.0},(203,456):{'3_1':0.03,'5_1':0.0},(203,455):{'3_1':0.03,'4_1':0.0},(203,454):{'3_1':0.03},(203,453):{'3_1':0.0},(203,452):{'3_1':0.03},(203,451):{'3_1':0.06,'5_2':0.0},(203,450):{'3_1':0.0},(203,449):{'3_1':0.03,'-3':0.0},(203,448):{'3_1':0.06},(203,447):{'3_1':0.0},(203,446):{'3_1':0.0,'5_1':0.0},(203,445):{'3_1':0.03},(203,444):{'3_1':0.0,'4_1':0.0},(203,443):{'3_1':0.0},(203,442):{'3_1':0.0},(203,441):{'3_1':0.0},(203,440):{'3_1':0.0},(203,439):{'3_1':0.03,'5_1':0.0},(203,438):{'3_1':0.03},(203,437):{'3_1':0.03},(203,436):{'3_1':0.0},(203,435):{'3_1':0.0},(203,434):{'3_1':0.0},(203,433):{'3_1':0.0,'4_1':0.0},(203,432):{'3_1':0.03,'4_1':0.0},(203,431):{'3_1':0.0},(203,430):{'3_1':0.0},(203,429):{'3_1':0.0},(203,428):{'3_1':0.06},(203,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(203,426):{'3_1':0.03,'4_1':0.0},(203,425):{'3_1':0.03},(203,424):{'3_1':0.0,'4_1':0.0},(203,423):{'3_1':0.0},(203,422):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(203,421):{'3_1':0.03},(203,420):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(203,419):{'3_1':0.03,'5_2':0.0},(203,418):{'3_1':0.03,'4_1':0.0},(203,417):{'3_1':0.0,'4_1':0.0},(203,416):{'3_1':0.03},(203,415):{'3_1':0.0},(203,414):{'3_1':0.0},(203,413):{'3_1':0.03,'4_1':0.0},(203,412):{'4_1':0.0},(203,411):{'3_1':0.03},(203,410):{'3_1':0.0},(203,409):{'3_1':0.0},(203,408):{'3_1':0.0},(203,405):{'3_1':0.0},(203,404):{'3_1':0.0,'5_2':0.0},(203,403):{'3_1':0.0},(203,402):{'3_1':0.0},(203,401):{'3_1':0.0},(203,400):{'3_1':0.0},(203,399):{'3_1':0.0},(203,398):{'3_1':0.0},(203,397):{'3_1':0.0},(203,396):{'3_1':0.0},(203,395):{'3_1':0.0},(203,394):{'3_1':0.0},(203,391):{'3_1':0.0,'5_2':0.0},(203,390):{'3_1':0.0},(203,389):{'3_1':0.0},(203,388):{'3_1':0.0},(203,387):{'3_1':0.0,'4_1':0.0},(203,386):{'3_1':0.0},(203,385):{'3_1':0.0,'5_2':0.0},(203,384):{'3_1':0.0},(203,383):{'3_1':0.03},(203,382):{'3_1':0.0},(203,381):{'3_1':0.03},(203,380):{'3_1':0.0},(203,379):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(203,378):{'3_1':0.0,'7_3':0.0},(203,377):{'3_1':0.03,'5_1':0.0},(203,376):{'3_1':0.0,'5_1':0.0},(203,375):{'3_1':0.03,'4_1':0.0},(203,374):{'3_1':0.0},(203,373):{'3_1':0.03,'5_1':0.0},(203,372):{'3_1':0.0},(203,371):{'3_1':0.0,'7_1':0.0},(203,370):{'3_1':0.06,'5_1':0.0},(203,369):{'3_1':0.0},(203,368):{'3_1':0.0},(203,367):{'3_1':0.03},(203,366):{'5_1':0.0,'3_1':0.0},(203,362):{'3_1':0.0},(203,361):{'3_1':0.0},(203,360):{'3_1':0.0},(203,359):{'3_1':0.0},(203,358):{'3_1':0.0},(203,357):{'3_1':0.0},(203,353):{'3_1':0.0},(203,352):{'3_1':0.0},(203,349):{'3_1':0.0},(203,348):{'3_1':0.0},(203,346):{'3_1':0.0},(203,340):{'3_1':0.03},(203,337):{'3_1':0.0},(203,336):{'3_1':0.0},(203,335):{'3_1':0.0},(203,334):{'3_1':0.0},(203,333):{'3_1':0.0},(203,331):{'3_1':0.0,'5_1':0.0},(203,329):{'3_1':0.0},(203,327):{'3_1':0.0},(203,326):{'3_1':0.0},(203,325):{'3_1':0.0},(203,323):{'3_1':0.0,'4_1':0.0},(203,322):{'3_1':0.0,'4_1':0.0},(203,320):{'3_1':0.0},(203,319):{'3_1':0.0},(203,318):{'3_1':0.0},(203,316):{'3_1':0.0},(203,314):{'3_1':0.0},(203,312):{'3_1':0.0},(203,310):{'3_1':0.0,'4_1':0.0},(203,309):{'3_1':0.0},(203,307):{'3_1':0.0},(203,306):{'3_1':0.0},(203,305):{'3_1':0.0},(203,304):{'3_1':0.0},(203,303):{'3_1':0.0},(203,302):{'3_1':0.03},(203,301):{'3_1':0.0},(203,299):{'3_1':0.0},(203,298):{'3_1':0.0},(203,296):{'3_1':0.0},(203,295):{'3_1':0.0},(203,293):{'3_1':0.0},(203,292):{'3_1':0.0},(203,291):{'3_1':0.0},(203,288):{'3_1':0.0},(203,286):{'3_1':0.0},(203,283):{'3_1':0.0},(203,276):{'3_1':0.0},(203,266):{'3_1':0.0},(203,262):{'4_1':0.0},(203,257):{'4_1':0.0},(203,253):{'3_1':0.0},(203,241):{'3_1':0.0},(203,235):{'3_1':0.0},(203,214):{'3_1':0.0},(203,213):{'3_1':0.0},(203,208):{'3_1':0.0},(204,727):{'5_2':0.24,'6_1':0.15,'4_1':0.0,'7_3':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0},(204,726):{'5_2':0.24,'6_1':0.12,'7_5':0.03,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(204,725):{'5_2':0.21,'6_1':0.09,'7_5':0.03,'-3':0.03,'7_6':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(204,724):{'5_2':0.24,'6_1':0.12,'7_6':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0},(204,723):{'5_2':0.18,'6_1':0.09,'7_3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'8_11':0.0},(204,722):{'5_2':0.21,'6_1':0.09,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0,'6_2':0.0,'7_6':0.0},(204,721):{'5_2':0.3,'6_1':0.06,'7_5':0.03,'7_3':0.03,'7_6':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(204,720):{'5_2':0.21,'6_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(204,719):{'5_2':0.18,'6_1':0.12,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'8_1':0.0,'7_2':0.0,'8_6':0.0},(204,718):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(204,717):{'5_2':0.24,'6_1':0.03,'3_1':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(204,716):{'5_2':0.21,'6_1':0.09,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.0,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0},(204,715):{'5_2':0.18,'6_1':0.09,'7_5':0.03,'4_1':0.0,'3_1':0.0,'-3':0.0,'8_11':0.0,'8_6':0.0,'8_3':0.0,'8_1':0.0,'7_7':0.0,'7_6':0.0,'7_4':0.0,'7_3':0.0,'7_2':0.0,'7_1':0.0,'6_2':0.0},(204,714):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_13':0.0,'8_15':0.0,'3_1#5_2':0.0},(204,713):{'5_2':0.18,'6_1':0.06,'7_3':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(204,712):{'5_2':0.27,'6_1':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'9_1':0.0},(204,711):{'5_2':0.21,'6_1':0.12,'3_1':0.03,'7_4':0.03,'7_6':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(204,710):{'5_2':0.15,'7_5':0.09,'6_1':0.09,'-3':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_3':0.0,'8_6':0.0},(204,709):{'5_2':0.15,'-3':0.09,'7_5':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'9_1':0.0},(204,708):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'6_2':0.03,'7_3':0.03,'7_5':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'8_18':0.0},(204,707):{'5_2':0.27,'6_1':0.09,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_15':0.0,'-3':0.0},(204,706):{'5_2':0.24,'6_1':0.18,'3_1':0.03,'-3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(204,705):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(204,704):{'5_2':0.21,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'8_3':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_11':0.0},(204,703):{'5_2':0.24,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_5':0.0,'8_11':0.0,'8_14':0.0,'3_1#5_2':0.0},(204,702):{'5_2':0.18,'6_1':0.09,'-3':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'8_14':0.0},(204,701):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0},(204,700):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0},(204,699):{'5_2':0.18,'7_4':0.06,'7_3':0.06,'6_1':0.03,'4_1':0.0,'7_5':0.0,'3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(204,698):{'5_2':0.27,'6_1':0.12,'7_3':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0},(204,697):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'3_1#5_2':0.0},(204,696):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(204,695):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_11':0.0},(204,694):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'7_3':0.03,'-3':0.03,'5_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(204,693):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'-3':0.03,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(204,692):{'5_2':0.15,'6_1':0.06,'7_2':0.03,'7_4':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'1':-0.03},(204,691):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(204,690):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(204,689):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.03,'-3':0.03,'7_3':0.03,'7_4':0.03,'7_1':0.0,'7_2':0.0,'7_6':0.0},(204,688):{'5_2':0.21,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(204,687):{'3_1':0.15,'5_2':0.15,'6_1':0.09,'4_1':0.06,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0},(204,686):{'5_2':0.15,'3_1':0.09,'7_3':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(204,685):{'5_2':0.18,'3_1':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(204,684):{'3_1':0.15,'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0},(204,683):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'7_3':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0},(204,682):{'5_2':0.18,'3_1':0.15,'7_3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_14':0.0},(204,681):{'3_1':0.18,'5_2':0.12,'6_1':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(204,680):{'5_2':0.15,'6_1':0.09,'3_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_4':0.0,'8_15':0.0},(204,679):{'3_1':0.21,'5_2':0.12,'6_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(204,678):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(204,677):{'5_2':0.15,'3_1':0.12,'4_1':0.12,'6_1':0.06,'7_4':0.0,'7_5':0.0,'7_3':0.0,'5_1':0.0,'8_14':0.0},(204,676):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.03,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(204,675):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'-3':0.0},(204,674):{'3_1':0.24,'4_1':0.12,'5_2':0.09,'7_4':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0},(204,673):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(204,672):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.09,'5_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0},(204,671):{'3_1':0.18,'4_1':0.15,'5_2':0.12,'6_1':0.0,'7_4':0.0,'7_6':0.0},(204,670):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_4':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(204,669):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(204,668):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'6_1':0.06,'7_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(204,667):{'4_1':0.18,'3_1':0.18,'5_2':0.03,'6_1':0.03,'-3':0.0},(204,666):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_12':0.0},(204,665):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0},(204,664):{'3_1':0.24,'4_1':0.12,'5_2':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(204,663):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(204,662):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(204,661):{'3_1':0.27,'4_1':0.15,'5_2':0.06,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(204,660):{'3_1':0.27,'4_1':0.15,'5_2':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(204,659):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0},(204,658):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'5_1':0.06,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0},(204,657):{'4_1':0.18,'3_1':0.12,'5_2':0.12,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(204,656):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0},(204,655):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(204,654):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_7':0.0},(204,653):{'3_1':0.27,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0,'8_19':0.0,'7_4':0.0},(204,652):{'3_1':0.24,'5_2':0.09,'4_1':0.09,'5_1':0.0},(204,651):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0},(204,650):{'3_1':0.27,'5_1':0.09,'5_2':0.03,'4_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(204,649):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.0},(204,648):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'8_19':0.0,'-3':0.0,'7_6':0.0},(204,647):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0,'8_10':0.0,'8_19':0.0},(204,646):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(204,645):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(204,644):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0},(204,643):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(204,642):{'3_1':0.09,'5_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'7_3':0.0},(204,641):{'3_1':0.33,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(204,640):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0},(204,639):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0},(204,638):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(204,637):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(204,636):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(204,635):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(204,634):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(204,633):{'3_1':0.12,'7_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,632):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(204,631):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(204,630):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(204,629):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(204,628):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_19':0.0},(204,627):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(204,626):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_4':0.0},(204,625):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_5':0.0},(204,624):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0},(204,623):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(204,622):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(204,621):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_1':0.0},(204,620):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(204,619):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(204,618):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0},(204,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(204,616):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(204,615):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(204,614):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_2':0.0},(204,613):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(204,612):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(204,611):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(204,610):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(204,609):{'3_1':0.12,'4_1':0.03},(204,608):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_4':0.0},(204,607):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(204,606):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(204,605):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(204,604):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(204,603):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(204,602):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(204,601):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(204,600):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(204,599):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(204,598):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(204,597):{'3_1':0.09,'4_1':0.03},(204,596):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(204,595):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(204,594):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,593):{'3_1':0.09,'4_1':0.06,'8_11':0.0},(204,592):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(204,591):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(204,590):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(204,589):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,588):{'3_1':0.12,'4_1':0.0},(204,587):{'3_1':0.09,'4_1':0.0},(204,586):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(204,585):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(204,584):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_2':0.0},(204,583):{'3_1':0.09,'4_1':0.03},(204,582):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,581):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(204,580):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(204,579):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(204,577):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(204,576):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(204,575):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,573):{'3_1':0.12},(204,572):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(204,571):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(204,570):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(204,569):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(204,568):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(204,567):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(204,566):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(204,565):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'6_2':0.0,'8_1':0.0},(204,564):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,563):{'3_1':0.06,'4_1':0.0},(204,562):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,561):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(204,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,559):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,558):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(204,557):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,556):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(204,555):{'3_1':0.12,'6_1':0.0},(204,554):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,553):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(204,552):{'3_1':0.09,'5_2':0.0},(204,551):{'3_1':0.12,'6_1':0.0},(204,550):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(204,549):{'3_1':0.09},(204,548):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(204,547):{'3_1':0.12,'5_2':0.0},(204,546):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(204,545):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(204,544):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(204,543):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(204,542):{'3_1':0.18,'4_1':0.03},(204,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(204,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,539):{'3_1':0.15,'5_2':0.0},(204,538):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(204,537):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(204,536):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(204,535):{'3_1':0.15,'4_1':0.0},(204,534):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(204,533):{'3_1':0.09,'4_1':0.03},(204,532):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(204,531):{'3_1':0.12,'5_1':0.0},(204,530):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'7_4':0.0},(204,529):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,528):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(204,527):{'3_1':0.06,'4_1':0.0},(204,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,525):{'3_1':0.15},(204,524):{'3_1':0.09},(204,523):{'3_1':0.09},(204,522):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(204,521):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(204,520):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,519):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(204,518):{'3_1':0.06,'5_2':0.0},(204,517):{'3_1':0.0},(204,516):{'3_1':0.03,'5_1':0.0},(204,515):{'3_1':0.06,'5_2':0.0},(204,514):{'3_1':0.06,'5_2':0.0},(204,513):{'3_1':0.03,'5_2':0.0},(204,512):{'3_1':0.09},(204,511):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(204,510):{'3_1':0.06},(204,509):{'3_1':0.03,'5_2':0.0},(204,508):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(204,507):{'3_1':0.03},(204,506):{'3_1':0.03},(204,505):{'3_1':0.0},(204,504):{'3_1':0.0,'5_2':0.0},(204,503):{'3_1':0.03},(204,502):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(204,501):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(204,500):{'3_1':0.09,'4_1':0.0},(204,499):{'3_1':0.0,'5_1':0.0},(204,498):{'3_1':0.06,'5_2':0.0},(204,497):{'3_1':0.03,'5_1':0.0},(204,496):{'3_1':0.03,'4_1':0.0},(204,495):{'3_1':0.06,'4_1':0.0},(204,494):{'3_1':0.0,'3_1#5_2':0.0},(204,493):{'3_1':0.06,'4_1':0.0},(204,492):{'3_1':0.06,'5_2':0.0},(204,491):{'3_1':0.06,'5_2':0.0},(204,490):{'3_1':0.06,'4_1':0.0},(204,489):{'3_1':0.03,'5_1':0.0},(204,488):{'3_1':0.03,'5_2':0.0},(204,487):{'3_1':0.09,'5_2':0.0},(204,486):{'3_1':0.03,'5_2':0.0},(204,485):{'3_1':0.09,'5_2':0.0},(204,484):{'3_1':0.09,'4_1':0.0},(204,483):{'3_1':0.03,'4_1':0.0},(204,482):{'3_1':0.06,'9_1':0.0},(204,481):{'3_1':0.06},(204,480):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(204,479):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(204,478):{'3_1':0.03},(204,477):{'3_1':0.09},(204,476):{'3_1':0.06,'5_2':0.0},(204,475):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(204,474):{'3_1':0.12,'5_1':0.0},(204,473):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(204,472):{'3_1':0.09,'4_1':0.0},(204,471):{'3_1':0.12},(204,470):{'3_1':0.03},(204,469):{'3_1':0.03},(204,468):{'3_1':0.03},(204,467):{'3_1':0.0},(204,466):{'3_1':0.0},(204,465):{'3_1':0.03},(204,464):{'3_1':0.06,'5_1':0.0},(204,463):{'3_1':0.0},(204,462):{'3_1':0.0,'4_1':0.0},(204,461):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(204,460):{'3_1':0.03},(204,459):{'3_1':0.03},(204,458):{'3_1':0.0,'5_1':0.0},(204,457):{'3_1':0.0},(204,456):{'3_1':0.03},(204,455):{'3_1':0.03},(204,454):{'3_1':0.06,'4_1':0.0},(204,453):{'3_1':0.03,'4_1':0.0},(204,452):{'3_1':0.0,'4_1':0.0},(204,451):{'3_1':0.03,'4_1':0.0},(204,450):{'3_1':0.03},(204,449):{'3_1':0.03},(204,448):{'3_1':0.03},(204,447):{'3_1':0.03},(204,446):{'3_1':0.0},(204,445):{'3_1':0.0},(204,444):{'3_1':0.03},(204,443):{'3_1':0.0},(204,442):{'3_1':0.0},(204,441):{'3_1':0.0},(204,440):{'3_1':0.03},(204,439):{'3_1':0.0,'5_1':0.0},(204,438):{'3_1':0.06},(204,437):{'3_1':0.0},(204,436):{'3_1':0.03},(204,435):{'3_1':0.0},(204,434):{'3_1':0.0},(204,433):{'3_1':0.03,'5_1':0.0},(204,432):{'3_1':0.06,'4_1':0.0},(204,431):{'3_1':0.03},(204,430):{'3_1':0.0,'4_1':0.0},(204,429):{'3_1':0.0},(204,428):{'3_1':0.03,'4_1':0.0},(204,427):{'3_1':0.03},(204,426):{'5_2':0.0},(204,425):{'3_1':0.0},(204,424):{'5_2':0.0},(204,423):{'3_1':0.06,'4_1':0.0},(204,422):{'3_1':0.03,'4_1':0.0},(204,421):{'3_1':0.03,'4_1':0.0},(204,420):{'3_1':0.06,'4_1':0.0},(204,419):{'3_1':0.06},(204,418):{'4_1':0.0},(204,417):{'3_1':0.0},(204,416):{'3_1':0.0,'4_1':0.0},(204,415):{'3_1':0.0},(204,414):{'4_1':0.0,'3_1':0.0},(204,413):{'3_1':0.0,'5_1':0.0},(204,412):{'3_1':0.0,'7_1':0.0},(204,411):{'3_1':0.0,'6_1':0.0},(204,409):{'3_1':0.0},(204,408):{'3_1':0.0},(204,406):{'3_1':0.0},(204,405):{'3_1':0.03},(204,402):{'3_1':0.0},(204,401):{'3_1':0.0},(204,400):{'4_1':0.0},(204,399):{'3_1':0.0},(204,398):{'3_1':0.0},(204,397):{'3_1':0.0},(204,396):{'3_1':0.0},(204,395):{'3_1':0.0},(204,394):{'3_1':0.0,'4_1':0.0},(204,391):{'3_1':0.0},(204,390):{'3_1':0.0},(204,389):{'3_1':0.0},(204,388):{'3_1':0.0},(204,387):{'3_1':0.0},(204,386):{'3_1':0.0,'4_1':0.0},(204,385):{'3_1':0.0},(204,384):{'3_1':0.0,'4_1':0.0},(204,383):{'3_1':0.0},(204,382):{'3_1':0.03,'5_1':0.0},(204,381):{'3_1':0.03,'4_1':0.0},(204,380):{'3_1':0.03},(204,379):{'3_1':0.0},(204,378):{'3_1':0.03,'5_1':0.0},(204,377):{'3_1':0.0,'5_1':0.0},(204,376):{'3_1':0.03},(204,375):{'3_1':0.0},(204,374):{'3_1':0.0},(204,373):{'3_1':0.0,'5_1':0.0},(204,371):{'3_1':0.0,'5_1':0.0},(204,370):{'3_1':0.0},(204,369):{'3_1':0.0,'5_1':0.0},(204,368):{'3_1':0.0},(204,367):{'3_1':0.03,'9_1':0.0},(204,366):{'3_1':0.0,'5_1':0.0},(204,364):{'3_1':0.0},(204,363):{'3_1':0.0},(204,362):{'3_1':0.0},(204,360):{'3_1':0.0},(204,359):{'5_2':0.0},(204,358):{'3_1':0.0,'4_1':0.0},(204,357):{'3_1':0.0},(204,355):{'3_1':0.0},(204,354):{'3_1':0.0},(204,353):{'5_1':0.0},(204,351):{'3_1':0.0},(204,350):{'3_1':0.0},(204,346):{'3_1':0.0},(204,343):{'3_1':0.0},(204,341):{'3_1':0.0,'4_1':0.0},(204,340):{'3_1':0.0},(204,339):{'3_1':0.0},(204,338):{'3_1':0.0,'5_2':0.0},(204,337):{'3_1':0.0,'4_1':0.0},(204,336):{'3_1':0.0,'5_1':0.0},(204,335):{'3_1':0.0},(204,334):{'3_1':0.0},(204,333):{'3_1':0.0,'5_1':0.0},(204,332):{'4_1':0.0},(204,330):{'3_1':0.0},(204,329):{'3_1':0.0},(204,327):{'3_1':0.03},(204,326):{'3_1':0.0},(204,325):{'3_1':0.0,'4_1':0.0},(204,324):{'3_1':0.0},(204,320):{'3_1':0.0},(204,317):{'5_1':0.0},(204,315):{'3_1':0.0},(204,314):{'3_1':0.0},(204,313):{'3_1':0.0},(204,311):{'3_1':0.03},(204,310):{'3_1':0.0},(204,309):{'3_1':0.0},(204,308):{'3_1':0.0},(204,307):{'3_1':0.0},(204,305):{'3_1':0.0},(204,303):{'3_1':0.0},(204,296):{'3_1':0.0},(204,295):{'3_1':0.0},(204,294):{'3_1':0.0},(204,293):{'3_1':0.0},(204,285):{'3_1':0.0},(204,262):{'3_1':0.0},(204,260):{'3_1':0.0},(204,241):{'3_1':0.0},(204,239):{'3_1':0.0},(204,237):{'3_1':0.0},(204,234):{'3_1':0.0},(204,228):{'3_1':0.0},(204,213):{'3_1':0.0},(204,211):{'3_1':0.0},(205,727):{'5_2':0.24,'7_2':0.06,'6_1':0.03,'-3':0.03,'7_5':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(205,726):{'5_2':0.27,'6_1':0.12,'3_1':0.03,'7_5':0.03,'-3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'9_1':0.0},(205,725):{'5_2':0.27,'6_1':0.09,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(205,724):{'5_2':0.24,'6_1':0.06,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0},(205,723):{'5_2':0.36,'6_1':0.06,'7_5':0.03,'7_2':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0,'-3':0.0},(205,722):{'5_2':0.24,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_6':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0},(205,721):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'-3':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(205,720):{'5_2':0.3,'6_1':0.12,'-3':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(205,719):{'6_1':0.21,'5_2':0.18,'-3':0.09,'7_5':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(205,718):{'5_2':0.15,'6_1':0.09,'-3':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_6':0.0,'8_12':0.0},(205,717):{'5_2':0.27,'6_1':0.09,'7_4':0.03,'4_1':0.0,'7_6':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(205,716):{'5_2':0.21,'6_1':0.12,'7_5':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(205,715):{'5_2':0.24,'6_1':0.12,'-3':0.09,'7_6':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0},(205,714):{'5_2':0.24,'6_1':0.09,'3_1':0.03,'7_4':0.03,'-3':0.03,'8_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0},(205,713):{'5_2':0.21,'6_1':0.09,'7_2':0.03,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(205,712):{'5_2':0.27,'6_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(205,711):{'5_2':0.15,'-3':0.09,'6_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(205,710):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'7_5':0.06,'-3':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_15':0.0,'8_1':0.0,'8_6':0.0},(205,709):{'5_2':0.21,'3_1':0.12,'-3':0.09,'6_1':0.06,'7_5':0.06,'4_1':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(205,708):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(205,707):{'5_2':0.3,'-3':0.09,'6_1':0.06,'7_5':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(205,706):{'5_2':0.21,'6_1':0.09,'-3':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0},(205,705):{'5_2':0.21,'6_1':0.06,'-3':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_5':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(205,704):{'5_2':0.15,'6_1':0.09,'-3':0.09,'4_1':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(205,703):{'5_2':0.18,'6_1':0.12,'-3':0.06,'7_3':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.0,'7_2':0.0,'8_11':0.0,'3_1#5_2':0.0},(205,702):{'5_2':0.21,'3_1':0.06,'7_3':0.03,'-3':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'8_1':0.0,'3_1#5_2':0.0},(205,701):{'5_2':0.24,'-3':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(205,700):{'5_2':0.24,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(205,699):{'5_2':0.18,'3_1':0.09,'-3':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(205,698):{'5_2':0.18,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0},(205,697):{'5_2':0.18,'6_1':0.09,'3_1':0.09,'7_4':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0},(205,696):{'5_2':0.21,'3_1':0.12,'6_1':0.09,'7_5':0.06,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0},(205,695):{'5_2':0.18,'-3':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.06,'4_1':0.03,'7_5':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(205,694):{'5_2':0.18,'3_1':0.09,'6_1':0.09,'7_3':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(205,693):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_5':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'3_1#5_2':0.0,'-3':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0},(205,692):{'5_2':0.27,'6_1':0.09,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(205,691):{'5_2':0.18,'3_1':0.12,'6_1':0.09,'-3':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(205,690):{'5_2':0.18,'3_1':0.12,'6_1':0.06,'4_1':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(205,689):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'7_2':0.03,'6_1':0.03,'7_4':0.03,'-3':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'3_1#5_2':0.0},(205,688):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'-3':0.06,'6_1':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0},(205,687):{'5_2':0.21,'3_1':0.06,'6_1':0.06,'5_1':0.03,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0},(205,686):{'5_2':0.24,'4_1':0.09,'3_1':0.09,'6_1':0.06,'-3':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(205,685):{'3_1':0.18,'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(205,684):{'3_1':0.12,'5_2':0.12,'6_1':0.06,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_11':0.0},(205,683):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'-3':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(205,682):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_11':0.0},(205,681):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'5_1':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'8_15':0.0},(205,680):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(205,679):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'7_3':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0},(205,678):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_2':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(205,677):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_3':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(205,676):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'7_4':0.06,'5_1':0.03,'6_1':0.03,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(205,675):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(205,674):{'3_1':0.21,'5_2':0.15,'4_1':0.09,'6_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(205,673):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(205,672):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_1':0.0},(205,671):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0},(205,670):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'-3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(205,669):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0},(205,668):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'7_4':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(205,667):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(205,666):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(205,665):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'-3':0.03,'5_1':0.03,'7_4':0.03,'7_1':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0},(205,664):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0},(205,663):{'3_1':0.21,'5_2':0.15,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'8_19':0.0},(205,662):{'3_1':0.21,'5_2':0.12,'4_1':0.06,'-3':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(205,661):{'3_1':0.3,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(205,660):{'3_1':0.21,'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(205,659):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.06,'6_1':0.0,'-3':0.0,'7_5':0.0},(205,658):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0},(205,657):{'3_1':0.24,'5_2':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_19':0.0},(205,656):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(205,655):{'3_1':0.24,'4_1':0.09,'5_1':0.03,'5_2':0.03,'-3':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_1':0.0},(205,654):{'3_1':0.24,'4_1':0.12,'5_2':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(205,653):{'3_1':0.27,'5_1':0.06,'4_1':0.06,'5_2':0.06,'7_1':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(205,652):{'3_1':0.24,'4_1':0.15,'5_2':0.09,'5_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(205,651):{'3_1':0.27,'5_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(205,650):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(205,649):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'-3':0.0},(205,648):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'4_1':0.06,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(205,647):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'-3':0.03,'5_1':0.0,'7_1':0.0,'6_3':0.0,'7_4':0.0},(205,646):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(205,645):{'3_1':0.33,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0},(205,644):{'3_1':0.21,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(205,643):{'3_1':0.24,'5_2':0.12,'5_1':0.06,'4_1':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(205,642):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'8_11':0.0},(205,641):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(205,640):{'3_1':0.15,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(205,639):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(205,638):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0},(205,637):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(205,636):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0},(205,635):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0},(205,634):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(205,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(205,632):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(205,631):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0,'4_1':0.0},(205,630):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(205,629):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(205,628):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(205,627):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(205,626):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(205,625):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(205,624):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(205,623):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(205,622):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_6':0.0},(205,621):{'3_1':0.12,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0},(205,620):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0},(205,619):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'-3':0.0},(205,618):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_4':0.0},(205,617):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(205,616):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(205,615):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(205,614):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_7':0.0,'-3':0.0},(205,613):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(205,612):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(205,611):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(205,610):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(205,609):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(205,608):{'3_1':0.09,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(205,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'9_1':0.0},(205,606):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(205,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(205,604):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0},(205,603):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(205,602):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_7':0.0},(205,601):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(205,600):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(205,599):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'7_1':0.0},(205,598):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(205,597):{'5_1':0.03,'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(205,596):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(205,595):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(205,594):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0},(205,593):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(205,592):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(205,591):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(205,590):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(205,589):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(205,588):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(205,587):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(205,586):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(205,585):{'3_1':0.09,'5_1':0.03,'4_1':0.03},(205,584):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0},(205,583):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'7_1':0.0},(205,582):{'3_1':0.06,'4_1':0.03},(205,581):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(205,580):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(205,579):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(205,578):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(205,577):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(205,576):{'3_1':0.09,'4_1':0.0},(205,575):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(205,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(205,573):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0},(205,572):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(205,571):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(205,570):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(205,569):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(205,568):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(205,567):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(205,566):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(205,565):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(205,564):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(205,563):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(205,562):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(205,561):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(205,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(205,559):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,558):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(205,557):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(205,556):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(205,555):{'3_1':0.09,'5_1':0.03},(205,554):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(205,553):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(205,552):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,551):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(205,550):{'3_1':0.12,'4_1':0.0},(205,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,548):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(205,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(205,546):{'3_1':0.15,'4_1':0.0},(205,545):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(205,544):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(205,543):{'3_1':0.15,'5_1':0.0},(205,542):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(205,541):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(205,540):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(205,539):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(205,538):{'3_1':0.18,'5_1':0.0},(205,537):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(205,536):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(205,535):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(205,534):{'3_1':0.18,'7_3':0.0},(205,533):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(205,532):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(205,531):{'3_1':0.15,'5_1':0.0},(205,530):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(205,529):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(205,528):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(205,527):{'3_1':0.12,'5_1':0.0},(205,526):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(205,525):{'3_1':0.18,'5_2':0.0},(205,524):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(205,523):{'3_1':0.06},(205,522):{'3_1':0.06,'5_1':0.0},(205,521):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(205,520):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(205,519):{'3_1':0.09,'5_2':0.0},(205,518):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(205,517):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(205,516):{'3_1':0.12},(205,515):{'3_1':0.09,'5_2':0.0},(205,514):{'3_1':0.09},(205,513):{'3_1':0.06,'4_1':0.0},(205,512):{'3_1':0.03},(205,511):{'3_1':0.03,'8_20|3_1#3_1':0.0},(205,510):{'3_1':0.06},(205,509):{'3_1':0.06,'5_1':0.0},(205,508):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(205,507):{'3_1':0.06,'4_1':0.0},(205,506):{'3_1':0.06},(205,505):{'3_1':0.06,'4_1':0.0},(205,504):{'3_1':0.0},(205,503):{'3_1':0.0},(205,502):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(205,501):{'3_1':0.03,'7_1':0.0},(205,500):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(205,499):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(205,498):{'3_1':0.03,'4_1':0.0},(205,497):{'3_1':0.06,'4_1':0.0},(205,496):{'3_1':0.06,'5_1':0.0},(205,495):{'3_1':0.03},(205,494):{'3_1':0.06,'4_1':0.0},(205,493):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(205,492):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(205,491):{'3_1':0.06},(205,490):{'3_1':0.09},(205,489):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(205,488):{'3_1':0.09,'5_2':0.0},(205,487):{'3_1':0.09,'4_1':0.0},(205,486):{'3_1':0.09},(205,485):{'3_1':0.06,'5_2':0.0},(205,484):{'3_1':0.09},(205,483):{'3_1':0.03,'4_1':0.0},(205,482):{'3_1':0.06,'5_2':0.0},(205,481):{'3_1':0.12},(205,480):{'3_1':0.12},(205,479):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(205,478):{'3_1':0.06},(205,477):{'3_1':0.06,'7_1':0.0},(205,476):{'3_1':0.12,'5_1':0.0},(205,475):{'3_1':0.09},(205,474):{'3_1':0.03,'7_1':0.0},(205,473):{'3_1':0.06},(205,472):{'3_1':0.09},(205,471):{'3_1':0.06},(205,470):{'3_1':0.06,'4_1':0.0},(205,469):{'3_1':0.06,'5_2':0.0},(205,468):{'3_1':0.06},(205,467):{'3_1':0.03,'5_2':0.0},(205,466):{'3_1':0.0,'5_1':0.0},(205,465):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(205,464):{'3_1':0.06,'5_1':0.0},(205,463):{'3_1':0.03},(205,462):{'3_1':0.03},(205,461):{'3_1':0.03},(205,460):{'3_1':0.0},(205,459):{'3_1':0.0},(205,458):{'3_1':0.03},(205,457):{'3_1':0.0},(205,456):{'3_1':0.03},(205,455):{'3_1':0.0,'5_2':0.0},(205,454):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(205,453):{'3_1':0.0},(205,452):{'3_1':0.03},(205,451):{'3_1':0.03},(205,450):{'3_1':0.0},(205,449):{'3_1':0.03,'4_1':0.0},(205,448):{'4_1':0.0,'3_1':0.0},(205,447):{'3_1':0.03},(205,446):{'3_1':0.03},(205,445):{'3_1':0.0,'4_1':0.0},(205,444):{'3_1':0.03},(205,443):{'3_1':0.03},(205,442):{'3_1':0.0},(205,441):{'3_1':0.03},(205,440):{'3_1':0.0},(205,439):{'3_1':0.0},(205,438):{'3_1':0.0,'5_1':0.0},(205,437):{'3_1':0.03},(205,436):{'3_1':0.0},(205,435):{'3_1':0.0},(205,434):{'3_1':0.03},(205,433):{'3_1':0.06,'4_1':0.0},(205,432):{'3_1':0.0,'5_1':0.0},(205,431):{'3_1':0.03},(205,430):{'4_1':0.0,'3_1':0.0},(205,429):{'3_1':0.03,'4_1':0.0},(205,428):{'3_1':0.03,'4_1':0.0},(205,427):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(205,426):{'3_1':0.03,'4_1':0.0},(205,425):{'3_1':0.0},(205,424):{'3_1':0.0,'4_1':0.0},(205,423):{'3_1':0.03},(205,422):{'3_1':0.03},(205,421):{'4_1':0.0},(205,420):{'3_1':0.03},(205,419):{'3_1':0.0},(205,418):{'3_1':0.03,'4_1':0.0},(205,416):{'3_1':0.0},(205,415):{'3_1':0.03,'4_1':0.0},(205,414):{'3_1':0.0,'5_1':0.0},(205,413):{'3_1':0.0},(205,412):{'4_1':0.0},(205,411):{'3_1':0.03,'6_1':0.0},(205,410):{'3_1':0.0,'7_5':0.0},(205,409):{'3_1':0.0},(205,408):{'3_1':0.0},(205,406):{'3_1':0.0},(205,405):{'3_1':0.0},(205,404):{'3_1':0.0},(205,402):{'3_1':0.0,'4_1':0.0},(205,401):{'3_1':0.0,'4_1':0.0},(205,400):{'3_1':0.0},(205,399):{'3_1':0.03},(205,398):{'3_1':0.0},(205,397):{'3_1':0.0},(205,396):{'4_1':0.0,'3_1':0.0},(205,395):{'3_1':0.0,'4_1':0.0},(205,393):{'3_1':0.0},(205,391):{'3_1':0.0},(205,390):{'3_1':0.0},(205,389):{'3_1':0.0},(205,385):{'3_1':0.0},(205,384):{'3_1':0.0},(205,383):{'3_1':0.0},(205,382):{'3_1':0.03,'4_1':0.0},(205,381):{'3_1':0.03,'5_1':0.0},(205,380):{'3_1':0.03,'5_1':0.0},(205,379):{'3_1':0.03,'4_1':0.0},(205,378):{'3_1':0.0},(205,377):{'3_1':0.03,'5_1':0.0},(205,376):{'3_1':0.03},(205,375):{'3_1':0.0,'5_1':0.0},(205,374):{'3_1':0.0,'5_1':0.0},(205,373):{'3_1':0.03,'4_1':0.0},(205,372):{'3_1':0.0},(205,371):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(205,370):{'4_1':0.0},(205,369):{'5_1':0.0},(205,368):{'3_1':0.0},(205,367):{'3_1':0.0,'5_1':0.0},(205,366):{'3_1':0.0},(205,365):{'3_1':0.0},(205,364):{'3_1':0.03,'4_1':0.0},(205,363):{'3_1':0.0,'5_1':0.0},(205,362):{'3_1':0.03,'9_1':0.0},(205,361):{'3_1':0.0},(205,360):{'3_1':0.0},(205,359):{'5_1':0.0},(205,358):{'3_1':0.0,'4_1':0.0},(205,357):{'3_1':0.0},(205,355):{'3_1':0.0},(205,354):{'3_1':0.0},(205,353):{'3_1':0.0},(205,352):{'3_1':0.0},(205,351):{'3_1':0.0},(205,350):{'3_1':0.0},(205,349):{'3_1':0.03},(205,348):{'3_1':0.0},(205,345):{'3_1':0.0},(205,344):{'3_1':0.0},(205,342):{'3_1':0.0},(205,338):{'3_1':0.0},(205,335):{'3_1':0.0},(205,334):{'3_1':0.0},(205,332):{'3_1':0.0},(205,331):{'3_1':0.0},(205,330):{'3_1':0.0},(205,328):{'3_1':0.0},(205,326):{'3_1':0.0},(205,325):{'3_1':0.0},(205,324):{'3_1':0.0,'4_1':0.0},(205,323):{'3_1':0.0,'4_1':0.0},(205,322):{'3_1':0.0},(205,320):{'3_1':0.0,'5_1':0.0},(205,319):{'3_1':0.0},(205,317):{'3_1':0.0},(205,316):{'3_1':0.0},(205,315):{'3_1':0.03},(205,314):{'3_1':0.0,'5_1':0.0},(205,313):{'3_1':0.0},(205,311):{'3_1':0.03},(205,310):{'3_1':0.0},(205,308):{'3_1':0.0},(205,307):{'3_1':0.0},(205,306):{'3_1':0.0},(205,304):{'3_1':0.0},(205,303):{'3_1':0.0},(205,302):{'3_1':0.0},(205,300):{'3_1':0.0},(205,299):{'3_1':0.0},(205,298):{'4_1':0.0},(205,297):{'3_1':0.0},(205,295):{'3_1':0.0},(205,294):{'5_1':0.0},(205,292):{'3_1':0.0},(205,291):{'3_1':0.0},(205,289):{'3_1':0.0},(205,283):{'3_1':0.0},(205,261):{'3_1':0.0},(205,254):{'3_1':0.0},(205,240):{'3_1':0.0},(205,237):{'5_2':0.0},(205,233):{'3_1':0.0},(205,209):{'3_1':0.0},(206,727):{'5_2':0.15,'6_1':0.09,'-3':0.03,'7_6':0.03,'7_5':0.03,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0},(206,726):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(206,725):{'5_2':0.21,'6_1':0.18,'3_1':0.03,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_14':0.0},(206,724):{'5_2':0.24,'6_1':0.09,'7_5':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0,'8_11':0.0,'3_1#5_2':0.0},(206,723):{'5_2':0.15,'6_1':0.09,'7_3':0.03,'7_6':0.03,'7_5':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(206,722):{'5_2':0.21,'6_1':0.12,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'3_1#5_2':0.0},(206,721):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_11':0.0},(206,720):{'6_1':0.15,'5_2':0.15,'7_4':0.06,'7_5':0.03,'4_1':0.03,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'-3':0.0},(206,719):{'5_2':0.21,'6_1':0.06,'7_5':0.03,'4_1':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'8_10':0.0,'8_14':0.0,'8_19':0.0},(206,718):{'5_2':0.27,'6_1':0.15,'7_5':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'3_1#5_2':0.0,'-3':0.0},(206,717):{'5_2':0.24,'6_1':0.09,'-3':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0},(206,716):{'5_2':0.21,'6_1':0.12,'4_1':0.03,'7_5':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(206,715):{'5_2':0.18,'6_1':0.18,'-3':0.06,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_11':0.0,'8_15':0.0},(206,714):{'5_2':0.18,'6_1':0.12,'7_3':0.06,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(206,713):{'5_2':0.24,'6_1':0.09,'-3':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'3_1#5_2':0.0,'1':-0.03},(206,712):{'5_2':0.24,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0},(206,711):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(206,710):{'5_2':0.18,'6_1':0.09,'7_5':0.09,'3_1':0.06,'-3':0.03,'4_1':0.03,'5_1':0.0,'8_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(206,709):{'3_1':0.12,'7_5':0.09,'5_2':0.09,'-3':0.09,'6_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'8_11':0.0},(206,708):{'5_2':0.21,'6_1':0.12,'-3':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(206,707):{'5_2':0.27,'6_1':0.12,'7_4':0.06,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(206,706):{'5_2':0.27,'6_1':0.09,'7_3':0.06,'3_1':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_6':0.0,'8_11':0.0,'8_19':0.0},(206,705):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(206,704):{'5_2':0.21,'6_1':0.15,'-3':0.06,'4_1':0.03,'7_3':0.03,'3_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_1':0.0},(206,703):{'5_2':0.27,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0},(206,702):{'5_2':0.15,'6_1':0.15,'7_4':0.03,'7_3':0.03,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(206,701):{'5_2':0.18,'6_1':0.09,'7_3':0.06,'-3':0.03,'4_1':0.03,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0},(206,700):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0},(206,699):{'5_2':0.21,'6_1':0.09,'-3':0.06,'4_1':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'8_14':0.0},(206,698):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'7_3':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(206,697):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(206,696):{'5_2':0.24,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_5':0.0,'3_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(206,695):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'-3':0.06,'7_3':0.03,'7_6':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(206,694):{'5_2':0.18,'6_1':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0},(206,693):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'7_4':0.03,'4_1':0.03,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(206,692):{'5_2':0.18,'3_1':0.12,'6_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(206,691):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_14':0.0},(206,690):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'-3':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_15':0.0,'8_16':0.0},(206,689):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'7_5':0.03,'7_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(206,688):{'5_2':0.12,'6_1':0.12,'-3':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(206,687):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_6':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0},(206,686):{'5_2':0.27,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(206,685):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_5':0.0},(206,684):{'3_1':0.12,'5_2':0.12,'6_1':0.12,'4_1':0.06,'5_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0},(206,683):{'3_1':0.18,'5_2':0.18,'4_1':0.06,'6_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0},(206,682):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_4':0.06,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(206,681):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(206,680):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(206,679):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(206,678):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'7_4':0.03,'7_6':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(206,677):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'-3':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_15':0.0},(206,676):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(206,675):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_19':0.0},(206,674):{'3_1':0.21,'4_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(206,673):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0},(206,672):{'3_1':0.21,'5_2':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(206,671):{'3_1':0.18,'5_2':0.15,'4_1':0.09,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(206,670):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(206,669):{'3_1':0.24,'4_1':0.09,'5_2':0.09,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_19':0.0},(206,668):{'3_1':0.21,'5_2':0.15,'4_1':0.15,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(206,667):{'5_2':0.15,'4_1':0.15,'3_1':0.12,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(206,666):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(206,665):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_15':0.0,'-3':0.0},(206,664):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.03,'8_19':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(206,663):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'6_1':0.06,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(206,662):{'4_1':0.15,'3_1':0.15,'5_2':0.09,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(206,661):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(206,660):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'6_3':0.0},(206,659):{'4_1':0.18,'3_1':0.12,'5_2':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(206,658):{'3_1':0.27,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.03,'7_6':0.0,'7_2':0.0,'7_4':0.0},(206,657):{'3_1':0.18,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_1':0.0,'8_19':0.0,'-3':0.0},(206,656):{'3_1':0.33,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(206,655):{'3_1':0.21,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(206,654):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(206,653):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_2':0.0},(206,652):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_3':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(206,651):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(206,650):{'3_1':0.21,'5_2':0.06,'4_1':0.06,'5_1':0.03,'8_20|3_1#3_1':0.0,'-3':0.0},(206,649):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'-3':0.0},(206,648):{'3_1':0.24,'5_2':0.09,'5_1':0.03,'4_1':0.03,'7_3':0.0},(206,647):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(206,646):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'8_19':0.0,'9_1':0.0},(206,645):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0},(206,644):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(206,643):{'3_1':0.24,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0,'9_1':0.0,'-3':0.0},(206,642):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(206,641):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(206,640):{'3_1':0.3,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(206,639):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'-3':0.0},(206,638):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0,'9_1':0.0,'-3':0.0},(206,637):{'3_1':0.21,'5_1':0.0,'7_5':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(206,636):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(206,635):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(206,634):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(206,633):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(206,632):{'3_1':0.06,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(206,631):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0},(206,630):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(206,629):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(206,628):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(206,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(206,626):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(206,625):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(206,624):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(206,623):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(206,622):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(206,621):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(206,620):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(206,619):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(206,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(206,617):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(206,616):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(206,615):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(206,614):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(206,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,612):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(206,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(206,610):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(206,609):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(206,608):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(206,607):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(206,606):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(206,605):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(206,604):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(206,603):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(206,602):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(206,601):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,600):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(206,599):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(206,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(206,597):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_6':0.0},(206,596):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'-3':0.0},(206,595):{'4_1':0.09,'3_1':0.03,'5_1':0.0},(206,594):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(206,593):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0},(206,592):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(206,591):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(206,590):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(206,589):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(206,588):{'3_1':0.12,'4_1':0.03},(206,587):{'3_1':0.06,'4_1':0.0,'7_4':0.0},(206,586):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,585):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(206,584):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(206,583):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(206,582):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,581):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(206,580):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(206,579):{'3_1':0.12,'4_1':0.0},(206,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,577):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,576):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(206,575):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(206,574):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,573):{'4_1':0.03,'3_1':0.0},(206,572):{'3_1':0.09,'4_1':0.0},(206,571):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(206,570):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(206,569):{'3_1':0.06,'4_1':0.0},(206,568):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(206,567):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,566):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(206,565):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(206,564):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(206,563):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,562):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(206,561):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(206,560):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,559):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(206,558):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(206,557):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,556):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(206,555):{'3_1':0.15},(206,554):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(206,553):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,551):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(206,550):{'3_1':0.24,'5_1':0.0},(206,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,547):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(206,546):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,545):{'3_1':0.18,'5_2':0.0},(206,544):{'3_1':0.12,'5_2':0.0},(206,543):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(206,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,541):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(206,540):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(206,539):{'3_1':0.15,'7_5':0.0},(206,538):{'3_1':0.12,'4_1':0.0},(206,537):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,536):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0},(206,535):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(206,534):{'3_1':0.12,'5_2':0.0},(206,533):{'3_1':0.18,'4_1':0.0},(206,532):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(206,531):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(206,530):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(206,529):{'3_1':0.09,'5_1':0.0},(206,528):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(206,527):{'3_1':0.06},(206,526):{'3_1':0.15,'4_1':0.0},(206,525):{'3_1':0.09,'4_1':0.0},(206,524):{'3_1':0.06,'5_1':0.0},(206,523):{'3_1':0.09},(206,522):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(206,521):{'3_1':0.06,'5_2':0.0},(206,520):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,519):{'3_1':0.06,'8_20|3_1#3_1':0.0},(206,518):{'3_1':0.06,'4_1':0.0},(206,517):{'3_1':0.06,'4_1':0.0},(206,516):{'3_1':0.03},(206,515):{'3_1':0.06},(206,514):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(206,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(206,512):{'3_1':0.06},(206,511):{'3_1':0.06,'5_2':0.0},(206,510):{'3_1':0.06,'5_1':0.0},(206,509):{'3_1':0.0},(206,508):{'3_1':0.09,'4_1':0.0},(206,507):{'3_1':0.06,'5_2':0.0},(206,506):{'3_1':0.06,'4_1':0.0},(206,505):{'3_1':0.06,'4_1':0.0},(206,504):{'3_1':0.06},(206,503):{'3_1':0.03,'5_2':0.0},(206,502):{'3_1':0.03,'4_1':0.0},(206,501):{'3_1':0.03,'4_1':0.0},(206,500):{'3_1':0.03},(206,499):{'3_1':0.03,'4_1':0.0},(206,498):{'3_1':0.0,'4_1':0.0},(206,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(206,496):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(206,495):{'3_1':0.09,'4_1':0.0},(206,494):{'3_1':0.03,'5_2':0.0},(206,493):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(206,492):{'3_1':0.06,'5_2':0.0},(206,491):{'3_1':0.0},(206,490):{'3_1':0.06,'4_1':0.0},(206,489):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(206,488):{'3_1':0.06},(206,487):{'3_1':0.03},(206,486):{'3_1':0.09,'4_1':0.0},(206,485):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,484):{'3_1':0.06},(206,483):{'3_1':0.06,'5_2':0.0},(206,482):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'9_1':0.0},(206,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(206,480):{'3_1':0.09},(206,479):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(206,478):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(206,477):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(206,476):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(206,475):{'3_1':0.06,'5_1':0.0},(206,474):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(206,473):{'3_1':0.06,'5_2':0.0},(206,472):{'3_1':0.09},(206,471):{'3_1':0.03},(206,470):{'3_1':0.03},(206,469):{'3_1':0.06},(206,468):{'3_1':0.06,'5_2':0.0},(206,467):{'3_1':0.03,'5_2':0.0},(206,466):{'3_1':0.03},(206,465):{'3_1':0.0,'5_1':0.0},(206,464):{'3_1':0.03,'5_2':0.0},(206,463):{'3_1':0.03},(206,462):{'3_1':0.06},(206,461):{'3_1':0.0,'4_1':0.0},(206,460):{'3_1':0.0,'5_1':0.0},(206,459):{'3_1':0.03,'4_1':0.0},(206,458):{'3_1':0.03,'5_2':0.0},(206,457):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(206,456):{'3_1':0.0,'5_1':0.0},(206,455):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(206,454):{'3_1':0.03},(206,453):{'3_1':0.03},(206,452):{'3_1':0.03},(206,451):{'3_1':0.03},(206,450):{'3_1':0.03,'4_1':0.0},(206,449):{'3_1':0.03},(206,448):{'3_1':0.0,'4_1':0.0},(206,447):{'3_1':0.0},(206,446):{'3_1':0.0},(206,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(206,444):{'3_1':0.06,'5_2':0.0},(206,443):{'3_1':0.03},(206,442):{'3_1':0.0},(206,441):{'3_1':0.0},(206,440):{'3_1':0.03},(206,439):{'3_1':0.03,'5_1':0.0},(206,438):{'3_1':0.0,'5_1':0.0},(206,437):{'3_1':0.03},(206,436):{'3_1':0.0,'4_1':0.0},(206,435):{'3_1':0.03,'5_1':0.0},(206,434):{'7_1':0.0},(206,433):{'3_1':0.06,'4_1':0.0},(206,432):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(206,431):{'3_1':0.03,'4_1':0.0},(206,430):{'3_1':0.0},(206,429):{'3_1':0.03},(206,428):{'3_1':0.0,'4_1':0.0},(206,427):{'3_1':0.03},(206,426):{'3_1':0.0},(206,425):{'3_1':0.06},(206,424):{'3_1':0.0},(206,423):{'3_1':0.03},(206,422):{'3_1':0.03,'5_2':0.0},(206,421):{'3_1':0.0,'4_1':0.0},(206,420):{'3_1':0.0,'4_1':0.0},(206,419):{'3_1':0.0},(206,418):{'3_1':0.0},(206,417):{'3_1':0.0,'4_1':0.0},(206,416):{'4_1':0.03,'3_1':0.0},(206,415):{'3_1':0.03},(206,414):{'3_1':0.0,'5_2':0.0},(206,413):{'3_1':0.0,'4_1':0.0},(206,412):{'3_1':0.0},(206,411):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(206,410):{'3_1':0.0,'5_1':0.0},(206,409):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(206,408):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(206,406):{'3_1':0.0},(206,404):{'3_1':0.0},(206,403):{'3_1':0.0},(206,402):{'3_1':0.0},(206,401):{'3_1':0.0},(206,400):{'3_1':0.0},(206,399):{'3_1':0.06},(206,398):{'3_1':0.0},(206,397):{'3_1':0.03,'4_1':0.0},(206,396):{'3_1':0.0},(206,395):{'3_1':0.0,'6_1':0.0},(206,394):{'3_1':0.0},(206,392):{'3_1':0.0,'5_1':0.0},(206,390):{'3_1':0.0},(206,389):{'3_1':0.03},(206,388):{'3_1':0.0},(206,387):{'3_1':0.0},(206,386):{'3_1':0.0,'4_1':0.0},(206,385):{'3_1':0.03},(206,384):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(206,383):{'3_1':0.0,'4_1':0.0},(206,382):{'3_1':0.0},(206,381):{'3_1':0.0},(206,380):{'3_1':0.0,'5_1':0.0},(206,379):{'3_1':0.0,'4_1':0.0},(206,378):{'3_1':0.03,'5_1':0.0},(206,377):{'3_1':0.0,'5_1':0.0},(206,376):{'3_1':0.0},(206,375):{'3_1':0.03,'7_1':0.0},(206,374):{'3_1':0.03,'5_1':0.0},(206,373):{'3_1':0.03,'7_1':0.0},(206,372):{'3_1':0.03},(206,371):{'3_1':0.03,'5_1':0.0},(206,370):{'3_1':0.0},(206,369):{'3_1':0.0},(206,368):{'3_1':0.0},(206,367):{'3_1':0.0,'7_1':0.0},(206,366):{'7_1':0.0,'3_1':0.0},(206,365):{'3_1':0.0},(206,364):{'3_1':0.0},(206,363):{'3_1':0.0,'4_1':0.0},(206,362):{'9_1':0.0},(206,361):{'3_1':0.0},(206,359):{'3_1':0.0,'5_1':0.0},(206,357):{'3_1':0.0},(206,355):{'3_1':0.0},(206,354):{'3_1':0.0},(206,353):{'3_1':0.0},(206,352):{'3_1':0.0},(206,351):{'3_1':0.0},(206,350):{'3_1':0.0,'5_1':0.0},(206,349):{'3_1':0.0},(206,348):{'3_1':0.0},(206,345):{'3_1':0.0},(206,342):{'4_1':0.0},(206,341):{'3_1':0.0},(206,337):{'3_1':0.0},(206,334):{'5_1':0.0,'4_1':0.0},(206,333):{'3_1':0.0,'6_1':0.0},(206,332):{'3_1':0.0},(206,331):{'3_1':0.0,'4_1':0.0},(206,330):{'3_1':0.0},(206,329):{'4_1':0.0},(206,328):{'3_1':0.03},(206,327):{'3_1':0.0},(206,325):{'3_1':0.0},(206,324):{'3_1':0.0},(206,323):{'3_1':0.0},(206,320):{'3_1':0.03},(206,319):{'4_1':0.0},(206,317):{'3_1':0.0},(206,316):{'3_1':0.0},(206,315):{'3_1':0.0},(206,312):{'3_1':0.0},(206,311):{'3_1':0.0},(206,310):{'3_1':0.0},(206,309):{'3_1':0.0},(206,308):{'3_1':0.0},(206,307):{'3_1':0.0},(206,306):{'3_1':0.0},(206,304):{'3_1':0.0},(206,296):{'3_1':0.0},(206,295):{'3_1':0.0},(206,294):{'3_1':0.0},(206,292):{'3_1':0.0},(206,291):{'3_1':0.0},(206,289):{'3_1':0.0},(206,287):{'3_1':0.0},(206,286):{'3_1':0.0},(206,285):{'3_1':0.0},(206,272):{'6_1':0.0},(206,241):{'3_1':0.0},(206,238):{'3_1':0.0},(206,237):{'3_1':0.0},(206,234):{'3_1':0.0},(206,232):{'3_1':0.0},(207,727):{'5_2':0.24,'6_1':0.12,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0},(207,726):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'8_6':0.0,'-3':0.0},(207,725):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0},(207,724):{'5_2':0.27,'6_1':0.18,'7_5':0.03,'4_1':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_12':0.0},(207,723):{'6_1':0.15,'5_2':0.12,'4_1':0.03,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_3':0.0},(207,722):{'6_1':0.21,'5_2':0.18,'-3':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'8_12':0.0},(207,721):{'5_2':0.18,'6_1':0.15,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(207,720):{'6_1':0.21,'5_2':0.15,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'8_12':0.0},(207,719):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(207,718):{'5_2':0.15,'6_1':0.06,'7_4':0.03,'3_1':0.03,'-3':0.0,'7_6':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'9_1':0.0},(207,717):{'6_1':0.21,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_6':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(207,716):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'8_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'8_12':0.0},(207,715):{'6_1':0.18,'5_2':0.15,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'8_14':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'3_1#5_2':0.0},(207,714):{'5_2':0.21,'6_1':0.12,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_15':0.0},(207,713):{'5_2':0.15,'6_1':0.12,'7_5':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0,'8_3':0.0,'8_12':0.0},(207,712):{'5_2':0.18,'6_1':0.18,'3_1':0.12,'4_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(207,711):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_5':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(207,710):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_5':0.03,'-3':0.03,'8_6':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(207,709):{'6_1':0.15,'-3':0.09,'5_2':0.06,'3_1':0.06,'7_5':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_2':0.0,'8_2':0.0},(207,708):{'5_2':0.27,'6_1':0.09,'3_1':0.09,'7_3':0.03,'7_5':0.03,'8_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(207,707):{'5_2':0.18,'6_1':0.18,'7_3':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_12':0.0},(207,706):{'6_1':0.18,'5_2':0.15,'4_1':0.03,'7_5':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(207,705):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'7_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(207,704):{'5_2':0.21,'6_1':0.18,'3_1':0.06,'7_4':0.06,'4_1':0.0,'-3':0.0,'7_3':0.0,'8_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(207,703):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(207,702):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(207,701):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'7_3':0.03,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_12':0.0},(207,700):{'6_1':0.21,'5_2':0.12,'7_5':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(207,699):{'6_1':0.12,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(207,698):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_12':0.0,'-3':0.0},(207,697):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'-3':0.03,'3_1':0.03,'7_3':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(207,696):{'5_2':0.21,'6_1':0.15,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0},(207,695):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_3':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(207,694):{'5_2':0.18,'6_1':0.15,'4_1':0.09,'3_1':0.06,'7_3':0.03,'-3':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(207,693):{'3_1':0.12,'5_2':0.12,'6_1':0.09,'7_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(207,692):{'6_1':0.15,'5_2':0.09,'4_1':0.09,'3_1':0.06,'-3':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(207,691):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'7_2':0.03,'7_4':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_12':0.0,'-3':0.0},(207,690):{'5_2':0.21,'7_3':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'8_3':0.0,'5_1':0.0,'7_6':0.0,'7_1':0.0,'7_5':0.0,'8_14':0.0},(207,689):{'5_2':0.24,'6_1':0.15,'3_1':0.09,'4_1':0.06,'-3':0.03,'7_4':0.0,'7_1':0.0,'7_2':0.0},(207,688):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(207,687):{'5_2':0.15,'3_1':0.12,'6_1':0.06,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'1':-0.03},(207,686):{'6_1':0.12,'4_1':0.12,'3_1':0.06,'5_2':0.06,'-3':0.03,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(207,685):{'5_2':0.18,'4_1':0.12,'6_1':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0},(207,684):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(207,683):{'3_1':0.18,'4_1':0.12,'6_1':0.09,'5_2':0.06,'7_4':0.03,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_15':0.0,'-3':0.0},(207,682):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'8_3':0.0},(207,681):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_7':0.0,'8_11':0.0,'-3':0.0},(207,680):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'6_1':0.06,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'7_7':0.0,'8_3':0.0},(207,679):{'3_1':0.09,'6_1':0.09,'4_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(207,678):{'4_1':0.15,'3_1':0.15,'5_2':0.12,'7_3':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0},(207,677):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_12':0.0},(207,676):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'6_1':0.06,'5_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0},(207,675):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.03,'7_1':0.0},(207,674):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(207,673):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(207,672):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(207,671):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(207,670):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0},(207,669):{'3_1':0.24,'4_1':0.15,'5_2':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(207,668):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(207,667):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(207,666):{'3_1':0.18,'4_1':0.18,'5_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(207,665):{'3_1':0.18,'4_1':0.15,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0},(207,664):{'3_1':0.21,'4_1':0.15,'5_2':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0,'7_7':0.0,'8_12':0.0},(207,663):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(207,662):{'3_1':0.24,'4_1':0.18,'5_2':0.06,'6_1':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(207,661):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0},(207,660):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'7_1':0.0},(207,659):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.03,'6_3':0.0,'8_4':0.0},(207,658):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'6_1':0.03,'5_1':0.0},(207,657):{'3_1':0.24,'4_1':0.15,'5_1':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(207,656):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(207,655):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0},(207,654):{'3_1':0.24,'4_1':0.18,'5_1':0.03,'5_2':0.03,'6_1':0.0,'8_19':0.0},(207,653):{'3_1':0.27,'4_1':0.12,'5_2':0.0},(207,652):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_6':0.0},(207,651):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0},(207,650):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(207,649):{'3_1':0.27,'4_1':0.12,'5_1':0.03,'5_2':0.03,'7_6':0.0},(207,648):{'3_1':0.24,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_2':0.0,'8_19':0.0},(207,647):{'3_1':0.24,'4_1':0.18,'5_2':0.03,'5_1':0.0,'8_19':0.0},(207,646):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_3':0.0,'-3':0.0},(207,645):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_1':0.0},(207,644):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0},(207,643):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(207,642):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(207,641):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0},(207,640):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(207,639):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(207,638):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'4_1':0.03,'7_4':0.0},(207,637):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(207,636):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_5':0.0},(207,635):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'4_1':0.0},(207,634):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(207,633):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(207,632):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(207,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(207,630):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.0},(207,629):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(207,628):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(207,627):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(207,626):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(207,625):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(207,624):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(207,623):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(207,622):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(207,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(207,620):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(207,619):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,618):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(207,617):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(207,616):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(207,615):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(207,614):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(207,613):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(207,612):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(207,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(207,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(207,609):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,608):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0},(207,607):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(207,606):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(207,605):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(207,604):{'3_1':0.06,'4_1':0.0},(207,603):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(207,602):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(207,601):{'3_1':0.06,'4_1':0.03},(207,600):{'3_1':0.06,'4_1':0.03},(207,599):{'3_1':0.09,'4_1':0.06},(207,598):{'3_1':0.09,'5_1':0.0},(207,597):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(207,596):{'3_1':0.06,'4_1':0.03},(207,595):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(207,594):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(207,593):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(207,592):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,591):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(207,590):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(207,589):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,588):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(207,587):{'3_1':0.06,'4_1':0.0},(207,586):{'3_1':0.03,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(207,585):{'3_1':0.09,'4_1':0.0},(207,584):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,583):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(207,582):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(207,581):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,580):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(207,579):{'3_1':0.18,'5_2':0.0},(207,578):{'3_1':0.09,'5_2':0.0},(207,577):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,576):{'3_1':0.09,'4_1':0.0},(207,575):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,574):{'3_1':0.15,'4_1':0.0},(207,573):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(207,572):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(207,571):{'3_1':0.06,'4_1':0.03},(207,570):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(207,569):{'3_1':0.12,'4_1':0.0},(207,568):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(207,567):{'3_1':0.09},(207,566):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(207,565):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,564):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,563):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0},(207,562):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(207,561):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(207,560):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(207,559):{'3_1':0.06},(207,558):{'3_1':0.12,'4_1':0.0},(207,557):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(207,556):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(207,555):{'3_1':0.09,'5_1':0.0},(207,554):{'3_1':0.12,'4_1':0.0},(207,553):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(207,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(207,551):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,550):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,549):{'3_1':0.15,'4_1':0.0},(207,548):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,547):{'3_1':0.12,'5_1':0.0},(207,546):{'3_1':0.09},(207,545):{'3_1':0.12,'5_2':0.0},(207,544):{'3_1':0.15,'5_2':0.0},(207,543):{'3_1':0.12,'5_2':0.0},(207,542):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,541):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(207,540):{'3_1':0.12},(207,539):{'3_1':0.12},(207,538):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(207,537):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(207,536):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_2':0.0},(207,535):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,534):{'3_1':0.18,'5_1':0.0},(207,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,532):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(207,531):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,530):{'3_1':0.15,'5_2':0.0,'7_2':0.0},(207,529):{'3_1':0.09,'5_2':0.0},(207,528):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(207,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(207,526):{'3_1':0.09},(207,525):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(207,524):{'3_1':0.12},(207,523):{'3_1':0.06,'5_2':0.0},(207,522):{'3_1':0.15,'5_2':0.0},(207,521):{'3_1':0.06},(207,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(207,519):{'3_1':0.06},(207,518):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,517):{'3_1':0.09},(207,516):{'3_1':0.12},(207,515):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(207,514):{'3_1':0.03},(207,513):{'3_1':0.09,'5_2':0.0},(207,512):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(207,511):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(207,510):{'3_1':0.09},(207,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(207,508):{'3_1':0.03,'5_2':0.0},(207,507):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(207,506):{'3_1':0.03,'4_1':0.0},(207,505):{'3_1':0.0,'4_1':0.0},(207,504):{'3_1':0.06},(207,503):{'3_1':0.03,'4_1':0.0},(207,502):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(207,501):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(207,500):{'3_1':0.03},(207,499):{'3_1':0.0,'4_1':0.0},(207,498):{'3_1':0.0,'4_1':0.0},(207,497):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(207,496):{'3_1':0.03,'5_1':0.0},(207,495):{'3_1':0.0,'6_3':0.0},(207,494):{'3_1':0.09,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(207,493):{'3_1':0.09},(207,492):{'3_1':0.03,'4_1':0.0},(207,491):{'3_1':0.09,'5_2':0.0},(207,490):{'3_1':0.09,'5_2':0.0},(207,489):{'3_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(207,488):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(207,487):{'3_1':0.06},(207,486):{'3_1':0.09},(207,485):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(207,484):{'3_1':0.06},(207,483):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(207,482):{'3_1':0.06},(207,481):{'3_1':0.06,'4_1':0.0},(207,480):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(207,479):{'3_1':0.09,'7_1':0.0,'4_1':0.0,'5_2':0.0},(207,478):{'3_1':0.09,'4_1':0.0},(207,477):{'3_1':0.12,'5_1':0.0},(207,476):{'3_1':0.15},(207,475):{'3_1':0.09},(207,474):{'3_1':0.03,'5_1':0.0},(207,473):{'3_1':0.09,'4_1':0.0},(207,472):{'3_1':0.06},(207,471):{'3_1':0.09},(207,470):{'3_1':0.06},(207,469):{'3_1':0.06,'5_1':0.0},(207,468):{'3_1':0.06},(207,467):{'3_1':0.06},(207,466):{'3_1':0.0,'5_1':0.0},(207,465):{'3_1':0.09},(207,464):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(207,463):{'3_1':0.03,'4_1':0.0},(207,462):{'3_1':0.03,'4_1':0.0},(207,461):{'3_1':0.06,'4_1':0.0},(207,460):{'3_1':0.03,'5_2':0.0},(207,459):{'3_1':0.0},(207,458):{'3_1':0.0,'5_1':0.0},(207,457):{'3_1':0.03,'4_1':0.0},(207,456):{'3_1':0.03},(207,455):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(207,454):{'3_1':0.03,'4_1':0.0},(207,453):{'3_1':0.09},(207,452):{'3_1':0.03},(207,451):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(207,450):{'3_1':0.03},(207,449):{'3_1':0.03,'7_1':0.0},(207,448):{'3_1':0.03},(207,447):{'3_1':0.03},(207,446):{'3_1':0.03},(207,445):{'3_1':0.03,'4_1':0.0},(207,444):{'3_1':0.06},(207,443):{'3_1':0.03},(207,442):{'3_1':0.0,'4_1':0.0},(207,441):{'3_1':0.03},(207,440):{'3_1':0.0},(207,439):{'3_1':0.0},(207,438):{'3_1':0.03},(207,437):{'3_1':0.03},(207,436):{'4_1':0.0},(207,435):{'3_1':0.0,'7_1':0.0},(207,434):{'3_1':0.0},(207,433):{'3_1':0.03,'4_1':0.0},(207,432):{'3_1':0.03},(207,431):{'3_1':0.06},(207,430):{'3_1':0.03},(207,429):{'3_1':0.0,'4_1':0.0},(207,428):{'3_1':0.06,'4_1':0.0},(207,427):{'3_1':0.03},(207,426):{'3_1':0.0},(207,425):{'4_1':0.0},(207,424):{'3_1':0.03},(207,423):{'3_1':0.03},(207,422):{'3_1':0.03,'4_1':0.0},(207,421):{'3_1':0.0},(207,419):{'3_1':0.0},(207,418):{'3_1':0.03,'4_1':0.0},(207,417):{'3_1':0.03,'4_1':0.0},(207,416):{'3_1':0.0},(207,415):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(207,414):{'3_1':0.0,'4_1':0.0},(207,413):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(207,412):{'3_1':0.0},(207,411):{'3_1':0.0,'5_1':0.0},(207,410):{'3_1':0.0},(207,409):{'3_1':0.0},(207,408):{'3_1':0.03},(207,407):{'3_1':0.0},(207,406):{'3_1':0.0},(207,404):{'3_1':0.0},(207,403):{'3_1':0.0},(207,402):{'3_1':0.0},(207,401):{'3_1':0.0},(207,400):{'3_1':0.0},(207,399):{'3_1':0.0,'4_1':0.0},(207,398):{'3_1':0.0},(207,397):{'3_1':0.0},(207,396):{'3_1':0.0},(207,395):{'3_1':0.0},(207,394):{'3_1':0.0},(207,393):{'3_1':0.0},(207,392):{'3_1':0.0},(207,391):{'3_1':0.0},(207,390):{'5_1':0.0},(207,389):{'3_1':0.0},(207,388):{'3_1':0.0},(207,387):{'3_1':0.0},(207,386):{'3_1':0.0},(207,385):{'3_1':0.0},(207,384):{'3_1':0.0,'4_1':0.0},(207,383):{'3_1':0.03},(207,382):{'3_1':0.0},(207,381):{'3_1':0.03},(207,380):{'3_1':0.0,'5_1':0.0},(207,379):{'5_1':0.0,'3_1':0.0,'7_1':0.0},(207,378):{'3_1':0.03,'4_1':0.0},(207,377):{'3_1':0.03,'5_1':0.0},(207,376):{'3_1':0.06,'5_1':0.0},(207,375):{'3_1':0.03},(207,374):{'3_1':0.0,'5_1':0.0},(207,373):{'3_1':0.0},(207,372):{'3_1':0.0},(207,371):{'3_1':0.03},(207,369):{'3_1':0.0},(207,368):{'3_1':0.0,'4_1':0.0},(207,367):{'3_1':0.0},(207,366):{'3_1':0.0},(207,365):{'3_1':0.0},(207,364):{'3_1':0.0,'4_1':0.0,'9_1':0.0,'-3':0.0},(207,363):{'3_1':0.0},(207,361):{'9_1':0.0},(207,360):{'3_1':0.0},(207,358):{'3_1':0.0},(207,357):{'3_1':0.0},(207,355):{'3_1':0.0},(207,352):{'3_1':0.0},(207,349):{'3_1':0.0},(207,348):{'3_1':0.0},(207,346):{'3_1':0.0},(207,345):{'5_2':0.0},(207,341):{'3_1':0.0},(207,340):{'3_1':0.0},(207,338):{'3_1':0.0},(207,336):{'3_1':0.0},(207,333):{'3_1':0.0},(207,332):{'3_1':0.0},(207,331):{'3_1':0.0},(207,330):{'3_1':0.03},(207,329):{'3_1':0.0},(207,328):{'3_1':0.0,'4_1':0.0},(207,327):{'3_1':0.0},(207,326):{'3_1':0.0,'5_1':0.0},(207,325):{'3_1':0.0},(207,322):{'3_1':0.0,'5_1':0.0},(207,321):{'3_1':0.0},(207,319):{'3_1':0.0},(207,318):{'3_1':0.0,'5_1':0.0},(207,317):{'3_1':0.0},(207,314):{'3_1':0.03},(207,313):{'3_1':0.0},(207,311):{'3_1':0.0},(207,310):{'3_1':0.0,'5_2':0.0},(207,308):{'3_1':0.0},(207,307):{'3_1':0.0},(207,306):{'3_1':0.0},(207,304):{'3_1':0.0},(207,303):{'3_1':0.0},(207,300):{'3_1':0.0},(207,297):{'3_1':0.0},(207,295):{'3_1':0.0},(207,294):{'3_1':0.0},(207,293):{'3_1':0.0},(207,292):{'3_1':0.0,'4_1':0.0},(207,290):{'3_1':0.0},(207,287):{'3_1':0.0},(207,285):{'3_1':0.0},(207,272):{'3_1':0.0},(207,269):{'4_1':0.0},(207,237):{'3_1':0.0},(207,230):{'3_1':0.0},(207,229):{'3_1':0.0},(208,727):{'5_2':0.27,'6_1':0.21,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'8_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(208,726):{'5_2':0.21,'6_1':0.12,'-3':0.03,'7_5':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0},(208,725):{'5_2':0.12,'6_1':0.12,'7_3':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1':0.0},(208,724):{'5_2':0.21,'6_1':0.18,'7_2':0.03,'3_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(208,723):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(208,722):{'5_2':0.27,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(208,721):{'5_2':0.18,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_6':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(208,720):{'5_2':0.18,'6_1':0.12,'4_1':0.0,'8_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0},(208,719):{'5_2':0.27,'6_1':0.15,'3_1':0.03,'-3':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'1':-0.03},(208,718):{'5_2':0.24,'6_1':0.12,'3_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0},(208,717):{'5_2':0.18,'6_1':0.15,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(208,716):{'5_2':0.18,'6_1':0.15,'3_1':0.03,'7_6':0.03,'-3':0.03,'8_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0},(208,715):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'7_6':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(208,714):{'5_2':0.15,'6_1':0.15,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0},(208,713):{'5_2':0.18,'6_1':0.18,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(208,712):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(208,711):{'5_2':0.21,'6_1':0.15,'4_1':0.03,'7_2':0.03,'7_5':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'8_11':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0,'8_19':0.0},(208,710):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'7_5':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0,'7_4':0.0,'8_6':0.0},(208,709):{'5_2':0.18,'6_1':0.12,'-3':0.09,'3_1':0.06,'7_5':0.03,'8_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(208,708):{'5_2':0.15,'6_1':0.06,'3_1':0.06,'5_1':0.03,'6_2':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'8_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(208,707):{'5_2':0.21,'6_1':0.18,'3_1':0.06,'7_3':0.03,'7_2':0.03,'-3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'1':-0.03},(208,706):{'5_2':0.24,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'8_14':0.0},(208,705):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(208,704):{'5_2':0.18,'6_1':0.12,'7_3':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0},(208,703):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(208,702):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'-3':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(208,701):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(208,700):{'5_2':0.21,'6_1':0.12,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0},(208,699):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(208,698):{'5_2':0.21,'6_1':0.12,'7_4':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(208,697):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.03,'-3':0.03,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0,'8_15':0.0},(208,696):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_6':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(208,695):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_5':0.0,'8_3':0.0},(208,694):{'5_2':0.27,'6_1':0.12,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_2':0.0,'8_3':0.0,'8_11':0.0},(208,693):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'7_2':0.03,'7_3':0.0,'7_6':0.0,'8_11':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'9_1':0.0,'-3':0.0},(208,692):{'5_2':0.15,'6_1':0.15,'3_1':0.09,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(208,691):{'3_1':0.12,'5_2':0.09,'6_1':0.09,'4_1':0.06,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(208,690):{'5_2':0.24,'3_1':0.12,'6_1':0.06,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'-3':0.0},(208,689):{'5_2':0.18,'3_1':0.12,'6_1':0.06,'4_1':0.06,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(208,688):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(208,687):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.06,'5_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(208,686):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'6_1':0.06,'7_3':0.03,'8_3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(208,685):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'6_1':0.06,'7_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_15':0.0,'-3':0.0},(208,684):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_3':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0,'7_5':0.0},(208,683):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'6_1':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0},(208,682):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0},(208,681):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(208,680):{'3_1':0.15,'4_1':0.12,'5_2':0.12,'6_1':0.06,'5_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(208,679):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(208,678):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(208,677):{'3_1':0.24,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(208,676):{'4_1':0.18,'5_2':0.09,'3_1':0.09,'6_1':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'8_19':0.0},(208,675):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(208,674):{'3_1':0.27,'4_1':0.12,'5_2':0.06,'7_4':0.03,'6_1':0.0,'-3':0.0},(208,673):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0},(208,672):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(208,671):{'3_1':0.27,'5_2':0.12,'4_1':0.09,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(208,670):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'6_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(208,669):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_11':0.0},(208,668):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'8_19':0.0},(208,667):{'3_1':0.18,'4_1':0.18,'5_2':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0},(208,666):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'-3':0.0},(208,665):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(208,664):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_11':0.0},(208,663):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(208,662):{'3_1':0.24,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_12':0.0},(208,661):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(208,660):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'5_1':0.03,'6_1':0.0,'-3':0.0,'6_3':0.0,'7_4':0.0},(208,659):{'3_1':0.15,'4_1':0.15,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(208,658):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'5_1':0.0,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(208,657):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0},(208,656):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(208,655):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'5_1':0.0,'8_12':0.0},(208,654):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(208,653):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0},(208,652):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'9_1':0.0},(208,651):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(208,650):{'3_1':0.24,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0},(208,649):{'3_1':0.21,'5_2':0.06,'5_1':0.06,'4_1':0.03,'8_19':0.0,'6_2':0.0},(208,648):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(208,647):{'3_1':0.24,'4_1':0.09,'5_2':0.06,'5_1':0.0,'8_19':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(208,646):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(208,645):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0},(208,644):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(208,643):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_19':0.0,'-3':0.0},(208,642):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(208,641):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'7_1':0.0},(208,640):{'3_1':0.27,'5_2':0.09,'4_1':0.06,'5_1':0.03,'7_4':0.0,'8_19':0.0},(208,639):{'3_1':0.12,'4_1':0.06,'5_1':0.06,'5_2':0.06,'9_1':0.0,'-3':0.0},(208,638):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(208,637):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(208,636):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(208,635):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(208,634):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(208,633):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(208,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(208,631):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(208,630):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(208,629):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(208,628):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(208,627):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0},(208,626):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_1':0.0},(208,625):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(208,624):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(208,623):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0},(208,622):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'8_14':0.0},(208,621):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(208,620):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(208,619):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(208,618):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0},(208,617):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(208,616):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0},(208,615):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(208,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(208,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(208,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(208,611):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_6':0.0},(208,610):{'3_1':0.09,'4_1':0.0,'7_1':0.0,'7_5':0.0},(208,609):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(208,608):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(208,607):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(208,606):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_19':0.0},(208,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,604):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(208,603):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(208,602):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(208,601):{'3_1':0.09,'4_1':0.0},(208,600):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(208,599):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(208,598):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(208,597):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0},(208,596):{'3_1':0.06,'4_1':0.03},(208,595):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(208,594):{'3_1':0.15,'4_1':0.0},(208,593):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_13':0.0},(208,592):{'3_1':0.06,'4_1':0.03,'7_1':0.0,'5_2':0.0},(208,591):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(208,590):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(208,589):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'8_1':0.0},(208,588):{'4_1':0.06,'3_1':0.03},(208,587):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(208,586):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(208,585):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(208,584):{'3_1':0.12,'4_1':0.0},(208,583):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0},(208,582):{'3_1':0.09,'4_1':0.03},(208,581):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(208,580):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(208,579):{'3_1':0.09,'4_1':0.03},(208,578):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(208,577):{'3_1':0.06,'4_1':0.03},(208,576):{'3_1':0.03,'4_1':0.03},(208,575):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(208,574):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(208,573):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(208,572):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(208,571):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(208,570):{'3_1':0.09,'4_1':0.06},(208,569):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(208,568):{'3_1':0.06,'4_1':0.0},(208,567):{'3_1':0.18,'4_1':0.0},(208,566):{'3_1':0.06,'4_1':0.0,'8_1':0.0},(208,565):{'3_1':0.03,'4_1':0.03},(208,564):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(208,563):{'3_1':0.12,'6_1':0.03,'4_1':0.0,'5_1':0.0},(208,562):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(208,561):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(208,560):{'3_1':0.15,'4_1':0.0},(208,559):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(208,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(208,557):{'3_1':0.09,'7_1':0.0,'4_1':0.0},(208,556):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,555):{'3_1':0.12,'4_1':0.0},(208,554):{'3_1':0.09,'5_1':0.0},(208,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'9_1':0.0},(208,552):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(208,551):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(208,550):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(208,549):{'3_1':0.12,'4_1':0.0},(208,548):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(208,547):{'3_1':0.09,'5_1':0.0},(208,546):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(208,545):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(208,544):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(208,543):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(208,542):{'3_1':0.09,'4_1':0.0,'8_2':0.0},(208,541):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(208,540):{'3_1':0.03,'4_1':0.0},(208,539):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(208,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(208,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(208,536):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(208,535):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(208,534):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0},(208,533):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(208,532):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(208,531):{'3_1':0.18,'5_2':0.0},(208,530):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(208,529):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(208,528):{'3_1':0.15,'4_1':0.0},(208,527):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(208,526):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(208,525):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(208,524):{'3_1':0.06,'4_1':0.0},(208,523):{'3_1':0.09,'5_1':0.0},(208,522):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(208,521):{'3_1':0.12,'5_2':0.0},(208,520):{'3_1':0.12,'5_2':0.0},(208,519):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(208,518):{'3_1':0.09,'5_2':0.0},(208,517):{'3_1':0.09},(208,516):{'3_1':0.09,'4_1':0.0},(208,515):{'3_1':0.12,'4_1':0.0},(208,514):{'3_1':0.03,'4_1':0.0},(208,513):{'3_1':0.0,'5_2':0.0},(208,512):{'3_1':0.06,'5_1':0.0},(208,511):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(208,510):{'3_1':0.03,'5_2':0.0},(208,509):{'3_1':0.03},(208,508):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0},(208,507):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(208,506):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(208,505):{'3_1':0.0},(208,504):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(208,503):{'3_1':0.06,'4_1':0.0},(208,502):{'3_1':0.03,'4_1':0.0},(208,501):{'3_1':0.06},(208,500):{'3_1':0.06},(208,499):{'3_1':0.03,'4_1':0.0},(208,498):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(208,497):{'3_1':0.03,'5_2':0.0},(208,496):{'3_1':0.03,'4_1':0.0},(208,495):{'3_1':0.09,'4_1':0.0},(208,494):{'3_1':0.09,'5_1':0.0},(208,493):{'3_1':0.03,'4_1':0.0},(208,492):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(208,491):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,490):{'3_1':0.09,'5_2':0.0},(208,489):{'3_1':0.09},(208,488):{'3_1':0.03,'5_2':0.0},(208,487):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(208,486):{'3_1':0.09,'4_1':0.0},(208,485):{'3_1':0.09,'5_2':0.0},(208,484):{'3_1':0.06,'4_1':0.0},(208,483):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(208,482):{'3_1':0.06,'9_1':0.0},(208,481):{'3_1':0.09,'4_1':0.0},(208,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(208,479):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(208,478):{'3_1':0.09,'5_2':0.0},(208,477):{'3_1':0.09,'4_1':0.0},(208,476):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(208,475):{'3_1':0.15,'4_1':0.0},(208,474):{'3_1':0.03},(208,473):{'3_1':0.06},(208,472):{'3_1':0.06,'7_1':0.0},(208,471):{'3_1':0.12,'5_2':0.0},(208,470):{'3_1':0.06},(208,469):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(208,468):{'3_1':0.06,'4_1':0.0},(208,467):{'3_1':0.06,'5_2':0.0},(208,466):{'3_1':0.06,'4_1':0.0},(208,465):{'3_1':0.03},(208,464):{'3_1':0.0},(208,463):{'3_1':0.03,'4_1':0.0},(208,462):{'3_1':0.0,'5_2':0.0},(208,461):{'3_1':0.0,'5_2':0.0},(208,460):{'3_1':0.0},(208,459):{'3_1':0.03,'4_1':0.0},(208,458):{'3_1':0.06,'5_1':0.0},(208,457):{'3_1':0.06},(208,456):{'3_1':0.0},(208,455):{'3_1':0.03},(208,454):{'3_1':0.03},(208,453):{'3_1':0.03},(208,452):{'3_1':0.03},(208,451):{'3_1':0.03},(208,450):{'3_1':0.03},(208,449):{'3_1':0.06},(208,448):{'3_1':0.0},(208,447):{'3_1':0.03},(208,446):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(208,445):{'3_1':0.0},(208,444):{'3_1':0.0,'4_1':0.0},(208,443):{'3_1':0.0,'6_1':0.0},(208,442):{'3_1':0.06},(208,441):{'3_1':0.0,'4_1':0.0},(208,440):{'3_1':0.03},(208,439):{'3_1':0.0},(208,438):{'3_1':0.0},(208,437):{'3_1':0.0},(208,436):{'3_1':0.03,'5_2':0.0},(208,435):{'3_1':0.03},(208,434):{'3_1':0.03},(208,433):{'3_1':0.0,'4_1':0.0},(208,432):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(208,431):{'3_1':0.0,'4_1':0.0},(208,430):{'3_1':0.03},(208,429):{'3_1':0.0},(208,428):{'3_1':0.0},(208,427):{'4_1':0.0,'3_1':0.0},(208,426):{'3_1':0.0,'4_1':0.0},(208,425):{'3_1':0.0},(208,424):{'3_1':0.0,'5_2':0.0},(208,423):{'3_1':0.0,'4_1':0.0},(208,422):{'3_1':0.0,'4_1':0.0},(208,421):{'3_1':0.0},(208,420):{'3_1':0.03},(208,419):{'3_1':0.0,'4_1':0.0},(208,418):{'3_1':0.03,'4_1':0.0},(208,417):{'3_1':0.0,'4_1':0.0},(208,416):{'5_2':0.0,'3_1':0.0},(208,415):{'3_1':0.0,'4_1':0.0},(208,414):{'3_1':0.03},(208,413):{'3_1':0.0},(208,412):{'3_1':0.0},(208,411):{'3_1':0.0,'5_1':0.0},(208,410):{'3_1':0.0},(208,409):{'3_1':0.0,'4_1':0.0},(208,408):{'3_1':0.0,'5_1':0.0},(208,407):{'3_1':0.0},(208,406):{'3_1':0.0},(208,405):{'3_1':0.0},(208,404):{'3_1':0.0,'5_2':0.0},(208,403):{'3_1':0.0},(208,402):{'3_1':0.0},(208,401):{'3_1':0.03},(208,400):{'3_1':0.03},(208,399):{'3_1':0.0},(208,398):{'3_1':0.0},(208,396):{'3_1':0.0},(208,395):{'3_1':0.0,'5_2':0.0},(208,394):{'3_1':0.0},(208,393):{'3_1':0.0,'5_1':0.0},(208,392):{'3_1':0.0},(208,391):{'3_1':0.0},(208,390):{'3_1':0.0},(208,388):{'3_1':0.0},(208,387):{'3_1':0.0},(208,386):{'3_1':0.0,'5_1':0.0},(208,385):{'3_1':0.0},(208,384):{'3_1':0.03},(208,383):{'3_1':0.0},(208,382):{'3_1':0.09},(208,381):{'3_1':0.03},(208,380):{'3_1':0.0},(208,379):{'3_1':0.0},(208,378):{'3_1':0.0,'5_1':0.0},(208,377):{'3_1':0.0},(208,376):{'3_1':0.0},(208,375):{'3_1':0.0,'5_1':0.0},(208,374):{'5_1':0.0,'3_1':0.0},(208,373):{'3_1':0.0},(208,372):{'3_1':0.03,'5_1':0.0},(208,371):{'3_1':0.0},(208,370):{'3_1':0.03},(208,369):{'3_1':0.03},(208,368):{'3_1':0.06},(208,367):{'3_1':0.0},(208,366):{'3_1':0.0,'7_1':0.0},(208,365):{'3_1':0.0},(208,364):{'3_1':0.0},(208,360):{'3_1':0.0},(208,358):{'3_1':0.0,'4_1':0.0},(208,355):{'3_1':0.0,'5_1':0.0},(208,354):{'3_1':0.0},(208,353):{'3_1':0.0},(208,352):{'3_1':0.0},(208,351):{'3_1':0.0},(208,350):{'3_1':0.0},(208,348):{'3_1':0.0},(208,346):{'3_1':0.0},(208,345):{'3_1':0.0},(208,344):{'3_1':0.0},(208,343):{'3_1':0.0},(208,340):{'3_1':0.0},(208,339):{'3_1':0.0,'4_1':0.0},(208,338):{'3_1':0.0,'4_1':0.0},(208,337):{'3_1':0.0},(208,336):{'3_1':0.0},(208,335):{'5_1':0.0},(208,334):{'5_1':0.0},(208,333):{'3_1':0.0,'5_1':0.0},(208,331):{'3_1':0.0},(208,330):{'3_1':0.0},(208,329):{'3_1':0.0,'4_1':0.0},(208,328):{'3_1':0.0,'4_1':0.0},(208,325):{'5_1':0.0},(208,324):{'3_1':0.0},(208,323):{'3_1':0.0},(208,322):{'3_1':0.0},(208,321):{'4_1':0.0},(208,320):{'3_1':0.0},(208,318):{'3_1':0.0},(208,317):{'3_1':0.0},(208,315):{'3_1':0.0},(208,314):{'3_1':0.0},(208,313):{'3_1':0.0},(208,312):{'3_1':0.0},(208,311):{'3_1':0.0},(208,308):{'3_1':0.03},(208,307):{'3_1':0.0},(208,306):{'3_1':0.03},(208,305):{'3_1':0.0},(208,304):{'3_1':0.0},(208,303):{'3_1':0.0},(208,301):{'3_1':0.0},(208,300):{'3_1':0.0},(208,299):{'3_1':0.0},(208,298):{'3_1':0.0},(208,297):{'3_1':0.0},(208,292):{'3_1':0.0},(208,291):{'3_1':0.0},(208,290):{'3_1':0.0},(208,289):{'3_1':0.0,'4_1':0.0},(208,286):{'3_1':0.0},(208,240):{'3_1':0.0},(208,239):{'3_1':0.0},(208,234):{'3_1':0.0},(208,233):{'3_1':0.0},(208,230):{'3_1':0.0},(209,727):{'5_2':0.18,'6_1':0.18,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(209,726):{'5_2':0.21,'6_1':0.18,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'8_6':0.0,'8_11':0.0,'3_1#5_2':0.0},(209,725):{'5_2':0.18,'6_1':0.15,'3_1':0.03,'7_5':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(209,724):{'5_2':0.24,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(209,723):{'5_2':0.18,'6_1':0.12,'7_5':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(209,722):{'6_1':0.15,'5_2':0.15,'3_1':0.03,'7_5':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_14':0.0},(209,721):{'5_2':0.21,'6_1':0.06,'3_1':0.06,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(209,720):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(209,719):{'5_2':0.21,'6_1':0.15,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'8_15':0.0,'-3':0.0},(209,718):{'5_2':0.3,'6_1':0.09,'3_1':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(209,717):{'5_2':0.18,'6_1':0.12,'7_3':0.06,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(209,716):{'5_2':0.18,'6_1':0.12,'7_2':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(209,715):{'6_1':0.21,'5_2':0.18,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(209,714):{'5_2':0.21,'6_1':0.18,'3_1':0.03,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_6':0.0},(209,713):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_19':0.0},(209,712):{'5_2':0.18,'6_1':0.15,'3_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'8_1':0.0},(209,711):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'7_3':0.06,'3_1':0.03,'-3':0.03,'7_5':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0},(209,710):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_5':0.06,'-3':0.03,'4_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0},(209,709):{'6_1':0.15,'5_2':0.15,'3_1':0.09,'7_5':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'9_1':0.0,'1':-0.03},(209,708):{'5_2':0.24,'6_1':0.15,'3_1':0.03,'7_3':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0},(209,707):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_3':0.0,'8_18':0.0,'8_19':0.0},(209,706):{'5_2':0.18,'6_1':0.12,'7_3':0.03,'4_1':0.03,'3_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(209,705):{'5_2':0.21,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'8_2':0.0},(209,704):{'5_2':0.24,'6_1':0.18,'3_1':0.06,'4_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0,'1':-0.03},(209,703):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.06,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0},(209,702):{'5_2':0.21,'6_1':0.18,'3_1':0.12,'7_4':0.06,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_11':0.0},(209,701):{'5_2':0.24,'6_1':0.18,'3_1':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(209,700):{'5_2':0.33,'3_1':0.09,'6_1':0.06,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(209,699):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'-3':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(209,698):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'7_3':0.06,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0},(209,697):{'5_2':0.12,'6_1':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(209,696):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.03,'-3':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0},(209,695):{'5_2':0.21,'3_1':0.09,'6_1':0.06,'-3':0.06,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(209,694):{'5_2':0.24,'6_1':0.12,'-3':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(209,693):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0,'8_11':0.0},(209,692):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_10':0.0,'3_1#5_2':0.0},(209,691):{'5_2':0.18,'6_1':0.12,'4_1':0.03,'-3':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(209,690):{'5_2':0.21,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(209,689):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.09,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_10':0.0},(209,688):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(209,687):{'3_1':0.21,'5_2':0.18,'6_1':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.03,'-3':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(209,686):{'5_2':0.21,'6_1':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(209,685):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(209,684):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_1':0.09,'7_3':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(209,683):{'3_1':0.24,'5_2':0.15,'6_1':0.06,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(209,682):{'3_1':0.18,'5_2':0.15,'4_1':0.09,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(209,681):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'6_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(209,680):{'3_1':0.21,'4_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(209,679):{'3_1':0.15,'5_2':0.15,'4_1':0.15,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(209,678):{'3_1':0.21,'4_1':0.15,'5_2':0.12,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(209,677):{'3_1':0.18,'5_2':0.15,'4_1':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(209,676):{'3_1':0.15,'5_2':0.15,'4_1':0.12,'6_1':0.06,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(209,675):{'5_2':0.15,'3_1':0.12,'4_1':0.12,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_4':0.0,'-3':0.0},(209,674):{'3_1':0.21,'4_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(209,673):{'3_1':0.24,'4_1':0.15,'5_2':0.09,'7_3':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0},(209,672):{'3_1':0.21,'4_1':0.15,'5_2':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(209,671):{'3_1':0.27,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(209,670):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0},(209,669):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(209,668):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(209,667):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0,'8_15':0.0},(209,666):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0},(209,665):{'3_1':0.24,'4_1':0.18,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(209,664):{'4_1':0.18,'3_1':0.18,'5_2':0.09,'5_1':0.03,'6_1':0.0,'-3':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0},(209,663):{'3_1':0.18,'4_1':0.09,'6_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(209,662):{'3_1':0.27,'4_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0},(209,661):{'3_1':0.15,'5_2':0.15,'4_1':0.12,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0},(209,660):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.03,'6_3':0.0,'7_3':0.0,'7_5':0.0},(209,659):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(209,658):{'3_1':0.15,'4_1':0.12,'6_1':0.06,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(209,657):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(209,656):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_19':0.0},(209,655):{'3_1':0.27,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_5':0.0},(209,654):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.0,'-3':0.0},(209,653):{'3_1':0.18,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(209,652):{'3_1':0.3,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(209,651):{'3_1':0.24,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_4':0.0},(209,650):{'3_1':0.33,'4_1':0.09,'5_1':0.03,'5_2':0.0,'-3':0.0},(209,649):{'3_1':0.18,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(209,648):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(209,647):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(209,646):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.03,'7_1':0.0,'7_5':0.0},(209,645):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.03,'8_19':0.0,'7_3':0.0,'-3':0.0},(209,644):{'3_1':0.27,'5_2':0.06,'4_1':0.03,'5_1':0.03,'-3':0.0,'7_1':0.0,'7_5':0.0,'8_19':0.0},(209,643):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(209,642):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.03},(209,641):{'3_1':0.21,'5_1':0.06,'5_2':0.06,'4_1':0.06,'7_4':0.0},(209,640):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_19':0.0,'9_1':0.0},(209,639):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_3':0.0},(209,638):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(209,637):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_2':0.0,'8_19':0.0},(209,636):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0},(209,635):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(209,634):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_1':0.0,'7_5':0.0},(209,633):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_19':0.0,'5_1':0.0},(209,632):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(209,631):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(209,630):{'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(209,629):{'3_1':0.09,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(209,628):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(209,627):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(209,626):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_19':0.0},(209,625):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.03,'7_6':0.0},(209,624):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(209,623):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(209,622):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_1':0.0,'7_6':0.0},(209,621):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(209,620):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(209,619):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(209,618):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(209,617):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_3':0.0},(209,616):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(209,615):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(209,614):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(209,613):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0},(209,612):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0},(209,611):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(209,610):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(209,609):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(209,608):{'3_1':0.12,'4_1':0.03,'8_21|3_1#4_1':0.0},(209,607):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0},(209,606):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(209,605):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(209,604):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(209,603):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(209,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(209,601):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(209,600):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(209,599):{'3_1':0.12,'4_1':0.03},(209,598):{'3_1':0.09,'4_1':0.06},(209,597):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(209,596):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(209,595):{'3_1':0.03,'4_1':0.03},(209,594):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(209,593):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(209,592):{'3_1':0.15,'4_1':0.0},(209,591):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(209,590):{'3_1':0.06,'4_1':0.03},(209,589):{'3_1':0.06,'4_1':0.0},(209,588):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(209,587):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(209,586):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(209,585):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(209,584):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(209,583):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,582):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(209,581):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(209,580):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(209,579):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,578):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(209,577):{'3_1':0.09,'4_1':0.0},(209,576):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(209,575):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,574):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(209,573):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(209,572):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(209,571):{'3_1':0.06,'4_1':0.0},(209,570):{'3_1':0.09,'4_1':0.03},(209,569):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(209,568):{'3_1':0.09,'5_2':0.0},(209,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,566):{'3_1':0.03,'4_1':0.0},(209,565):{'3_1':0.12,'4_1':0.03},(209,564):{'3_1':0.15,'4_1':0.0},(209,563):{'3_1':0.12,'4_1':0.0},(209,562):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(209,561):{'3_1':0.09,'4_1':0.0},(209,560):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(209,559):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(209,558):{'3_1':0.09,'4_1':0.03},(209,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,556):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(209,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(209,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(209,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(209,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(209,551):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,550):{'3_1':0.12,'4_1':0.0},(209,549):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(209,548):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(209,547):{'3_1':0.09,'5_2':0.0},(209,546):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(209,545):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(209,544):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(209,543):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(209,542):{'3_1':0.12},(209,541):{'3_1':0.12},(209,540):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(209,539):{'3_1':0.15,'4_1':0.0},(209,538):{'3_1':0.18,'5_2':0.0},(209,537):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(209,536):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(209,535):{'3_1':0.15},(209,534):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(209,533):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(209,532):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(209,531):{'3_1':0.12,'5_1':0.0},(209,530):{'3_1':0.09},(209,529):{'3_1':0.12,'4_1':0.0},(209,528):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(209,527):{'3_1':0.06},(209,526):{'3_1':0.09},(209,525):{'3_1':0.09,'5_1':0.0},(209,524):{'3_1':0.09,'4_1':0.0},(209,523):{'3_1':0.12,'5_2':0.0},(209,522):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(209,521):{'3_1':0.09,'5_1':0.0},(209,520):{'3_1':0.06,'5_2':0.0},(209,519):{'3_1':0.03,'5_2':0.0},(209,518):{'3_1':0.03},(209,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(209,516):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(209,515):{'3_1':0.03},(209,514):{'3_1':0.09,'4_1':0.0},(209,513):{'3_1':0.06,'5_2':0.0},(209,512):{'3_1':0.06,'5_2':0.0},(209,511):{'3_1':0.06},(209,510):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,509):{'3_1':0.09},(209,508):{'3_1':0.03},(209,507):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(209,506):{'3_1':0.03,'8_20|3_1#3_1':0.0},(209,505):{'3_1':0.0,'4_1':0.0},(209,504):{'3_1':0.0,'4_1':0.0},(209,503):{'3_1':0.03},(209,502):{'3_1':0.0},(209,501):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(209,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(209,499):{'3_1':0.03,'4_1':0.0},(209,498):{'3_1':0.03},(209,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(209,496):{'3_1':0.03},(209,495):{'3_1':0.0},(209,494):{'3_1':0.03},(209,493):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(209,492):{'3_1':0.06,'4_1':0.0},(209,491):{'3_1':0.03},(209,490):{'3_1':0.03},(209,489):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(209,488):{'3_1':0.12},(209,487):{'3_1':0.09},(209,486):{'3_1':0.09},(209,485):{'3_1':0.03,'5_2':0.0},(209,484):{'3_1':0.09,'4_1':0.0},(209,483):{'3_1':0.03,'5_2':0.0},(209,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(209,481):{'3_1':0.09,'4_1':0.0},(209,480):{'3_1':0.09,'4_1':0.0},(209,479):{'3_1':0.06},(209,478):{'3_1':0.12,'4_1':0.0},(209,477):{'3_1':0.12,'7_1':0.0,'4_1':0.0},(209,476):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(209,475):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(209,474):{'3_1':0.12,'5_2':0.0},(209,473):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(209,472):{'3_1':0.06},(209,471):{'3_1':0.12,'4_1':0.0},(209,470):{'3_1':0.06},(209,469):{'3_1':0.09,'5_1':0.0},(209,468):{'3_1':0.0,'4_1':0.0},(209,467):{'3_1':0.03,'4_1':0.0},(209,466):{'3_1':0.03},(209,465):{'3_1':0.06},(209,464):{'3_1':0.03},(209,463):{'3_1':0.03},(209,462):{'3_1':0.03},(209,461):{'3_1':0.0},(209,460):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(209,459):{'3_1':0.0},(209,458):{'3_1':0.0,'4_1':0.0},(209,457):{'3_1':0.06,'4_1':0.0},(209,456):{'3_1':0.0},(209,455):{'4_1':0.0,'3_1':0.0},(209,454):{'3_1':0.0},(209,453):{'3_1':0.0,'4_1':0.0},(209,452):{'3_1':0.0},(209,451):{'3_1':0.0},(209,450):{'3_1':0.03,'4_1':0.0},(209,449):{'3_1':0.03,'4_1':0.0},(209,448):{'3_1':0.06},(209,447):{'3_1':0.03},(209,446):{'3_1':0.03,'4_1':0.0},(209,445):{'3_1':0.0},(209,444):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(209,443):{'3_1':0.03},(209,442):{'3_1':0.0},(209,441):{'3_1':0.03},(209,440):{'3_1':0.0,'5_2':0.0},(209,439):{'3_1':0.06},(209,438):{'3_1':0.0},(209,437):{'3_1':0.03,'4_1':0.0},(209,436):{'3_1':0.0},(209,435):{'3_1':0.03},(209,434):{'3_1':0.06},(209,433):{'3_1':0.06,'4_1':0.0},(209,432):{'3_1':0.03,'4_1':0.0},(209,431):{'3_1':0.06,'4_1':0.0},(209,430):{'3_1':0.06,'4_1':0.0},(209,429):{'3_1':0.06,'4_1':0.0},(209,428):{'3_1':0.03,'5_1':0.0},(209,427):{'3_1':0.0,'4_1':0.0},(209,426):{'3_1':0.06,'4_1':0.0},(209,425):{'3_1':0.03,'4_1':0.0},(209,424):{'3_1':0.03,'4_1':0.0},(209,423):{'4_1':0.0,'3_1':0.0},(209,422):{'3_1':0.03,'4_1':0.0},(209,421):{'3_1':0.0,'4_1':0.0},(209,420):{'3_1':0.03,'4_1':0.0},(209,419):{'3_1':0.03},(209,418):{'3_1':0.0,'4_1':0.0},(209,417):{'3_1':0.03,'7_2':0.0},(209,416):{'3_1':0.03,'4_1':0.0},(209,415):{'3_1':0.03},(209,414):{'3_1':0.0},(209,413):{'4_1':0.0},(209,412):{'3_1':0.0,'4_1':0.0},(209,411):{'3_1':0.0,'5_1':0.0},(209,410):{'3_1':0.0},(209,409):{'3_1':0.0},(209,408):{'3_1':0.0},(209,407):{'3_1':0.0,'4_1':0.0},(209,406):{'3_1':0.0,'4_1':0.0},(209,405):{'3_1':0.0,'6_1':0.0},(209,404):{'3_1':0.0},(209,403):{'3_1':0.0},(209,402):{'3_1':0.0},(209,401):{'3_1':0.0},(209,400):{'3_1':0.06},(209,399):{'3_1':0.03},(209,398):{'3_1':0.0,'4_1':0.0},(209,397):{'4_1':0.0},(209,395):{'3_1':0.0},(209,394):{'3_1':0.0},(209,392):{'3_1':0.0},(209,391):{'3_1':0.0},(209,390):{'3_1':0.03},(209,389):{'3_1':0.0},(209,388):{'3_1':0.0,'4_1':0.0},(209,387):{'5_2':0.0},(209,386):{'3_1':0.0},(209,385):{'3_1':0.0},(209,384):{'3_1':0.03},(209,382):{'3_1':0.0},(209,381):{'3_1':0.0,'4_1':0.0},(209,380):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(209,379):{'3_1':0.0,'5_1':0.0},(209,378):{'3_1':0.0,'5_1':0.0},(209,377):{'3_1':0.0},(209,376):{'3_1':0.0},(209,375):{'3_1':0.0},(209,374):{'3_1':0.03,'5_1':0.0},(209,373):{'5_1':0.0},(209,372):{'3_1':0.0},(209,371):{'3_1':0.0},(209,370):{'3_1':0.0},(209,369):{'3_1':0.0},(209,368):{'3_1':0.0},(209,367):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(209,366):{'3_1':0.0,'7_1':0.0},(209,365):{'3_1':0.0,'9_1':0.0},(209,364):{'3_1':0.0,'9_1':0.0},(209,363):{'3_1':0.0,'5_1':0.0},(209,362):{'5_1':0.0},(209,360):{'3_1':0.03},(209,359):{'3_1':0.0},(209,358):{'3_1':0.0},(209,357):{'3_1':0.0},(209,355):{'3_1':0.0},(209,353):{'3_1':0.0},(209,352):{'3_1':0.0},(209,351):{'3_1':0.0},(209,350):{'4_1':0.0},(209,349):{'3_1':0.0},(209,348):{'3_1':0.0},(209,346):{'3_1':0.0},(209,344):{'3_1':0.0},(209,343):{'3_1':0.0},(209,342):{'3_1':0.0},(209,341):{'3_1':0.0},(209,340):{'3_1':0.0},(209,339):{'3_1':0.0},(209,338):{'3_1':0.0},(209,335):{'5_1':0.0,'3_1':0.0},(209,334):{'3_1':0.0},(209,333):{'3_1':0.03},(209,329):{'3_1':0.0},(209,326):{'3_1':0.0},(209,324):{'3_1':0.0},(209,323):{'3_1':0.0},(209,319):{'3_1':0.0},(209,318):{'3_1':0.0},(209,317):{'3_1':0.03},(209,316):{'3_1':0.0},(209,312):{'3_1':0.0},(209,311):{'3_1':0.0},(209,310):{'4_1':0.0},(209,309):{'3_1':0.0},(209,308):{'3_1':0.0},(209,307):{'3_1':0.0},(209,306):{'3_1':0.0},(209,305):{'3_1':0.0},(209,304):{'3_1':0.0,'4_1':0.0},(209,303):{'3_1':0.03},(209,301):{'3_1':0.0},(209,300):{'3_1':0.0},(209,297):{'3_1':0.0},(209,296):{'3_1':0.0},(209,294):{'3_1':0.0},(209,293):{'3_1':0.0},(209,292):{'3_1':0.0},(209,290):{'3_1':0.0},(209,289):{'3_1':0.0},(209,287):{'3_1':0.0},(209,284):{'3_1':0.0},(209,241):{'3_1':0.0},(209,238):{'3_1':0.0},(210,727):{'5_2':0.18,'6_1':0.18,'3_1':0.06,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(210,726):{'6_1':0.21,'5_2':0.18,'4_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(210,725):{'5_2':0.3,'6_1':0.15,'3_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(210,724):{'5_2':0.21,'6_1':0.18,'3_1':0.03,'7_6':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(210,723):{'5_2':0.21,'6_1':0.15,'3_1':0.03,'7_5':0.03,'5_1':0.0,'-3':0.0,'8_3':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(210,722):{'5_2':0.21,'6_1':0.15,'3_1':0.06,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(210,721):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'1':-0.03},(210,720):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'3_1#5_2':0.0},(210,719):{'6_1':0.21,'5_2':0.15,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(210,718):{'6_1':0.15,'5_2':0.15,'3_1':0.03,'7_5':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'6_2':0.0,'-3':0.0},(210,717):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(210,716):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_1':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0},(210,715):{'5_2':0.21,'6_1':0.09,'4_1':0.09,'3_1':0.06,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0},(210,714):{'6_1':0.21,'5_2':0.15,'3_1':0.06,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(210,713):{'5_2':0.18,'6_1':0.15,'4_1':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'5_1':0.0,'7_7':0.0,'8_11':0.0},(210,712):{'5_2':0.21,'6_1':0.15,'3_1':0.06,'7_4':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(210,711):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'-3':0.03,'4_1':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'8_6':0.0,'5_1':0.0,'8_15':0.0},(210,710):{'5_2':0.12,'3_1':0.12,'6_1':0.12,'7_5':0.06,'8_6':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(210,709):{'3_1':0.12,'6_1':0.12,'7_5':0.06,'-3':0.06,'5_2':0.03,'5_1':0.03,'6_2':0.03,'4_1':0.0,'8_6':0.0,'7_3':0.0,'7_6':0.0},(210,708):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_3':0.0},(210,707):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(210,706):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_5':0.0,'8_3':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(210,705):{'6_1':0.18,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(210,704):{'5_2':0.18,'6_1':0.15,'4_1':0.06,'3_1':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'3_1#5_2':0.0},(210,703):{'6_1':0.12,'5_2':0.12,'4_1':0.09,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(210,702):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(210,701):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_14':0.0},(210,700):{'5_2':0.21,'6_1':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(210,699):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(210,698):{'5_2':0.21,'6_1':0.15,'4_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0,'7_6':0.0},(210,697):{'5_2':0.24,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_2':0.03,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(210,696):{'5_2':0.18,'6_1':0.12,'3_1':0.09,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'7_2':0.0},(210,695):{'5_2':0.24,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(210,694):{'5_2':0.21,'6_1':0.09,'3_1':0.06,'7_3':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(210,693):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(210,692):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.03,'7_4':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(210,691):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(210,690):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(210,689):{'6_1':0.12,'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(210,688):{'5_2':0.15,'4_1':0.09,'6_1':0.09,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_4':0.0,'8_8':0.0,'8_14':0.0},(210,687):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0},(210,686):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(210,685):{'3_1':0.15,'5_2':0.12,'4_1':0.12,'6_1':0.09,'7_6':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(210,684):{'3_1':0.12,'4_1':0.09,'6_1':0.09,'5_2':0.06,'7_3':0.03,'-3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_15':0.0},(210,683):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(210,682):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0,'8_19':0.0,'-3':0.0},(210,681):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(210,680):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(210,679):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_1':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0},(210,678):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_2':0.0},(210,677):{'3_1':0.15,'4_1':0.12,'5_2':0.12,'6_1':0.06,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(210,676):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(210,675):{'4_1':0.15,'5_2':0.15,'3_1':0.12,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(210,674):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(210,673):{'3_1':0.21,'4_1':0.15,'5_2':0.12,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(210,672):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'-3':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(210,671):{'4_1':0.21,'3_1':0.15,'5_2':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(210,670):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'7_4':0.03,'6_1':0.0,'7_3':0.0,'8_3':0.0,'8_19':0.0},(210,669):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0},(210,668):{'4_1':0.24,'3_1':0.18,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(210,667):{'4_1':0.21,'3_1':0.18,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(210,666):{'4_1':0.18,'3_1':0.18,'5_2':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0},(210,665):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(210,664):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(210,663):{'4_1':0.18,'3_1':0.15,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(210,662):{'3_1':0.18,'4_1':0.18,'5_2':0.12,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0},(210,661):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0},(210,660):{'4_1':0.21,'3_1':0.18,'6_1':0.03,'5_2':0.03,'7_6':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(210,659):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0},(210,658):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(210,657):{'3_1':0.3,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0,'8_19':0.0},(210,656):{'3_1':0.24,'4_1':0.15,'5_2':0.03,'6_2':0.0,'-3':0.0},(210,655):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(210,654):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(210,653):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_2':0.0},(210,652):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(210,651):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_3':0.0,'5_1':0.0,'6_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(210,650):{'3_1':0.24,'4_1':0.12,'5_1':0.06,'5_2':0.03},(210,649):{'3_1':0.27,'4_1':0.09,'5_2':0.06,'5_1':0.03},(210,648):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_3':0.0,'8_19':0.0},(210,647):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0},(210,646):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(210,645):{'3_1':0.18,'4_1':0.09,'5_1':0.06,'5_2':0.03,'7_3':0.0,'6_2':0.0,'7_1':0.0},(210,644):{'3_1':0.21,'4_1':0.09,'5_1':0.06,'5_2':0.06,'-3':0.0,'7_1':0.0},(210,643):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0},(210,642):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'8_2':0.0,'9_1':0.0},(210,641):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(210,640):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.03,'8_19':0.0,'7_5':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(210,639):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0},(210,638):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(210,637):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(210,636):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(210,635):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(210,634):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(210,633):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(210,632):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(210,631):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(210,630):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(210,629):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(210,628):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(210,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,626):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_6':0.0},(210,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(210,624):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(210,623):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_6':0.0},(210,622):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(210,621):{'4_1':0.06,'5_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0},(210,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(210,619):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(210,618):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_4':0.0},(210,617):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(210,616):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0},(210,615):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(210,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(210,612):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(210,611):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(210,610):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(210,609):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0},(210,608):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(210,607):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0},(210,606):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(210,605):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(210,604):{'3_1':0.12,'4_1':0.03},(210,603):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(210,602):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(210,601):{'3_1':0.06,'4_1':0.0},(210,600):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(210,599):{'3_1':0.06,'4_1':0.03},(210,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(210,597):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(210,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(210,595):{'3_1':0.03,'4_1':0.0},(210,594):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(210,593):{'3_1':0.03,'4_1':0.03},(210,592):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(210,591):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(210,590):{'3_1':0.03,'4_1':0.03},(210,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(210,588):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(210,587):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(210,586):{'3_1':0.09,'4_1':0.03},(210,585):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(210,584):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(210,583):{'3_1':0.09,'4_1':0.0},(210,582):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(210,581):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(210,580):{'3_1':0.03,'4_1':0.0},(210,579):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(210,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(210,577):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(210,576):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(210,575):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_6':0.0},(210,574):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(210,573):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(210,572):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0,'8_1':0.0},(210,571):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(210,570):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(210,569):{'3_1':0.03,'4_1':0.0},(210,568):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(210,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(210,566):{'3_1':0.03,'4_1':0.0},(210,565):{'3_1':0.09,'5_2':0.0},(210,564):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,563):{'3_1':0.09,'4_1':0.0},(210,562):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_1':0.0},(210,561):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(210,560):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(210,559):{'3_1':0.09,'4_1':0.0},(210,558):{'3_1':0.06,'5_1':0.0},(210,557):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(210,556):{'3_1':0.09,'5_1':0.0},(210,555):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(210,554):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(210,553):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,552):{'3_1':0.03,'4_1':0.0},(210,551):{'3_1':0.09},(210,550):{'3_1':0.09,'4_1':0.0},(210,549):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(210,548):{'3_1':0.12,'4_1':0.03},(210,547):{'3_1':0.03,'4_1':0.0},(210,546):{'3_1':0.09,'4_1':0.0},(210,545):{'3_1':0.06,'4_1':0.0},(210,544):{'3_1':0.09,'4_1':0.0},(210,543):{'3_1':0.09,'4_1':0.0},(210,542):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(210,541):{'3_1':0.06,'4_1':0.03},(210,540):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(210,539):{'3_1':0.06,'4_1':0.0},(210,538):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(210,537):{'3_1':0.15},(210,536):{'3_1':0.09,'5_2':0.0},(210,535):{'3_1':0.06,'4_1':0.0},(210,534):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(210,533):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(210,532):{'3_1':0.12,'4_1':0.0},(210,531):{'3_1':0.12},(210,530):{'3_1':0.12,'4_1':0.0},(210,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(210,528):{'3_1':0.12,'4_1':0.0},(210,527):{'3_1':0.09},(210,526):{'3_1':0.09,'5_1':0.0},(210,525):{'3_1':0.03,'4_1':0.0},(210,524):{'3_1':0.09},(210,523):{'3_1':0.12},(210,522):{'3_1':0.06,'6_3':0.0},(210,521):{'3_1':0.06},(210,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(210,519):{'3_1':0.09},(210,518):{'3_1':0.09,'4_1':0.0},(210,517):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(210,516):{'3_1':0.06,'4_1':0.0},(210,515):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(210,514):{'3_1':0.0},(210,513):{'3_1':0.03},(210,512):{'3_1':0.06,'5_2':0.0},(210,511):{'3_1':0.03,'4_1':0.0},(210,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(210,509):{'3_1':0.0,'5_2':0.0},(210,508):{'3_1':0.0},(210,507):{'3_1':0.03,'4_1':0.0},(210,506):{'3_1':0.0},(210,505):{'3_1':0.06},(210,504):{'3_1':0.03,'4_1':0.0},(210,503):{'3_1':0.03,'4_1':0.0},(210,502):{'3_1':0.0,'4_1':0.0},(210,501):{'3_1':0.03,'5_2':0.0},(210,500):{'3_1':0.0,'4_1':0.0},(210,499):{'3_1':0.0},(210,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(210,497):{'3_1':0.06},(210,496):{'3_1':0.0},(210,495):{'3_1':0.03,'4_1':0.0},(210,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(210,493):{'3_1':0.03,'4_1':0.0},(210,492):{'3_1':0.0,'5_1':0.0},(210,491):{'3_1':0.0,'4_1':0.0},(210,490):{'3_1':0.06,'4_1':0.0},(210,489):{'3_1':0.09,'4_1':0.03},(210,488):{'3_1':0.03},(210,487):{'3_1':0.09},(210,486):{'3_1':0.09},(210,485):{'3_1':0.06},(210,484):{'3_1':0.09,'5_2':0.0},(210,483):{'3_1':0.09},(210,482):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(210,481):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(210,480):{'3_1':0.12,'4_1':0.03},(210,479):{'3_1':0.12},(210,478):{'3_1':0.09,'7_1':0.0},(210,477):{'3_1':0.12,'4_1':0.0},(210,476):{'3_1':0.09},(210,475):{'3_1':0.09,'4_1':0.0},(210,474):{'3_1':0.09},(210,473):{'3_1':0.06,'4_1':0.0},(210,472):{'3_1':0.12},(210,471):{'3_1':0.09,'5_2':0.0},(210,470):{'3_1':0.03},(210,469):{'3_1':0.06},(210,468):{'3_1':0.06,'4_1':0.0},(210,467):{'3_1':0.03},(210,466):{'3_1':0.0,'4_1':0.0},(210,465):{'5_2':0.0},(210,464):{'3_1':0.06,'5_2':0.0},(210,463):{'3_1':0.0},(210,462):{'3_1':0.0},(210,461):{'3_1':0.0},(210,460):{'3_1':0.03},(210,459):{'3_1':0.0},(210,458):{'3_1':0.03,'5_2':0.0},(210,457):{'3_1':0.03},(210,456):{'3_1':0.06,'4_1':0.0},(210,455):{'3_1':0.0},(210,454):{'3_1':0.03},(210,453):{'3_1':0.03},(210,452):{'3_1':0.0},(210,451):{'3_1':0.0},(210,450):{'3_1':0.03,'4_1':0.0},(210,449):{'3_1':0.03,'7_1':0.0},(210,448):{'3_1':0.09},(210,447):{'3_1':0.0},(210,446):{'3_1':0.03},(210,445):{'3_1':0.06},(210,444):{'3_1':0.06},(210,443):{'3_1':0.0},(210,442):{'3_1':0.0,'4_1':0.0},(210,441):{'3_1':0.03},(210,440):{'3_1':0.0},(210,439):{'3_1':0.0},(210,438):{'3_1':0.0},(210,437):{'3_1':0.03},(210,436):{'3_1':0.03},(210,435):{'3_1':0.0},(210,434):{'3_1':0.03},(210,433):{'3_1':0.03,'5_1':0.0},(210,432):{'3_1':0.03},(210,431):{'3_1':0.03,'5_1':0.0},(210,430):{'3_1':0.0},(210,429):{'3_1':0.0},(210,428):{'3_1':0.06},(210,427):{'4_1':0.0},(210,426):{'3_1':0.03},(210,425):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(210,424):{'3_1':0.0},(210,423):{'3_1':0.0,'5_2':0.0},(210,422):{'3_1':0.03,'5_2':0.0},(210,421):{'3_1':0.0,'4_1':0.0},(210,420):{'3_1':0.03},(210,419):{'3_1':0.03,'4_1':0.0},(210,418):{'3_1':0.06,'4_1':0.0},(210,417):{'3_1':0.0,'5_2':0.0},(210,416):{'3_1':0.0},(210,415):{'3_1':0.03,'4_1':0.0},(210,414):{'3_1':0.0},(210,413):{'3_1':0.0,'4_1':0.0},(210,411):{'3_1':0.03},(210,410):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(210,409):{'3_1':0.0},(210,408):{'3_1':0.03},(210,407):{'4_1':0.0},(210,406):{'3_1':0.0},(210,405):{'3_1':0.0},(210,404):{'3_1':0.0},(210,403):{'3_1':0.0},(210,402):{'3_1':0.03},(210,401):{'3_1':0.0},(210,399):{'3_1':0.0},(210,398):{'3_1':0.0},(210,397):{'3_1':0.0},(210,396):{'3_1':0.03},(210,395):{'3_1':0.0},(210,394):{'3_1':0.0},(210,393):{'3_1':0.0},(210,392):{'3_1':0.0},(210,391):{'3_1':0.0},(210,390):{'3_1':0.0},(210,389):{'3_1':0.0,'4_1':0.0},(210,387):{'4_1':0.0,'5_1':0.0},(210,385):{'3_1':0.0},(210,383):{'3_1':0.0},(210,381):{'3_1':0.0,'5_1':0.0},(210,380):{'3_1':0.03,'5_1':0.0},(210,379):{'3_1':0.0},(210,378):{'3_1':0.0,'5_1':0.0},(210,377):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(210,375):{'3_1':0.0},(210,374):{'3_1':0.0},(210,373):{'3_1':0.0},(210,372):{'3_1':0.0},(210,371):{'3_1':0.0},(210,370):{'3_1':0.0},(210,369):{'3_1':0.0,'5_2':0.0},(210,368):{'3_1':0.0},(210,367):{'3_1':0.0},(210,366):{'3_1':0.0},(210,364):{'9_1':0.0},(210,362):{'5_1':0.0,'3_1':0.0},(210,359):{'3_1':0.0},(210,357):{'3_1':0.0},(210,355):{'3_1':0.0},(210,353):{'3_1':0.03},(210,352):{'3_1':0.0},(210,350):{'3_1':0.0},(210,348):{'3_1':0.0,'5_2':0.0},(210,347):{'3_1':0.0},(210,346):{'3_1':0.0,'4_1':0.0},(210,344):{'3_1':0.0},(210,335):{'3_1':0.0},(210,334):{'5_1':0.0},(210,332):{'3_1':0.0},(210,331):{'3_1':0.0,'4_1':0.0},(210,329):{'3_1':0.0},(210,326):{'3_1':0.03,'4_1':0.0},(210,325):{'3_1':0.0,'5_1':0.0},(210,324):{'3_1':0.0},(210,322):{'3_1':0.0,'5_1':0.0},(210,321):{'3_1':0.0},(210,320):{'3_1':0.0},(210,319):{'3_1':0.0},(210,318):{'3_1':0.0},(210,316):{'3_1':0.0},(210,315):{'3_1':0.0},(210,313):{'3_1':0.0},(210,312):{'3_1':0.0},(210,311):{'3_1':0.0},(210,310):{'3_1':0.0},(210,308):{'3_1':0.0},(210,307):{'3_1':0.0},(210,306):{'3_1':0.0},(210,304):{'3_1':0.0},(210,303):{'3_1':0.0},(210,300):{'3_1':0.0},(210,298):{'3_1':0.0},(210,294):{'3_1':0.0},(210,293):{'4_1':0.0},(210,292):{'3_1':0.0},(210,290):{'3_1':0.0},(210,287):{'3_1':0.0},(210,286):{'3_1':0.0},(210,283):{'4_1':0.0},(210,276):{'4_1':0.0},(210,270):{'3_1':0.0},(210,264):{'3_1':0.0,'4_1':0.0},(210,241):{'3_1':0.0},(210,239):{'3_1':0.0},(210,238):{'3_1':0.0},(210,237):{'3_1':0.0},(210,235):{'3_1':0.0,'5_2':0.0},(211,727):{'5_2':0.24,'6_1':0.18,'3_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(211,726):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'7_6':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(211,725):{'6_1':0.27,'5_2':0.15,'4_1':0.03,'3_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(211,724):{'6_1':0.21,'5_2':0.18,'3_1':0.06,'-3':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'7_1':0.0,'7_5':0.0,'8_6':0.0},(211,723):{'6_1':0.18,'5_2':0.15,'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(211,722):{'6_1':0.21,'5_2':0.21,'7_2':0.06,'3_1':0.03,'7_4':0.0,'8_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(211,721):{'6_1':0.3,'5_2':0.12,'3_1':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0,'8_12':0.0},(211,720):{'6_1':0.21,'5_2':0.18,'3_1':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(211,719):{'6_1':0.24,'5_2':0.15,'4_1':0.06,'5_1':0.0,'-3':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(211,718):{'6_1':0.21,'5_2':0.12,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(211,717):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_1':0.0,'8_6':0.0,'8_17':0.0},(211,716):{'5_2':0.21,'6_1':0.18,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_11':0.0},(211,715):{'6_1':0.18,'5_2':0.09,'3_1':0.09,'-3':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0},(211,714):{'5_2':0.24,'6_1':0.21,'3_1':0.0,'7_4':0.0,'-3':0.0,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(211,713):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'7_4':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0,'8_12':0.0},(211,712):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(211,711):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.03,'5_1':0.0,'8_6':0.0,'6_2':0.0,'7_5':0.0},(211,710):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0,'1':-0.03},(211,709):{'6_1':0.18,'5_2':0.15,'-3':0.09,'3_1':0.06,'7_5':0.06,'8_6':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_1':0.0},(211,708):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'8_6':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(211,707):{'6_1':0.21,'5_2':0.15,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'8_19':0.0},(211,706):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(211,705):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(211,704):{'5_2':0.27,'6_1':0.15,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(211,703):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_4':0.0,'8_12':0.0,'-3':0.0},(211,702):{'5_2':0.21,'6_1':0.09,'4_1':0.03,'3_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'7_4':0.0},(211,701):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(211,700):{'6_1':0.15,'4_1':0.12,'5_2':0.09,'3_1':0.09,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0},(211,699):{'5_2':0.15,'3_1':0.09,'6_1':0.09,'4_1':0.09,'7_5':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(211,698):{'5_2':0.15,'6_1':0.15,'4_1':0.03,'7_4':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_13':0.0,'-3':0.0},(211,697):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'7_2':0.03,'4_1':0.03,'7_3':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0},(211,696):{'5_2':0.21,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(211,695):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(211,694):{'6_1':0.18,'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(211,693):{'3_1':0.12,'6_1':0.12,'5_2':0.12,'4_1':0.09,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_12':0.0},(211,692):{'5_2':0.12,'3_1':0.12,'6_1':0.09,'4_1':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(211,691):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(211,690):{'6_1':0.15,'4_1':0.12,'5_2':0.12,'3_1':0.09,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(211,689):{'5_2':0.21,'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(211,688):{'5_2':0.09,'6_1':0.09,'3_1':0.09,'4_1':0.06,'7_3':0.03,'7_4':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(211,687):{'3_1':0.12,'5_2':0.12,'6_1':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(211,686):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.09,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(211,685):{'5_2':0.21,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(211,684):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(211,683):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(211,682):{'3_1':0.18,'4_1':0.15,'5_2':0.15,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(211,681):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_1':0.03,'7_4':0.0,'6_2':0.0,'8_6':0.0},(211,680):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(211,679):{'3_1':0.18,'4_1':0.15,'6_1':0.06,'5_2':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0},(211,678):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(211,677):{'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_2':0.06,'7_3':0.0,'8_8':0.0},(211,676):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(211,675):{'3_1':0.18,'4_1':0.15,'6_1':0.03,'5_2':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_14':0.0,'9_1':0.0},(211,674):{'4_1':0.24,'3_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(211,673):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'7_4':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(211,672):{'3_1':0.24,'4_1':0.21,'5_2':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0},(211,671):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0},(211,670):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(211,669):{'3_1':0.18,'4_1':0.12,'6_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_2':0.0,'8_8':0.0,'-3':0.0},(211,668):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_3':0.0},(211,667):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'6_1':0.0,'5_1':0.0},(211,666):{'4_1':0.27,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_3':0.0},(211,665):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_3':0.0},(211,664):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(211,663):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_11':0.0},(211,662):{'3_1':0.18,'4_1':0.12,'5_2':0.12,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(211,661):{'4_1':0.21,'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_15':0.0},(211,660):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(211,659):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0},(211,658):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.06,'7_4':0.0,'-3':0.0},(211,657):{'3_1':0.18,'4_1':0.18,'5_2':0.09,'7_4':0.0,'5_1':0.0,'8_1':0.0},(211,656):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(211,655):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(211,654):{'3_1':0.24,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0},(211,653):{'3_1':0.24,'4_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(211,652):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(211,651):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(211,650):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_1':0.0},(211,649):{'3_1':0.24,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0},(211,648):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_3':0.0},(211,647):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(211,646):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(211,645):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(211,644):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(211,643):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(211,642):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(211,641):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(211,640):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(211,639):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'9_1':0.0,'5_1':0.0,'6_1':0.0},(211,638):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(211,637):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(211,636):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(211,635):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(211,634):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(211,633):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0},(211,632):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0},(211,631):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(211,630):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(211,629):{'3_1':0.09,'5_2':0.03,'6_2':0.0,'4_1':0.0},(211,628):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(211,627):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(211,626):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(211,625):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(211,624):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(211,623):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(211,622):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_3':0.0},(211,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(211,620):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0},(211,619):{'3_1':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(211,618):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(211,617):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(211,616):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(211,615):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(211,614):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(211,613):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(211,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(211,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(211,610):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(211,609):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_7':0.0},(211,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(211,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,606):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(211,605):{'4_1':0.06,'3_1':0.03,'6_1':0.0},(211,604):{'4_1':0.06,'3_1':0.06,'5_1':0.0},(211,603):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(211,602):{'4_1':0.03,'5_2':0.0,'3_1':0.0,'5_1':0.0},(211,601):{'3_1':0.03,'4_1':0.0},(211,600):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(211,599):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(211,598):{'3_1':0.0,'4_1':0.0},(211,597):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(211,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(211,595):{'3_1':0.06,'4_1':0.03},(211,594):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(211,593):{'3_1':0.03,'4_1':0.03},(211,592):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(211,591):{'3_1':0.06,'4_1':0.03,'7_6':0.0},(211,590):{'3_1':0.03,'4_1':0.0},(211,589):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,588):{'3_1':0.09,'4_1':0.0},(211,587):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(211,586):{'4_1':0.06,'3_1':0.03},(211,585):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(211,584):{'3_1':0.09,'4_1':0.03},(211,583):{'3_1':0.06,'4_1':0.0},(211,582):{'3_1':0.06,'4_1':0.0},(211,581):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(211,580):{'3_1':0.03,'4_1':0.0},(211,579):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(211,578):{'3_1':0.06,'4_1':0.03},(211,577):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(211,576):{'3_1':0.06,'4_1':0.03},(211,575):{'3_1':0.06},(211,574):{'3_1':0.06,'4_1':0.0},(211,573):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,572):{'3_1':0.03,'5_1':0.0},(211,571):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(211,570):{'3_1':0.03},(211,569):{'3_1':0.03,'5_2':0.0},(211,568):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(211,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(211,566):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(211,565):{'3_1':0.06,'4_1':0.0},(211,564):{'3_1':0.09,'4_1':0.0},(211,563):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,562):{'4_1':0.0,'3_1':0.0},(211,561):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_1':0.0},(211,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,559):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(211,558):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(211,557):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(211,556):{'3_1':0.06,'4_1':0.0},(211,555):{'3_1':0.06,'4_1':0.0},(211,554):{'3_1':0.12,'6_1':0.0},(211,553):{'3_1':0.12,'5_2':0.0},(211,552):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(211,551):{'3_1':0.06,'4_1':0.0},(211,550):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(211,549):{'3_1':0.09,'5_2':0.0},(211,548):{'3_1':0.09},(211,547):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(211,546):{'3_1':0.12},(211,545):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(211,544):{'3_1':0.06,'4_1':0.0},(211,543):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(211,542):{'3_1':0.06,'4_1':0.0},(211,541):{'3_1':0.06,'4_1':0.0},(211,540):{'3_1':0.12,'4_1':0.0},(211,539):{'3_1':0.09,'4_1':0.0},(211,538):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(211,537):{'3_1':0.09},(211,536):{'3_1':0.09,'5_2':0.0},(211,535):{'3_1':0.06,'5_2':0.0},(211,534):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(211,533):{'3_1':0.18,'4_1':0.0,'8_13':0.0},(211,532):{'3_1':0.09,'4_1':0.0},(211,531):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(211,530):{'3_1':0.12},(211,529):{'3_1':0.12,'5_2':0.0},(211,528):{'3_1':0.12,'4_1':0.0},(211,527):{'3_1':0.06,'4_1':0.0},(211,526):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(211,525):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(211,523):{'3_1':0.09,'5_2':0.0},(211,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(211,521):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(211,520):{'3_1':0.06,'5_2':0.0},(211,519):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(211,518):{'3_1':0.06,'5_2':0.0},(211,517):{'3_1':0.06},(211,516):{'3_1':0.06,'4_1':0.0},(211,515):{'3_1':0.06,'4_1':0.0},(211,514):{'3_1':0.0,'4_1':0.0},(211,513):{'3_1':0.0,'5_2':0.0},(211,512):{'3_1':0.0,'4_1':0.0},(211,511):{'3_1':0.03,'4_1':0.0},(211,510):{'3_1':0.03},(211,509):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(211,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,507):{'3_1':0.06,'4_1':0.0},(211,506):{'3_1':0.03},(211,505):{'3_1':0.03,'4_1':0.0},(211,504):{'3_1':0.0,'4_1':0.0},(211,503):{'3_1':0.03,'5_2':0.0},(211,502):{'3_1':0.03},(211,501):{'3_1':0.03},(211,500):{'3_1':0.0,'4_1':0.0},(211,499):{'3_1':0.03,'4_1':0.0},(211,498):{'3_1':0.03},(211,497):{'3_1':0.0,'4_1':0.0},(211,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,495):{'3_1':0.06,'4_1':0.0},(211,494):{'3_1':0.09},(211,493):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(211,492):{'3_1':0.09},(211,491):{'3_1':0.03},(211,490):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(211,489):{'3_1':0.06},(211,488):{'3_1':0.06},(211,487):{'3_1':0.06,'4_1':0.0},(211,486):{'3_1':0.12,'5_2':0.0},(211,485):{'3_1':0.06,'4_1':0.0},(211,484):{'3_1':0.09,'5_2':0.0},(211,483):{'3_1':0.06},(211,482):{'3_1':0.12,'5_2':0.0,'9_1':0.0},(211,481):{'3_1':0.12,'4_1':0.0},(211,480):{'3_1':0.09,'4_1':0.0},(211,479):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(211,478):{'3_1':0.12,'4_1':0.0},(211,477):{'3_1':0.15,'5_2':0.0},(211,476):{'3_1':0.06,'5_1':0.0},(211,475):{'3_1':0.12},(211,474):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(211,473):{'3_1':0.06,'4_1':0.0},(211,472):{'3_1':0.06,'5_2':0.0},(211,471):{'3_1':0.06,'5_2':0.0},(211,470):{'3_1':0.03,'4_1':0.0},(211,469):{'3_1':0.03,'5_2':0.0},(211,468):{'3_1':0.03,'5_2':0.0},(211,467):{'3_1':0.06},(211,466):{'3_1':0.03,'5_2':0.0},(211,465):{'3_1':0.0,'5_1':0.0},(211,464):{'3_1':0.03,'4_1':0.0},(211,463):{'3_1':0.06,'5_2':0.0},(211,462):{'3_1':0.03},(211,461):{'3_1':0.03,'4_1':0.0},(211,460):{'3_1':0.0},(211,459):{'3_1':0.0},(211,458):{'3_1':0.03},(211,457):{'3_1':0.03},(211,456):{'3_1':0.03},(211,455):{'3_1':0.03},(211,454):{'3_1':0.06,'4_1':0.0},(211,453):{'3_1':0.06},(211,452):{'3_1':0.03},(211,451):{'3_1':0.03,'4_1':0.0},(211,450):{'3_1':0.03},(211,449):{'3_1':0.03},(211,448):{'3_1':0.03},(211,447):{'3_1':0.09,'4_1':0.0},(211,446):{'3_1':0.06},(211,445):{'3_1':0.09},(211,444):{'3_1':0.03,'4_1':0.0},(211,443):{'3_1':0.0},(211,442):{'3_1':0.03,'4_1':0.0},(211,441):{'3_1':0.06},(211,440):{'3_1':0.0},(211,439):{'3_1':0.0},(211,438):{'3_1':0.0},(211,437):{'3_1':0.0},(211,436):{'3_1':0.03},(211,435):{'3_1':0.06},(211,434):{'3_1':0.0},(211,433):{'3_1':0.03,'5_2':0.0},(211,432):{'3_1':0.03},(211,431):{'3_1':0.03},(211,430):{'3_1':0.03},(211,429):{'3_1':0.06,'4_1':0.0},(211,428):{'3_1':0.0},(211,427):{'3_1':0.03},(211,426):{'3_1':0.03},(211,425):{'3_1':0.03},(211,424):{'3_1':0.0,'5_2':0.0},(211,423):{'3_1':0.03,'4_1':0.0},(211,422):{'3_1':0.0},(211,421):{'3_1':0.0},(211,420):{'3_1':0.0},(211,419):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(211,418):{'3_1':0.03,'4_1':0.0},(211,417):{'3_1':0.03},(211,416):{'3_1':0.03,'5_1':0.0},(211,415):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(211,413):{'3_1':0.0,'7_2':0.0},(211,412):{'3_1':0.0,'4_1':0.0},(211,411):{'3_1':0.0,'5_1':0.0},(211,410):{'3_1':0.03},(211,409):{'3_1':0.0},(211,408):{'3_1':0.0},(211,407):{'3_1':0.0},(211,406):{'3_1':0.03},(211,404):{'3_1':0.0},(211,403):{'3_1':0.0},(211,402):{'3_1':0.0},(211,401):{'3_1':0.0},(211,400):{'3_1':0.0},(211,399):{'3_1':0.0},(211,398):{'3_1':0.0},(211,397):{'3_1':0.0},(211,396):{'3_1':0.0},(211,395):{'3_1':0.0,'4_1':0.0},(211,394):{'3_1':0.0},(211,393):{'3_1':0.0},(211,392):{'3_1':0.0},(211,391):{'3_1':0.03},(211,390):{'3_1':0.0},(211,389):{'3_1':0.0},(211,388):{'3_1':0.0},(211,387):{'3_1':0.0},(211,386):{'3_1':0.0},(211,385):{'3_1':0.03},(211,384):{'3_1':0.0},(211,383):{'3_1':0.0,'7_1':0.0},(211,382):{'3_1':0.0},(211,381):{'3_1':0.0,'5_1':0.0},(211,380):{'3_1':0.03},(211,379):{'3_1':0.03,'4_1':0.0},(211,378):{'3_1':0.0},(211,377):{'3_1':0.03},(211,376):{'3_1':0.0,'5_1':0.0},(211,375):{'3_1':0.0},(211,374):{'3_1':0.0,'4_1':0.0},(211,373):{'3_1':0.03},(211,372):{'3_1':0.0},(211,371):{'3_1':0.0,'7_1':0.0},(211,370):{'3_1':0.0},(211,369):{'3_1':0.0},(211,368):{'3_1':0.0},(211,367):{'3_1':0.0},(211,366):{'3_1':0.03},(211,365):{'3_1':0.0,'9_1':0.0},(211,364):{'3_1':0.0},(211,363):{'3_1':0.0,'4_1':0.0},(211,356):{'5_1':0.0},(211,355):{'5_2':0.0},(211,353):{'3_1':0.0},(211,352):{'3_1':0.0},(211,350):{'3_1':0.0},(211,348):{'3_1':0.0,'4_1':0.0},(211,347):{'3_1':0.0},(211,345):{'3_1':0.0},(211,343):{'3_1':0.0,'4_1':0.0},(211,336):{'3_1':0.0},(211,335):{'3_1':0.0},(211,332):{'3_1':0.0,'4_1':0.0},(211,331):{'3_1':0.0},(211,330):{'3_1':0.0},(211,329):{'3_1':0.0},(211,328):{'5_1':0.0},(211,326):{'3_1':0.03},(211,325):{'3_1':0.0},(211,324):{'3_1':0.0},(211,323):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(211,322):{'3_1':0.0},(211,321):{'3_1':0.0},(211,319):{'4_1':0.0},(211,318):{'4_1':0.0},(211,317):{'3_1':0.0},(211,316):{'3_1':0.0},(211,314):{'3_1':0.0,'4_1':0.0},(211,311):{'5_1':0.0},(211,310):{'3_1':0.0,'4_1':0.0},(211,309):{'3_1':0.0},(211,308):{'3_1':0.0},(211,307):{'3_1':0.03},(211,304):{'3_1':0.0},(211,303):{'3_1':0.0},(211,301):{'3_1':0.0},(211,298):{'3_1':0.0},(211,297):{'3_1':0.0},(211,295):{'4_1':0.0},(211,294):{'3_1':0.0},(211,293):{'3_1':0.0},(211,289):{'3_1':0.0},(211,288):{'3_1':0.0,'4_1':0.0},(211,287):{'3_1':0.0},(211,286):{'3_1':0.0},(211,284):{'4_1':0.0},(211,268):{'3_1':0.0},(211,267):{'4_1':0.0},(212,727):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.0,'7_2':0.0,'8_3':0.0,'5_1':0.0,'8_1':0.0},(212,726):{'6_1':0.3,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(212,725):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0,'8_12':0.0},(212,724):{'6_1':0.24,'5_2':0.12,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(212,723):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.03,'6_2':0.0,'7_3':0.0,'8_6':0.0},(212,722):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(212,721):{'6_1':0.15,'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0},(212,720):{'6_1':0.21,'5_2':0.18,'7_2':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(212,719):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0},(212,718):{'6_1':0.12,'5_2':0.12,'3_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(212,717):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_6':0.0},(212,716):{'5_2':0.18,'6_1':0.18,'3_1':0.03,'7_5':0.0,'-3':0.0,'4_1':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(212,715):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(212,714):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'3_1':0.0,'7_5':0.0,'8_12':0.0},(212,713):{'6_1':0.21,'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'7_7':0.0,'8_19':0.0},(212,712):{'6_1':0.18,'5_2':0.15,'4_1':0.03,'7_5':0.03,'3_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0},(212,711):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(212,710):{'5_2':0.18,'6_1':0.15,'3_1':0.09,'-3':0.06,'4_1':0.03,'7_5':0.03,'8_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_19':0.0},(212,709):{'6_1':0.21,'3_1':0.15,'5_2':0.09,'7_5':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0},(212,708):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(212,707):{'5_2':0.21,'4_1':0.09,'6_1':0.09,'-3':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0,'8_2':0.0,'8_6':0.0},(212,706):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.03,'-3':0.0,'7_5':0.0,'8_12':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(212,705):{'5_2':0.21,'6_1':0.12,'4_1':0.03,'7_4':0.03,'3_1':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_12':0.0,'-3':0.0},(212,704):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'7_3':0.03,'4_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'6_2':0.0,'7_5':0.0},(212,703):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_14':0.0},(212,702):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'7_4':0.0,'8_3':0.0,'7_2':0.0,'7_5':0.0},(212,701):{'5_2':0.18,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(212,700):{'5_2':0.18,'4_1':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(212,699):{'6_1':0.18,'4_1':0.12,'5_2':0.09,'3_1':0.03,'7_6':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(212,698):{'5_2':0.18,'6_1':0.18,'3_1':0.06,'7_2':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(212,697):{'6_1':0.18,'5_2':0.12,'3_1':0.09,'7_3':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(212,696):{'5_2':0.15,'6_1':0.15,'3_1':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(212,695):{'4_1':0.12,'5_2':0.12,'6_1':0.12,'7_3':0.06,'3_1':0.03,'-3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(212,694):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0},(212,693):{'5_2':0.18,'6_1':0.09,'3_1':0.09,'4_1':0.06,'7_3':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0,'9_1':0.0},(212,692):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.09,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(212,691):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(212,690):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0},(212,689):{'5_2':0.18,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0},(212,688):{'6_1':0.15,'4_1':0.12,'5_2':0.12,'3_1':0.09,'7_3':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0},(212,687):{'4_1':0.15,'5_2':0.12,'6_1':0.12,'3_1':0.09,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0,'8_11':0.0,'-3':0.0},(212,686):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(212,685):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(212,684):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.06,'7_3':0.0,'7_4':0.0,'8_12':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0,'8_15':0.0},(212,683):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(212,682):{'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_2':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(212,681):{'3_1':0.15,'4_1':0.15,'5_2':0.12,'6_1':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(212,680):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(212,679):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(212,678):{'4_1':0.21,'6_1':0.09,'3_1':0.06,'5_2':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(212,677):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(212,676):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0},(212,675):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(212,674):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0},(212,673):{'3_1':0.15,'4_1':0.15,'6_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(212,672):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.03,'7_2':0.0,'7_4':0.0},(212,671):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(212,670):{'4_1':0.24,'3_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(212,669):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.06,'7_4':0.0,'5_1':0.0},(212,668):{'3_1':0.21,'4_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(212,667):{'4_1':0.24,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0},(212,666):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(212,665):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.06,'7_6':0.0},(212,664):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(212,663):{'4_1':0.3,'3_1':0.12,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(212,662):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(212,661):{'4_1':0.24,'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'-3':0.0},(212,660):{'3_1':0.18,'4_1':0.18,'5_2':0.0,'6_1':0.0,'5_1':0.0},(212,659):{'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0},(212,658):{'3_1':0.15,'4_1':0.15,'5_2':0.12,'6_1':0.0,'7_7':0.0},(212,657):{'3_1':0.21,'4_1':0.21,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(212,656):{'3_1':0.3,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(212,655):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(212,654):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0},(212,653):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(212,652):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0},(212,651):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(212,650):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(212,649):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_13':0.0},(212,648):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'5_1':0.0,'-3':0.0},(212,647):{'3_1':0.21,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0},(212,646):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(212,645):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(212,644):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0},(212,643):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(212,642):{'3_1':0.09,'4_1':0.09,'5_1':0.06,'7_1':0.0,'8_2':0.0},(212,641):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(212,640):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0},(212,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0},(212,638):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(212,637):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(212,636):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(212,635):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0},(212,634):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(212,633):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(212,632):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(212,631):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(212,630):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(212,629):{'3_1':0.09,'5_1':0.03,'5_2':0.03,'4_1':0.0},(212,628):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(212,627):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(212,626):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0},(212,625):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(212,624):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(212,623):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(212,622):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(212,621):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(212,620):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(212,619):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(212,618):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(212,617):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_7':0.0},(212,616):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(212,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(212,614):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(212,613):{'3_1':0.12,'4_1':0.03},(212,612):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(212,611):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(212,610):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(212,609):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(212,608):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(212,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,606):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(212,605):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(212,604):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,603):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(212,602):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(212,600):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(212,599):{'4_1':0.03,'3_1':0.0},(212,598):{'3_1':0.06,'4_1':0.0},(212,597):{'3_1':0.06,'4_1':0.0},(212,596):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(212,595):{'3_1':0.03,'4_1':0.03},(212,594):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(212,593):{'3_1':0.03,'4_1':0.0},(212,592):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(212,591):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(212,590):{'3_1':0.09,'4_1':0.0},(212,589):{'3_1':0.06,'4_1':0.0},(212,588):{'3_1':0.03,'4_1':0.0},(212,587):{'3_1':0.09,'5_2':0.0},(212,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,585):{'3_1':0.06,'4_1':0.03},(212,584):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,583):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(212,582):{'3_1':0.0,'4_1':0.0},(212,581):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(212,580):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(212,579):{'3_1':0.03},(212,578):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,577):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,576):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,575):{'3_1':0.06,'5_2':0.0},(212,574):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(212,573):{'3_1':0.06,'4_1':0.0},(212,572):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(212,571):{'3_1':0.03},(212,570):{'3_1':0.06,'4_1':0.03},(212,569):{'3_1':0.06,'4_1':0.0},(212,568):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(212,567):{'3_1':0.03,'4_1':0.0},(212,566):{'3_1':0.03,'4_1':0.0},(212,565):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,564):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(212,563):{'3_1':0.06,'6_1':0.0,'4_1':0.0},(212,562):{'3_1':0.06,'4_1':0.0},(212,561):{'3_1':0.09,'4_1':0.0},(212,560):{'3_1':0.06},(212,559):{'3_1':0.09,'4_1':0.0},(212,558):{'3_1':0.09,'4_1':0.0},(212,557):{'3_1':0.06},(212,556):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(212,555):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(212,554):{'3_1':0.09,'4_1':0.0},(212,553):{'3_1':0.09,'5_2':0.0},(212,552):{'3_1':0.09},(212,551):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,550):{'3_1':0.09,'4_1':0.0},(212,549):{'3_1':0.06,'5_2':0.0},(212,548):{'3_1':0.06},(212,547):{'3_1':0.09},(212,546):{'3_1':0.12,'5_2':0.0},(212,545):{'3_1':0.09,'4_1':0.0},(212,544):{'3_1':0.12},(212,543):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(212,542):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,541):{'3_1':0.03,'5_2':0.0},(212,540):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(212,539):{'3_1':0.12,'5_2':0.0},(212,538):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,537):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(212,536):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,535):{'3_1':0.12},(212,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(212,533):{'3_1':0.09,'5_2':0.0,'7_2':0.0},(212,532):{'3_1':0.09,'4_1':0.0},(212,531):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,530):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(212,529):{'3_1':0.09},(212,528):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(212,527):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(212,526):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(212,525):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(212,524):{'3_1':0.09,'5_1':0.0},(212,523):{'3_1':0.09,'4_1':0.0},(212,522):{'3_1':0.06,'4_1':0.0},(212,521):{'3_1':0.06,'4_1':0.0},(212,520):{'3_1':0.06,'5_2':0.0},(212,519):{'3_1':0.06,'5_2':0.0},(212,518):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(212,517):{'3_1':0.03,'5_2':0.0},(212,516):{'3_1':0.06},(212,515):{'3_1':0.0,'4_1':0.0},(212,514):{'3_1':0.06,'5_2':0.0},(212,513):{'3_1':0.03,'5_2':0.0},(212,512):{'3_1':0.09,'4_1':0.0},(212,511):{'3_1':0.03,'4_1':0.0},(212,510):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(212,509):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(212,508):{'3_1':0.03},(212,507):{'3_1':0.06,'4_1':0.0},(212,506):{'3_1':0.03,'7_2':0.0},(212,505):{'3_1':0.03,'4_1':0.0},(212,504):{'3_1':0.06,'4_1':0.0},(212,503):{'3_1':0.0},(212,502):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(212,501):{'3_1':0.0},(212,500):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,499):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(212,498):{'3_1':0.03,'4_1':0.0},(212,497):{'3_1':0.03},(212,496):{'3_1':0.03},(212,495):{'3_1':0.03},(212,494):{'3_1':0.06,'4_1':0.0},(212,493):{'3_1':0.09},(212,492):{'3_1':0.03,'5_2':0.0},(212,491):{'3_1':0.12},(212,490):{'3_1':0.06},(212,489):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(212,488):{'3_1':0.06,'5_2':0.0},(212,487):{'3_1':0.12,'4_1':0.0},(212,486):{'3_1':0.03},(212,485):{'3_1':0.06,'4_1':0.0},(212,484):{'3_1':0.09,'4_1':0.0},(212,483):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(212,482):{'3_1':0.09,'9_1':0.0},(212,481):{'3_1':0.09},(212,480):{'3_1':0.15,'4_1':0.0},(212,479):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(212,478):{'3_1':0.06},(212,477):{'3_1':0.06,'5_1':0.0},(212,476):{'3_1':0.09,'4_1':0.0},(212,475):{'3_1':0.06,'4_1':0.0},(212,474):{'3_1':0.09,'4_1':0.0},(212,473):{'3_1':0.03,'4_1':0.0},(212,472):{'3_1':0.09,'4_1':0.0},(212,471):{'3_1':0.06,'5_1':0.0},(212,470):{'3_1':0.06,'5_1':0.0},(212,469):{'3_1':0.03},(212,468):{'3_1':0.06,'5_2':0.0},(212,467):{'3_1':0.03},(212,466):{'3_1':0.06},(212,465):{'3_1':0.0,'4_1':0.0},(212,464):{'3_1':0.06,'5_1':0.0},(212,463):{'3_1':0.06},(212,462):{'3_1':0.0,'4_1':0.0},(212,461):{'3_1':0.06,'4_1':0.0},(212,460):{'3_1':0.03},(212,459):{'3_1':0.03,'4_1':0.0},(212,458):{'3_1':0.0,'4_1':0.0},(212,457):{'3_1':0.03},(212,456):{'3_1':0.0},(212,455):{'3_1':0.03,'4_1':0.0},(212,454):{'3_1':0.0,'4_1':0.0},(212,453):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(212,452):{'3_1':0.03},(212,451):{'3_1':0.0},(212,450):{'3_1':0.03,'4_1':0.0},(212,449):{'3_1':0.0,'4_1':0.0},(212,448):{'3_1':0.03},(212,447):{'3_1':0.0},(212,446):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(212,445):{'3_1':0.03},(212,444):{'3_1':0.03},(212,443):{'3_1':0.0},(212,442):{'3_1':0.0},(212,441):{'3_1':0.06},(212,440):{'3_1':0.03},(212,439):{'3_1':0.0},(212,438):{'3_1':0.0,'4_1':0.0},(212,437):{'3_1':0.03,'5_2':0.0},(212,436):{'3_1':0.0},(212,435):{'3_1':0.0},(212,434):{'3_1':0.03,'4_1':0.0},(212,433):{'3_1':0.03,'4_1':0.0},(212,432):{'3_1':0.03,'4_1':0.0},(212,431):{'3_1':0.03},(212,430):{'3_1':0.0},(212,429):{'3_1':0.03},(212,428):{'3_1':0.09},(212,427):{'3_1':0.03},(212,426):{'3_1':0.06,'4_1':0.0},(212,425):{'3_1':0.03},(212,424):{'3_1':0.03,'5_2':0.0},(212,423):{'3_1':0.06},(212,422):{'3_1':0.0,'4_1':0.0},(212,421):{'3_1':0.0,'4_1':0.0},(212,420):{'3_1':0.03,'4_1':0.0},(212,419):{'3_1':0.03,'4_1':0.0},(212,418):{'3_1':0.03},(212,417):{'3_1':0.0},(212,416):{'3_1':0.03},(212,415):{'3_1':0.03,'5_1':0.0},(212,414):{'3_1':0.0,'4_1':0.0},(212,413):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(212,412):{'3_1':0.03,'4_1':0.0},(212,411):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(212,410):{'3_1':0.0},(212,409):{'3_1':0.0},(212,408):{'3_1':0.03,'4_1':0.0},(212,407):{'3_1':0.03},(212,406):{'3_1':0.0},(212,405):{'3_1':0.0},(212,404):{'3_1':0.03},(212,403):{'3_1':0.0},(212,402):{'3_1':0.03},(212,401):{'3_1':0.0},(212,400):{'3_1':0.0},(212,399):{'3_1':0.03},(212,398):{'3_1':0.03},(212,397):{'3_1':0.0},(212,396):{'3_1':0.0,'4_1':0.0},(212,395):{'3_1':0.0},(212,394):{'3_1':0.0},(212,393):{'3_1':0.0},(212,391):{'3_1':0.0},(212,390):{'3_1':0.0},(212,388):{'4_1':0.0},(212,387):{'3_1':0.0},(212,386):{'3_1':0.0},(212,385):{'3_1':0.03},(212,384):{'3_1':0.03,'5_2':0.0},(212,383):{'3_1':0.0,'5_1':0.0},(212,382):{'3_1':0.0},(212,381):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(212,380):{'3_1':0.0},(212,379):{'3_1':0.03},(212,378):{'3_1':0.03,'5_1':0.0},(212,377):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(212,376):{'3_1':0.03,'5_1':0.0},(212,375):{'3_1':0.0,'6_2':0.0},(212,374):{'3_1':0.0},(212,373):{'3_1':0.0,'6_1':0.0},(212,371):{'3_1':0.0,'5_1':0.0},(212,370):{'6_1':0.0},(212,367):{'3_1':0.0},(212,366):{'3_1':0.0,'9_1':0.0},(212,363):{'3_1':0.0},(212,362):{'5_1':0.0},(212,361):{'3_1':0.0},(212,359):{'5_1':0.0,'4_1':0.0},(212,358):{'3_1':0.0},(212,356):{'3_1':0.0},(212,355):{'3_1':0.0},(212,354):{'3_1':0.0},(212,352):{'3_1':0.0},(212,349):{'3_1':0.0},(212,348):{'3_1':0.0},(212,347):{'3_1':0.0},(212,346):{'3_1':0.0},(212,344):{'3_1':0.0,'4_1':0.0},(212,341):{'3_1':0.0},(212,340):{'3_1':0.0},(212,338):{'3_1':0.06},(212,335):{'3_1':0.0},(212,333):{'3_1':0.0},(212,332):{'3_1':0.0},(212,331):{'3_1':0.0},(212,329):{'3_1':0.0},(212,328):{'3_1':0.0,'4_1':0.0},(212,326):{'3_1':0.0},(212,325):{'4_1':0.0},(212,324):{'3_1':0.0},(212,323):{'3_1':0.0},(212,322):{'3_1':0.0},(212,321):{'3_1':0.0},(212,320):{'3_1':0.0},(212,319):{'3_1':0.0},(212,316):{'3_1':0.0},(212,315):{'3_1':0.0},(212,314):{'3_1':0.0},(212,313):{'3_1':0.0},(212,311):{'3_1':0.0},(212,310):{'3_1':0.0},(212,309):{'3_1':0.0},(212,308):{'3_1':0.0,'4_1':0.0},(212,307):{'3_1':0.0},(212,306):{'3_1':0.0},(212,305):{'3_1':0.0},(212,304):{'3_1':0.0,'5_1':0.0},(212,303):{'3_1':0.0},(212,301):{'3_1':0.0},(212,300):{'3_1':0.0},(212,299):{'3_1':0.0},(212,296):{'3_1':0.0},(212,284):{'3_1':0.0},(212,269):{'3_1':0.0},(212,253):{'3_1':0.0},(212,249):{'3_1':0.0},(212,226):{'3_1':0.0,'5_2':0.0},(212,223):{'3_1':0.0},(212,219):{'3_1':0.0},(212,218):{'3_1':0.0,'4_1':0.0},(213,727):{'5_2':0.21,'6_1':0.21,'3_1':0.03,'4_1':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(213,726):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(213,725):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'7_4':0.03,'4_1':0.0,'8_6':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(213,724):{'6_1':0.24,'5_2':0.21,'3_1':0.06,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0},(213,723):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_6':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0},(213,722):{'6_1':0.21,'5_2':0.18,'4_1':0.03,'3_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'1':-0.03},(213,721):{'6_1':0.27,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(213,720):{'5_2':0.21,'6_1':0.18,'-3':0.03,'3_1':0.0,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(213,719):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'7_4':0.03,'7_6':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(213,718):{'6_1':0.18,'5_2':0.12,'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(213,717):{'5_2':0.21,'6_1':0.18,'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(213,716):{'5_2':0.21,'6_1':0.18,'4_1':0.03,'3_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(213,715):{'5_2':0.18,'6_1':0.15,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0,'8_6':0.0},(213,714):{'5_2':0.24,'6_1':0.09,'4_1':0.03,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(213,713):{'5_2':0.21,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.0,'8_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(213,712):{'5_2':0.18,'6_1':0.18,'3_1':0.09,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_2':0.0,'8_3':0.0,'8_6':0.0},(213,711):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0,'8_6':0.0},(213,710):{'5_2':0.15,'6_1':0.12,'3_1':0.12,'-3':0.03,'4_1':0.03,'7_5':0.03,'5_1':0.0,'8_6':0.0,'6_2':0.0,'8_2':0.0},(213,709):{'6_1':0.15,'3_1':0.12,'5_2':0.09,'7_5':0.09,'-3':0.03,'4_1':0.03,'8_6':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'1':-0.03},(213,708):{'6_1':0.18,'5_2':0.12,'3_1':0.09,'7_5':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_6':0.0},(213,707):{'6_1':0.18,'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.03,'7_6':0.0,'7_5':0.0,'8_2':0.0,'8_19':0.0,'-3':0.0},(213,706):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'-3':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0},(213,705):{'6_1':0.15,'5_2':0.15,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_4':0.0,'7_3':0.0,'7_2':0.0,'8_4':0.0},(213,704):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(213,703):{'5_2':0.15,'6_1':0.15,'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(213,702):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'7_5':0.0},(213,701):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(213,700):{'5_2':0.18,'6_1':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.03,'7_3':0.03,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(213,699):{'5_2':0.21,'3_1':0.12,'6_1':0.09,'-3':0.03,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0},(213,698):{'5_2':0.18,'6_1':0.18,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(213,697):{'5_2':0.15,'6_1':0.15,'3_1':0.09,'4_1':0.09,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_3':0.0,'6_2':0.0,'8_2':0.0,'8_11':0.0,'8_14':0.0},(213,696):{'5_2':0.12,'3_1':0.12,'6_1':0.09,'4_1':0.03,'-3':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0},(213,695):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(213,694):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_6':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(213,693):{'5_2':0.24,'6_1':0.15,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(213,692):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0,'-3':0.0},(213,691):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(213,690):{'6_1':0.15,'5_2':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_2':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0},(213,689):{'5_2':0.12,'6_1':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(213,688):{'4_1':0.15,'5_2':0.12,'6_1':0.12,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0},(213,687):{'5_2':0.18,'6_1':0.09,'3_1':0.09,'4_1':0.06,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_12':0.0},(213,686):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(213,685):{'4_1':0.15,'5_2':0.15,'3_1':0.09,'6_1':0.06,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(213,684):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.09,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(213,683):{'5_2':0.12,'6_1':0.09,'3_1':0.09,'4_1':0.09,'7_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(213,682):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0},(213,681):{'3_1':0.12,'6_1':0.12,'4_1':0.09,'5_2':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0},(213,680):{'4_1':0.21,'3_1':0.12,'6_1':0.09,'5_2':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0},(213,679):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(213,678):{'3_1':0.15,'4_1':0.12,'5_2':0.12,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0,'8_8':0.0,'-3':0.0},(213,677):{'3_1':0.24,'4_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.03,'8_3':0.03,'7_4':0.0},(213,676):{'3_1':0.21,'4_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(213,675):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0},(213,674):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(213,673):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0},(213,672):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(213,671):{'4_1':0.18,'3_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_3':0.0},(213,670):{'4_1':0.21,'3_1':0.09,'5_2':0.09,'5_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0},(213,669):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_13':0.0},(213,668):{'3_1':0.18,'4_1':0.18,'5_2':0.09,'7_4':0.0,'5_1':0.0},(213,667):{'4_1':0.18,'3_1':0.18,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(213,666):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(213,665):{'4_1':0.15,'3_1':0.15,'5_2':0.12,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(213,664):{'4_1':0.21,'3_1':0.18,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0,'5_1':0.0},(213,663):{'3_1':0.21,'5_2':0.12,'4_1':0.09,'6_3':0.0},(213,662):{'3_1':0.24,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(213,661):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.03},(213,660):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(213,659):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(213,658):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(213,657):{'3_1':0.21,'4_1':0.21,'5_2':0.0,'6_1':0.0,'7_4':0.0},(213,656):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_19':0.0},(213,655):{'4_1':0.21,'3_1':0.18,'5_2':0.06,'5_1':0.0,'6_1':0.0},(213,654):{'3_1':0.24,'4_1':0.21,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(213,653):{'4_1':0.21,'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_3':0.0},(213,652):{'4_1':0.18,'3_1':0.18,'5_2':0.03,'6_1':0.0,'6_3':0.0},(213,651):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'5_1':0.0},(213,650):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(213,649):{'3_1':0.18,'4_1':0.18,'5_1':0.0,'5_2':0.0},(213,648):{'3_1':0.24,'4_1':0.15,'5_2':0.09,'5_1':0.0,'-3':0.0},(213,647):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(213,646):{'3_1':0.21,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'7_4':0.0},(213,645):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(213,644):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(213,643):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_3':0.0,'8_2':0.0,'8_14':0.0},(213,642):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0},(213,641):{'3_1':0.18,'4_1':0.15,'5_1':0.09,'5_2':0.03,'7_6':0.0},(213,640):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(213,639):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_1':0.0,'7_6':0.0},(213,638):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(213,637):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(213,636):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(213,635):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0},(213,634):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(213,633):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_3':0.0},(213,632):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(213,631):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(213,630):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(213,629):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(213,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(213,627):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(213,626):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(213,625):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_2':0.0},(213,624):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(213,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(213,622):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(213,621):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(213,620):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0},(213,619):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(213,618):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'8_19':0.0},(213,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(213,616):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(213,615):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(213,614):{'4_1':0.09,'3_1':0.06},(213,613):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(213,612):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(213,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(213,610):{'4_1':0.06,'3_1':0.06,'7_3':0.0},(213,609):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(213,608):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(213,607):{'3_1':0.06,'4_1':0.06,'7_5':0.0},(213,606):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(213,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(213,604):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(213,603):{'4_1':0.03,'3_1':0.03},(213,602):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,601):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_3':0.0},(213,600):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(213,599):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(213,598):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(213,597):{'3_1':0.06,'4_1':0.03},(213,596):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(213,595):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(213,594):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,593):{'3_1':0.06,'8_20|3_1#3_1':0.0},(213,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,591):{'3_1':0.06,'4_1':0.0},(213,590):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(213,589):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(213,588):{'3_1':0.03,'4_1':0.0},(213,587):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(213,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,585):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(213,584):{'3_1':0.09,'4_1':0.0},(213,583):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(213,582):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(213,581):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,580):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,579):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(213,578):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,577):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0},(213,576):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(213,575):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(213,574):{'3_1':0.03,'4_1':0.0},(213,573):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(213,572):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(213,571):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,570):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(213,569):{'3_1':0.09,'4_1':0.0},(213,568):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(213,567):{'3_1':0.06,'4_1':0.0},(213,566):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(213,565):{'3_1':0.06,'4_1':0.0},(213,564):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,563):{'3_1':0.0,'5_2':0.0},(213,562):{'3_1':0.06},(213,561):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(213,560):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(213,559):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(213,558):{'3_1':0.03,'5_1':0.0},(213,557):{'3_1':0.03,'4_1':0.0},(213,556):{'3_1':0.09,'4_1':0.0},(213,555):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(213,554):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(213,553):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(213,552):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(213,551):{'3_1':0.03,'4_1':0.0},(213,550):{'3_1':0.09,'4_1':0.0},(213,549):{'3_1':0.06,'6_1':0.0},(213,548):{'3_1':0.03,'4_1':0.0},(213,547):{'3_1':0.09},(213,546):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(213,545):{'3_1':0.12},(213,544):{'3_1':0.06,'4_1':0.0},(213,543):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,542):{'3_1':0.09,'5_2':0.0},(213,541):{'3_1':0.09},(213,540):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(213,539):{'3_1':0.09},(213,538):{'3_1':0.03,'4_1':0.0},(213,537):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,536):{'3_1':0.18,'5_2':0.0},(213,535):{'3_1':0.06},(213,534):{'3_1':0.12,'4_1':0.0},(213,533):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(213,532):{'3_1':0.06,'5_2':0.0},(213,531):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(213,530):{'3_1':0.12},(213,529):{'3_1':0.03,'4_1':0.0},(213,528):{'3_1':0.12},(213,527):{'3_1':0.03,'4_1':0.0},(213,526):{'3_1':0.06,'4_1':0.0},(213,525):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,524):{'3_1':0.06,'5_2':0.0},(213,523):{'3_1':0.03,'4_1':0.0},(213,522):{'3_1':0.09,'5_1':0.0},(213,521):{'3_1':0.03},(213,520):{'3_1':0.09},(213,519):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,518):{'3_1':0.06,'4_1':0.0},(213,517):{'3_1':0.06,'4_1':0.0},(213,516):{'3_1':0.03},(213,515):{'3_1':0.06,'4_1':0.0},(213,514):{'3_1':0.0},(213,513):{'3_1':0.09,'4_1':0.0},(213,512):{'3_1':0.06},(213,511):{'3_1':0.03},(213,509):{'3_1':0.0},(213,508):{'3_1':0.03,'5_2':0.0},(213,507):{'3_1':0.0},(213,506):{'3_1':0.03},(213,505):{'3_1':0.0,'4_1':0.0},(213,504):{'3_1':0.03},(213,503):{'3_1':0.0},(213,502):{'3_1':0.0,'4_1':0.0},(213,501):{'3_1':0.03,'5_1':0.0},(213,500):{'3_1':0.03,'4_1':0.0},(213,499):{'3_1':0.0,'4_1':0.0},(213,498):{'3_1':0.03},(213,497):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,496):{'3_1':0.0},(213,495):{'3_1':0.03,'4_1':0.0},(213,494):{'3_1':0.06},(213,493):{'3_1':0.03,'4_1':0.0},(213,492):{'3_1':0.03,'4_1':0.0},(213,491):{'3_1':0.0,'4_1':0.0},(213,490):{'3_1':0.06,'4_1':0.0},(213,489):{'3_1':0.06,'4_1':0.0},(213,488):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,487):{'3_1':0.03,'4_1':0.0},(213,486):{'3_1':0.09},(213,485):{'3_1':0.06,'4_1':0.0},(213,484):{'3_1':0.15,'4_1':0.0,'8_7':0.0},(213,483):{'3_1':0.21},(213,482):{'3_1':0.06,'4_1':0.0},(213,481):{'3_1':0.12,'4_1':0.0},(213,480):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(213,479):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(213,478):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(213,477):{'3_1':0.09},(213,476):{'3_1':0.12},(213,475):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(213,474):{'3_1':0.09,'4_1':0.0},(213,473):{'3_1':0.12,'4_1':0.0},(213,472):{'3_1':0.09},(213,471):{'3_1':0.09,'4_1':0.0},(213,470):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(213,469):{'3_1':0.09,'4_1':0.0},(213,468):{'3_1':0.06},(213,467):{'3_1':0.06,'4_1':0.0},(213,466):{'3_1':0.0},(213,465):{'3_1':0.0},(213,464):{'3_1':0.03},(213,463):{'3_1':0.06,'4_1':0.0},(213,462):{'3_1':0.0},(213,461):{'3_1':0.03},(213,460):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(213,459):{'3_1':0.03},(213,458):{'3_1':0.03},(213,457):{'3_1':0.0},(213,456):{'3_1':0.0},(213,455):{'3_1':0.06,'4_1':0.0},(213,454):{'3_1':0.03},(213,453):{'3_1':0.06},(213,452):{'3_1':0.06},(213,451):{'3_1':0.03,'4_1':0.0},(213,450):{'3_1':0.03},(213,449):{'3_1':0.03,'4_1':0.0},(213,448):{'3_1':0.03},(213,447):{'3_1':0.06},(213,446):{'3_1':0.0,'4_1':0.0},(213,445):{'3_1':0.03,'4_1':0.0},(213,444):{'3_1':0.0},(213,443):{'3_1':0.0,'4_1':0.0},(213,442):{'3_1':0.0,'4_1':0.0},(213,441):{'3_1':0.06},(213,440):{'3_1':0.03,'6_2':0.0},(213,439):{'3_1':0.0,'4_1':0.0},(213,438):{'3_1':0.03,'4_1':0.0},(213,437):{'3_1':0.06},(213,436):{'3_1':0.03,'5_1':0.0},(213,435):{'3_1':0.03},(213,434):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(213,433):{'3_1':0.0,'5_1':0.0},(213,432):{'3_1':0.0,'4_1':0.0},(213,431):{'3_1':0.0},(213,430):{'3_1':0.03},(213,429):{'3_1':0.03},(213,428):{'3_1':0.03,'8_1':0.0},(213,427):{'3_1':0.03,'4_1':0.0},(213,426):{'3_1':0.06,'4_1':0.0},(213,425):{'3_1':0.03,'5_1':0.0},(213,424):{'3_1':0.06},(213,423):{'3_1':0.0,'5_2':0.0},(213,422):{'3_1':0.06},(213,421):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(213,420):{'3_1':0.06},(213,419):{'3_1':0.03},(213,418):{'3_1':0.0},(213,417):{'3_1':0.03},(213,416):{'3_1':0.0},(213,415):{'3_1':0.06,'4_1':0.0},(213,414):{'3_1':0.0},(213,413):{'3_1':0.0},(213,412):{'3_1':0.03},(213,411):{'3_1':0.0},(213,410):{'3_1':0.03},(213,409):{'3_1':0.0},(213,408):{'3_1':0.03},(213,407):{'3_1':0.0},(213,406):{'3_1':0.0},(213,405):{'3_1':0.0},(213,404):{'3_1':0.0},(213,402):{'3_1':0.0},(213,401):{'3_1':0.0},(213,400):{'3_1':0.0},(213,399):{'3_1':0.03,'5_1':0.0},(213,398):{'3_1':0.0},(213,397):{'3_1':0.03},(213,396):{'3_1':0.0},(213,394):{'3_1':0.03},(213,393):{'3_1':0.0},(213,392):{'3_1':0.0},(213,391):{'3_1':0.0},(213,390):{'3_1':0.0},(213,389):{'3_1':0.0},(213,388):{'3_1':0.0},(213,387):{'3_1':0.0},(213,386):{'3_1':0.03},(213,385):{'3_1':0.0},(213,384):{'3_1':0.0},(213,383):{'3_1':0.03},(213,382):{'3_1':0.0},(213,381):{'3_1':0.0},(213,380):{'3_1':0.0,'5_2':0.0},(213,379):{'5_2':0.0},(213,378):{'3_1':0.0,'7_1':0.0},(213,377):{'3_1':0.03},(213,376):{'3_1':0.0},(213,375):{'3_1':0.0},(213,374):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(213,373):{'3_1':0.0,'5_1':0.0},(213,372):{'3_1':0.0},(213,371):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(213,370):{'3_1':0.0},(213,369):{'3_1':0.03,'7_1':0.0},(213,368):{'3_1':0.0},(213,367):{'4_1':0.0},(213,366):{'3_1':0.0},(213,365):{'3_1':0.0,'9_1':0.0},(213,364):{'3_1':0.0},(213,363):{'3_1':0.0},(213,362):{'3_1':0.0},(213,360):{'3_1':0.0},(213,358):{'3_1':0.0},(213,354):{'3_1':0.0},(213,353):{'3_1':0.0},(213,352):{'3_1':0.0},(213,350):{'3_1':0.0},(213,349):{'3_1':0.0},(213,346):{'3_1':0.0},(213,344):{'3_1':0.0},(213,342):{'3_1':0.0},(213,341):{'3_1':0.0},(213,340):{'3_1':0.0},(213,338):{'3_1':0.03},(213,334):{'3_1':0.0},(213,333):{'3_1':0.0},(213,332):{'4_1':0.0},(213,331):{'3_1':0.0},(213,330):{'3_1':0.0},(213,329):{'3_1':0.0},(213,326):{'3_1':0.0},(213,325):{'3_1':0.0,'5_1':0.0},(213,324):{'3_1':0.0},(213,323):{'3_1':0.0},(213,321):{'3_1':0.0},(213,320):{'3_1':0.0},(213,318):{'3_1':0.0},(213,317):{'3_1':0.0},(213,316):{'5_2':0.0},(213,314):{'4_1':0.0},(213,313):{'3_1':0.0},(213,311):{'3_1':0.0},(213,310):{'3_1':0.0},(213,309):{'3_1':0.0},(213,308):{'3_1':0.0},(213,307):{'3_1':0.0},(213,306):{'3_1':0.0},(213,304):{'3_1':0.0},(213,303):{'3_1':0.0},(213,301):{'3_1':0.0},(213,300):{'3_1':0.0},(213,298):{'3_1':0.0},(213,293):{'3_1':0.0},(213,291):{'3_1':0.0},(213,287):{'4_1':0.0},(213,284):{'6_1':0.0},(213,267):{'3_1':0.0},(213,257):{'3_1':0.0},(213,253):{'3_1':0.0},(213,249):{'3_1':0.0},(214,727):{'6_1':0.24,'5_2':0.12,'4_1':0.03,'3_1':0.03,'5_1':0.0,'8_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(214,726):{'6_1':0.27,'5_2':0.18,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(214,725):{'6_1':0.21,'5_2':0.18,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(214,724):{'6_1':0.18,'5_2':0.15,'4_1':0.03,'-3':0.03,'3_1':0.0,'8_2':0.0,'8_6':0.0,'7_4':0.0,'7_5':0.0},(214,723):{'6_1':0.36,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(214,722):{'6_1':0.3,'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'8_3':0.0},(214,721):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.06,'-3':0.03,'6_2':0.0,'8_6':0.0,'8_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(214,720):{'6_1':0.21,'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_6':0.0},(214,719):{'6_1':0.24,'5_2':0.15,'4_1':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(214,718):{'6_1':0.24,'5_2':0.15,'3_1':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0,'8_19':0.0},(214,717):{'6_1':0.24,'5_2':0.12,'3_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0},(214,716):{'6_1':0.24,'5_2':0.12,'3_1':0.03,'-3':0.03,'8_1':0.0,'8_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(214,715):{'6_1':0.21,'5_2':0.21,'3_1':0.06,'4_1':0.06,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(214,714):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(214,713):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(214,712):{'6_1':0.18,'5_2':0.15,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(214,711):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'-3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(214,710):{'6_1':0.21,'3_1':0.12,'5_2':0.09,'7_5':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'8_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(214,709):{'3_1':0.12,'5_2':0.09,'7_5':0.09,'6_1':0.06,'4_1':0.06,'6_2':0.03,'8_6':0.03,'8_3':0.0,'-3':0.0,'5_1':0.0,'8_2':0.0},(214,708):{'6_1':0.21,'5_2':0.12,'3_1':0.09,'8_3':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(214,707):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0},(214,706):{'6_1':0.24,'4_1':0.09,'3_1':0.06,'5_2':0.06,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(214,705):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(214,704):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(214,703):{'5_2':0.21,'6_1':0.21,'4_1':0.06,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(214,702):{'6_1':0.24,'5_2':0.18,'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(214,701):{'5_2':0.18,'6_1':0.15,'4_1':0.12,'3_1':0.03,'-3':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(214,700):{'5_2':0.18,'6_1':0.12,'4_1':0.09,'3_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(214,699):{'5_2':0.18,'6_1':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'-3':0.0},(214,698):{'6_1':0.18,'5_2':0.18,'4_1':0.06,'3_1':0.03,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(214,697):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(214,696):{'5_2':0.15,'6_1':0.15,'4_1':0.09,'3_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(214,695):{'6_1':0.24,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_7':0.0},(214,694):{'6_1':0.27,'5_2':0.15,'3_1':0.09,'4_1':0.0,'7_4':0.0,'-3':0.0,'8_11':0.0},(214,693):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(214,692):{'5_2':0.15,'6_1':0.15,'3_1':0.12,'4_1':0.03,'7_3':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(214,691):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(214,690):{'6_1':0.21,'5_2':0.15,'3_1':0.12,'4_1':0.09,'7_3':0.0,'7_6':0.0},(214,689):{'3_1':0.12,'6_1':0.12,'5_2':0.12,'4_1':0.06,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(214,688):{'4_1':0.15,'6_1':0.15,'3_1':0.09,'5_2':0.09,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(214,687):{'6_1':0.18,'4_1':0.18,'5_2':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'8_6':0.0},(214,686):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.06,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(214,685):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(214,684):{'6_1':0.12,'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(214,683):{'4_1':0.21,'5_2':0.18,'6_1':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(214,682):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_3':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(214,681):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_8':0.0},(214,680):{'4_1':0.12,'6_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.03,'6_2':0.0,'7_2':0.0,'8_3':0.0},(214,679):{'4_1':0.21,'3_1':0.09,'6_1':0.09,'5_2':0.03,'7_4':0.0,'7_2':0.0,'8_3':0.0},(214,678):{'3_1':0.21,'4_1':0.12,'5_2':0.06,'6_1':0.06,'7_4':0.03,'5_1':0.0,'7_3':0.0},(214,677):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_3':0.0},(214,676):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(214,675):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.06,'7_2':0.0,'5_1':0.0},(214,674):{'4_1':0.18,'3_1':0.15,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(214,673):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'6_1':0.06,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(214,672):{'4_1':0.21,'3_1':0.15,'6_1':0.09,'5_2':0.03,'-3':0.0},(214,671):{'4_1':0.24,'3_1':0.12,'6_1':0.06,'5_2':0.03,'7_2':0.0,'7_4':0.0},(214,670):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'7_4':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(214,669):{'4_1':0.24,'3_1':0.12,'5_2':0.09,'6_1':0.03,'7_3':0.0},(214,668):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(214,667):{'4_1':0.24,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0},(214,666):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0},(214,665):{'4_1':0.15,'3_1':0.15,'5_2':0.09,'6_1':0.06,'7_1':0.0,'7_4':0.0},(214,664):{'4_1':0.24,'3_1':0.18,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(214,663):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(214,662):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_8':0.0},(214,661):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0},(214,660):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(214,659):{'3_1':0.18,'4_1':0.15,'5_2':0.09,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(214,658):{'4_1':0.18,'3_1':0.18,'6_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(214,657):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(214,656):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0},(214,655):{'3_1':0.24,'4_1':0.18,'5_2':0.03,'6_1':0.0},(214,654):{'4_1':0.21,'3_1':0.18,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_7':0.0},(214,653):{'3_1':0.27,'4_1':0.18,'5_2':0.03,'6_1':0.0,'6_3':0.0},(214,652):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'7_3':0.0},(214,651):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'5_1':0.0},(214,650):{'4_1':0.18,'3_1':0.18,'5_2':0.03,'5_1':0.0},(214,649):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0},(214,648):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(214,647):{'3_1':0.27,'4_1':0.18,'5_2':0.03,'5_1':0.0,'6_2':0.0},(214,646):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_3':0.0},(214,645):{'3_1':0.21,'4_1':0.12,'5_1':0.06,'5_2':0.0,'7_4':0.0,'6_1':0.0},(214,644):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(214,643):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.03,'6_3':0.0},(214,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(214,641):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(214,640):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(214,639):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(214,638):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0},(214,637):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0},(214,636):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_1':0.0},(214,635):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(214,634):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_6':0.0},(214,633):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_1':0.0},(214,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(214,631):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(214,630):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(214,629):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(214,628):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(214,627):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(214,626):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(214,625):{'3_1':0.06,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(214,624):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(214,623):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(214,622):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(214,621):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(214,620):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(214,619):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0,'-3':0.0},(214,618):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(214,617):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0},(214,616):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(214,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(214,614):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(214,613):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(214,612):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0},(214,611):{'3_1':0.06,'4_1':0.0},(214,610):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0},(214,609):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(214,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(214,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(214,606):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(214,605):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(214,604):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(214,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(214,602):{'3_1':0.03},(214,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(214,600):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(214,599):{'3_1':0.03,'4_1':0.0},(214,598):{'3_1':0.03,'4_1':0.0},(214,597):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(214,596):{'3_1':0.03,'4_1':0.0,'-3':0.0},(214,595):{'3_1':0.03,'4_1':0.03},(214,594):{'3_1':0.06,'4_1':0.0},(214,593):{'3_1':0.09},(214,592):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(214,591):{'3_1':0.03},(214,590):{'3_1':0.03,'4_1':0.03},(214,589):{'3_1':0.06,'4_1':0.03},(214,588):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(214,587):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(214,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(214,585):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(214,584):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(214,583):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(214,582):{'3_1':0.0,'4_1':0.0},(214,581):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(214,580):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(214,579):{'3_1':0.03,'4_1':0.0},(214,578):{'3_1':0.06,'4_1':0.0},(214,577):{'3_1':0.06,'4_1':0.0},(214,576):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(214,575):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_6':0.0},(214,574):{'3_1':0.03,'4_1':0.0},(214,573):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(214,572):{'3_1':0.0,'4_1':0.0},(214,571):{'3_1':0.09,'5_2':0.0},(214,570):{'3_1':0.03,'4_1':0.0},(214,569):{'3_1':0.03,'4_1':0.0},(214,568):{'3_1':0.09},(214,567):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(214,566):{'3_1':0.06,'4_1':0.0},(214,565):{'3_1':0.06,'4_1':0.0},(214,564):{'3_1':0.03,'4_1':0.0},(214,563):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(214,562):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(214,561):{'3_1':0.09},(214,560):{'3_1':0.06},(214,559):{'3_1':0.06,'4_1':0.0},(214,558):{'3_1':0.06,'4_1':0.0},(214,557):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(214,555):{'3_1':0.0,'6_1':0.0},(214,554):{'3_1':0.06,'4_1':0.0},(214,553):{'3_1':0.06,'5_2':0.0},(214,552):{'3_1':0.06},(214,551):{'3_1':0.06},(214,550):{'3_1':0.21,'4_1':0.0},(214,549):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(214,548):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(214,547):{'3_1':0.06,'5_2':0.0},(214,546):{'3_1':0.06,'6_1':0.0},(214,545):{'3_1':0.09},(214,544):{'3_1':0.09},(214,543):{'3_1':0.06,'4_1':0.0},(214,542):{'3_1':0.12},(214,541):{'3_1':0.03,'4_1':0.0},(214,540):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(214,539):{'3_1':0.06},(214,538):{'3_1':0.03,'4_1':0.0},(214,537):{'3_1':0.09,'4_1':0.0},(214,536):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(214,535):{'3_1':0.06},(214,534):{'3_1':0.09},(214,533):{'3_1':0.09,'4_1':0.0},(214,532):{'3_1':0.09,'4_1':0.0},(214,531):{'3_1':0.15,'5_2':0.0},(214,530):{'3_1':0.12,'5_2':0.0},(214,529):{'3_1':0.09},(214,528):{'3_1':0.09,'5_1':0.0},(214,527):{'3_1':0.12},(214,526):{'3_1':0.06},(214,525):{'3_1':0.12},(214,524):{'3_1':0.06},(214,523):{'3_1':0.09},(214,522):{'3_1':0.09,'5_1':0.0},(214,521):{'3_1':0.06},(214,520):{'3_1':0.03},(214,519):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(214,518):{'3_1':0.03},(214,517):{'3_1':0.0},(214,516):{'3_1':0.03},(214,515):{'3_1':0.06,'5_1':0.0},(214,514):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(214,513):{'3_1':0.03,'4_1':0.0},(214,512):{'3_1':0.03,'4_1':0.0},(214,511):{'3_1':0.06},(214,510):{'3_1':0.0},(214,509):{'3_1':0.03},(214,508):{'3_1':0.03,'4_1':0.0},(214,507):{'3_1':0.0},(214,506):{'3_1':0.03,'4_1':0.0},(214,505):{'3_1':0.03},(214,504):{'3_1':0.06,'5_2':0.0},(214,503):{'3_1':0.03},(214,502):{'3_1':0.03,'4_1':0.0},(214,501):{'3_1':0.03},(214,500):{'4_1':0.03,'3_1':0.0},(214,499):{'3_1':0.03},(214,498):{'3_1':0.03,'4_1':0.0},(214,497):{'3_1':0.0,'4_1':0.0},(214,496):{'3_1':0.03},(214,495):{'3_1':0.06,'4_1':0.0},(214,494):{'3_1':0.12,'4_1':0.0},(214,493):{'3_1':0.03},(214,492):{'3_1':0.03,'4_1':0.0},(214,491):{'3_1':0.03,'4_1':0.0},(214,490):{'3_1':0.0,'5_2':0.0},(214,489):{'3_1':0.09},(214,488):{'3_1':0.12,'5_1':0.0},(214,487):{'3_1':0.06,'4_1':0.0},(214,486):{'3_1':0.03,'5_2':0.0},(214,485):{'3_1':0.06,'4_1':0.0},(214,484):{'3_1':0.06},(214,483):{'3_1':0.06,'5_1':0.0},(214,482):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(214,480):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(214,479):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(214,478):{'3_1':0.12},(214,477):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(214,476):{'3_1':0.12,'6_2':0.0},(214,475):{'3_1':0.09,'4_1':0.0},(214,474):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(214,473):{'3_1':0.09,'5_1':0.0},(214,472):{'3_1':0.06},(214,471):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(214,470):{'3_1':0.09},(214,469):{'3_1':0.09,'5_1':0.0},(214,468):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(214,467):{'3_1':0.06,'4_1':0.0},(214,466):{'3_1':0.03},(214,465):{'3_1':0.0},(214,464):{'3_1':0.03,'5_2':0.0},(214,463):{'3_1':0.06},(214,462):{'3_1':0.03},(214,461):{'3_1':0.0,'4_1':0.0},(214,460):{'3_1':0.03},(214,459):{'3_1':0.06},(214,458):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(214,457):{'3_1':0.03},(214,456):{'3_1':0.03,'5_2':0.0},(214,455):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(214,454):{'3_1':0.03},(214,453):{'3_1':0.03},(214,452):{'3_1':0.03},(214,451):{'3_1':0.03},(214,450):{'3_1':0.03,'4_1':0.0},(214,449):{'3_1':0.03},(214,448):{'3_1':0.06,'4_1':0.0},(214,447):{'3_1':0.06},(214,446):{'3_1':0.0,'4_1':0.0},(214,445):{'3_1':0.06,'4_1':0.0},(214,444):{'3_1':0.03},(214,443):{'3_1':0.09,'4_1':0.0},(214,442):{'3_1':0.03},(214,441):{'3_1':0.03,'4_1':0.0},(214,440):{'3_1':0.03},(214,439):{'3_1':0.03},(214,438):{'3_1':0.0},(214,437):{'3_1':0.09},(214,436):{'3_1':0.06},(214,435):{'3_1':0.03},(214,434):{'3_1':0.06,'5_1':0.0},(214,433):{'3_1':0.06,'4_1':0.0},(214,432):{'3_1':0.06},(214,431):{'3_1':0.0,'4_1':0.0},(214,430):{'3_1':0.09},(214,429):{'3_1':0.0},(214,428):{'3_1':0.03},(214,427):{'3_1':0.0,'4_1':0.0},(214,426):{'3_1':0.03},(214,425):{'3_1':0.0,'4_1':0.0},(214,424):{'3_1':0.03},(214,423):{'3_1':0.03},(214,422):{'3_1':0.03},(214,421):{'3_1':0.0,'4_1':0.0},(214,420):{'3_1':0.0},(214,419):{'3_1':0.03,'4_1':0.0},(214,418):{'3_1':0.0,'5_1':0.0},(214,417):{'3_1':0.0,'5_1':0.0},(214,416):{'3_1':0.03,'7_3':0.0},(214,415):{'3_1':0.0},(214,414):{'3_1':0.0,'4_1':0.0},(214,413):{'3_1':0.0,'5_1':0.0},(214,412):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(214,411):{'3_1':0.0},(214,410):{'3_1':0.03},(214,409):{'3_1':0.03},(214,408):{'3_1':0.03},(214,407):{'3_1':0.0},(214,406):{'3_1':0.03},(214,405):{'3_1':0.06},(214,404):{'3_1':0.0,'4_1':0.0},(214,403):{'3_1':0.0},(214,402):{'3_1':0.06},(214,401):{'3_1':0.03},(214,399):{'3_1':0.0},(214,398):{'3_1':0.0,'4_1':0.0},(214,397):{'3_1':0.03},(214,396):{'3_1':0.0,'4_1':0.0},(214,395):{'3_1':0.0},(214,394):{'3_1':0.03},(214,393):{'3_1':0.0},(214,392):{'3_1':0.0},(214,391):{'3_1':0.0},(214,390):{'3_1':0.0,'4_1':0.0},(214,389):{'3_1':0.0},(214,388):{'3_1':0.0,'4_1':0.0},(214,386):{'3_1':0.0,'5_2':0.0},(214,385):{'3_1':0.0},(214,383):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(214,382):{'3_1':0.0},(214,381):{'3_1':0.03,'5_1':0.0},(214,380):{'3_1':0.03,'6_1':0.0},(214,379):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(214,378):{'3_1':0.0},(214,377):{'3_1':0.0},(214,376):{'3_1':0.03},(214,375):{'3_1':0.03},(214,374):{'3_1':0.0},(214,373):{'3_1':0.0},(214,372):{'5_2':0.0},(214,370):{'3_1':0.03},(214,369):{'3_1':0.0},(214,368):{'3_1':0.0},(214,367):{'3_1':0.0,'5_1':0.0},(214,364):{'3_1':0.0,'9_1':0.0},(214,363):{'3_1':0.0},(214,362):{'4_1':0.0},(214,361):{'3_1':0.0},(214,360):{'3_1':0.0},(214,359):{'3_1':0.0},(214,358):{'3_1':0.0},(214,356):{'3_1':0.0},(214,355):{'3_1':0.0},(214,354):{'3_1':0.0,'4_1':0.0},(214,353):{'3_1':0.0},(214,352):{'3_1':0.0},(214,351):{'3_1':0.0,'6_2':0.0},(214,350):{'3_1':0.03},(214,349):{'3_1':0.0},(214,347):{'3_1':0.0},(214,344):{'3_1':0.0},(214,339):{'5_1':0.0},(214,338):{'3_1':0.0},(214,335):{'3_1':0.0},(214,331):{'3_1':0.0},(214,330):{'3_1':0.0,'4_1':0.0},(214,329):{'3_1':0.0},(214,328):{'3_1':0.0,'4_1':0.0},(214,325):{'3_1':0.0},(214,324):{'4_1':0.0},(214,323):{'3_1':0.0},(214,322):{'3_1':0.03,'5_1':0.0},(214,321):{'3_1':0.0},(214,319):{'4_1':0.0},(214,318):{'3_1':0.0},(214,317):{'3_1':0.0},(214,316):{'3_1':0.03},(214,315):{'3_1':0.0},(214,313):{'3_1':0.0},(214,311):{'3_1':0.0},(214,310):{'3_1':0.0},(214,308):{'3_1':0.0},(214,307):{'3_1':0.0,'5_1':0.0},(214,306):{'3_1':0.0},(214,304):{'3_1':0.03},(214,301):{'3_1':0.0},(214,293):{'3_1':0.0},(214,291):{'3_1':0.0},(214,289):{'3_1':0.0},(214,288):{'3_1':0.0},(214,284):{'3_1':0.0,'4_1':0.0},(214,263):{'3_1':0.0},(214,257):{'3_1':0.0},(214,252):{'3_1':0.0},(214,251):{'3_1':0.0},(214,249):{'3_1':0.0},(214,224):{'3_1':0.0},(215,727):{'6_1':0.3,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0},(215,726):{'6_1':0.24,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_5':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(215,725):{'6_1':0.24,'5_2':0.15,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'7_6':0.0},(215,724):{'6_1':0.3,'5_2':0.09,'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(215,723):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_4':0.0,'8_6':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0},(215,722):{'6_1':0.21,'5_2':0.09,'3_1':0.09,'4_1':0.03,'8_6':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(215,721):{'6_1':0.24,'5_2':0.15,'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(215,720):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_6':0.0,'7_4':0.0,'7_6':0.0},(215,719):{'6_1':0.33,'5_2':0.12,'3_1':0.0,'4_1':0.0,'8_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(215,718):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(215,717):{'6_1':0.18,'5_2':0.15,'4_1':0.06,'7_3':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_19':0.0},(215,716):{'6_1':0.3,'5_2':0.15,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0},(215,715):{'6_1':0.21,'5_2':0.12,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(215,714):{'5_2':0.18,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(215,713):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(215,712):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(215,711):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_6':0.0},(215,710):{'6_1':0.18,'5_2':0.09,'4_1':0.06,'3_1':0.03,'8_6':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(215,709):{'6_1':0.18,'3_1':0.15,'7_5':0.06,'5_2':0.03,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0,'8_6':0.0},(215,708):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'7_4':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0},(215,707):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'7_2':0.0,'7_7':0.0,'8_6':0.0},(215,706):{'5_2':0.15,'6_1':0.12,'4_1':0.09,'3_1':0.03,'7_5':0.0,'8_3':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(215,705):{'6_1':0.24,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'8_3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(215,704):{'6_1':0.24,'5_2':0.12,'4_1':0.09,'3_1':0.06,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(215,703):{'6_1':0.24,'5_2':0.09,'3_1':0.06,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(215,702):{'6_1':0.21,'5_2':0.09,'3_1':0.09,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(215,701):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(215,700):{'5_2':0.15,'6_1':0.15,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0},(215,699):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.06,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(215,698):{'6_1':0.27,'5_2':0.15,'4_1':0.06,'3_1':0.0,'7_4':0.0,'6_2':0.0,'5_1':0.0,'8_13':0.0,'-3':0.0},(215,697):{'6_1':0.12,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0},(215,696):{'6_1':0.21,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(215,695):{'6_1':0.18,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(215,694):{'6_1':0.21,'5_2':0.12,'3_1':0.09,'4_1':0.03,'-3':0.0,'7_4':0.0,'8_3':0.0,'7_3':0.0},(215,693):{'5_2':0.15,'6_1':0.12,'4_1':0.09,'3_1':0.03,'8_3':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(215,692):{'6_1':0.12,'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(215,691):{'5_2':0.15,'6_1':0.12,'4_1':0.09,'3_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(215,690):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.03,'-3':0.03,'7_5':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(215,689):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0,'1':-0.03},(215,688):{'6_1':0.15,'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_12':0.0},(215,687):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(215,686):{'5_2':0.15,'6_1':0.15,'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0},(215,685):{'4_1':0.15,'6_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0},(215,684):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_1':0.0,'7_2':0.0},(215,683):{'4_1':0.12,'6_1':0.12,'5_2':0.09,'3_1':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(215,682):{'4_1':0.18,'3_1':0.15,'5_2':0.15,'6_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(215,681):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(215,680):{'3_1':0.15,'4_1':0.15,'6_1':0.06,'5_2':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(215,679):{'4_1':0.15,'6_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0},(215,678):{'4_1':0.24,'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(215,677):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.06,'7_4':0.0,'7_2':0.0,'8_6':0.0},(215,676):{'4_1':0.24,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_1':0.0,'8_3':0.0,'-3':0.0},(215,675):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0},(215,674):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(215,673):{'4_1':0.21,'3_1':0.09,'5_2':0.09,'6_1':0.06,'5_1':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(215,672):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(215,671):{'4_1':0.27,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(215,670):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_12':0.0},(215,669):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(215,668):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.09,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_12':0.0},(215,667):{'4_1':0.18,'3_1':0.18,'5_2':0.03,'6_1':0.03,'5_1':0.0,'8_3':0.0},(215,666):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0},(215,665):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(215,664):{'4_1':0.24,'3_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(215,663):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0},(215,662):{'4_1':0.27,'3_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0,'8_19':0.0,'-3':0.0},(215,661):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.0,'5_1':0.0},(215,660):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(215,659):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.06},(215,658):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_3':0.0},(215,657):{'4_1':0.18,'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_4':0.0,'8_3':0.0},(215,656):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(215,655):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'6_1':0.0,'6_2':0.0},(215,654):{'4_1':0.21,'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(215,653):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(215,652):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'5_1':0.03},(215,651):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0},(215,650):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(215,649):{'3_1':0.21,'4_1':0.15,'5_2':0.0},(215,648):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(215,647):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(215,646):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(215,645):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.03,'7_3':0.0},(215,644):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(215,643):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(215,642):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(215,641):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'7_3':0.0,'7_4':0.0},(215,640):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(215,639):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(215,638):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(215,637):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0},(215,636):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(215,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(215,634):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0},(215,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(215,632):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(215,631):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(215,630):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(215,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(215,628):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(215,627):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(215,626):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(215,625):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(215,624):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(215,623):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(215,622):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(215,621):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(215,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(215,619):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(215,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(215,617):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(215,616):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'8_21|3_1#4_1':0.0},(215,615):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(215,614):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(215,613):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(215,612):{'3_1':0.03,'4_1':0.0},(215,611):{'3_1':0.06,'4_1':0.03},(215,610):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(215,609):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(215,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(215,607):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'8_7':0.0},(215,606):{'4_1':0.03,'3_1':0.03},(215,605):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0},(215,604):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(215,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,602):{'3_1':0.0,'4_1':0.0},(215,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(215,600):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(215,599):{'3_1':0.03,'4_1':0.0},(215,598):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(215,597):{'3_1':0.03,'4_1':0.0},(215,596):{'3_1':0.06,'4_1':0.0},(215,595):{'3_1':0.03,'4_1':0.03},(215,594):{'3_1':0.12,'4_1':0.0},(215,593):{'3_1':0.0,'4_1':0.0},(215,592):{'3_1':0.06,'4_1':0.0},(215,591):{'3_1':0.0,'4_1':0.0},(215,590):{'3_1':0.06,'4_1':0.0},(215,589):{'3_1':0.03,'4_1':0.0},(215,588):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,587):{'3_1':0.09},(215,586):{'3_1':0.09,'4_1':0.03},(215,585):{'3_1':0.12,'7_1':0.0},(215,584):{'3_1':0.06},(215,583):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(215,582):{'3_1':0.03,'4_1':0.0},(215,581):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(215,580):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(215,579):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,578):{'3_1':0.03,'4_1':0.03},(215,577):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,576):{'3_1':0.09,'4_1':0.03},(215,575):{'3_1':0.09,'5_2':0.0},(215,574):{'3_1':0.03},(215,573):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,572):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(215,571):{'3_1':0.03},(215,570):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,569):{'3_1':0.09,'4_1':0.0},(215,568):{'3_1':0.09,'4_1':0.0},(215,567):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(215,566):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(215,565):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,564):{'3_1':0.03,'4_1':0.0},(215,563):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(215,562):{'3_1':0.03,'4_1':0.0},(215,561):{'3_1':0.06,'5_2':0.0},(215,560):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,559):{'3_1':0.09,'5_2':0.0},(215,558):{'3_1':0.03,'4_1':0.0},(215,557):{'3_1':0.03,'5_1':0.0},(215,556):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(215,555):{'3_1':0.03},(215,554):{'3_1':0.06,'4_1':0.0},(215,553):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(215,552):{'3_1':0.06},(215,551):{'3_1':0.09,'4_1':0.0},(215,550):{'3_1':0.09,'4_1':0.0},(215,549):{'3_1':0.0,'5_2':0.0},(215,548):{'3_1':0.06,'5_2':0.0},(215,547):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,546):{'3_1':0.09},(215,545):{'3_1':0.09},(215,544):{'3_1':0.03,'4_1':0.0},(215,543):{'3_1':0.09},(215,542):{'3_1':0.06,'5_2':0.0},(215,541):{'3_1':0.06},(215,540):{'3_1':0.03,'4_1':0.0},(215,539):{'3_1':0.06},(215,538):{'3_1':0.03,'5_2':0.0},(215,537):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(215,536):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(215,535):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(215,534):{'3_1':0.06},(215,533):{'3_1':0.09,'4_1':0.0},(215,532):{'3_1':0.09},(215,531):{'3_1':0.09},(215,530):{'3_1':0.12,'5_2':0.0},(215,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(215,528):{'3_1':0.09},(215,527):{'3_1':0.12},(215,526):{'3_1':0.06,'5_1':0.0},(215,525):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(215,524):{'3_1':0.09,'8_3':0.0},(215,523):{'3_1':0.06,'5_2':0.0},(215,522):{'3_1':0.09,'5_1':0.0},(215,521):{'3_1':0.09},(215,520):{'3_1':0.06},(215,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,518):{'3_1':0.06},(215,517):{'3_1':0.06},(215,516):{'4_1':0.03,'3_1':0.0},(215,515):{'3_1':0.06,'5_2':0.0},(215,514):{'3_1':0.06},(215,513):{'3_1':0.03},(215,512):{'3_1':0.06},(215,511):{'3_1':0.0},(215,510):{'3_1':0.06,'4_1':0.0},(215,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(215,508):{'3_1':0.06,'4_1':0.0},(215,507):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(215,506):{'3_1':0.03},(215,505):{'3_1':0.0,'5_2':0.0},(215,504):{'3_1':0.03,'4_1':0.0},(215,503):{'3_1':0.0,'4_1':0.0},(215,502):{'3_1':0.03,'4_1':0.0},(215,501):{'4_1':0.0},(215,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(215,499):{'3_1':0.03},(215,498):{'3_1':0.0},(215,497):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(215,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(215,495):{'3_1':0.09,'5_2':0.0},(215,494):{'3_1':0.06},(215,493):{'3_1':0.06,'5_2':0.0},(215,492):{'3_1':0.03},(215,491):{'3_1':0.03},(215,490):{'3_1':0.03},(215,489):{'3_1':0.06},(215,488):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(215,487):{'3_1':0.06,'5_2':0.0},(215,486):{'3_1':0.06},(215,485):{'3_1':0.09,'4_1':0.0},(215,484):{'3_1':0.15},(215,483):{'3_1':0.12,'5_2':0.0},(215,482):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'9_1':0.0},(215,481):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(215,480):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(215,479):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(215,478):{'3_1':0.12,'5_1':0.0},(215,477):{'3_1':0.15,'4_1':0.0},(215,476):{'3_1':0.12,'4_1':0.0},(215,475):{'3_1':0.06,'4_1':0.0},(215,474):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(215,473):{'3_1':0.12,'5_1':0.0},(215,472):{'3_1':0.03},(215,471):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(215,470):{'3_1':0.09},(215,469):{'3_1':0.03},(215,468):{'3_1':0.03},(215,467):{'3_1':0.09},(215,466):{'3_1':0.03},(215,465):{'3_1':0.03},(215,464):{'3_1':0.09,'4_1':0.0},(215,463):{'3_1':0.03,'4_1':0.0},(215,462):{'3_1':0.03},(215,461):{'3_1':0.0,'4_1':0.0},(215,460):{'3_1':0.0},(215,459):{'3_1':0.06},(215,458):{'3_1':0.0,'6_2':0.0},(215,457):{'3_1':0.06,'5_1':0.0},(215,456):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(215,455):{'3_1':0.0,'4_1':0.0},(215,454):{'3_1':0.03,'4_1':0.0},(215,453):{'3_1':0.0},(215,452):{'3_1':0.0,'4_1':0.0},(215,451):{'3_1':0.03},(215,450):{'3_1':0.03},(215,449):{'3_1':0.03},(215,448):{'3_1':0.06,'4_1':0.0},(215,447):{'3_1':0.0},(215,446):{'3_1':0.03,'4_1':0.0},(215,445):{'3_1':0.03,'5_2':0.0},(215,444):{'3_1':0.06},(215,443):{'3_1':0.0,'4_1':0.0},(215,442):{'3_1':0.0},(215,441):{'3_1':0.06},(215,440):{'3_1':0.0},(215,439):{'3_1':0.03},(215,438):{'3_1':0.03},(215,437):{'3_1':0.0},(215,436):{'3_1':0.03},(215,435):{'3_1':0.03},(215,434):{'3_1':0.03},(215,433):{'3_1':0.0},(215,432):{'3_1':0.03},(215,431):{'3_1':0.0,'4_1':0.0},(215,430):{'3_1':0.03,'4_1':0.0},(215,429):{'3_1':0.03},(215,428):{'3_1':0.03,'5_2':0.0},(215,427):{'3_1':0.03},(215,426):{'3_1':0.0},(215,425):{'3_1':0.0,'5_2':0.0},(215,424):{'3_1':0.03},(215,423):{'3_1':0.03,'5_2':0.0},(215,422):{'3_1':0.0},(215,421):{'3_1':0.03,'4_1':0.0},(215,420):{'3_1':0.0,'4_1':0.0},(215,419):{'3_1':0.06,'5_2':0.0},(215,418):{'3_1':0.06,'5_1':0.0},(215,417):{'3_1':0.03,'4_1':0.0},(215,416):{'3_1':0.0,'5_1':0.0},(215,415):{'3_1':0.03},(215,414):{'3_1':0.0,'5_1':0.0},(215,413):{'3_1':0.06},(215,411):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(215,410):{'3_1':0.0,'5_1':0.0},(215,409):{'3_1':0.0},(215,408):{'3_1':0.03,'4_1':0.0},(215,407):{'3_1':0.0},(215,406):{'3_1':0.0,'8_1':0.0},(215,404):{'3_1':0.0},(215,403):{'3_1':0.0},(215,402):{'3_1':0.0},(215,401):{'3_1':0.0},(215,400):{'3_1':0.0},(215,399):{'6_1':0.0},(215,398):{'3_1':0.0},(215,397):{'3_1':0.0},(215,396):{'3_1':0.0,'4_1':0.0},(215,395):{'3_1':0.0},(215,394):{'3_1':0.0},(215,393):{'3_1':0.0},(215,392):{'3_1':0.0,'5_1':0.0},(215,391):{'3_1':0.0},(215,390):{'4_1':0.0},(215,389):{'3_1':0.0},(215,388):{'3_1':0.03},(215,387):{'3_1':0.03},(215,386):{'3_1':0.0},(215,385):{'3_1':0.06,'5_1':0.0},(215,384):{'3_1':0.03},(215,383):{'3_1':0.03},(215,382):{'3_1':0.0,'5_1':0.0},(215,381):{'3_1':0.03},(215,380):{'3_1':0.06},(215,379):{'3_1':0.0,'5_1':0.0},(215,378):{'3_1':0.0},(215,377):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(215,376):{'3_1':0.03},(215,375):{'3_1':0.0,'5_1':0.0},(215,374):{'3_1':0.0},(215,373):{'3_1':0.0},(215,372):{'3_1':0.0},(215,371):{'4_1':0.0},(215,370):{'3_1':0.0,'4_1':0.0},(215,368):{'3_1':0.0,'5_1':0.0},(215,367):{'3_1':0.03},(215,366):{'3_1':0.0},(215,365):{'3_1':0.0},(215,364):{'3_1':0.0,'9_1':0.0},(215,363):{'3_1':0.0},(215,362):{'3_1':0.0},(215,361):{'4_1':0.0},(215,357):{'3_1':0.0},(215,356):{'3_1':0.0},(215,355):{'3_1':0.0},(215,354):{'3_1':0.0},(215,353):{'3_1':0.0},(215,352):{'3_1':0.0},(215,349):{'3_1':0.0},(215,348):{'3_1':0.0},(215,346):{'3_1':0.0},(215,344):{'3_1':0.0},(215,342):{'3_1':0.0},(215,339):{'3_1':0.0,'5_1':0.0},(215,338):{'3_1':0.0},(215,336):{'4_1':0.0},(215,334):{'3_1':0.0},(215,331):{'3_1':0.0},(215,330):{'3_1':0.0,'5_1':0.0},(215,328):{'3_1':0.0},(215,326):{'3_1':0.0},(215,324):{'3_1':0.0},(215,321):{'3_1':0.0},(215,320):{'3_1':0.0,'4_1':0.0},(215,319):{'3_1':0.0},(215,318):{'3_1':0.0},(215,317):{'3_1':0.0},(215,316):{'3_1':0.0},(215,315):{'3_1':0.0},(215,313):{'3_1':0.0},(215,311):{'3_1':0.0},(215,310):{'3_1':0.03},(215,309):{'3_1':0.0},(215,307):{'3_1':0.0},(215,306):{'3_1':0.0},(215,305):{'3_1':0.0},(215,304):{'3_1':0.0},(215,303):{'3_1':0.03},(215,301):{'3_1':0.0},(215,299):{'3_1':0.0},(215,298):{'3_1':0.0},(215,297):{'3_1':0.0},(215,294):{'3_1':0.0},(215,289):{'3_1':0.0},(215,288):{'3_1':0.0},(215,286):{'3_1':0.0},(215,284):{'3_1':0.0},(215,270):{'3_1':0.0},(215,259):{'3_1':0.0},(215,258):{'3_1':0.0},(215,253):{'3_1':0.0},(215,249):{'3_1':0.0},(215,248):{'3_1':0.0},(215,223):{'3_1':0.0},(215,221):{'3_1':0.0},(215,220):{'3_1':0.0},(215,219):{'3_1':0.0},(216,727):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_5':0.0,'7_4':0.0,'8_6':0.0},(216,726):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(216,725):{'6_1':0.24,'5_2':0.18,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(216,724):{'6_1':0.24,'5_2':0.15,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(216,723):{'6_1':0.21,'5_2':0.18,'3_1':0.09,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0},(216,722):{'5_2':0.21,'6_1':0.18,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(216,721):{'5_2':0.27,'6_1':0.15,'3_1':0.03,'7_3':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0},(216,720):{'5_2':0.18,'6_1':0.18,'7_6':0.0,'4_1':0.0,'8_3':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(216,719):{'5_2':0.24,'6_1':0.18,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_19':0.0,'-3':0.0},(216,718):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(216,717):{'6_1':0.15,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'7_5':0.0,'8_1':0.0,'8_8':0.0},(216,716):{'6_1':0.21,'5_2':0.18,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(216,715):{'6_1':0.24,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(216,714):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(216,713):{'5_2':0.18,'6_1':0.18,'4_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(216,712):{'6_1':0.15,'4_1':0.12,'5_2':0.12,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(216,711):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(216,710):{'6_1':0.18,'3_1':0.12,'5_2':0.09,'7_5':0.03,'4_1':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'1':-0.03},(216,709):{'6_1':0.18,'3_1':0.15,'5_2':0.06,'7_5':0.06,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_1':0.0,'8_17':0.0},(216,708):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_6':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0,'9_1':0.0,'-3':0.0},(216,707):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.06,'8_3':0.0,'6_2':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0},(216,706):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(216,705):{'5_2':0.18,'6_1':0.12,'3_1':0.03,'-3':0.03,'7_6':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(216,704):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.06,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(216,703):{'5_2':0.15,'6_1':0.15,'4_1':0.12,'3_1':0.09,'7_4':0.03,'6_2':0.0,'7_3':0.0},(216,702):{'6_1':0.21,'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(216,701):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(216,700):{'5_2':0.21,'6_1':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(216,699):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0},(216,698):{'6_1':0.15,'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.06,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_8':0.0},(216,697):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'7_2':0.03,'3_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_11':0.0},(216,696):{'5_2':0.15,'6_1':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'8_3':0.0},(216,695):{'6_1':0.15,'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0},(216,694):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0},(216,693):{'5_2':0.18,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_6':0.0,'8_4':0.0},(216,692):{'3_1':0.12,'6_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(216,691):{'5_2':0.15,'6_1':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.03,'7_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(216,690):{'6_1':0.15,'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(216,689):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.06,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0},(216,688):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'6_1':0.09,'7_2':0.0,'8_4':0.0,'5_1':0.0,'8_3':0.0},(216,687):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.0,'7_2':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(216,686):{'3_1':0.15,'4_1':0.09,'6_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(216,685):{'3_1':0.15,'6_1':0.12,'4_1':0.06,'5_2':0.06,'7_3':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0},(216,684):{'3_1':0.15,'5_2':0.09,'6_1':0.09,'4_1':0.09,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_13':0.0,'8_21|3_1#4_1':0.0},(216,683):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.06,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(216,682):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_1':0.06,'7_5':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0},(216,681):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_11':0.0},(216,680):{'4_1':0.15,'3_1':0.12,'6_1':0.09,'5_2':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(216,679):{'3_1':0.18,'5_2':0.15,'4_1':0.12,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(216,678):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0},(216,677):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0},(216,676):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(216,675):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'5_2':0.03,'7_4':0.0,'7_3':0.0},(216,674):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_4':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(216,673):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(216,672):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0},(216,671):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(216,670):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(216,669):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(216,668):{'4_1':0.24,'3_1':0.18,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(216,667):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(216,666):{'3_1':0.18,'4_1':0.18,'5_2':0.09,'6_1':0.0,'8_1':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(216,665):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0},(216,664):{'3_1':0.24,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'8_11':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(216,663):{'3_1':0.15,'4_1':0.12,'6_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'8_11':0.0},(216,662):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0},(216,661):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_3':0.0},(216,660):{'4_1':0.27,'3_1':0.18,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_4':0.0},(216,659):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(216,658):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(216,657):{'3_1':0.24,'4_1':0.18,'5_2':0.0,'6_1':0.0},(216,656):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0},(216,655):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(216,654):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_2':0.0},(216,653):{'3_1':0.18,'4_1':0.09,'5_2':0.03},(216,652):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(216,651):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_4':0.0},(216,650):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(216,649):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0},(216,648):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(216,647):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0},(216,646):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'7_4':0.0,'6_1':0.0},(216,645):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_3':0.0},(216,644):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(216,643):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'6_1':0.0},(216,642):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0},(216,641):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(216,640):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0},(216,639):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(216,638):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(216,637):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(216,636):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(216,635):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(216,634):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(216,633):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(216,632):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(216,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(216,630):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(216,629):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(216,628):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(216,627):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(216,626):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(216,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(216,624):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(216,623):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_11':0.0},(216,622):{'4_1':0.06,'3_1':0.03,'5_2':0.03},(216,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(216,620):{'5_2':0.03,'3_1':0.0,'4_1':0.0,'5_1':0.0},(216,619):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(216,618):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(216,617):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(216,616):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(216,615):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(216,614):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(216,613):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0},(216,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(216,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(216,610):{'4_1':0.09,'3_1':0.0,'5_1':0.0},(216,609):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(216,608):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(216,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,606):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(216,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(216,604):{'4_1':0.03,'3_1':0.0},(216,603):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(216,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(216,601):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(216,600):{'3_1':0.06,'4_1':0.0},(216,599):{'3_1':0.03,'4_1':0.0},(216,598):{'4_1':0.03,'3_1':0.03},(216,597):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(216,596):{'3_1':0.0},(216,595):{'3_1':0.03,'4_1':0.0},(216,594):{'3_1':0.0,'4_1':0.0},(216,593):{'3_1':0.03,'4_1':0.0},(216,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,591):{'3_1':0.03,'4_1':0.0},(216,590):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(216,589):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,588):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,587):{'3_1':0.06,'4_1':0.0},(216,586):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(216,585):{'3_1':0.09,'4_1':0.0},(216,584):{'3_1':0.03,'4_1':0.0},(216,583):{'3_1':0.03,'4_1':0.0},(216,582):{'3_1':0.06,'5_2':0.0},(216,581):{'3_1':0.03,'4_1':0.0},(216,580):{'3_1':0.03,'4_1':0.0},(216,579):{'3_1':0.03,'4_1':0.03},(216,578):{'3_1':0.03,'5_2':0.0},(216,577):{'3_1':0.06,'4_1':0.0},(216,576):{'3_1':0.03},(216,575):{'3_1':0.03,'4_1':0.0},(216,574):{'3_1':0.03},(216,573):{'3_1':0.06,'5_1':0.0},(216,572):{'3_1':0.06,'5_2':0.0},(216,571):{'3_1':0.0,'4_1':0.0},(216,570):{'3_1':0.06,'4_1':0.03},(216,569):{'3_1':0.09,'4_1':0.0},(216,568):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(216,567):{'3_1':0.09},(216,566):{'3_1':0.06,'4_1':0.0},(216,565):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(216,564):{'3_1':0.06},(216,563):{'3_1':0.09},(216,562):{'3_1':0.06,'4_1':0.0},(216,561):{'3_1':0.03},(216,560):{'3_1':0.12},(216,559):{'3_1':0.06,'4_1':0.0},(216,558):{'3_1':0.03,'4_1':0.0},(216,557):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(216,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(216,555):{'3_1':0.06,'4_1':0.0},(216,554):{'3_1':0.06,'4_1':0.0},(216,553):{'3_1':0.09,'4_1':0.0},(216,552):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(216,551):{'3_1':0.03,'4_1':0.0},(216,550):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(216,549):{'3_1':0.09},(216,548):{'3_1':0.03,'6_1':0.0},(216,547):{'3_1':0.03,'4_1':0.0},(216,546):{'3_1':0.09},(216,545):{'3_1':0.12,'4_1':0.0},(216,544):{'3_1':0.09,'4_1':0.0},(216,543):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(216,542):{'3_1':0.12,'4_1':0.0},(216,541):{'3_1':0.06,'5_2':0.0},(216,540):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(216,539):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(216,538):{'3_1':0.06,'4_1':0.0},(216,537):{'3_1':0.06,'5_1':0.0},(216,536):{'3_1':0.06,'4_1':0.0},(216,535):{'3_1':0.06,'4_1':0.0},(216,534):{'3_1':0.06,'4_1':0.0},(216,533):{'3_1':0.06,'4_1':0.0},(216,532):{'3_1':0.09,'4_1':0.0},(216,531):{'3_1':0.03},(216,530):{'3_1':0.15},(216,529):{'3_1':0.09},(216,528):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(216,527):{'3_1':0.03},(216,526):{'3_1':0.06,'4_1':0.0},(216,525):{'3_1':0.06,'5_2':0.0},(216,524):{'3_1':0.03},(216,523):{'3_1':0.03,'5_1':0.0},(216,522):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(216,521):{'3_1':0.06},(216,520):{'3_1':0.09},(216,519):{'3_1':0.09},(216,518):{'3_1':0.03},(216,517):{'3_1':0.06},(216,516):{'3_1':0.03},(216,515):{'3_1':0.0,'5_2':0.0},(216,514):{'3_1':0.0,'8_20|3_1#3_1':0.0},(216,513):{'3_1':0.0,'5_1':0.0},(216,512):{'3_1':0.03},(216,511):{'3_1':0.0,'5_1':0.0},(216,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,509):{'3_1':0.03},(216,508):{'3_1':0.09},(216,507):{'3_1':0.03},(216,506):{'3_1':0.0,'4_1':0.0},(216,505):{'3_1':0.0,'4_1':0.0},(216,504):{'3_1':0.0},(216,503):{'3_1':0.03},(216,502):{'3_1':0.03},(216,501):{'3_1':0.03,'4_1':0.0},(216,500):{'3_1':0.03},(216,499):{'3_1':0.03,'4_1':0.0},(216,498):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(216,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(216,496):{'3_1':0.03,'4_1':0.0},(216,495):{'3_1':0.06},(216,494):{'3_1':0.03},(216,493):{'3_1':0.03,'4_1':0.0},(216,492):{'3_1':0.03},(216,491):{'3_1':0.0},(216,490):{'3_1':0.03},(216,489):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(216,488):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(216,487):{'3_1':0.09},(216,486):{'3_1':0.15,'8_20|3_1#3_1':0.0},(216,485):{'3_1':0.06,'5_2':0.0},(216,484):{'3_1':0.12},(216,483):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(216,482):{'3_1':0.12,'5_2':0.0},(216,481):{'3_1':0.09,'4_1':0.0},(216,480):{'3_1':0.12,'4_1':0.0},(216,479):{'3_1':0.15,'5_2':0.0},(216,478):{'3_1':0.12},(216,477):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(216,476):{'3_1':0.12},(216,475):{'3_1':0.06,'6_3':0.0},(216,474):{'3_1':0.06},(216,473):{'3_1':0.06},(216,472):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(216,471):{'3_1':0.06,'5_1':0.0},(216,470):{'3_1':0.06,'4_1':0.0},(216,469):{'3_1':0.09,'4_1':0.0},(216,468):{'3_1':0.09},(216,467):{'3_1':0.09},(216,466):{'3_1':0.06,'4_1':0.0},(216,465):{'3_1':0.03},(216,464):{'3_1':0.06},(216,463):{'3_1':0.03},(216,462):{'3_1':0.03,'5_1':0.0},(216,461):{'3_1':0.09,'5_2':0.0},(216,460):{'3_1':0.06,'4_1':0.0},(216,459):{'3_1':0.0},(216,458):{'3_1':0.03},(216,457):{'3_1':0.03},(216,456):{'3_1':0.03},(216,455):{'3_1':0.0},(216,454):{'3_1':0.06,'4_1':0.0},(216,453):{'3_1':0.03},(216,452):{'3_1':0.0},(216,451):{'3_1':0.06,'4_1':0.0},(216,450):{'3_1':0.0},(216,449):{'3_1':0.03},(216,448):{'3_1':0.03},(216,447):{'3_1':0.03},(216,446):{'3_1':0.03,'4_1':0.0},(216,445):{'3_1':0.03},(216,444):{'3_1':0.0},(216,443):{'3_1':0.03},(216,442):{'3_1':0.0},(216,441):{'3_1':0.03},(216,440):{'3_1':0.0},(216,439):{'3_1':0.0},(216,438):{'3_1':0.03},(216,437):{'3_1':0.0},(216,436):{'3_1':0.03,'4_1':0.0},(216,435):{'3_1':0.0,'4_1':0.0},(216,434):{'3_1':0.03},(216,433):{'3_1':0.06},(216,432):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(216,431):{'3_1':0.0},(216,430):{'3_1':0.03},(216,429):{'3_1':0.03},(216,428):{'3_1':0.06},(216,427):{'3_1':0.0},(216,426):{'3_1':0.0},(216,425):{'3_1':0.0},(216,424):{'3_1':0.06,'5_2':0.0},(216,423):{'3_1':0.03},(216,422):{'3_1':0.03},(216,421):{'5_2':0.0},(216,420):{'3_1':0.0},(216,419):{'3_1':0.0,'5_1':0.0},(216,418):{'3_1':0.0,'4_1':0.0},(216,417):{'3_1':0.0},(216,416):{'3_1':0.0,'4_1':0.0},(216,415):{'3_1':0.0,'4_1':0.0},(216,414):{'3_1':0.03},(216,413):{'3_1':0.03,'5_1':0.0},(216,412):{'3_1':0.03,'4_1':0.0},(216,411):{'3_1':0.0},(216,410):{'3_1':0.0},(216,409):{'3_1':0.0},(216,408):{'3_1':0.03},(216,407):{'3_1':0.0},(216,406):{'3_1':0.03},(216,405):{'5_2':0.0},(216,404):{'3_1':0.0},(216,403):{'3_1':0.03,'6_1':0.0},(216,402):{'3_1':0.0},(216,401):{'3_1':0.0,'5_2':0.0},(216,400):{'3_1':0.03},(216,399):{'3_1':0.03},(216,398):{'3_1':0.03},(216,397):{'3_1':0.03},(216,396):{'3_1':0.0},(216,395):{'3_1':0.0},(216,393):{'3_1':0.0},(216,392):{'3_1':0.0},(216,391):{'3_1':0.0},(216,390):{'3_1':0.0},(216,389):{'3_1':0.0},(216,388):{'3_1':0.0},(216,387):{'3_1':0.0},(216,386):{'5_2':0.0},(216,385):{'3_1':0.0},(216,384):{'3_1':0.03},(216,383):{'4_1':0.0,'5_2':0.0},(216,382):{'3_1':0.0},(216,381):{'3_1':0.03},(216,380):{'3_1':0.0},(216,379):{'3_1':0.0,'4_1':0.0},(216,377):{'3_1':0.03,'5_2':0.0},(216,376):{'3_1':0.0},(216,375):{'3_1':0.0},(216,374):{'3_1':0.0,'5_1':0.0},(216,372):{'3_1':0.0},(216,371):{'3_1':0.0},(216,370):{'3_1':0.03},(216,369):{'3_1':0.03},(216,368):{'3_1':0.03},(216,367):{'3_1':0.03},(216,366):{'3_1':0.0,'9_1':0.0},(216,365):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(216,364):{'3_1':0.0},(216,363):{'3_1':0.0},(216,362):{'3_1':0.0},(216,359):{'5_1':0.0,'3_1':0.0},(216,358):{'3_1':0.0},(216,357):{'3_1':0.0},(216,356):{'3_1':0.0},(216,352):{'3_1':0.0,'5_2':0.0},(216,351):{'3_1':0.0},(216,349):{'3_1':0.0},(216,348):{'3_1':0.0},(216,347):{'3_1':0.0},(216,346):{'3_1':0.0},(216,345):{'3_1':0.0},(216,342):{'3_1':0.0},(216,341):{'3_1':0.0},(216,340):{'4_1':0.0},(216,339):{'3_1':0.0},(216,338):{'3_1':0.0},(216,326):{'3_1':0.0},(216,325):{'3_1':0.0},(216,324):{'3_1':0.0},(216,323):{'3_1':0.0},(216,322):{'5_1':0.0},(216,319):{'3_1':0.0},(216,318):{'3_1':0.0},(216,311):{'3_1':0.0},(216,310):{'5_1':0.0},(216,309):{'3_1':0.0},(216,308):{'3_1':0.0},(216,307):{'3_1':0.0},(216,305):{'3_1':0.0},(216,303):{'3_1':0.0},(216,301):{'3_1':0.0},(216,300):{'3_1':0.0},(216,299):{'3_1':0.0},(216,298):{'3_1':0.0},(216,297):{'3_1':0.0},(216,293):{'3_1':0.0},(216,291):{'3_1':0.0},(216,289):{'3_1':0.0},(216,288):{'3_1':0.0},(216,287):{'3_1':0.0},(216,286):{'3_1':0.0},(216,285):{'4_1':0.0},(216,283):{'3_1':0.0},(216,260):{'3_1':0.0},(216,257):{'3_1':0.0},(216,254):{'3_1':0.0},(216,252):{'3_1':0.0},(216,250):{'3_1':0.0},(216,224):{'3_1':0.0},(216,222):{'3_1':0.0},(216,221):{'3_1':0.0},(216,220):{'3_1':0.0},(217,727):{'6_1':0.24,'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(217,726):{'6_1':0.21,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_5':0.03,'-3':0.0,'6_2':0.0,'7_6':0.0},(217,725):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_5':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0},(217,724):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'-3':0.03,'4_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'1':-0.03},(217,723):{'5_2':0.18,'6_1':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(217,722):{'6_1':0.24,'5_2':0.15,'3_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(217,721):{'6_1':0.24,'5_2':0.09,'4_1':0.06,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(217,720):{'6_1':0.27,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(217,719):{'6_1':0.27,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(217,718):{'6_1':0.18,'5_2':0.15,'4_1':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(217,717):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(217,716):{'6_1':0.15,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(217,715):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_14':0.0},(217,714):{'6_1':0.21,'5_2':0.15,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(217,713):{'6_1':0.18,'5_2':0.15,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(217,712):{'6_1':0.18,'5_2':0.15,'3_1':0.06,'4_1':0.03,'8_6':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(217,711):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'7_5':0.06,'4_1':0.03,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(217,710):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'7_5':0.06,'8_6':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(217,709):{'3_1':0.18,'6_1':0.12,'5_2':0.12,'7_5':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(217,708):{'6_1':0.27,'5_2':0.06,'3_1':0.03,'6_2':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(217,707):{'6_1':0.18,'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(217,706):{'6_1':0.24,'5_2':0.15,'4_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0},(217,705):{'6_1':0.21,'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(217,704):{'6_1':0.12,'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(217,703):{'6_1':0.18,'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(217,702):{'6_1':0.21,'5_2':0.15,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_4':0.0,'7_3':0.0,'7_2':0.0,'8_3':0.0},(217,701):{'6_1':0.21,'5_2':0.12,'4_1':0.09,'3_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(217,700):{'5_2':0.15,'6_1':0.15,'4_1':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(217,699):{'5_2':0.15,'6_1':0.15,'4_1':0.09,'3_1':0.06,'7_3':0.03,'7_4':0.03,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(217,698):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_5':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0},(217,697):{'6_1':0.21,'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_3':0.0},(217,696):{'6_1':0.18,'5_2':0.15,'3_1':0.09,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(217,695):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(217,694):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(217,693):{'6_1':0.18,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(217,692):{'6_1':0.15,'5_2':0.12,'4_1':0.12,'3_1':0.03,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(217,691):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_6':0.0,'8_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(217,690):{'4_1':0.12,'6_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(217,689):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.06,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'7_3':0.0,'7_5':0.0},(217,688):{'6_1':0.15,'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(217,687):{'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_2':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(217,686):{'6_1':0.15,'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(217,685):{'4_1':0.12,'3_1':0.12,'6_1':0.06,'5_2':0.06,'7_4':0.0,'6_2':0.0},(217,684):{'3_1':0.12,'6_1':0.09,'4_1':0.06,'5_2':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(217,683):{'4_1':0.15,'3_1':0.15,'5_2':0.09,'6_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(217,682):{'5_2':0.18,'3_1':0.12,'4_1':0.12,'6_1':0.12,'7_4':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(217,681):{'4_1':0.18,'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0},(217,680):{'3_1':0.15,'4_1':0.12,'6_1':0.12,'5_2':0.09,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(217,679):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(217,678):{'4_1':0.15,'5_2':0.15,'3_1':0.12,'6_1':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(217,677):{'3_1':0.12,'4_1':0.09,'6_1':0.09,'5_2':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0},(217,676):{'4_1':0.18,'3_1':0.15,'6_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0},(217,675):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(217,674):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0},(217,673):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'6_1':0.06,'7_2':0.0,'-3':0.0},(217,672):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_8':0.0,'-3':0.0},(217,671):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(217,670):{'4_1':0.18,'3_1':0.15,'5_2':0.09,'7_3':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(217,669):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(217,668):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0},(217,667):{'4_1':0.24,'3_1':0.09,'6_1':0.06,'5_2':0.03,'7_2':0.0,'-3':0.0},(217,666):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(217,665):{'4_1':0.27,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_1':0.0,'-3':0.0},(217,664):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(217,663):{'4_1':0.21,'5_2':0.09,'3_1':0.09,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0},(217,662):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_8':0.0,'-3':0.0},(217,661):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(217,660):{'3_1':0.18,'4_1':0.18,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_4':0.0},(217,659):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(217,658):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(217,657):{'3_1':0.21,'4_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(217,656):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(217,655):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(217,654):{'4_1':0.21,'3_1':0.18,'5_2':0.06,'6_2':0.0,'6_1':0.0,'8_8':0.0},(217,653):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0},(217,652):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0},(217,651):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'7_4':0.0},(217,650):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.03},(217,649):{'3_1':0.24,'4_1':0.18,'5_2':0.0},(217,648):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(217,647):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(217,646):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(217,645):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(217,644):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(217,643):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(217,642):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(217,641):{'4_1':0.18,'3_1':0.09,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(217,640):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0},(217,639):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(217,638):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0},(217,637):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(217,636):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(217,635):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(217,634):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(217,633):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(217,632):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(217,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(217,630):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(217,629):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(217,628):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(217,627):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(217,626):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(217,625):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(217,624):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0},(217,623):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(217,622):{'3_1':0.09,'4_1':0.06,'5_1':0.03},(217,621):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(217,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(217,619):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(217,618):{'3_1':0.12,'4_1':0.06},(217,617):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(217,616):{'3_1':0.09,'4_1':0.0},(217,615):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,614):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(217,613):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(217,611):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(217,610):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(217,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(217,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,607):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(217,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(217,605):{'3_1':0.03,'4_1':0.0},(217,604):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(217,603):{'3_1':0.03,'4_1':0.0},(217,602):{'4_1':0.03,'3_1':0.0},(217,601):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(217,600):{'3_1':0.03,'4_1':0.03},(217,599):{'3_1':0.03,'4_1':0.0},(217,598):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(217,597):{'3_1':0.06,'5_2':0.0},(217,596):{'3_1':0.03,'4_1':0.0},(217,595):{'3_1':0.0,'4_1':0.0},(217,594):{'3_1':0.03,'4_1':0.0},(217,593):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(217,592):{'3_1':0.12,'4_1':0.0},(217,591):{'3_1':0.03,'4_1':0.03},(217,590):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(217,589):{'3_1':0.03},(217,588):{'3_1':0.03,'4_1':0.0},(217,587):{'3_1':0.03,'4_1':0.03},(217,586):{'3_1':0.06,'4_1':0.0},(217,585):{'3_1':0.03,'4_1':0.0},(217,584):{'3_1':0.03,'4_1':0.0},(217,583):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(217,582):{'3_1':0.03,'6_1':0.0},(217,581):{'3_1':0.09,'4_1':0.0},(217,580):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,579):{'3_1':0.06,'4_1':0.0},(217,578):{'3_1':0.03,'4_1':0.0},(217,577):{'3_1':0.03,'4_1':0.0},(217,576):{'3_1':0.06,'4_1':0.0},(217,575):{'3_1':0.06,'5_2':0.0},(217,574):{'3_1':0.0,'4_1':0.0},(217,573):{'3_1':0.03,'4_1':0.03},(217,572):{'3_1':0.06,'4_1':0.0},(217,571):{'3_1':0.03,'5_2':0.0},(217,570):{'3_1':0.0,'4_1':0.0},(217,569):{'3_1':0.06},(217,568):{'3_1':0.06,'4_1':0.0,'8_19':0.0},(217,567):{'3_1':0.06},(217,566):{'3_1':0.06,'4_1':0.0},(217,565):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(217,564):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(217,563):{'3_1':0.06,'4_1':0.0},(217,562):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(217,561):{'3_1':0.03,'5_2':0.0},(217,560):{'3_1':0.09,'5_2':0.0},(217,559):{'3_1':0.03,'4_1':0.0},(217,558):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(217,557):{'3_1':0.03,'4_1':0.0},(217,556):{'3_1':0.06,'4_1':0.0},(217,555):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(217,554):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(217,553):{'3_1':0.03,'4_1':0.0},(217,552):{'3_1':0.12,'4_1':0.0},(217,551):{'3_1':0.03},(217,550):{'3_1':0.03,'4_1':0.0},(217,549):{'3_1':0.03},(217,548):{'3_1':0.03,'4_1':0.0},(217,547):{'3_1':0.06,'5_1':0.0},(217,546):{'3_1':0.09,'5_1':0.0},(217,545):{'3_1':0.06},(217,544):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,543):{'3_1':0.09},(217,542):{'3_1':0.03},(217,541):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(217,540):{'3_1':0.03,'5_2':0.0},(217,539):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(217,538):{'3_1':0.06},(217,537):{'3_1':0.09},(217,536):{'3_1':0.12,'5_2':0.0},(217,535):{'3_1':0.09},(217,534):{'3_1':0.03},(217,533):{'3_1':0.12,'4_1':0.0},(217,532):{'3_1':0.06,'5_1':0.0},(217,531):{'3_1':0.12},(217,530):{'3_1':0.12,'4_1':0.0},(217,529):{'3_1':0.06},(217,528):{'3_1':0.03},(217,527):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,526):{'3_1':0.12},(217,525):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(217,524):{'3_1':0.0},(217,523):{'3_1':0.09,'5_2':0.0},(217,522):{'3_1':0.06},(217,521):{'3_1':0.06},(217,520):{'3_1':0.03},(217,519):{'3_1':0.03},(217,518):{'3_1':0.09,'5_2':0.0},(217,517):{'3_1':0.03,'3_1#5_2':0.0},(217,516):{'3_1':0.03},(217,515):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(217,514):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(217,513):{'3_1':0.03},(217,512):{'3_1':0.09},(217,511):{'3_1':0.03,'4_1':0.0},(217,510):{'3_1':0.03},(217,509):{'3_1':0.06,'4_1':0.0},(217,508):{'3_1':0.03},(217,507):{'3_1':0.03,'4_1':0.0},(217,506):{'3_1':0.0,'4_1':0.0},(217,505):{'3_1':0.06},(217,504):{'3_1':0.0,'4_1':0.0},(217,503):{'3_1':0.03},(217,502):{'3_1':0.03,'4_1':0.0},(217,501):{'3_1':0.03,'4_1':0.0},(217,500):{'3_1':0.03,'4_1':0.0},(217,499):{'3_1':0.0,'4_1':0.0},(217,498):{'3_1':0.0},(217,497):{'3_1':0.03},(217,496):{'3_1':0.0},(217,495):{'3_1':0.0,'4_1':0.0},(217,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(217,493):{'3_1':0.0,'4_1':0.0},(217,492):{'3_1':0.06},(217,491):{'3_1':0.06},(217,490):{'3_1':0.12},(217,489):{'3_1':0.06},(217,488):{'3_1':0.06},(217,487):{'3_1':0.06,'5_2':0.0},(217,486):{'3_1':0.09},(217,485):{'3_1':0.12,'5_1':0.0},(217,484):{'3_1':0.06},(217,483):{'3_1':0.06},(217,482):{'3_1':0.06},(217,481):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(217,480):{'3_1':0.09,'4_1':0.0},(217,479):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(217,478):{'3_1':0.09},(217,477):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(217,476):{'3_1':0.12},(217,475):{'3_1':0.09,'5_1':0.0},(217,474):{'3_1':0.03,'7_1':0.0},(217,473):{'3_1':0.09,'4_1':0.0},(217,472):{'3_1':0.06,'4_1':0.0},(217,471):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(217,470):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(217,469):{'3_1':0.06},(217,468):{'3_1':0.06},(217,467):{'3_1':0.03},(217,466):{'3_1':0.0},(217,465):{'3_1':0.03,'5_1':0.0},(217,464):{'3_1':0.0},(217,463):{'3_1':0.0},(217,462):{'3_1':0.0},(217,461):{'3_1':0.03},(217,460):{'3_1':0.03,'4_1':0.0},(217,459):{'3_1':0.0},(217,458):{'3_1':0.0,'5_2':0.0},(217,457):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(217,456):{'3_1':0.06},(217,455):{'3_1':0.03},(217,454):{'3_1':0.0},(217,453):{'3_1':0.03},(217,452):{'3_1':0.03,'4_1':0.0},(217,451):{'3_1':0.03,'4_1':0.0},(217,450):{'3_1':0.03},(217,449):{'3_1':0.0},(217,448):{'3_1':0.0,'5_2':0.0},(217,447):{'3_1':0.0},(217,446):{'3_1':0.06,'4_1':0.0},(217,445):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(217,444):{'3_1':0.03},(217,443):{'3_1':0.06},(217,442):{'3_1':0.03},(217,441):{'3_1':0.03},(217,440):{'3_1':0.0},(217,439):{'3_1':0.03},(217,438):{'3_1':0.03},(217,437):{'3_1':0.03},(217,436):{'3_1':0.03},(217,435):{'3_1':0.0},(217,434):{'3_1':0.03},(217,433):{'3_1':0.03},(217,432):{'3_1':0.03},(217,431):{'3_1':0.06},(217,430):{'3_1':0.06},(217,429):{'3_1':0.0},(217,428):{'3_1':0.03},(217,427):{'3_1':0.03},(217,426):{'3_1':0.03},(217,425):{'3_1':0.03,'4_1':0.0},(217,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(217,423):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(217,422):{'3_1':0.0},(217,421):{'3_1':0.06},(217,420):{'3_1':0.06},(217,419):{'3_1':0.06,'4_1':0.0},(217,418):{'3_1':0.0,'5_1':0.0},(217,417):{'3_1':0.06},(217,416):{'3_1':0.0},(217,415):{'3_1':0.06},(217,414):{'3_1':0.03},(217,413):{'3_1':0.0},(217,412):{'3_1':0.03,'4_1':0.0},(217,411):{'3_1':0.06},(217,410):{'3_1':0.0},(217,409):{'3_1':0.0},(217,408):{'3_1':0.03},(217,407):{'3_1':0.03},(217,406):{'3_1':0.03},(217,405):{'3_1':0.03},(217,404):{'3_1':0.0,'4_1':0.0},(217,402):{'3_1':0.0},(217,401):{'3_1':0.03,'5_1':0.0},(217,400):{'3_1':0.03},(217,399):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(217,398):{'3_1':0.0},(217,397):{'3_1':0.0},(217,396):{'3_1':0.06},(217,395):{'3_1':0.0,'5_2':0.0},(217,394):{'3_1':0.03},(217,393):{'3_1':0.0},(217,391):{'3_1':0.0},(217,390):{'3_1':0.0},(217,389):{'3_1':0.0},(217,388):{'3_1':0.0},(217,386):{'3_1':0.0},(217,385):{'3_1':0.0},(217,384):{'3_1':0.0,'5_1':0.0},(217,383):{'3_1':0.0},(217,382):{'3_1':0.03},(217,381):{'5_1':0.0,'3_1':0.0},(217,379):{'3_1':0.0},(217,378):{'3_1':0.03},(217,377):{'3_1':0.0},(217,376):{'3_1':0.0},(217,375):{'3_1':0.0},(217,374):{'3_1':0.0},(217,373):{'3_1':0.0},(217,372):{'3_1':0.0},(217,370):{'3_1':0.0},(217,369):{'5_1':0.0},(217,368):{'5_1':0.0},(217,367):{'3_1':0.0,'5_1':0.0},(217,366):{'3_1':0.03},(217,365):{'3_1':0.0,'4_1':0.0},(217,364):{'9_1':0.0},(217,361):{'3_1':0.0},(217,359):{'3_1':0.0},(217,358):{'3_1':0.0},(217,357):{'3_1':0.0},(217,356):{'3_1':0.0},(217,355):{'3_1':0.0,'4_1':0.0},(217,353):{'3_1':0.0},(217,352):{'3_1':0.0},(217,351):{'3_1':0.0},(217,350):{'3_1':0.0},(217,349):{'3_1':0.0},(217,347):{'3_1':0.0},(217,346):{'3_1':0.0},(217,343):{'3_1':0.0},(217,342):{'3_1':0.0},(217,339):{'3_1':0.0},(217,338):{'3_1':0.0},(217,330):{'3_1':0.0},(217,329):{'4_1':0.0},(217,328):{'4_1':0.0},(217,327):{'3_1':0.0},(217,325):{'3_1':0.0},(217,323):{'3_1':0.0,'4_1':0.0},(217,321):{'3_1':0.0},(217,308):{'3_1':0.0},(217,307):{'3_1':0.03},(217,306):{'3_1':0.0},(217,304):{'3_1':0.0},(217,303):{'3_1':0.0},(217,301):{'3_1':0.0},(217,299):{'3_1':0.0,'4_1':0.0},(217,296):{'3_1':0.0},(217,294):{'3_1':0.0},(217,289):{'3_1':0.0},(217,284):{'3_1':0.0},(217,283):{'3_1':0.0},(217,281):{'4_1':0.0},(217,265):{'3_1':0.0},(217,259):{'3_1':0.0},(217,257):{'3_1':0.0},(217,256):{'3_1':0.0},(217,255):{'3_1':0.0},(217,252):{'3_1':0.0},(217,251):{'3_1':0.0},(217,249):{'3_1':0.0},(217,248):{'3_1':0.0},(217,231):{'4_1':0.0},(217,226):{'3_1':0.0},(217,224):{'3_1':0.0},(217,223):{'3_1':0.0},(217,222):{'3_1':0.0},(217,221):{'3_1':0.0},(218,727):{'6_1':0.24,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(218,726):{'6_1':0.27,'5_2':0.09,'3_1':0.09,'4_1':0.0,'7_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(218,725):{'6_1':0.27,'5_2':0.12,'7_2':0.0,'8_3':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(218,724):{'6_1':0.33,'5_2':0.09,'3_1':0.0,'4_1':0.0,'8_3':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(218,723):{'6_1':0.18,'5_2':0.15,'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0},(218,722):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(218,721):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(218,720):{'6_1':0.24,'5_2':0.15,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(218,719):{'6_1':0.18,'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(218,718):{'6_1':0.24,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(218,717):{'6_1':0.27,'5_2':0.09,'4_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(218,716):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(218,715):{'5_2':0.21,'6_1':0.15,'4_1':0.03,'3_1':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(218,714):{'6_1':0.27,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(218,713):{'6_1':0.3,'5_2':0.09,'4_1':0.06,'3_1':0.0,'5_1':0.0,'7_4':0.0},(218,712):{'6_1':0.15,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0},(218,711):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.0,'8_6':0.0,'-3':0.0,'7_3':0.0,'8_3':0.0},(218,710):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.06,'-3':0.03,'8_6':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(218,709):{'6_1':0.18,'3_1':0.09,'4_1':0.09,'7_5':0.06,'5_2':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'8_3':0.0,'8_6':0.0,'8_12':0.0},(218,708):{'6_1':0.21,'5_2':0.09,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(218,707):{'6_1':0.21,'5_2':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_7':0.0},(218,706):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_6':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(218,705):{'5_2':0.18,'6_1':0.12,'3_1':0.03,'-3':0.03,'4_1':0.0,'8_3':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_12':0.0},(218,704):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_3':0.0},(218,703):{'6_1':0.21,'5_2':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(218,702):{'6_1':0.18,'3_1':0.06,'4_1':0.06,'5_2':0.06,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(218,701):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'7_3':0.0},(218,700):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(218,699):{'5_2':0.15,'6_1':0.15,'4_1':0.12,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(218,698):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(218,697):{'5_2':0.15,'6_1':0.12,'4_1':0.09,'3_1':0.03,'7_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(218,696):{'6_1':0.18,'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(218,695):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(218,694):{'6_1':0.18,'4_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'7_3':0.0,'8_4':0.0},(218,693):{'6_1':0.12,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(218,692):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(218,691):{'6_1':0.15,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(218,690):{'6_1':0.12,'4_1':0.12,'5_2':0.09,'3_1':0.03,'7_2':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0},(218,689):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.06,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(218,688):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(218,687):{'6_1':0.12,'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'8_4':0.0},(218,686):{'6_1':0.12,'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_2':0.03,'-3':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(218,685):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(218,684):{'3_1':0.09,'5_2':0.09,'6_1':0.09,'4_1':0.09,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(218,683):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.06,'7_3':0.0,'8_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(218,682):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(218,681):{'3_1':0.18,'4_1':0.18,'5_2':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0},(218,680):{'6_1':0.09,'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0,'8_8':0.0},(218,679):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.03,'7_1':0.0,'7_4':0.0,'7_2':0.0,'8_3':0.0},(218,678):{'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(218,677):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0},(218,676):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0},(218,675):{'4_1':0.18,'3_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.0},(218,674):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_19':0.0},(218,673):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_2':0.0},(218,672):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0},(218,671):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'8_11':0.0},(218,670):{'4_1':0.24,'3_1':0.15,'6_1':0.06,'5_2':0.03,'7_3':0.0,'7_2':0.0},(218,669):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0},(218,668):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_2':0.0},(218,667):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(218,666):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(218,665):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(218,664):{'3_1':0.21,'4_1':0.12,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(218,663):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(218,662):{'3_1':0.18,'4_1':0.09,'6_1':0.06,'5_2':0.03,'7_2':0.0,'7_4':0.0},(218,661):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0},(218,660):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0},(218,659):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.06,'5_1':0.0},(218,658):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(218,657):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(218,656):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0},(218,655):{'3_1':0.21,'4_1':0.15,'6_1':0.0,'6_3':0.0,'7_4':0.0},(218,654):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(218,653):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(218,652):{'3_1':0.24,'4_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0},(218,651):{'3_1':0.3,'4_1':0.15,'5_2':0.0},(218,650):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'6_1':0.0},(218,649):{'3_1':0.24,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(218,648):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'8_19':0.0},(218,647):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_19':0.0},(218,646):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'9_1':0.0},(218,645):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0},(218,644):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(218,643):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(218,642):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_3':0.0},(218,641):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0},(218,640):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_19':0.0},(218,639):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(218,638):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(218,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(218,636):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(218,635):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(218,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(218,633):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(218,632):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(218,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(218,630):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(218,629):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(218,628):{'3_1':0.09,'5_2':0.0,'7_3':0.0,'8_19':0.0},(218,627):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(218,626):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(218,625):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(218,624):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'6_2':0.0},(218,623):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(218,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(218,621):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(218,620):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(218,619):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(218,618):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(218,617):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(218,616):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(218,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(218,614):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0},(218,613):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(218,612):{'3_1':0.03,'4_1':0.03},(218,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(218,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(218,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(218,608):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(218,607):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(218,606):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(218,605):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(218,604):{'3_1':0.0,'4_1':0.0},(218,603):{'3_1':0.0},(218,602):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(218,601):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(218,600):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(218,599):{'3_1':0.03,'4_1':0.0},(218,598):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0},(218,597):{'3_1':0.06,'4_1':0.0},(218,596):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(218,595):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(218,594):{'3_1':0.0},(218,593):{'3_1':0.06,'4_1':0.0},(218,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,591):{'3_1':0.03,'4_1':0.0},(218,590):{'3_1':0.06,'4_1':0.0},(218,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(218,588):{'3_1':0.03,'4_1':0.03},(218,587):{'3_1':0.03,'4_1':0.0},(218,586):{'3_1':0.15},(218,585):{'3_1':0.03,'5_2':0.0},(218,584):{'3_1':0.09,'4_1':0.0},(218,583):{'3_1':0.06,'5_2':0.0},(218,582):{'3_1':0.09,'4_1':0.0},(218,581):{'3_1':0.09,'4_1':0.0},(218,580):{'3_1':0.06,'4_1':0.0},(218,579):{'3_1':0.06},(218,578):{'3_1':0.09,'5_2':0.0},(218,577):{'3_1':0.03,'4_1':0.0},(218,576):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,575):{'3_1':0.06,'4_1':0.0},(218,574):{'3_1':0.03,'4_1':0.0},(218,573):{'3_1':0.06,'4_1':0.0},(218,572):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(218,571):{'4_1':0.03,'3_1':0.0},(218,570):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,569):{'3_1':0.09,'4_1':0.0},(218,568):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(218,567):{'3_1':0.06},(218,566):{'3_1':0.03},(218,565):{'3_1':0.03},(218,564):{'3_1':0.03},(218,563):{'3_1':0.03,'5_2':0.0},(218,562):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(218,561):{'3_1':0.12,'4_1':0.0},(218,560):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(218,559):{'3_1':0.09,'4_1':0.0},(218,558):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(218,557):{'3_1':0.0,'4_1':0.0},(218,556):{'3_1':0.03,'5_2':0.0},(218,555):{'3_1':0.06,'4_1':0.0},(218,554):{'3_1':0.03,'4_1':0.0},(218,553):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(218,552):{'3_1':0.03},(218,551):{'3_1':0.06,'5_2':0.0},(218,550):{'3_1':0.06,'4_1':0.0},(218,549):{'3_1':0.09,'4_1':0.0},(218,548):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(218,547):{'3_1':0.03},(218,546):{'3_1':0.03,'5_2':0.0},(218,545):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,544):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(218,543):{'3_1':0.03},(218,542):{'3_1':0.03,'5_2':0.0},(218,541):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(218,540):{'3_1':0.06},(218,539):{'3_1':0.03,'5_1':0.0},(218,538):{'3_1':0.09},(218,537):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(218,536):{'3_1':0.15,'5_2':0.0},(218,535):{'4_1':0.0,'5_2':0.0},(218,534):{'3_1':0.09,'4_1':0.0},(218,533):{'3_1':0.06},(218,532):{'3_1':0.12,'4_1':0.0},(218,531):{'3_1':0.06,'4_1':0.0},(218,530):{'3_1':0.12},(218,529):{'3_1':0.15,'4_1':0.0},(218,528):{'3_1':0.12},(218,527):{'3_1':0.06,'5_2':0.0},(218,526):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(218,525):{'3_1':0.06,'5_2':0.0},(218,524):{'3_1':0.06,'5_2':0.0},(218,523):{'3_1':0.03,'4_1':0.0},(218,522):{'3_1':0.12},(218,521):{'3_1':0.03},(218,520):{'3_1':0.03,'4_1':0.0},(218,519):{'3_1':0.06},(218,518):{'3_1':0.06,'4_1':0.0},(218,517):{'3_1':0.06,'5_2':0.0},(218,516):{'3_1':0.06,'4_1':0.0},(218,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(218,514):{'3_1':0.03,'4_1':0.0},(218,513):{'3_1':0.0},(218,512):{'3_1':0.0},(218,511):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,510):{'3_1':0.0},(218,509):{'3_1':0.0},(218,508):{'3_1':0.0},(218,507):{'3_1':0.0},(218,506):{'3_1':0.0,'4_1':0.0},(218,505):{'3_1':0.03},(218,504):{'3_1':0.03},(218,503):{'3_1':0.03,'4_1':0.0},(218,502):{'3_1':0.0},(218,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(218,500):{'3_1':0.06,'5_2':0.0},(218,499):{'3_1':0.0},(218,498):{'3_1':0.0,'4_1':0.0},(218,497):{'3_1':0.0,'5_1':0.0},(218,496):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(218,495):{'3_1':0.03},(218,494):{'3_1':0.03,'4_1':0.0},(218,493):{'3_1':0.0,'4_1':0.0},(218,492):{'3_1':0.03,'4_1':0.0},(218,491):{'3_1':0.0,'4_1':0.0},(218,490):{'3_1':0.03},(218,489):{'3_1':0.03},(218,488):{'3_1':0.06,'4_1':0.0},(218,487):{'3_1':0.0,'5_2':0.0},(218,486):{'3_1':0.06},(218,485):{'3_1':0.03},(218,484):{'3_1':0.09},(218,483):{'3_1':0.09,'4_1':0.0},(218,482):{'3_1':0.15},(218,481):{'3_1':0.09,'4_1':0.0},(218,480):{'3_1':0.12,'4_1':0.0},(218,479):{'3_1':0.15,'4_1':0.0},(218,478):{'3_1':0.09,'4_1':0.0},(218,477):{'3_1':0.12,'6_2':0.0},(218,476):{'3_1':0.09},(218,475):{'3_1':0.06},(218,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(218,473):{'3_1':0.09},(218,472):{'3_1':0.09,'4_1':0.0},(218,471):{'3_1':0.0,'5_1':0.0},(218,470):{'3_1':0.06},(218,469):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(218,468):{'3_1':0.06},(218,467):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(218,466):{'3_1':0.03},(218,465):{'3_1':0.03},(218,464):{'3_1':0.0},(218,463):{'3_1':0.03},(218,462):{'3_1':0.0},(218,461):{'3_1':0.03},(218,460):{'3_1':0.03},(218,459):{'3_1':0.0},(218,458):{'3_1':0.0},(218,457):{'3_1':0.03,'4_1':0.0},(218,456):{'3_1':0.0},(218,455):{'3_1':0.0},(218,454):{'3_1':0.03,'4_1':0.0},(218,453):{'3_1':0.06},(218,452):{'3_1':0.06},(218,451):{'3_1':0.0},(218,450):{'3_1':0.03},(218,449):{'3_1':0.03,'4_1':0.0},(218,448):{'3_1':0.03},(218,447):{'3_1':0.0,'4_1':0.0},(218,446):{'3_1':0.03,'4_1':0.0},(218,445):{'3_1':0.03,'5_1':0.0},(218,444):{'3_1':0.03},(218,443):{'3_1':0.03},(218,442):{'3_1':0.03,'4_1':0.0},(218,441):{'3_1':0.06},(218,440):{'3_1':0.03,'4_1':0.0},(218,439):{'3_1':0.0},(218,438):{'3_1':0.03},(218,437):{'3_1':0.03},(218,436):{'3_1':0.03},(218,435):{'3_1':0.03},(218,434):{'3_1':0.03,'4_1':0.0},(218,433):{'3_1':0.03},(218,432):{'3_1':0.0},(218,431):{'3_1':0.0,'4_1':0.0},(218,430):{'3_1':0.03},(218,429):{'3_1':0.03},(218,428):{'3_1':0.0},(218,427):{'3_1':0.0},(218,426):{'3_1':0.0},(218,425):{'3_1':0.0},(218,424):{'3_1':0.0,'4_1':0.0},(218,423):{'3_1':0.0},(218,422):{'3_1':0.0},(218,421):{'3_1':0.0},(218,420):{'3_1':0.03,'4_1':0.0},(218,419):{'3_1':0.0,'6_1':0.0},(218,418):{'3_1':0.0},(218,417):{'3_1':0.06},(218,416):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(218,415):{'3_1':0.03,'5_1':0.0},(218,414):{'3_1':0.03,'5_1':0.0},(218,413):{'3_1':0.0,'5_1':0.0},(218,412):{'3_1':0.03,'4_1':0.0},(218,411):{'3_1':0.03},(218,410):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(218,409):{'3_1':0.0,'7_1':0.0},(218,408):{'3_1':0.03},(218,407):{'3_1':0.0},(218,406):{'3_1':0.03},(218,405):{'3_1':0.0,'4_1':0.0},(218,404):{'3_1':0.03},(218,403):{'3_1':0.0},(218,402):{'3_1':0.06},(218,401):{'3_1':0.0},(218,400):{'3_1':0.0},(218,399):{'3_1':0.03},(218,398):{'3_1':0.0},(218,397):{'3_1':0.0},(218,396):{'3_1':0.03,'5_1':0.0},(218,395):{'3_1':0.0},(218,394):{'3_1':0.0},(218,393):{'3_1':0.0},(218,392):{'3_1':0.0},(218,391):{'3_1':0.03},(218,389):{'3_1':0.0},(218,388):{'3_1':0.0},(218,385):{'3_1':0.03},(218,384):{'3_1':0.0},(218,383):{'3_1':0.0},(218,382):{'3_1':0.0},(218,381):{'3_1':0.0},(218,380):{'3_1':0.0,'5_1':0.0},(218,379):{'3_1':0.0,'4_1':0.0},(218,378):{'3_1':0.0,'5_1':0.0},(218,377):{'3_1':0.0,'4_1':0.0},(218,376):{'3_1':0.03},(218,375):{'3_1':0.0},(218,374):{'3_1':0.0},(218,373):{'3_1':0.0},(218,372):{'3_1':0.03},(218,371):{'3_1':0.0,'5_1':0.0},(218,370):{'3_1':0.0},(218,368):{'3_1':0.0,'5_1':0.0},(218,367):{'3_1':0.0},(218,366):{'3_1':0.0},(218,364):{'3_1':0.0,'9_1':0.0},(218,363):{'5_2':0.0},(218,362):{'3_1':0.0},(218,361):{'3_1':0.0},(218,357):{'3_1':0.0},(218,354):{'3_1':0.0},(218,352):{'3_1':0.0},(218,351):{'3_1':0.0,'6_2':0.0},(218,349):{'3_1':0.0},(218,348):{'3_1':0.0},(218,347):{'3_1':0.0},(218,340):{'3_1':0.0},(218,339):{'3_1':0.0},(218,338):{'3_1':0.0},(218,331):{'3_1':0.0},(218,330):{'3_1':0.0},(218,329):{'3_1':0.0},(218,328):{'4_1':0.0},(218,326):{'3_1':0.0,'4_1':0.0},(218,324):{'4_1':0.0},(218,323):{'3_1':0.0},(218,322):{'3_1':0.0},(218,321):{'3_1':0.0},(218,320):{'3_1':0.0,'4_1':0.0},(218,319):{'3_1':0.0},(218,318):{'3_1':0.0},(218,311):{'3_1':0.0},(218,310):{'3_1':0.0},(218,309):{'3_1':0.0},(218,308):{'4_1':0.0},(218,307):{'3_1':0.0},(218,306):{'3_1':0.0},(218,305):{'3_1':0.0},(218,304):{'3_1':0.0},(218,298):{'4_1':0.0},(218,297):{'4_1':0.0},(218,296):{'3_1':0.0},(218,295):{'3_1':0.0},(218,293):{'3_1':0.0},(218,289):{'3_1':0.0},(218,287):{'3_1':0.0},(218,286):{'3_1':0.0},(218,285):{'3_1':0.0},(218,266):{'3_1':0.0},(218,265):{'3_1':0.0},(218,264):{'3_1':0.0},(218,261):{'3_1':0.0},(218,225):{'3_1':0.0},(218,224):{'3_1':0.0},(218,223):{'3_1':0.0},(218,222):{'3_1':0.0},(219,727):{'6_1':0.21,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_4':0.0},(219,726):{'6_1':0.21,'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(219,725):{'5_2':0.15,'6_1':0.15,'4_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'8_4':0.0},(219,724):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(219,723):{'6_1':0.18,'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(219,722):{'5_2':0.15,'6_1':0.15,'4_1':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(219,721):{'6_1':0.21,'5_2':0.12,'3_1':0.09,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0,'-3':0.0},(219,720):{'6_1':0.21,'5_2':0.12,'4_1':0.03,'3_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(219,719):{'6_1':0.21,'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(219,718):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0},(219,717):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(219,716):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(219,715):{'5_2':0.18,'6_1':0.15,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_5':0.0,'8_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(219,714):{'6_1':0.12,'5_2':0.12,'4_1':0.03,'3_1':0.03,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(219,713):{'6_1':0.21,'5_2':0.12,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(219,712):{'6_1':0.21,'5_2':0.15,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(219,711):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'5_1':0.0,'8_2':0.0},(219,710):{'6_1':0.15,'3_1':0.12,'5_2':0.09,'-3':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0},(219,709):{'6_1':0.12,'5_2':0.09,'-3':0.09,'3_1':0.06,'7_5':0.06,'4_1':0.06,'6_2':0.0},(219,708):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'-3':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(219,707):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(219,706):{'5_2':0.15,'6_1':0.15,'4_1':0.06,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'1':-0.03},(219,705):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(219,704):{'5_2':0.18,'6_1':0.15,'4_1':0.06,'3_1':0.06,'7_2':0.0,'7_4':0.0,'-3':0.0},(219,703):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(219,702):{'6_1':0.12,'5_2':0.12,'4_1':0.06,'7_2':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0},(219,701):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(219,700):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0},(219,699):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(219,698):{'6_1':0.15,'4_1':0.12,'5_2':0.09,'-3':0.03,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0},(219,697):{'6_1':0.18,'4_1':0.09,'5_2':0.06,'7_2':0.03,'7_3':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(219,696):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(219,695):{'5_2':0.21,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'1':-0.03},(219,694):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(219,693):{'6_1':0.09,'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(219,692):{'6_1':0.15,'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(219,691):{'6_1':0.15,'5_2':0.09,'4_1':0.09,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(219,690):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_4':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(219,689):{'6_1':0.15,'5_2':0.15,'4_1':0.12,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(219,688):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.06,'7_4':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0},(219,687):{'4_1':0.12,'5_2':0.12,'6_1':0.12,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(219,686):{'5_2':0.12,'6_1':0.09,'3_1':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(219,685):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.06,'7_2':0.0,'-3':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(219,684):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.06,'7_2':0.0,'7_4':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(219,683):{'3_1':0.12,'4_1':0.12,'5_2':0.12,'6_1':0.09,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0},(219,682):{'3_1':0.18,'4_1':0.12,'6_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(219,681):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(219,680):{'3_1':0.12,'4_1':0.09,'6_1':0.06,'5_2':0.06,'7_4':0.0,'7_3':0.0},(219,679):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0},(219,678):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0},(219,677):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(219,676):{'4_1':0.27,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_5':0.0},(219,675):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(219,674):{'4_1':0.24,'5_2':0.09,'3_1':0.09,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0},(219,673):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(219,672):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0},(219,671):{'4_1':0.21,'3_1':0.15,'5_2':0.09,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(219,670):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(219,669):{'4_1':0.24,'3_1':0.21,'5_2':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(219,668):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(219,667):{'4_1':0.24,'3_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0},(219,666):{'4_1':0.24,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_1':0.0,'8_8':0.0},(219,665):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(219,664):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_4':0.0},(219,663):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(219,662):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(219,661):{'3_1':0.21,'4_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(219,660):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(219,659):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0},(219,658):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(219,657):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'5_2':0.0},(219,656):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0},(219,655):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(219,654):{'3_1':0.21,'4_1':0.18,'5_2':0.0,'5_1':0.0},(219,653):{'3_1':0.21,'4_1':0.18,'5_2':0.03,'5_1':0.0,'-3':0.0},(219,652):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(219,651):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(219,650):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0},(219,649):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0},(219,648):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0},(219,647):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(219,646):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0},(219,645):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(219,644):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(219,643):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(219,642):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(219,641):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(219,640):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(219,639):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(219,638):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(219,637):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0},(219,636):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(219,635):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(219,634):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'8_19':0.0},(219,633):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(219,632):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(219,631):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(219,630):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(219,629):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(219,628):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_5':0.0},(219,627):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(219,626):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(219,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(219,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(219,623):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(219,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(219,621):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(219,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(219,619):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0,'9_1':0.0},(219,618):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(219,617):{'3_1':0.03,'4_1':0.03,'3_1#5_1':0.0,'-3':0.0},(219,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(219,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(219,614):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(219,613):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(219,612):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(219,611):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(219,610):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'-3':0.0},(219,609):{'3_1':0.03,'4_1':0.0},(219,608):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(219,607):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(219,606):{'4_1':0.03,'3_1':0.0},(219,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(219,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(219,603):{'3_1':0.0,'8_20|3_1#3_1':0.0},(219,602):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,601):{'3_1':0.03},(219,600):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(219,599):{'3_1':0.0,'4_1':0.0},(219,598):{'3_1':0.03,'5_1':0.0},(219,597):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(219,596):{'3_1':0.03,'4_1':0.0},(219,595):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,594):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(219,593):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,592):{'3_1':0.0,'4_1':0.0},(219,591):{'3_1':0.09},(219,590):{'3_1':0.09,'4_1':0.03},(219,589):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,588):{'3_1':0.06},(219,587):{'3_1':0.03,'4_1':0.0},(219,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,585):{'3_1':0.06,'4_1':0.0},(219,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(219,583):{'3_1':0.03},(219,582):{'3_1':0.0,'4_1':0.0},(219,581):{'3_1':0.06,'4_1':0.0},(219,580):{'3_1':0.06,'4_1':0.0},(219,579):{'3_1':0.03},(219,578):{'3_1':0.03,'4_1':0.0},(219,577):{'3_1':0.06,'4_1':0.0},(219,576):{'3_1':0.12},(219,575):{'3_1':0.06,'4_1':0.0},(219,574):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(219,573):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(219,572):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,571):{'3_1':0.06,'5_1':0.0},(219,570):{'3_1':0.06,'4_1':0.0},(219,569):{'3_1':0.03},(219,568):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(219,567):{'3_1':0.0,'5_2':0.0},(219,566):{'3_1':0.06},(219,565):{'3_1':0.09},(219,564):{'3_1':0.03},(219,563):{'3_1':0.03,'4_1':0.0},(219,562):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(219,561):{'3_1':0.06},(219,560):{'3_1':0.03,'4_1':0.0},(219,559):{'3_1':0.03,'5_1':0.0},(219,558):{'3_1':0.03},(219,557):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,556):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(219,555):{'3_1':0.06,'4_1':0.0},(219,554):{'3_1':0.09},(219,553):{'3_1':0.03},(219,552):{'3_1':0.06},(219,551):{'3_1':0.06},(219,550):{'3_1':0.03,'4_1':0.0},(219,549):{'3_1':0.06},(219,548):{'3_1':0.09,'6_1':0.0},(219,547):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(219,546):{'3_1':0.03},(219,545):{'3_1':0.06},(219,544):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(219,543):{'3_1':0.03,'4_1':0.0},(219,542):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(219,541):{'3_1':0.03,'4_1':0.0},(219,540):{'3_1':0.06,'6_1':0.0},(219,539):{'3_1':0.12},(219,538):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(219,537):{'3_1':0.09},(219,536):{'3_1':0.09,'4_1':0.0},(219,535):{'3_1':0.06},(219,534):{'3_1':0.15,'4_1':0.0},(219,533):{'3_1':0.09,'4_1':0.0},(219,532):{'3_1':0.03},(219,531):{'3_1':0.06},(219,530):{'3_1':0.09,'4_1':0.0},(219,529):{'3_1':0.09,'4_1':0.0},(219,528):{'3_1':0.03},(219,527):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(219,526):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(219,525):{'3_1':0.09,'5_2':0.0},(219,524):{'3_1':0.03,'5_2':0.0},(219,523):{'3_1':0.09,'5_2':0.0},(219,522):{'3_1':0.03,'4_1':0.0},(219,521):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(219,520):{'3_1':0.03},(219,519):{'3_1':0.0,'5_2':0.0},(219,518):{'3_1':0.03,'4_1':0.0},(219,517):{'3_1':0.03},(219,516):{'3_1':0.03},(219,515):{'3_1':0.06,'3_1#5_2':0.0},(219,514):{'3_1':0.03},(219,513):{'3_1':0.0},(219,512):{'3_1':0.06},(219,511):{'3_1':0.0},(219,510):{'3_1':0.03,'4_1':0.0},(219,509):{'3_1':0.0,'4_1':0.0},(219,508):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(219,507):{'3_1':0.03,'4_1':0.0},(219,506):{'3_1':0.0,'4_1':0.0},(219,505):{'3_1':0.06},(219,504):{'3_1':0.0,'4_1':0.0},(219,503):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(219,502):{'4_1':0.0,'3_1':0.0},(219,501):{'3_1':0.03,'4_1':0.0},(219,500):{'3_1':0.03},(219,499):{'3_1':0.0,'4_1':0.0},(219,498):{'3_1':0.0},(219,497):{'3_1':0.03},(219,496):{'4_1':0.0},(219,495):{'3_1':0.0,'4_1':0.0},(219,494):{'3_1':0.03,'4_1':0.0},(219,493):{'3_1':0.0},(219,492):{'3_1':0.0,'4_1':0.0},(219,491):{'3_1':0.06},(219,490):{'3_1':0.0,'5_2':0.0},(219,489):{'3_1':0.03,'4_1':0.0},(219,488):{'3_1':0.03},(219,487):{'3_1':0.06,'4_1':0.0},(219,486):{'3_1':0.03},(219,485):{'3_1':0.12,'4_1':0.0},(219,484):{'3_1':0.12},(219,483):{'3_1':0.03,'7_1':0.0},(219,482):{'3_1':0.12},(219,481):{'3_1':0.06,'4_1':0.0},(219,480):{'3_1':0.09,'4_1':0.0},(219,479):{'3_1':0.06,'5_2':0.0},(219,478):{'3_1':0.12},(219,477):{'3_1':0.06},(219,476):{'3_1':0.09},(219,475):{'3_1':0.09},(219,474):{'3_1':0.09},(219,473):{'3_1':0.03,'4_1':0.0},(219,472):{'3_1':0.06},(219,471):{'3_1':0.03},(219,470):{'3_1':0.0},(219,469):{'3_1':0.03},(219,468):{'3_1':0.06},(219,467):{'3_1':0.06},(219,466):{'3_1':0.03},(219,465):{'3_1':0.0,'4_1':0.0},(219,464):{'3_1':0.0},(219,463):{'3_1':0.03},(219,462):{'3_1':0.0},(219,461):{'3_1':0.0},(219,460):{'3_1':0.0},(219,459):{'3_1':0.03},(219,458):{'3_1':0.0},(219,457):{'3_1':0.03,'5_1':0.0},(219,456):{'3_1':0.03},(219,455):{'3_1':0.0},(219,454):{'3_1':0.03},(219,453):{'3_1':0.0,'4_1':0.0},(219,452):{'3_1':0.03},(219,451):{'3_1':0.03,'4_1':0.0},(219,450):{'3_1':0.0,'4_1':0.0},(219,449):{'3_1':0.03},(219,448):{'3_1':0.03},(219,447):{'3_1':0.03},(219,446):{'3_1':0.0},(219,445):{'3_1':0.06},(219,444):{'3_1':0.03},(219,443):{'3_1':0.03},(219,442):{'3_1':0.0},(219,441):{'3_1':0.0},(219,440):{'3_1':0.06},(219,439):{'3_1':0.03},(219,438):{'3_1':0.03},(219,437):{'3_1':0.06},(219,436):{'3_1':0.03},(219,435):{'3_1':0.0},(219,434):{'3_1':0.03},(219,433):{'3_1':0.03},(219,432):{'3_1':0.03,'4_1':0.0},(219,431):{'3_1':0.06},(219,430):{'3_1':0.0},(219,429):{'3_1':0.0,'4_1':0.0},(219,428):{'3_1':0.03},(219,427):{'3_1':0.0},(219,426):{'3_1':0.03},(219,425):{'3_1':0.0,'4_1':0.0},(219,424):{'3_1':0.06},(219,423):{'3_1':0.03,'4_1':0.0},(219,422):{'3_1':0.06},(219,421):{'3_1':0.0},(219,420):{'3_1':0.0},(219,419):{'3_1':0.06},(219,418):{'3_1':0.03},(219,417):{'3_1':0.03},(219,416):{'3_1':0.0,'4_1':0.0},(219,415):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(219,414):{'3_1':0.03},(219,413):{'3_1':0.0,'7_5':0.0},(219,412):{'3_1':0.03},(219,411):{'3_1':0.06},(219,410):{'3_1':0.03},(219,409):{'3_1':0.0,'4_1':0.0},(219,408):{'3_1':0.06},(219,407):{'3_1':0.03,'4_1':0.0},(219,406):{'3_1':0.03,'8_1':0.0},(219,405):{'3_1':0.03,'4_1':0.0},(219,404):{'3_1':0.03},(219,403):{'3_1':0.03},(219,402):{'3_1':0.0},(219,401):{'3_1':0.03},(219,400):{'3_1':0.0},(219,399):{'3_1':0.0},(219,398):{'3_1':0.03},(219,396):{'3_1':0.0,'4_1':0.0},(219,394):{'4_1':0.0},(219,393):{'3_1':0.0},(219,392):{'3_1':0.0},(219,391):{'3_1':0.0},(219,390):{'3_1':0.0},(219,389):{'3_1':0.0},(219,388):{'3_1':0.0},(219,387):{'3_1':0.0},(219,386):{'3_1':0.03,'5_2':0.0},(219,385):{'3_1':0.03},(219,384):{'3_1':0.0,'5_1':0.0},(219,383):{'3_1':0.0,'5_1':0.0},(219,382):{'3_1':0.0,'5_1':0.0},(219,381):{'3_1':0.0},(219,380):{'3_1':0.03},(219,379):{'3_1':0.0,'5_1':0.0},(219,378):{'3_1':0.0},(219,376):{'3_1':0.0},(219,375):{'3_1':0.0},(219,374):{'3_1':0.03,'5_1':0.0},(219,373):{'3_1':0.0},(219,372):{'3_1':0.03},(219,371):{'3_1':0.0},(219,370):{'5_1':0.0},(219,368):{'3_1':0.0},(219,367):{'3_1':0.0,'5_1':0.0},(219,365):{'3_1':0.0},(219,364):{'3_1':0.0,'9_1':0.0},(219,363):{'3_1':0.0},(219,362):{'3_1':0.0},(219,360):{'3_1':0.0},(219,359):{'3_1':0.0},(219,357):{'3_1':0.0},(219,356):{'3_1':0.0},(219,354):{'3_1':0.0},(219,353):{'3_1':0.0},(219,352):{'3_1':0.0},(219,351):{'3_1':0.0},(219,350):{'3_1':0.0},(219,349):{'3_1':0.0},(219,348):{'3_1':0.0},(219,346):{'3_1':0.0},(219,345):{'3_1':0.0},(219,344):{'3_1':0.0},(219,342):{'5_2':0.0},(219,341):{'3_1':0.0},(219,340):{'3_1':0.0},(219,338):{'3_1':0.0},(219,331):{'3_1':0.0},(219,328):{'4_1':0.0},(219,327):{'3_1':0.0},(219,325):{'3_1':0.0},(219,324):{'3_1':0.0},(219,323):{'3_1':0.0},(219,322):{'3_1':0.0},(219,319):{'3_1':0.03},(219,318):{'3_1':0.03,'5_1':0.0},(219,311):{'3_1':0.0},(219,310):{'3_1':0.0},(219,309):{'3_1':0.0},(219,308):{'3_1':0.0},(219,307):{'3_1':0.0},(219,306):{'3_1':0.0},(219,305):{'3_1':0.0,'4_1':0.0},(219,300):{'3_1':0.0},(219,298):{'3_1':0.0},(219,297):{'3_1':0.0},(219,296):{'3_1':0.0},(219,293):{'3_1':0.0},(219,291):{'4_1':0.0},(219,290):{'3_1':0.0},(219,287):{'3_1':0.0},(219,284):{'3_1':0.0},(219,277):{'3_1':0.0},(219,273):{'4_1':0.0},(219,266):{'3_1':0.0},(219,260):{'3_1':0.0},(219,256):{'3_1':0.0},(219,255):{'3_1':0.0},(219,231):{'3_1':0.0},(219,225):{'3_1':0.0},(219,224):{'3_1':0.0},(219,223):{'3_1':0.0},(220,727):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'7_1':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(220,726):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(220,725):{'6_1':0.21,'5_2':0.12,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(220,724):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_6':0.0,'7_4':0.0,'-3':0.0},(220,723):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(220,722):{'6_1':0.15,'5_2':0.15,'3_1':0.03,'4_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(220,721):{'6_1':0.18,'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(220,720):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'7_2':0.03,'7_6':0.03,'7_3':0.0,'7_5':0.0,'7_4':0.0,'-3':0.0},(220,719):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(220,718):{'6_1':0.21,'5_2':0.12,'3_1':0.03,'4_1':0.03,'8_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(220,717):{'6_1':0.18,'5_2':0.12,'4_1':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(220,716):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(220,715):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(220,714):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(220,713):{'6_1':0.21,'5_2':0.15,'4_1':0.06,'8_1':0.0,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(220,712):{'5_2':0.15,'6_1':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'5_1':0.0,'7_5':0.0,'8_6':0.0},(220,711):{'5_2':0.12,'6_1':0.12,'4_1':0.12,'3_1':0.09,'-3':0.03,'7_5':0.0,'8_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0,'8_3':0.0,'8_14':0.0},(220,710):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'7_5':0.03,'4_1':0.03,'-3':0.03,'6_2':0.0,'7_3':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0},(220,709):{'6_1':0.18,'3_1':0.09,'5_2':0.06,'7_5':0.06,'4_1':0.03,'8_6':0.03,'-3':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(220,708):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0},(220,707):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0},(220,706):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0},(220,705):{'6_1':0.15,'3_1':0.09,'4_1':0.06,'5_2':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0},(220,704):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(220,703):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'3_1':0.03,'-3':0.0,'7_2':0.0,'6_2':0.0,'8_3':0.0},(220,702):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(220,701):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_3':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0},(220,700):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(220,699):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(220,698):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(220,697):{'6_1':0.18,'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(220,696):{'6_1':0.15,'5_2':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(220,695):{'5_2':0.15,'6_1':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_4':0.0,'8_11':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(220,694):{'5_2':0.21,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(220,693):{'6_1':0.12,'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(220,692):{'6_1':0.15,'3_1':0.06,'4_1':0.06,'5_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(220,691):{'3_1':0.09,'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_2':0.03,'7_3':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(220,690):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(220,689):{'6_1':0.15,'5_2':0.12,'4_1':0.09,'3_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0},(220,688):{'5_2':0.15,'3_1':0.12,'6_1':0.12,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(220,687):{'6_1':0.12,'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_12':0.0},(220,686):{'3_1':0.12,'4_1':0.12,'6_1':0.06,'5_2':0.06,'5_1':0.03,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_12':0.0,'-3':0.0},(220,685):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.09,'7_4':0.0,'7_2':0.0,'8_3':0.0},(220,684):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'8_3':0.0},(220,683):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(220,682):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(220,681):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.06,'7_4':0.0,'7_3':0.0,'8_8':0.0},(220,680):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.0,'8_3':0.0,'-3':0.0},(220,679):{'4_1':0.18,'5_2':0.09,'3_1':0.09,'6_1':0.06,'7_3':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0},(220,678):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(220,677):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_3':0.0,'8_6':0.0,'3_1#5_2':0.0,'-3':0.0},(220,676):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(220,675):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(220,674):{'4_1':0.18,'3_1':0.15,'6_1':0.06,'5_2':0.03,'7_4':0.0,'7_2':0.0},(220,673):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(220,672):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(220,671):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'-3':0.0},(220,670):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0},(220,669):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0},(220,668):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0},(220,667):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(220,666):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_8':0.0},(220,665):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(220,664):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0},(220,663):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0},(220,662):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(220,661):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(220,660):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_7':0.0},(220,659):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_2':0.0,'7_4':0.0,'7_6':0.0},(220,658):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(220,657):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(220,656):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(220,655):{'3_1':0.18,'4_1':0.15,'5_2':0.03},(220,654):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(220,653):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.03,'8_4':0.0},(220,652):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'7_3':0.0},(220,651):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0},(220,650):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_10':0.0},(220,649):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_3':0.0},(220,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(220,647):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(220,646):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(220,645):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(220,644):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_5':0.0},(220,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(220,642):{'4_1':0.12,'3_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(220,641):{'3_1':0.21,'4_1':0.09,'5_1':0.06,'5_2':0.03},(220,640):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0},(220,639):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(220,638):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(220,637):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(220,636):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(220,635):{'3_1':0.06,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(220,634):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(220,633):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(220,632):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(220,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,630):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(220,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(220,628):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(220,627):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(220,626):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(220,625):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(220,624):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(220,623):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(220,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(220,621):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(220,620):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(220,619):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(220,618):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(220,617):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(220,616):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(220,615):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(220,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,613):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0},(220,612):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(220,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(220,610):{'3_1':0.03,'4_1':0.0,'-3':0.0},(220,609):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(220,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(220,606):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(220,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(220,604):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(220,603):{'3_1':0.03,'4_1':0.0},(220,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,601):{'3_1':0.06,'5_1':0.0},(220,600):{'3_1':0.03,'4_1':0.0},(220,599):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_1':0.0},(220,598):{'3_1':0.0,'4_1':0.0},(220,597):{'3_1':0.03,'4_1':0.0},(220,596):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,595):{'3_1':0.06,'4_1':0.03},(220,594):{'3_1':0.03,'4_1':0.0},(220,593):{'3_1':0.03},(220,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,591):{'3_1':0.03,'5_2':0.0},(220,590):{'3_1':0.0},(220,589):{'3_1':0.06,'5_1':0.0},(220,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,587):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(220,586):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,585):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(220,584):{'4_1':0.0,'3_1':0.0},(220,583):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(220,582):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(220,581):{'3_1':0.06},(220,580):{'3_1':0.06},(220,579):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(220,578):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(220,577):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(220,576):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(220,575):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(220,574):{'3_1':0.06},(220,573):{'3_1':0.06,'4_1':0.0},(220,572):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(220,571):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,570):{'3_1':0.03,'4_1':0.0},(220,569):{'3_1':0.03},(220,568):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,567):{'3_1':0.03,'5_1':0.0},(220,566):{'3_1':0.06},(220,565):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(220,564):{'3_1':0.03,'5_2':0.0},(220,563):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(220,562):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(220,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,560):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(220,559):{'3_1':0.03,'4_1':0.0},(220,558):{'3_1':0.03,'4_1':0.0},(220,557):{'3_1':0.06,'4_1':0.0},(220,556):{'3_1':0.0},(220,555):{'3_1':0.03,'4_1':0.0},(220,554):{'3_1':0.03},(220,553):{'3_1':0.06,'4_1':0.0},(220,552):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(220,551):{'3_1':0.03,'4_1':0.0},(220,550):{'3_1':0.09,'4_1':0.0},(220,549):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(220,548):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(220,547):{'3_1':0.09,'4_1':0.0},(220,546):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,545):{'3_1':0.03},(220,544):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,543):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(220,542):{'3_1':0.06},(220,541):{'3_1':0.06},(220,540):{'3_1':0.06,'4_1':0.0},(220,539):{'3_1':0.09,'5_1':0.0},(220,538):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(220,537):{'3_1':0.09,'4_1':0.0},(220,536):{'3_1':0.06,'4_1':0.0},(220,535):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(220,534):{'3_1':0.12,'4_1':0.0},(220,533):{'3_1':0.06,'4_1':0.0},(220,532):{'3_1':0.09,'4_1':0.0},(220,531):{'3_1':0.06,'4_1':0.0},(220,530):{'3_1':0.03,'4_1':0.0},(220,529):{'3_1':0.09,'8_20|3_1#3_1':0.0},(220,528):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(220,527):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(220,526):{'3_1':0.06,'5_2':0.0},(220,525):{'3_1':0.03,'6_2':0.0},(220,524):{'3_1':0.03,'4_1':0.0},(220,523):{'3_1':0.03,'5_2':0.0},(220,522):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(220,521):{'3_1':0.03,'4_1':0.0},(220,520):{'3_1':0.0,'5_2':0.0},(220,519):{'3_1':0.06,'5_1':0.0},(220,518):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(220,517):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(220,516):{'3_1':0.03,'4_1':0.0},(220,515):{'3_1':0.03,'5_2':0.0},(220,514):{'3_1':0.06},(220,513):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(220,512):{'3_1':0.03,'4_1':0.0},(220,511):{'3_1':0.03},(220,510):{'3_1':0.03,'4_1':0.0},(220,509):{'3_1':0.0},(220,508):{'3_1':0.0},(220,507):{'3_1':0.0,'4_1':0.0},(220,506):{'3_1':0.03,'4_1':0.0},(220,505):{'3_1':0.03},(220,504):{'3_1':0.0,'4_1':0.0},(220,503):{'3_1':0.0},(220,502):{'3_1':0.03,'4_1':0.0},(220,501):{'3_1':0.03,'4_1':0.0},(220,500):{'3_1':0.0},(220,499):{'4_1':0.0},(220,498):{'3_1':0.03,'5_2':0.0},(220,497):{'3_1':0.0},(220,496):{'3_1':0.03},(220,495):{'3_1':0.03,'4_1':0.0},(220,494):{'3_1':0.03},(220,493):{'3_1':0.03},(220,492):{'3_1':0.03},(220,491):{'3_1':0.03,'5_2':0.0},(220,490):{'3_1':0.03,'4_1':0.0},(220,489):{'3_1':0.03},(220,488):{'3_1':0.03,'4_1':0.0},(220,487):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(220,486):{'3_1':0.06},(220,485):{'3_1':0.03,'4_1':0.0},(220,484):{'3_1':0.03},(220,483):{'3_1':0.09,'5_2':0.0},(220,482):{'3_1':0.09,'5_2':0.0},(220,481):{'3_1':0.06,'5_2':0.0},(220,480):{'3_1':0.03,'5_2':0.0},(220,479):{'3_1':0.06,'5_1':0.0},(220,478):{'3_1':0.0,'4_1':0.0},(220,477):{'3_1':0.09},(220,476):{'3_1':0.12,'5_2':0.0},(220,475):{'3_1':0.06,'5_1':0.0},(220,474):{'3_1':0.06,'5_1':0.0},(220,473):{'3_1':0.03},(220,472):{'3_1':0.03},(220,471):{'3_1':0.0,'5_1':0.0},(220,470):{'3_1':0.06,'5_1':0.0},(220,469):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(220,468):{'3_1':0.06},(220,467):{'3_1':0.0},(220,466):{'3_1':0.03,'4_1':0.0},(220,465):{'3_1':0.0,'4_1':0.0},(220,464):{'3_1':0.03},(220,463):{'3_1':0.0,'4_1':0.0},(220,462):{'3_1':0.0},(220,461):{'3_1':0.0},(220,460):{'3_1':0.03},(220,459):{'3_1':0.03,'4_1':0.0},(220,458):{'3_1':0.03,'4_1':0.0},(220,457):{'3_1':0.0},(220,456):{'3_1':0.0},(220,455):{'3_1':0.03,'4_1':0.0},(220,454):{'3_1':0.03},(220,453):{'3_1':0.03,'6_1':0.0},(220,452):{'3_1':0.0,'4_1':0.0},(220,451):{'3_1':0.0},(220,450):{'3_1':0.03},(220,449):{'3_1':0.0,'5_2':0.0},(220,448):{'3_1':0.0},(220,447):{'3_1':0.03},(220,446):{'3_1':0.03},(220,445):{'3_1':0.0,'5_1':0.0},(220,444):{'3_1':0.0,'4_1':0.0},(220,443):{'3_1':0.03},(220,442):{'3_1':0.03,'5_1':0.0},(220,441):{'3_1':0.0},(220,440):{'3_1':0.06,'5_2':0.0},(220,439):{'3_1':0.0},(220,438):{'3_1':0.03},(220,437):{'3_1':0.03},(220,436):{'3_1':0.0},(220,435):{'3_1':0.03},(220,434):{'3_1':0.03},(220,433):{'3_1':0.03},(220,432):{'3_1':0.03,'4_1':0.0},(220,431):{'3_1':0.03,'5_1':0.0},(220,430):{'3_1':0.03,'4_1':0.0},(220,429):{'3_1':0.0,'4_1':0.0},(220,428):{'3_1':0.03},(220,427):{'3_1':0.03,'4_1':0.0},(220,426):{'3_1':0.03,'5_2':0.0},(220,425):{'3_1':0.0},(220,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(220,423):{'3_1':0.03,'4_1':0.0},(220,422):{'3_1':0.0,'5_2':0.0},(220,421):{'3_1':0.03},(220,420):{'3_1':0.06},(220,419):{'3_1':0.03,'5_2':0.0},(220,418):{'3_1':0.03},(220,417):{'3_1':0.06},(220,416):{'3_1':0.03,'5_1':0.0},(220,415):{'3_1':0.03,'5_1':0.0},(220,414):{'3_1':0.06},(220,413):{'3_1':0.0,'4_1':0.0},(220,412):{'3_1':0.06},(220,411):{'3_1':0.0},(220,410):{'3_1':0.0},(220,409):{'3_1':0.0},(220,408):{'3_1':0.03},(220,407):{'3_1':0.06,'4_1':0.0},(220,406):{'3_1':0.03},(220,405):{'3_1':0.06},(220,404):{'3_1':0.03},(220,403):{'3_1':0.0,'6_1':0.0},(220,402):{'3_1':0.0},(220,401):{'3_1':0.0},(220,400):{'3_1':0.0},(220,399):{'3_1':0.03},(220,398):{'3_1':0.0,'5_1':0.0},(220,397):{'3_1':0.03},(220,396):{'3_1':0.0},(220,395):{'3_1':0.0},(220,394):{'3_1':0.0,'4_1':0.0},(220,393):{'3_1':0.0},(220,392):{'3_1':0.03},(220,389):{'3_1':0.0},(220,388):{'3_1':0.0},(220,387):{'3_1':0.0},(220,386):{'3_1':0.0},(220,385):{'3_1':0.0},(220,383):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(220,378):{'3_1':0.0,'5_2':0.0},(220,377):{'3_1':0.03,'5_1':0.0},(220,376):{'3_1':0.0},(220,375):{'5_1':0.0},(220,374):{'3_1':0.0,'5_1':0.0},(220,373):{'3_1':0.0},(220,371):{'3_1':0.0},(220,370):{'3_1':0.0},(220,369):{'3_1':0.0},(220,367):{'5_1':0.0},(220,366):{'3_1':0.0,'9_1':0.0},(220,364):{'9_1':0.0},(220,363):{'7_1':0.0},(220,362):{'3_1':0.0},(220,360):{'3_1':0.0},(220,356):{'3_1':0.0},(220,355):{'3_1':0.0},(220,354):{'3_1':0.0},(220,352):{'3_1':0.0,'4_1':0.0},(220,350):{'3_1':0.0},(220,349):{'3_1':0.0},(220,348):{'3_1':0.0},(220,347):{'3_1':0.0},(220,345):{'3_1':0.0},(220,344):{'3_1':0.0},(220,343):{'3_1':0.0},(220,341):{'3_1':0.0},(220,331):{'3_1':0.0,'4_1':0.0},(220,329):{'4_1':0.0},(220,328):{'3_1':0.0},(220,326):{'3_1':0.0},(220,325):{'4_1':0.0},(220,324):{'3_1':0.0},(220,323):{'3_1':0.0},(220,320):{'4_1':0.0},(220,319):{'3_1':0.0},(220,318):{'3_1':0.0,'4_1':0.0},(220,310):{'3_1':0.03},(220,309):{'3_1':0.0},(220,308):{'3_1':0.0},(220,307):{'3_1':0.0},(220,306):{'3_1':0.0},(220,305):{'3_1':0.0},(220,301):{'3_1':0.0},(220,300):{'3_1':0.0},(220,299):{'4_1':0.0},(220,297):{'4_1':0.0},(220,296):{'3_1':0.0},(220,293):{'3_1':0.0},(220,290):{'3_1':0.0},(220,288):{'3_1':0.0},(220,278):{'3_1':0.0},(220,273):{'3_1':0.0},(220,264):{'3_1':0.0},(220,260):{'3_1':0.0},(220,259):{'3_1':0.0},(220,258):{'3_1':0.0},(220,256):{'3_1':0.0},(220,255):{'3_1':0.0},(220,254):{'3_1':0.0},(220,252):{'3_1':0.0},(220,251):{'3_1':0.0},(220,248):{'3_1':0.0},(220,231):{'3_1':0.0},(221,727):{'6_1':0.18,'3_1':0.12,'5_2':0.09,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(221,726):{'6_1':0.18,'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(221,725):{'6_1':0.27,'5_2':0.15,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_5':0.0,'7_2':0.0},(221,724):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_3':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0},(221,723):{'6_1':0.18,'5_2':0.09,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'7_3':0.0,'8_3':0.0},(221,722):{'5_2':0.18,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_6':0.0},(221,721):{'6_1':0.24,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0},(221,720):{'6_1':0.27,'5_2':0.15,'6_2':0.03,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0},(221,719):{'6_1':0.27,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(221,718):{'6_1':0.21,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_6':0.0,'8_6':0.0,'7_2':0.0,'8_1':0.0},(221,717):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_5':0.0,'5_1':0.0,'7_3':0.0},(221,716):{'6_1':0.21,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(221,715):{'4_1':0.06,'3_1':0.06,'6_1':0.03,'5_2':0.03,'8_1':0.03,'7_5':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(221,714):{'5_2':0.18,'6_1':0.18,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,713):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(221,712):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(221,711):{'3_1':0.12,'5_2':0.12,'6_1':0.12,'4_1':0.06,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_1':0.0,'9_1':0.0},(221,710):{'6_1':0.18,'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'7_5':0.03,'8_6':0.0,'-3':0.0,'8_1':0.0,'8_2':0.0},(221,709):{'6_1':0.21,'3_1':0.21,'7_5':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'8_6':0.0,'9_1':0.0},(221,708):{'5_2':0.12,'6_1':0.12,'3_1':0.09,'-3':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(221,707):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_7':0.0},(221,706):{'6_1':0.21,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0},(221,705):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(221,704):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0},(221,703):{'6_1':0.21,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(221,702):{'6_1':0.18,'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(221,701):{'6_1':0.15,'5_2':0.15,'4_1':0.06,'7_3':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'7_2':0.0,'6_2':0.0},(221,700):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_3':0.03,'7_4':0.03,'3_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(221,699):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(221,698):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(221,697):{'6_1':0.15,'3_1':0.12,'5_2':0.12,'4_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(221,696):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(221,695):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(221,694):{'3_1':0.12,'5_2':0.12,'6_1':0.09,'4_1':0.06,'7_2':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(221,693):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'4_1':0.09,'7_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(221,692):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'-3':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(221,691):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'7_4':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,690):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(221,689):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'8_8':0.0},(221,688):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(221,687):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0},(221,686):{'4_1':0.09,'3_1':0.09,'6_1':0.09,'5_2':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(221,685):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_19':0.0,'-3':0.0},(221,684):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'6_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(221,683):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'6_1':0.06,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(221,682):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.06,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(221,681):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.0,'7_3':0.0,'8_3':0.0,'8_8':0.0},(221,680):{'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_2':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(221,679):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.06,'5_1':0.0,'7_5':0.0,'-3':0.0},(221,678):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.06,'7_4':0.0,'5_1':0.0,'8_19':0.0,'-3':0.0},(221,677):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.06,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0},(221,676):{'4_1':0.24,'3_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0},(221,675):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'7_4':0.0},(221,674):{'4_1':0.18,'3_1':0.12,'5_2':0.09,'6_1':0.03,'7_3':0.0,'7_4':0.0},(221,673):{'4_1':0.21,'3_1':0.18,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0},(221,672):{'4_1':0.24,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'8_8':0.0,'-3':0.0,'8_19':0.0},(221,671):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_1':0.0},(221,670):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(221,669):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'7_5':0.0},(221,668):{'4_1':0.24,'3_1':0.15,'5_2':0.09,'7_4':0.0,'6_1':0.0},(221,667):{'4_1':0.27,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(221,666):{'4_1':0.12,'5_2':0.06,'6_1':0.06,'3_1':0.06,'7_6':0.0,'8_8':0.0},(221,665):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_19':0.0},(221,664):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.03,'6_2':0.0,'8_21|3_1#4_1':0.0},(221,663):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0},(221,662):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0},(221,661):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(221,660):{'4_1':0.24,'3_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0},(221,659):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(221,658):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(221,657):{'3_1':0.18,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(221,656):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0},(221,655):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(221,654):{'3_1':0.21,'4_1':0.18,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_19':0.0},(221,653):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(221,652):{'3_1':0.21,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(221,651):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(221,650):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(221,649):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(221,648):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'5_2':0.0,'8_19':0.0},(221,647):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(221,646):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_1':0.0,'-3':0.0},(221,645):{'3_1':0.15,'4_1':0.15,'5_1':0.03,'5_2':0.03,'7_3':0.0},(221,644):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(221,643):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(221,642):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_1':0.0},(221,641):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(221,640):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_5':0.0},(221,639):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(221,638):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(221,637):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03},(221,636):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.03},(221,635):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(221,634):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(221,633):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(221,632):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0},(221,631):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(221,630):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(221,628):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(221,627):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_6':0.0},(221,626):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(221,625):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(221,624):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(221,623):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(221,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(221,621):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(221,620):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(221,619):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(221,618):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(221,617):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'-3':0.0},(221,616):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(221,615):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(221,614):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(221,613):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(221,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(221,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(221,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(221,609):{'3_1':0.03,'4_1':0.0},(221,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(221,607):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'7_1':0.0},(221,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(221,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(221,604):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(221,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(221,602):{'4_1':0.03,'3_1':0.0},(221,601):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(221,600):{'3_1':0.03,'4_1':0.03},(221,599):{'3_1':0.06,'4_1':0.0},(221,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,597):{'3_1':0.09,'4_1':0.0},(221,596):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(221,595):{'3_1':0.03,'4_1':0.0},(221,594):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(221,593):{'3_1':0.03},(221,592):{'3_1':0.09,'4_1':0.0},(221,591):{'3_1':0.03,'4_1':0.0},(221,590):{'3_1':0.03,'4_1':0.0},(221,589):{'3_1':0.03},(221,588):{'3_1':0.06},(221,587):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(221,586):{'3_1':0.03,'4_1':0.0},(221,585):{'3_1':0.06,'4_1':0.0},(221,584):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(221,583):{'3_1':0.06},(221,582):{'3_1':0.0},(221,581):{'3_1':0.06,'5_2':0.0},(221,580):{'3_1':0.03},(221,579):{'3_1':0.0,'4_1':0.0},(221,578):{'3_1':0.03,'4_1':0.0},(221,577):{'3_1':0.06,'8_20|3_1#3_1':0.0},(221,576):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(221,575):{'3_1':0.0,'4_1':0.0},(221,574):{'3_1':0.06},(221,573):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(221,572):{'3_1':0.0},(221,571):{'3_1':0.03,'4_1':0.0},(221,570):{'3_1':0.03,'4_1':0.0},(221,569):{'3_1':0.0,'4_1':0.0},(221,568):{'3_1':0.03,'4_1':0.0},(221,567):{'3_1':0.03},(221,566):{'3_1':0.03,'4_1':0.0},(221,565):{'3_1':0.06,'4_1':0.0},(221,564):{'3_1':0.06,'4_1':0.0},(221,563):{'3_1':0.06,'4_1':0.0},(221,562):{'3_1':0.03,'5_1':0.0},(221,561):{'3_1':0.0,'4_1':0.0},(221,560):{'3_1':0.0,'4_1':0.0},(221,559):{'3_1':0.06},(221,558):{'3_1':0.06,'5_1':0.0},(221,557):{'3_1':0.03,'4_1':0.0},(221,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(221,555):{'3_1':0.06,'5_1':0.0},(221,554):{'3_1':0.0,'5_1':0.0},(221,553):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(221,552):{'3_1':0.03,'5_2':0.0},(221,551):{'3_1':0.06,'4_1':0.0},(221,550):{'3_1':0.06,'4_1':0.0},(221,549):{'3_1':0.06},(221,548):{'3_1':0.03},(221,547):{'3_1':0.06,'4_1':0.0},(221,546):{'3_1':0.03},(221,545):{'3_1':0.06,'5_1':0.0},(221,544):{'3_1':0.03,'4_1':0.0},(221,543):{'3_1':0.03,'5_1':0.0},(221,542):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(221,541):{'3_1':0.06},(221,540):{'3_1':0.06,'4_1':0.0},(221,539):{'3_1':0.09},(221,538):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(221,537):{'3_1':0.03},(221,536):{'3_1':0.09,'4_1':0.0},(221,535):{'3_1':0.06},(221,534):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(221,533):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(221,532):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(221,531):{'3_1':0.06,'5_2':0.0},(221,530):{'3_1':0.03},(221,529):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(221,528):{'3_1':0.09},(221,527):{'3_1':0.06,'4_1':0.0},(221,526):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(221,525):{'3_1':0.03,'4_1':0.0},(221,524):{'3_1':0.03},(221,523):{'3_1':0.06},(221,522):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(221,521):{'3_1':0.03,'5_1':0.0},(221,520):{'3_1':0.09},(221,519):{'3_1':0.03},(221,518):{'3_1':0.06},(221,517):{'3_1':0.03},(221,516):{'3_1':0.06},(221,515):{'3_1':0.06},(221,514):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(221,513):{'3_1':0.03},(221,512):{'3_1':0.03},(221,511):{'3_1':0.06,'5_2':0.0},(221,510):{'3_1':0.09,'4_1':0.0},(221,509):{'3_1':0.03},(221,508):{'3_1':0.06},(221,507):{'3_1':0.03,'4_1':0.0},(221,506):{'3_1':0.03,'4_1':0.0},(221,505):{'3_1':0.0,'5_2':0.0},(221,504):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(221,503):{'3_1':0.03,'4_1':0.0},(221,502):{'3_1':0.0,'6_1':0.0},(221,501):{'3_1':0.0},(221,499):{'3_1':0.0,'4_1':0.0},(221,498):{'3_1':0.0,'5_2':0.0},(221,497):{'3_1':0.03},(221,496):{'3_1':0.03,'4_1':0.0},(221,495):{'3_1':0.03},(221,494):{'3_1':0.03,'4_1':0.0},(221,493):{'3_1':0.0,'5_1':0.0},(221,492):{'3_1':0.0,'4_1':0.0},(221,491):{'3_1':0.03},(221,490):{'3_1':0.03,'4_1':0.0},(221,489):{'3_1':0.06},(221,488):{'3_1':0.03,'5_1':0.0},(221,487):{'3_1':0.06},(221,486):{'3_1':0.12},(221,485):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(221,484):{'3_1':0.09},(221,483):{'3_1':0.03},(221,482):{'3_1':0.12},(221,481):{'3_1':0.09,'4_1':0.0},(221,480):{'3_1':0.06},(221,479):{'3_1':0.09,'4_1':0.0},(221,478):{'3_1':0.12,'5_1':0.0},(221,477):{'3_1':0.12,'4_1':0.0},(221,476):{'3_1':0.12,'5_1':0.0},(221,475):{'3_1':0.06,'5_1':0.0},(221,474):{'3_1':0.09},(221,473):{'3_1':0.09,'4_1':0.0},(221,472):{'3_1':0.09},(221,471):{'3_1':0.06},(221,470):{'3_1':0.09},(221,469):{'3_1':0.06,'5_2':0.0},(221,468):{'3_1':0.06,'4_1':0.0},(221,467):{'3_1':0.03},(221,466):{'3_1':0.0,'5_2':0.0},(221,465):{'3_1':0.06},(221,464):{'3_1':0.06},(221,463):{'3_1':0.06,'5_2':0.0},(221,462):{'3_1':0.06},(221,461):{'3_1':0.03,'4_1':0.0},(221,460):{'3_1':0.0,'4_1':0.0},(221,459):{'3_1':0.0},(221,458):{'3_1':0.03},(221,457):{'3_1':0.06},(221,456):{'3_1':0.0},(221,455):{'3_1':0.03},(221,454):{'3_1':0.03,'4_1':0.0},(221,453):{'3_1':0.03},(221,452):{'3_1':0.03},(221,451):{'3_1':0.03},(221,450):{'3_1':0.0},(221,449):{'3_1':0.03},(221,448):{'3_1':0.03},(221,447):{'3_1':0.03},(221,446):{'3_1':0.06},(221,445):{'3_1':0.06},(221,444):{'3_1':0.06},(221,443):{'3_1':0.03},(221,442):{'3_1':0.06},(221,441):{'3_1':0.06,'4_1':0.0},(221,440):{'3_1':0.0},(221,439):{'3_1':0.0},(221,438):{'3_1':0.03},(221,437):{'3_1':0.06},(221,436):{'3_1':0.0},(221,435):{'3_1':0.0},(221,434):{'3_1':0.0},(221,433):{'3_1':0.0,'4_1':0.0},(221,432):{'3_1':0.03},(221,431):{'3_1':0.0},(221,430):{'3_1':0.03},(221,429):{'3_1':0.03,'4_1':0.0},(221,428):{'3_1':0.06},(221,427):{'3_1':0.0,'4_1':0.0},(221,426):{'3_1':0.03},(221,425):{'3_1':0.06,'5_2':0.0},(221,424):{'3_1':0.03,'5_1':0.0},(221,423):{'3_1':0.0},(221,422):{'3_1':0.06,'6_1':0.0},(221,421):{'3_1':0.06},(221,420):{'3_1':0.0},(221,419):{'3_1':0.03,'4_1':0.0},(221,418):{'3_1':0.03},(221,417):{'3_1':0.03},(221,416):{'3_1':0.06,'7_1':0.0},(221,415):{'3_1':0.06},(221,414):{'3_1':0.0},(221,413):{'3_1':0.09,'5_1':0.0},(221,412):{'3_1':0.06},(221,411):{'3_1':0.0},(221,410):{'3_1':0.0,'5_1':0.0},(221,409):{'3_1':0.0},(221,408):{'3_1':0.03},(221,407):{'3_1':0.0,'8_4':0.0},(221,406):{'5_1':0.0},(221,405):{'3_1':0.0,'4_1':0.0},(221,404):{'3_1':0.0,'4_1':0.0},(221,403):{'3_1':0.0},(221,402):{'3_1':0.03,'6_1':0.0},(221,401):{'3_1':0.0},(221,400):{'3_1':0.0},(221,399):{'3_1':0.03},(221,398):{'3_1':0.0},(221,396):{'3_1':0.0},(221,395):{'3_1':0.0,'4_1':0.0},(221,394):{'3_1':0.0,'4_1':0.0},(221,393):{'3_1':0.03},(221,392):{'3_1':0.0,'4_1':0.0},(221,391):{'3_1':0.0},(221,390):{'3_1':0.0},(221,389):{'3_1':0.0},(221,388):{'3_1':0.03},(221,387):{'3_1':0.0},(221,386):{'3_1':0.0},(221,385):{'3_1':0.03},(221,384):{'3_1':0.03},(221,383):{'3_1':0.0},(221,382):{'3_1':0.0},(221,381):{'3_1':0.0},(221,380):{'3_1':0.03},(221,379):{'3_1':0.0},(221,378):{'3_1':0.0},(221,377):{'3_1':0.03},(221,376):{'3_1':0.0},(221,375):{'3_1':0.03},(221,374):{'3_1':0.0},(221,372):{'3_1':0.0},(221,371):{'3_1':0.0},(221,370):{'3_1':0.0,'5_1':0.0},(221,369):{'3_1':0.0,'5_1':0.0},(221,367):{'3_1':0.0,'5_1':0.0},(221,366):{'3_1':0.0},(221,365):{'3_1':0.0},(221,364):{'3_1':0.0},(221,363):{'3_1':0.0,'7_1':0.0},(221,362):{'3_1':0.0},(221,361):{'3_1':0.0},(221,359):{'3_1':0.0,'5_1':0.0},(221,358):{'3_1':0.0},(221,357):{'3_1':0.0},(221,356):{'3_1':0.0},(221,355):{'3_1':0.0},(221,354):{'3_1':0.0},(221,353):{'5_2':0.0},(221,352):{'3_1':0.0},(221,351):{'3_1':0.0},(221,350):{'3_1':0.0},(221,349):{'3_1':0.0},(221,348):{'3_1':0.0},(221,347):{'3_1':0.0},(221,344):{'3_1':0.0},(221,342):{'3_1':0.0},(221,341):{'3_1':0.0},(221,339):{'3_1':0.0},(221,338):{'3_1':0.0},(221,331):{'3_1':0.0},(221,330):{'3_1':0.0,'4_1':0.0},(221,329):{'3_1':0.0,'4_1':0.0},(221,327):{'4_1':0.0},(221,324):{'3_1':0.0},(221,323):{'3_1':0.0},(221,322):{'3_1':0.0},(221,320):{'3_1':0.0},(221,319):{'3_1':0.0},(221,311):{'3_1':0.0},(221,310):{'3_1':0.03},(221,309):{'3_1':0.0},(221,307):{'3_1':0.0},(221,306):{'3_1':0.0},(221,301):{'3_1':0.0},(221,298):{'3_1':0.0},(221,297):{'3_1':0.0},(221,294):{'3_1':0.0},(221,290):{'3_1':0.0},(221,287):{'3_1':0.0},(221,277):{'3_1':0.0},(221,263):{'3_1':0.0},(221,262):{'3_1':0.0},(221,227):{'3_1':0.0},(221,225):{'3_1':0.0},(222,727):{'6_1':0.24,'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0},(222,726):{'6_1':0.24,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(222,725):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(222,724):{'5_2':0.18,'6_1':0.15,'4_1':0.03,'3_1':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(222,723):{'6_1':0.27,'5_2':0.09,'3_1':0.06,'4_1':0.03,'8_6':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(222,722):{'6_1':0.24,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0},(222,721):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'8_3':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0},(222,720):{'6_1':0.24,'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(222,719):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0},(222,718):{'6_1':0.15,'5_2':0.09,'4_1':0.03,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(222,717):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(222,716):{'6_1':0.15,'5_2':0.12,'4_1':0.03,'-3':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(222,715):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(222,714):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(222,713):{'6_1':0.27,'5_2':0.12,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(222,712):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(222,711):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'5_1':0.03,'7_5':0.0,'8_1':0.0,'8_6':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(222,710):{'6_1':0.18,'3_1':0.12,'4_1':0.03,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(222,709):{'6_1':0.21,'3_1':0.12,'5_2':0.06,'6_2':0.03,'7_5':0.03,'4_1':0.03,'5_1':0.03,'8_6':0.0,'-3':0.0},(222,708):{'6_1':0.18,'3_1':0.09,'5_2':0.09,'7_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0},(222,707):{'6_1':0.18,'5_2':0.15,'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(222,706):{'6_1':0.21,'5_2':0.09,'3_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(222,705):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.0,'8_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(222,704):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(222,703):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'8_11':0.0},(222,702):{'5_2':0.21,'6_1':0.21,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(222,701):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(222,700):{'6_1':0.12,'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'5_1':0.0},(222,699):{'6_1':0.18,'5_2':0.15,'3_1':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0},(222,698):{'6_1':0.18,'5_2':0.15,'4_1':0.03,'7_2':0.0,'-3':0.0,'3_1':0.0,'7_4':0.0,'8_14':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(222,697):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(222,696):{'5_2':0.15,'6_1':0.15,'4_1':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_3':0.0},(222,695):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(222,694):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'-3':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(222,693):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(222,692):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(222,691):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'8_3':0.0,'8_11':0.0},(222,690):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.0,'7_2':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(222,689):{'6_1':0.12,'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'8_12':0.0},(222,688):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(222,687):{'4_1':0.15,'6_1':0.12,'5_2':0.09,'3_1':0.09,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(222,686):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'8_12':0.0,'8_19':0.0},(222,685):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.09,'8_1':0.0,'8_4':0.0,'8_8':0.0,'-3':0.0},(222,684):{'3_1':0.15,'4_1':0.15,'6_1':0.09,'5_2':0.09,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(222,683):{'3_1':0.12,'6_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_11':0.0},(222,682):{'3_1':0.15,'5_2':0.09,'6_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_8':0.0},(222,681):{'4_1':0.12,'3_1':0.12,'6_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(222,680):{'4_1':0.15,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(222,679):{'4_1':0.09,'3_1':0.09,'6_1':0.06,'5_2':0.06,'7_1':0.0,'7_4':0.0,'8_3':0.0},(222,678):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.06,'7_5':0.0,'5_1':0.0,'8_8':0.0},(222,677):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(222,676):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(222,675):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0},(222,674):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(222,673):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_3':0.0,'8_12':0.0},(222,672):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_8':0.0,'8_20|3_1#3_1':0.0},(222,671):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(222,670):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0},(222,669):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(222,668):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0,'8_1':0.0,'8_3':0.0},(222,667):{'4_1':0.27,'3_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(222,666):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.03,'7_3':0.0,'8_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(222,665):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.03},(222,664):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(222,663):{'4_1':0.21,'3_1':0.12,'6_1':0.06,'5_2':0.0,'8_19':0.0},(222,662):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0},(222,661):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0},(222,660):{'4_1':0.15,'3_1':0.12,'6_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'9_1':0.0},(222,659):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.0,'7_3':0.0},(222,658):{'4_1':0.18,'3_1':0.18,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_1':0.0},(222,657):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(222,656):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0},(222,655):{'4_1':0.15,'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(222,654):{'4_1':0.18,'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0},(222,653):{'3_1':0.27,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(222,652):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(222,651):{'3_1':0.18,'4_1':0.15,'5_2':0.0},(222,650):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(222,649):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.03,'7_1':0.0},(222,648):{'3_1':0.21,'4_1':0.18,'5_2':0.0,'5_1':0.0,'8_1':0.0},(222,647):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(222,646):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'7_1':0.0,'5_2':0.0,'6_1':0.0},(222,645):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(222,644):{'3_1':0.24,'4_1':0.06,'7_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(222,643):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'7_3':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(222,642):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(222,641):{'3_1':0.12,'4_1':0.09,'5_1':0.06,'5_2':0.0},(222,640):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(222,639):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(222,638):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(222,637):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(222,636):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(222,635):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(222,634):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(222,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(222,632):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(222,631):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(222,630):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(222,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(222,628):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(222,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(222,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(222,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(222,624):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(222,623):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(222,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(222,621):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(222,620):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(222,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(222,618):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(222,617):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(222,616):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_3':0.0},(222,615):{'3_1':0.09,'4_1':0.03},(222,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(222,613):{'3_1':0.06,'4_1':0.06},(222,612):{'4_1':0.03,'3_1':0.0},(222,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(222,610):{'4_1':0.06,'3_1':0.06},(222,609):{'3_1':0.03,'4_1':0.03},(222,608):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(222,607):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(222,606):{'3_1':0.03,'4_1':0.03},(222,605):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(222,604):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0},(222,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(222,602):{'3_1':0.03},(222,601):{'3_1':0.06,'4_1':0.0},(222,600):{'3_1':0.09,'4_1':0.0},(222,599):{'3_1':0.06,'4_1':0.0},(222,598):{'3_1':0.03},(222,597):{'3_1':0.03,'4_1':0.0},(222,596):{'3_1':0.03,'4_1':0.0},(222,595):{'3_1':0.03,'4_1':0.0},(222,594):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,593):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(222,592):{'3_1':0.06,'5_2':0.0},(222,591):{'3_1':0.06,'4_1':0.0},(222,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,589):{'3_1':0.03,'4_1':0.0},(222,588):{'4_1':0.06,'3_1':0.03},(222,587):{'3_1':0.03,'4_1':0.0},(222,586):{'3_1':0.06,'4_1':0.0},(222,585):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(222,584):{'3_1':0.09},(222,583):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(222,582):{'3_1':0.09},(222,581):{'3_1':0.0},(222,580):{'3_1':0.06},(222,579):{'3_1':0.06},(222,578):{'3_1':0.06},(222,577):{'3_1':0.03},(222,576):{'3_1':0.03},(222,575):{'3_1':0.03,'4_1':0.0},(222,574):{'3_1':0.06},(222,573):{'3_1':0.09,'4_1':0.0},(222,572):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(222,571):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,570):{'3_1':0.0,'4_1':0.0},(222,569):{'3_1':0.03},(222,568):{'3_1':0.03,'5_2':0.0},(222,567):{'3_1':0.09,'4_1':0.0},(222,566):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(222,565):{'3_1':0.03},(222,564):{'3_1':0.06,'7_2':0.0},(222,563):{'3_1':0.03,'5_2':0.0},(222,562):{'3_1':0.03,'6_1':0.0},(222,561):{'3_1':0.06},(222,560):{'3_1':0.03,'4_1':0.0},(222,559):{'3_1':0.06,'4_1':0.0},(222,558):{'3_1':0.09,'4_1':0.0},(222,557):{'3_1':0.06,'6_1':0.0},(222,556):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(222,555):{'3_1':0.06},(222,554):{'3_1':0.03},(222,553):{'3_1':0.06},(222,552):{'3_1':0.03,'4_1':0.0},(222,551):{'3_1':0.06,'4_1':0.0},(222,550):{'3_1':0.03,'4_1':0.0},(222,549):{'3_1':0.06},(222,548):{'3_1':0.06,'4_1':0.0},(222,547):{'3_1':0.0},(222,546):{'3_1':0.06,'4_1':0.0},(222,545):{'3_1':0.09},(222,544):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(222,543):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(222,542):{'3_1':0.03,'5_1':0.0},(222,541):{'3_1':0.06,'4_1':0.0},(222,540):{'3_1':0.06},(222,539):{'3_1':0.06,'4_1':0.0},(222,538):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(222,537):{'3_1':0.09,'4_1':0.0},(222,536):{'3_1':0.06},(222,535):{'3_1':0.06,'4_1':0.0},(222,534):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(222,533):{'3_1':0.03,'4_1':0.0},(222,532):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(222,531):{'3_1':0.03},(222,530):{'3_1':0.06},(222,529):{'3_1':0.06},(222,528):{'3_1':0.03},(222,527):{'3_1':0.03},(222,526):{'3_1':0.06,'6_1':0.0,'8_20|3_1#3_1':0.0},(222,525):{'3_1':0.03},(222,524):{'3_1':0.03},(222,523):{'3_1':0.06},(222,522):{'3_1':0.0,'8_20|3_1#3_1':0.0,'4_1':0.0},(222,521):{'3_1':0.06,'4_1':0.0},(222,520):{'3_1':0.0,'5_2':0.0},(222,519):{'3_1':0.06},(222,518):{'3_1':0.06,'5_1':0.0},(222,517):{'3_1':0.06},(222,516):{'3_1':0.09,'5_2':0.0},(222,515):{'3_1':0.03},(222,514):{'3_1':0.06,'4_1':0.0},(222,513):{'3_1':0.0,'5_2':0.0},(222,512):{'3_1':0.03},(222,511):{'3_1':0.03},(222,510):{'3_1':0.03,'4_1':0.0},(222,509):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(222,508):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(222,507):{'3_1':0.0,'5_1':0.0},(222,506):{'3_1':0.0},(222,505):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,504):{'3_1':0.0},(222,503):{'3_1':0.0,'4_1':0.0},(222,502):{'3_1':0.03,'4_1':0.0},(222,501):{'3_1':0.03},(222,500):{'3_1':0.03},(222,499):{'3_1':0.0},(222,498):{'3_1':0.06},(222,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,496):{'3_1':0.0,'4_1':0.0},(222,495):{'3_1':0.03},(222,494):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(222,493):{'3_1':0.03,'4_1':0.0},(222,492):{'3_1':0.06,'4_1':0.0},(222,491):{'3_1':0.06,'4_1':0.0},(222,490):{'3_1':0.12},(222,489):{'3_1':0.0,'4_1':0.0},(222,488):{'3_1':0.06},(222,487):{'3_1':0.09},(222,486):{'3_1':0.09},(222,485):{'3_1':0.06},(222,484):{'3_1':0.06},(222,483):{'3_1':0.09,'4_1':0.0},(222,482):{'3_1':0.09},(222,481):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(222,480):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(222,479):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(222,478):{'3_1':0.09},(222,477):{'3_1':0.09,'4_1':0.0},(222,476):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(222,475):{'3_1':0.12,'4_1':0.0},(222,474):{'3_1':0.09},(222,473):{'3_1':0.06,'6_2':0.0},(222,472):{'3_1':0.03},(222,471):{'3_1':0.06,'5_1':0.0},(222,470):{'3_1':0.03,'5_1':0.0},(222,469):{'3_1':0.03},(222,468):{'3_1':0.06},(222,467):{'3_1':0.03},(222,466):{'3_1':0.03,'4_1':0.0},(222,465):{'3_1':0.06},(222,464):{'3_1':0.0},(222,463):{'3_1':0.0,'4_1':0.0},(222,462):{'3_1':0.0},(222,461):{'3_1':0.03,'4_1':0.0},(222,460):{'3_1':0.03,'4_1':0.0},(222,459):{'3_1':0.03},(222,458):{'3_1':0.0,'4_1':0.0},(222,457):{'3_1':0.0,'5_1':0.0},(222,456):{'3_1':0.03},(222,455):{'3_1':0.03},(222,454):{'3_1':0.0},(222,453):{'3_1':0.03},(222,452):{'3_1':0.03},(222,451):{'3_1':0.03},(222,450):{'3_1':0.0},(222,449):{'3_1':0.03},(222,448):{'3_1':0.03},(222,447):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(222,446):{'3_1':0.0},(222,445):{'3_1':0.0},(222,444):{'3_1':0.0,'4_1':0.0},(222,443):{'3_1':0.03},(222,442):{'3_1':0.03,'4_1':0.0},(222,441):{'3_1':0.03,'4_1':0.0},(222,440):{'3_1':0.03},(222,439):{'3_1':0.03,'4_1':0.0},(222,438):{'3_1':0.03},(222,437):{'3_1':0.0},(222,436):{'3_1':0.03},(222,435):{'3_1':0.0},(222,434):{'3_1':0.06},(222,433):{'3_1':0.03,'4_1':0.0},(222,432):{'3_1':0.03},(222,431):{'3_1':0.03},(222,430):{'3_1':0.03,'4_1':0.0},(222,429):{'3_1':0.06},(222,428):{'3_1':0.03},(222,427):{'3_1':0.06,'4_1':0.0},(222,426):{'3_1':0.03},(222,425):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(222,424):{'3_1':0.09},(222,423):{'3_1':0.0},(222,422):{'3_1':0.0},(222,421):{'3_1':0.0,'5_2':0.0},(222,420):{'3_1':0.06},(222,419):{'3_1':0.0},(222,418):{'3_1':0.03},(222,417):{'3_1':0.03,'5_1':0.0},(222,416):{'3_1':0.0},(222,415):{'3_1':0.06,'4_1':0.0},(222,414):{'3_1':0.03,'4_1':0.0},(222,413):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(222,412):{'3_1':0.03,'4_1':0.0},(222,411):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(222,410):{'3_1':0.03},(222,409):{'3_1':0.03},(222,408):{'3_1':0.0},(222,407):{'3_1':0.06},(222,406):{'3_1':0.03},(222,405):{'3_1':0.0},(222,404):{'3_1':0.03},(222,403):{'3_1':0.0,'4_1':0.0},(222,402):{'3_1':0.0},(222,401):{'3_1':0.0},(222,400):{'3_1':0.0},(222,399):{'3_1':0.03},(222,398):{'3_1':0.0},(222,397):{'3_1':0.0},(222,396):{'3_1':0.0,'5_2':0.0},(222,395):{'3_1':0.0},(222,394):{'4_1':0.0},(222,393):{'3_1':0.0},(222,392):{'3_1':0.0},(222,391):{'3_1':0.03},(222,387):{'3_1':0.0},(222,386):{'3_1':0.0,'7_1':0.0},(222,385):{'3_1':0.0,'4_1':0.0},(222,384):{'3_1':0.0},(222,383):{'3_1':0.0},(222,382):{'3_1':0.0},(222,381):{'3_1':0.0},(222,380):{'3_1':0.0},(222,379):{'3_1':0.03,'5_1':0.0},(222,378):{'3_1':0.0},(222,377):{'3_1':0.0,'4_1':0.0},(222,375):{'3_1':0.03},(222,374):{'3_1':0.0,'5_1':0.0},(222,373):{'3_1':0.0},(222,372):{'3_1':0.0},(222,371):{'3_1':0.0},(222,369):{'3_1':0.0,'5_1':0.0},(222,368):{'3_1':0.0},(222,367):{'3_1':0.0},(222,366):{'3_1':0.0},(222,365):{'3_1':0.0,'9_1':0.0},(222,364):{'3_1':0.0},(222,363):{'3_1':0.0},(222,361):{'3_1':0.0},(222,360):{'3_1':0.0},(222,358):{'3_1':0.0},(222,357):{'3_1':0.0},(222,355):{'5_2':0.0},(222,354):{'3_1':0.0},(222,352):{'3_1':0.0},(222,351):{'5_2':0.0},(222,350):{'5_2':0.0},(222,347):{'5_2':0.0},(222,346):{'3_1':0.0,'5_2':0.0},(222,343):{'3_1':0.0},(222,342):{'3_1':0.0},(222,340):{'3_1':0.0},(222,339):{'3_1':0.0},(222,338):{'3_1':0.0},(222,336):{'3_1':0.0},(222,335):{'3_1':0.0},(222,332):{'3_1':0.0},(222,331):{'3_1':0.03,'5_2':0.0},(222,330):{'3_1':0.0},(222,329):{'3_1':0.0},(222,328):{'3_1':0.0},(222,327):{'3_1':0.0},(222,326):{'3_1':0.0},(222,325):{'3_1':0.0},(222,324):{'3_1':0.0,'4_1':0.0},(222,321):{'3_1':0.03},(222,320):{'3_1':0.0},(222,319):{'3_1':0.0},(222,318):{'5_1':0.0},(222,317):{'3_1':0.0},(222,316):{'3_1':0.0,'4_1':0.0},(222,315):{'3_1':0.0},(222,314):{'3_1':0.0},(222,313):{'3_1':0.0},(222,312):{'3_1':0.0},(222,311):{'3_1':0.0},(222,310):{'3_1':0.0},(222,309):{'3_1':0.0},(222,308):{'3_1':0.03},(222,306):{'3_1':0.0},(222,305):{'3_1':0.0},(222,304):{'3_1':0.0},(222,302):{'3_1':0.0},(222,301):{'3_1':0.0},(222,300):{'3_1':0.0},(222,299):{'5_2':0.0},(222,298):{'3_1':0.0},(222,297):{'3_1':0.0},(222,294):{'3_1':0.0,'5_1':0.0},(222,291):{'3_1':0.0},(222,261):{'3_1':0.0},(222,257):{'3_1':0.0},(222,256):{'3_1':0.0},(222,253):{'3_1':0.0},(223,727):{'6_1':0.18,'5_2':0.09,'4_1':0.06,'3_1':0.03,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(223,726):{'6_1':0.21,'5_2':0.12,'4_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(223,725):{'6_1':0.18,'3_1':0.09,'5_2':0.09,'4_1':0.03,'-3':0.0,'7_2':0.0,'8_3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(223,724):{'6_1':0.21,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_2':0.0,'8_4':0.0,'-3':0.0},(223,723):{'6_1':0.21,'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(223,722):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(223,721):{'6_1':0.18,'4_1':0.03,'5_2':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'8_3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(223,720):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0},(223,719):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(223,718):{'6_1':0.24,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_6':0.0,'-3':0.0,'8_1':0.0,'8_6':0.0},(223,717):{'6_1':0.27,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(223,716):{'6_1':0.18,'5_2':0.12,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(223,715):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(223,714):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(223,713):{'6_1':0.15,'5_2':0.09,'4_1':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(223,712):{'6_1':0.15,'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'7_4':0.0,'7_5':0.0},(223,711):{'6_1':0.18,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'8_1':0.0},(223,710):{'6_1':0.21,'3_1':0.06,'7_5':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'7_2':0.0,'8_6':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(223,709):{'6_1':0.18,'7_5':0.12,'-3':0.06,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_1':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(223,708):{'6_1':0.21,'3_1':0.12,'5_2':0.06,'4_1':0.03,'-3':0.03,'6_2':0.0,'8_3':0.0,'8_6':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0},(223,707):{'6_1':0.24,'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_6':0.0,'-3':0.0},(223,706):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(223,705):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(223,704):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(223,703):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.03,'7_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(223,702):{'5_2':0.15,'6_1':0.15,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(223,701):{'5_2':0.09,'6_1':0.09,'3_1':0.09,'4_1':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(223,700):{'6_1':0.15,'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(223,699):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(223,698):{'5_2':0.15,'6_1':0.15,'3_1':0.03,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_6':0.0},(223,697):{'6_1':0.21,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(223,696):{'6_1':0.18,'5_2':0.12,'4_1':0.09,'3_1':0.03,'7_3':0.03,'7_4':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(223,695):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_2':0.0,'8_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(223,694):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(223,693):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(223,692):{'3_1':0.12,'6_1':0.12,'5_2':0.06,'4_1':0.03,'7_2':0.03,'7_3':0.03,'6_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(223,691):{'6_1':0.15,'4_1':0.06,'3_1':0.06,'5_2':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(223,690):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_3':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(223,689):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(223,688):{'6_1':0.12,'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(223,687):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_6':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(223,686):{'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(223,685):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(223,684):{'6_1':0.15,'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(223,683):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_1':0.06,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(223,682):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_8':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(223,681):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(223,680):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(223,679):{'4_1':0.18,'6_1':0.09,'5_2':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(223,678):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.03,'7_3':0.0,'5_1':0.0,'8_8':0.0},(223,677):{'4_1':0.15,'5_2':0.09,'6_1':0.09,'3_1':0.06,'8_3':0.0,'-3':0.0},(223,676):{'4_1':0.12,'3_1':0.06,'6_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(223,675):{'4_1':0.15,'3_1':0.09,'6_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0},(223,674):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(223,673):{'4_1':0.15,'3_1':0.06,'6_1':0.06,'5_2':0.03,'7_2':0.0,'7_6':0.0},(223,672):{'4_1':0.27,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(223,671):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(223,670):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.03,'5_1':0.0},(223,669):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(223,668):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'8_1':0.0},(223,667):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(223,666):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.03,'6_2':0.0,'8_3':0.0},(223,665):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_1':0.0},(223,664):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'8_11':0.0},(223,663):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'6_2':0.0},(223,662):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'8_1':0.0},(223,661):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_3':0.0},(223,660):{'4_1':0.18,'3_1':0.15,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_4':0.0},(223,659):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(223,658):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(223,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0},(223,656):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0},(223,655):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0},(223,654):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(223,653):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(223,652):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0},(223,651):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0},(223,650):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(223,649):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_3':0.0},(223,648):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(223,647):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(223,646):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(223,645):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_1':0.0},(223,644):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(223,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(223,642):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(223,641):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_1':0.0,'7_3':0.0},(223,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(223,639):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'9_1':0.0},(223,638):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0},(223,637):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(223,636):{'3_1':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(223,635):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'7_1':0.0},(223,634):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_6':0.0},(223,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(223,632):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(223,631):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(223,630):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(223,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(223,628):{'4_1':0.03,'3_1':0.03},(223,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(223,626):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(223,625):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(223,624):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(223,623):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(223,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(223,621):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(223,620):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0},(223,619):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(223,617):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_2':0.0},(223,616):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(223,615):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(223,614):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(223,613):{'3_1':0.03,'4_1':0.0},(223,612):{'3_1':0.03},(223,611):{'3_1':0.0,'4_1':0.0},(223,610):{'3_1':0.06,'4_1':0.0},(223,609):{'3_1':0.0,'4_1':0.0},(223,608):{'4_1':0.0,'3_1':0.0},(223,607):{'4_1':0.0,'3_1':0.0,'6_1':0.0,'6_2':0.0},(223,606):{'4_1':0.06,'3_1':0.0},(223,605):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(223,604):{'3_1':0.03,'4_1':0.0},(223,603):{'3_1':0.03,'4_1':0.0},(223,602):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(223,601):{'3_1':0.03,'4_1':0.0},(223,600):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(223,599):{'3_1':0.03},(223,598):{'3_1':0.03},(223,597):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(223,596):{'3_1':0.0,'5_2':0.0},(223,595):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(223,594):{'3_1':0.03,'4_1':0.0},(223,593):{'3_1':0.0,'4_1':0.0},(223,592):{'3_1':0.03,'4_1':0.0},(223,591):{'3_1':0.06},(223,590):{'3_1':0.0,'4_1':0.0},(223,589):{'3_1':0.0},(223,588):{'3_1':0.06,'5_2':0.0},(223,587):{'3_1':0.03},(223,586):{'3_1':0.06,'4_1':0.0},(223,585):{'3_1':0.06,'4_1':0.0},(223,584):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(223,583):{'3_1':0.06,'4_1':0.0},(223,582):{'3_1':0.03,'4_1':0.0},(223,581):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,580):{'3_1':0.03},(223,579):{'3_1':0.06,'4_1':0.0},(223,578):{'3_1':0.06,'4_1':0.03},(223,577):{'3_1':0.03,'4_1':0.0},(223,576):{'3_1':0.06,'5_2':0.0},(223,575):{'3_1':0.09},(223,574):{'3_1':0.06,'5_2':0.0},(223,573):{'3_1':0.03},(223,572):{'3_1':0.03},(223,571):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(223,570):{'3_1':0.03,'5_2':0.0},(223,569):{'3_1':0.0},(223,568):{'3_1':0.0,'4_1':0.0},(223,567):{'3_1':0.06},(223,566):{'3_1':0.03,'4_1':0.0},(223,565):{'3_1':0.0,'4_1':0.0},(223,564):{'3_1':0.03,'4_1':0.0},(223,563):{'3_1':0.06},(223,562):{'3_1':0.06},(223,561):{'3_1':0.03,'4_1':0.0},(223,560):{'3_1':0.03},(223,559):{'3_1':0.0},(223,558):{'3_1':0.0,'4_1':0.0},(223,557):{'3_1':0.03,'7_2':0.0},(223,556):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(223,555):{'3_1':0.06},(223,554):{'3_1':0.06},(223,553):{'3_1':0.03,'4_1':0.0},(223,552):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(223,551):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(223,550):{'3_1':0.03,'4_1':0.0},(223,549):{'3_1':0.0,'4_1':0.0},(223,548):{'3_1':0.06,'4_1':0.0},(223,547):{'3_1':0.03,'4_1':0.0},(223,546):{'3_1':0.03},(223,545):{'3_1':0.03,'4_1':0.0},(223,544):{'3_1':0.06,'4_1':0.0},(223,543):{'3_1':0.0,'4_1':0.0},(223,542):{'3_1':0.06},(223,541):{'3_1':0.03,'5_2':0.0},(223,540):{'3_1':0.0},(223,539):{'3_1':0.0},(223,538):{'3_1':0.0,'4_1':0.0},(223,537):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(223,536):{'3_1':0.09,'4_1':0.0},(223,535):{'3_1':0.06,'5_1':0.0},(223,534):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(223,533):{'3_1':0.06,'4_1':0.0},(223,532):{'3_1':0.09,'5_2':0.0},(223,531):{'3_1':0.0},(223,530):{'3_1':0.03},(223,529):{'3_1':0.06},(223,528):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(223,527):{'3_1':0.06},(223,526):{'3_1':0.03},(223,525):{'3_1':0.06,'5_2':0.0},(223,524):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(223,523):{'3_1':0.09,'5_1':0.0},(223,522):{'3_1':0.06},(223,521):{'3_1':0.03},(223,520):{'3_1':0.0},(223,519):{'3_1':0.0,'4_1':0.0},(223,518):{'3_1':0.0,'5_1':0.0},(223,517):{'3_1':0.03},(223,516):{'3_1':0.06,'4_1':0.0},(223,515):{'3_1':0.06,'5_1':0.0},(223,514):{'3_1':0.0},(223,513):{'3_1':0.0},(223,512):{'3_1':0.03,'8_20|3_1#3_1':0.0},(223,511):{'3_1':0.0,'5_2':0.0},(223,510):{'3_1':0.03,'5_2':0.0},(223,509):{'3_1':0.0},(223,508):{'3_1':0.0},(223,507):{'3_1':0.0},(223,506):{'3_1':0.0},(223,505):{'3_1':0.03,'4_1':0.0},(223,504):{'3_1':0.03,'4_1':0.0},(223,503):{'3_1':0.0},(223,502):{'3_1':0.03,'4_1':0.0},(223,501):{'3_1':0.03},(223,500):{'3_1':0.0},(223,499):{'3_1':0.03},(223,498):{'3_1':0.03},(223,497):{'3_1':0.03,'4_1':0.0},(223,496):{'3_1':0.0},(223,495):{'3_1':0.06},(223,494):{'3_1':0.0},(223,493):{'3_1':0.0,'4_1':0.0},(223,492):{'3_1':0.03,'5_2':0.0},(223,491):{'3_1':0.03},(223,490):{'3_1':0.09},(223,489):{'3_1':0.03},(223,488):{'3_1':0.06,'4_1':0.0},(223,487):{'3_1':0.06,'5_1':0.0},(223,486):{'3_1':0.06},(223,485):{'3_1':0.06,'4_1':0.0},(223,484):{'3_1':0.06},(223,483):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(223,482):{'3_1':0.09,'4_1':0.0},(223,481):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(223,480):{'3_1':0.15,'4_1':0.0},(223,479):{'3_1':0.06},(223,478):{'3_1':0.09,'7_1':0.0},(223,477):{'3_1':0.06},(223,476):{'3_1':0.06,'5_2':0.0},(223,475):{'3_1':0.09,'4_1':0.0},(223,474):{'3_1':0.03,'7_1':0.0},(223,473):{'3_1':0.09,'5_2':0.0},(223,472):{'3_1':0.09},(223,471):{'3_1':0.06},(223,470):{'3_1':0.06,'5_1':0.0},(223,469):{'3_1':0.03},(223,468):{'3_1':0.03},(223,467):{'3_1':0.0},(223,466):{'3_1':0.0,'5_2':0.0},(223,465):{'3_1':0.03},(223,464):{'3_1':0.0},(223,463):{'3_1':0.03,'4_1':0.0},(223,462):{'3_1':0.0},(223,461):{'3_1':0.0},(223,460):{'3_1':0.06},(223,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(223,458):{'3_1':0.03,'5_1':0.0},(223,457):{'3_1':0.03},(223,456):{'3_1':0.03},(223,455):{'3_1':0.0,'4_1':0.0},(223,454):{'3_1':0.03},(223,453):{'3_1':0.03,'5_2':0.0},(223,452):{'3_1':0.03},(223,451):{'3_1':0.03,'4_1':0.0},(223,450):{'3_1':0.03},(223,449):{'3_1':0.0,'4_1':0.0},(223,448):{'3_1':0.0},(223,447):{'3_1':0.0},(223,446):{'3_1':0.03},(223,445):{'3_1':0.0},(223,444):{'3_1':0.06},(223,443):{'3_1':0.03,'5_2':0.0},(223,442):{'3_1':0.03},(223,441):{'3_1':0.03},(223,440):{'3_1':0.0},(223,439):{'3_1':0.03},(223,438):{'3_1':0.03},(223,437):{'3_1':0.03},(223,436):{'3_1':0.0},(223,435):{'3_1':0.03},(223,434):{'3_1':0.0},(223,433):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(223,432):{'3_1':0.0},(223,431):{'3_1':0.0},(223,430):{'3_1':0.03},(223,429):{'3_1':0.03},(223,428):{'3_1':0.0},(223,427):{'3_1':0.03},(223,426):{'3_1':0.03},(223,425):{'3_1':0.03,'5_2':0.0},(223,424):{'3_1':0.0,'5_1':0.0},(223,423):{'3_1':0.03,'5_2':0.0},(223,422):{'3_1':0.03},(223,421):{'3_1':0.03},(223,420):{'3_1':0.03},(223,419):{'3_1':0.03},(223,418):{'3_1':0.0,'5_1':0.0},(223,417):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(223,416):{'3_1':0.0},(223,415):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(223,414):{'3_1':0.0},(223,413):{'3_1':0.09},(223,412):{'3_1':0.0},(223,411):{'3_1':0.0},(223,410):{'3_1':0.03,'4_1':0.0},(223,409):{'3_1':0.03,'7_1':0.0},(223,408):{'3_1':0.0},(223,407):{'3_1':0.03,'4_1':0.0},(223,406):{'3_1':0.03,'5_1':0.0},(223,405):{'3_1':0.0},(223,404):{'3_1':0.03,'4_1':0.0},(223,403):{'3_1':0.0},(223,402):{'3_1':0.03},(223,401):{'3_1':0.06},(223,400):{'3_1':0.03},(223,399):{'3_1':0.0},(223,398):{'3_1':0.0,'5_1':0.0},(223,397):{'3_1':0.0},(223,396):{'3_1':0.03},(223,395):{'3_1':0.0},(223,394):{'3_1':0.0},(223,393):{'3_1':0.0},(223,391):{'4_1':0.0,'5_1':0.0},(223,390):{'3_1':0.0},(223,389):{'3_1':0.0},(223,388):{'3_1':0.03},(223,387):{'3_1':0.0},(223,386):{'3_1':0.0},(223,385):{'3_1':0.03},(223,384):{'3_1':0.0},(223,383):{'3_1':0.0},(223,382):{'3_1':0.0},(223,381):{'3_1':0.03},(223,380):{'3_1':0.03,'5_1':0.0},(223,379):{'3_1':0.0},(223,378):{'3_1':0.0},(223,377):{'3_1':0.03},(223,376):{'3_1':0.0,'5_1':0.0},(223,375):{'3_1':0.03},(223,374):{'3_1':0.03},(223,373):{'3_1':0.03},(223,372):{'3_1':0.0},(223,371):{'3_1':0.0,'4_1':0.0},(223,370):{'3_1':0.0},(223,369):{'3_1':0.0},(223,367):{'3_1':0.03,'5_1':0.0},(223,366):{'3_1':0.0},(223,365):{'7_1':0.0},(223,364):{'3_1':0.0,'9_1':0.0},(223,363):{'3_1':0.0},(223,362):{'3_1':0.0},(223,361):{'3_1':0.0},(223,360):{'3_1':0.0},(223,359):{'3_1':0.0},(223,358):{'3_1':0.0},(223,357):{'3_1':0.0,'5_2':0.0},(223,356):{'3_1':0.0},(223,355):{'3_1':0.0},(223,353):{'3_1':0.03,'5_2':0.0},(223,352):{'3_1':0.0},(223,351):{'3_1':0.0},(223,349):{'3_1':0.0},(223,348):{'3_1':0.0},(223,345):{'3_1':0.0},(223,344):{'3_1':0.0},(223,339):{'3_1':0.0},(223,338):{'3_1':0.0,'5_2':0.0},(223,337):{'3_1':0.0},(223,336):{'3_1':0.03},(223,333):{'3_1':0.0},(223,331):{'3_1':0.0},(223,330):{'3_1':0.0},(223,329):{'3_1':0.0},(223,328):{'3_1':0.03},(223,327):{'3_1':0.0},(223,326):{'3_1':0.0},(223,324):{'3_1':0.0},(223,323):{'3_1':0.0},(223,322):{'3_1':0.03},(223,321):{'3_1':0.0},(223,319):{'3_1':0.0,'4_1':0.0},(223,318):{'3_1':0.0},(223,317):{'3_1':0.03},(223,316):{'3_1':0.0},(223,315):{'3_1':0.0},(223,313):{'3_1':0.03},(223,311):{'3_1':0.0},(223,310):{'3_1':0.0},(223,308):{'3_1':0.0},(223,307):{'3_1':0.0},(223,306):{'3_1':0.0},(223,305):{'3_1':0.0},(223,302):{'3_1':0.0},(223,297):{'3_1':0.0},(223,295):{'3_1':0.0},(223,294):{'3_1':0.0},(223,293):{'3_1':0.0},(223,290):{'3_1':0.0},(223,287):{'3_1':0.0},(223,277):{'4_1':0.0},(223,273):{'3_1':0.0},(223,266):{'3_1':0.0},(223,265):{'3_1':0.0},(223,263):{'3_1':0.0},(223,257):{'3_1':0.0},(223,253):{'3_1':0.0},(223,250):{'3_1':0.0},(223,248):{'3_1':0.0},(223,238):{'3_1':0.0},(224,727):{'6_1':0.12,'5_2':0.06,'-3':0.03,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_1':0.0,'8_11':0.0},(224,726):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(224,725):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(224,724):{'6_1':0.18,'5_2':0.09,'3_1':0.0,'4_1':0.0,'7_6':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(224,723):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(224,722):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.03,'8_11':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(224,721):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.06,'7_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(224,720):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(224,719):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_5':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(224,718):{'6_1':0.12,'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(224,717):{'5_2':0.21,'6_1':0.15,'3_1':0.06,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(224,716):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_14':0.0},(224,715):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(224,714):{'5_2':0.15,'3_1':0.09,'6_1':0.09,'4_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(224,713):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(224,712):{'5_2':0.21,'6_1':0.15,'3_1':0.09,'4_1':0.03,'7_2':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(224,711):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0},(224,710):{'6_1':0.09,'5_2':0.09,'3_1':0.09,'-3':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(224,709):{'6_1':0.18,'3_1':0.12,'7_5':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'5_1':0.0,'8_2':0.0,'8_3':0.0},(224,708):{'6_1':0.15,'3_1':0.09,'5_2':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_5':0.0,'8_6':0.0,'-3':0.0},(224,707):{'6_1':0.24,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_7':0.0,'8_6':0.0,'-3':0.0},(224,706):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'8_6':0.0,'7_4':0.0,'-3':0.0},(224,705):{'6_1':0.15,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_3':0.0,'7_4':0.0,'8_1':0.0,'7_2':0.0,'7_5':0.0},(224,704):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(224,703):{'6_1':0.12,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'8_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_11':0.0},(224,702):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0},(224,701):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(224,700):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(224,699):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(224,698):{'6_1':0.15,'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(224,697):{'5_2':0.15,'6_1':0.15,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(224,696):{'6_1':0.18,'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(224,695):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0},(224,694):{'5_2':0.21,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0},(224,693):{'6_1':0.12,'4_1':0.06,'3_1':0.06,'5_2':0.06,'7_4':0.03,'7_5':0.03,'-3':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0},(224,692):{'5_2':0.12,'3_1':0.09,'6_1':0.09,'4_1':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(224,691):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(224,690):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(224,689):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'8_11':0.0},(224,688):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0},(224,687):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(224,686):{'6_1':0.09,'4_1':0.06,'3_1':0.06,'5_2':0.06,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(224,685):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(224,684):{'4_1':0.06,'3_1':0.06,'6_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(224,683):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_8':0.0,'8_14':0.0},(224,682):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0},(224,681):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(224,680):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'3_1':0.06,'7_2':0.0},(224,679):{'4_1':0.21,'5_2':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(224,678):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(224,677):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_14':0.0,'8_19':0.0},(224,676):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_1':0.03,'7_3':0.0},(224,675):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_1':0.0},(224,674):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.06,'7_2':0.0,'7_5':0.0,'8_19':0.0,'-3':0.0},(224,673):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(224,672):{'4_1':0.27,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(224,671):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(224,670):{'4_1':0.18,'3_1':0.12,'6_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0},(224,669):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0},(224,668):{'3_1':0.15,'4_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0},(224,667):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(224,666):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(224,665):{'4_1':0.15,'6_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0},(224,664):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'8_3':0.0},(224,663):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(224,662):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(224,661):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0},(224,660):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0},(224,659):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0},(224,658):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'7_4':0.0},(224,657):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(224,656):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(224,655):{'4_1':0.21,'3_1':0.12,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(224,654):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(224,653):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'6_2':0.0},(224,652):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_19':0.0},(224,651):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(224,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(224,649):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0},(224,648):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'7_4':0.0,'5_1':0.0,'3_1#5_2':0.0},(224,647):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(224,646):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0},(224,645):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(224,644):{'3_1':0.27,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(224,643):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(224,642):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(224,641):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(224,640):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'6_2':0.0,'5_2':0.0},(224,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(224,638):{'3_1':0.12,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(224,637):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(224,636):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(224,635):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(224,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(224,633):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(224,632):{'3_1':0.15,'4_1':0.03},(224,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(224,630):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(224,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_5':0.0},(224,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(224,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(224,626):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(224,625):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(224,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(224,623):{'3_1':0.09,'4_1':0.03},(224,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'5_2':0.0},(224,621):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(224,620):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(224,619):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(224,618):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(224,617):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(224,616):{'3_1':0.09,'4_1':0.06,'8_21|3_1#4_1':0.0},(224,615):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(224,614):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'-3':0.0,'7_1':0.0},(224,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(224,612):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0},(224,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(224,610):{'3_1':0.06,'4_1':0.03},(224,609):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(224,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_4':0.0},(224,607):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'5_1':0.0},(224,606):{'5_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0},(224,605):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(224,604):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_7':0.0},(224,603):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(224,602):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(224,601):{'3_1':0.06,'4_1':0.0},(224,600):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(224,599):{'3_1':0.0,'4_1':0.0},(224,598):{'3_1':0.03},(224,597):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(224,596):{'3_1':0.0,'4_1':0.0},(224,595):{'3_1':0.0,'4_1':0.0},(224,594):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(224,593):{'3_1':0.03},(224,592):{'3_1':0.03,'4_1':0.0},(224,591):{'3_1':0.03},(224,590):{'3_1':0.06,'4_1':0.0},(224,589):{'3_1':0.06,'4_1':0.0},(224,588):{'3_1':0.03},(224,587):{'3_1':0.0,'5_1':0.0},(224,586):{'3_1':0.03},(224,585):{'3_1':0.09,'4_1':0.0},(224,584):{'3_1':0.03,'5_1':0.0},(224,583):{'3_1':0.06,'5_1':0.0},(224,582):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(224,581):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(224,580):{'3_1':0.09,'4_1':0.0},(224,579):{'3_1':0.09,'5_2':0.0},(224,578):{'3_1':0.03,'4_1':0.0},(224,577):{'3_1':0.06},(224,576):{'3_1':0.06},(224,575):{'3_1':0.03,'5_2':0.0},(224,574):{'3_1':0.06},(224,573):{'3_1':0.09},(224,572):{'3_1':0.03},(224,571):{'3_1':0.03,'4_1':0.0},(224,570):{'3_1':0.06,'4_1':0.0},(224,569):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(224,568):{'3_1':0.03,'4_1':0.0},(224,567):{'3_1':0.03},(224,566):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(224,565):{'3_1':0.0,'5_2':0.0},(224,564):{'3_1':0.06},(224,563):{'3_1':0.03},(224,562):{'3_1':0.03},(224,561):{'3_1':0.0,'4_1':0.0},(224,560):{'3_1':0.06},(224,559):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(224,558):{'3_1':0.03,'4_1':0.0},(224,557):{'3_1':0.03},(224,556):{'3_1':0.06,'4_1':0.0},(224,555):{'3_1':0.06,'4_1':0.03},(224,554):{'3_1':0.03},(224,553):{'3_1':0.03},(224,552):{'3_1':0.09},(224,551):{'3_1':0.09},(224,550):{'3_1':0.03},(224,549):{'3_1':0.09,'4_1':0.0},(224,548):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(224,547):{'3_1':0.03,'5_1':0.0},(224,546):{'3_1':0.0,'4_1':0.0},(224,545):{'3_1':0.06,'4_1':0.0},(224,544):{'3_1':0.03,'4_1':0.0},(224,543):{'3_1':0.0,'5_1':0.0},(224,542):{'3_1':0.06},(224,541):{'3_1':0.06},(224,540):{'3_1':0.06},(224,539):{'3_1':0.03},(224,538):{'3_1':0.06,'4_1':0.0},(224,537):{'3_1':0.09,'4_1':0.0},(224,536):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(224,535):{'3_1':0.09},(224,534):{'3_1':0.03},(224,533):{'3_1':0.03},(224,532):{'3_1':0.06,'5_1':0.0},(224,531):{'3_1':0.0,'7_4':0.0},(224,530):{'3_1':0.03},(224,529):{'3_1':0.0},(224,528):{'3_1':0.03,'4_1':0.0},(224,527):{'3_1':0.0,'4_1':0.0},(224,526):{'3_1':0.09,'5_1':0.0},(224,525):{'3_1':0.03,'4_1':0.0},(224,524):{'3_1':0.09},(224,523):{'3_1':0.03},(224,522):{'3_1':0.03,'4_1':0.0},(224,521):{'3_1':0.03,'4_1':0.0},(224,520):{'3_1':0.03,'5_2':0.0},(224,519):{'3_1':0.06},(224,518):{'3_1':0.03,'8_20|3_1#3_1':0.0},(224,517):{'3_1':0.0},(224,516):{'3_1':0.03},(224,515):{'3_1':0.06},(224,514):{'3_1':0.03},(224,513):{'3_1':0.03,'4_1':0.0},(224,512):{'3_1':0.03,'4_1':0.0},(224,511):{'3_1':0.03},(224,510):{'3_1':0.03,'4_1':0.0},(224,509):{'3_1':0.03},(224,508):{'3_1':0.0},(224,507):{'3_1':0.06,'4_1':0.0},(224,506):{'3_1':0.0,'4_1':0.0},(224,505):{'3_1':0.03,'4_1':0.0},(224,504):{'4_1':0.0,'3_1':0.0},(224,503):{'3_1':0.03},(224,502):{'3_1':0.03},(224,501):{'3_1':0.0},(224,500):{'3_1':0.0,'4_1':0.0},(224,498):{'3_1':0.0},(224,497):{'3_1':0.0,'5_2':0.0},(224,496):{'3_1':0.0,'5_2':0.0},(224,495):{'3_1':0.0},(224,494):{'3_1':0.0},(224,493):{'3_1':0.0},(224,492):{'3_1':0.0},(224,491):{'3_1':0.03,'4_1':0.0},(224,490):{'3_1':0.0},(224,489):{'3_1':0.03,'6_2':0.0},(224,488):{'3_1':0.0},(224,487):{'3_1':0.06},(224,486):{'3_1':0.0},(224,485):{'3_1':0.06},(224,484):{'3_1':0.09},(224,483):{'3_1':0.03,'7_1':0.0},(224,482):{'3_1':0.12},(224,481):{'3_1':0.06},(224,480):{'3_1':0.03},(224,479):{'3_1':0.09,'5_1':0.0},(224,478):{'3_1':0.12},(224,477):{'3_1':0.09,'4_1':0.0},(224,476):{'3_1':0.06},(224,475):{'3_1':0.06},(224,474):{'3_1':0.03},(224,473):{'3_1':0.06},(224,472):{'3_1':0.06},(224,471):{'3_1':0.06,'4_1':0.0},(224,470):{'3_1':0.03,'5_1':0.0},(224,469):{'3_1':0.03},(224,468):{'3_1':0.06},(224,467):{'3_1':0.0},(224,466):{'3_1':0.0},(224,465):{'3_1':0.03,'4_1':0.0},(224,464):{'3_1':0.03,'4_1':0.0},(224,463):{'3_1':0.0},(224,462):{'3_1':0.0},(224,461):{'3_1':0.0},(224,460):{'3_1':0.0},(224,459):{'3_1':0.0},(224,458):{'3_1':0.0},(224,457):{'3_1':0.0},(224,456):{'3_1':0.0,'4_1':0.0},(224,455):{'3_1':0.03},(224,454):{'3_1':0.0},(224,452):{'3_1':0.0,'4_1':0.0},(224,451):{'3_1':0.0},(224,450):{'3_1':0.0},(224,449):{'3_1':0.03},(224,448):{'3_1':0.03},(224,447):{'3_1':0.06},(224,446):{'3_1':0.0},(224,445):{'3_1':0.0,'4_1':0.0},(224,444):{'3_1':0.03},(224,443):{'3_1':0.0},(224,442):{'3_1':0.03,'5_2':0.0},(224,441):{'3_1':0.0},(224,440):{'3_1':0.0},(224,439):{'3_1':0.06},(224,438):{'3_1':0.03},(224,437):{'3_1':0.0,'4_1':0.0},(224,436):{'3_1':0.03},(224,435):{'3_1':0.03},(224,434):{'3_1':0.06},(224,433):{'3_1':0.03,'5_2':0.0},(224,432):{'3_1':0.03},(224,431):{'3_1':0.03},(224,430):{'3_1':0.03,'4_1':0.0},(224,429):{'3_1':0.0,'5_1':0.0},(224,428):{'3_1':0.0,'4_1':0.0},(224,427):{'3_1':0.03},(224,426):{'3_1':0.03},(224,425):{'3_1':0.03},(224,424):{'3_1':0.03},(224,423):{'3_1':0.0,'5_2':0.0},(224,422):{'3_1':0.03,'5_1':0.0},(224,421):{'3_1':0.0},(224,420):{'3_1':0.0},(224,419):{'3_1':0.0,'4_1':0.0},(224,418):{'3_1':0.0},(224,417):{'3_1':0.03,'5_1':0.0},(224,416):{'3_1':0.0,'7_1':0.0},(224,415):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(224,414):{'3_1':0.03},(224,413):{'3_1':0.0},(224,412):{'3_1':0.0},(224,411):{'3_1':0.0,'5_1':0.0},(224,410):{'3_1':0.0},(224,409):{'3_1':0.0,'4_1':0.0},(224,408):{'3_1':0.03,'4_1':0.0},(224,407):{'3_1':0.06},(224,406):{'3_1':0.03},(224,405):{'3_1':0.0},(224,404):{'3_1':0.0,'5_1':0.0},(224,403):{'3_1':0.0},(224,402):{'3_1':0.03},(224,401):{'3_1':0.03},(224,400):{'3_1':0.0},(224,399):{'3_1':0.0},(224,398):{'3_1':0.03},(224,397):{'3_1':0.03},(224,396):{'3_1':0.06,'4_1':0.0},(224,395):{'3_1':0.0,'5_1':0.0},(224,393):{'3_1':0.03},(224,392):{'3_1':0.0},(224,391):{'3_1':0.0},(224,390):{'3_1':0.0},(224,389):{'3_1':0.0},(224,388):{'3_1':0.0},(224,387):{'3_1':0.0},(224,385):{'3_1':0.0,'7_2':0.0},(224,384):{'3_1':0.03},(224,383):{'3_1':0.0},(224,381):{'3_1':0.03,'5_2':0.0},(224,380):{'3_1':0.0,'5_2':0.0},(224,379):{'3_1':0.03,'4_1':0.0},(224,378):{'3_1':0.03},(224,377):{'3_1':0.03},(224,376):{'3_1':0.0},(224,375):{'3_1':0.0,'5_1':0.0},(224,374):{'3_1':0.0,'5_1':0.0},(224,372):{'3_1':0.0},(224,371):{'3_1':0.0},(224,369):{'3_1':0.0},(224,368):{'3_1':0.0},(224,366):{'3_1':0.0,'5_1':0.0},(224,365):{'3_1':0.03},(224,364):{'3_1':0.0},(224,363):{'3_1':0.03,'5_1':0.0},(224,362):{'3_1':0.0},(224,361):{'3_1':0.0},(224,360):{'3_1':0.0},(224,359):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(224,358):{'3_1':0.0},(224,357):{'3_1':0.0},(224,356):{'3_1':0.0,'5_1':0.0},(224,355):{'3_1':0.0},(224,354):{'3_1':0.0},(224,353):{'3_1':0.0},(224,352):{'3_1':0.0,'5_2':0.0},(224,351):{'3_1':0.0},(224,350):{'3_1':0.0},(224,349):{'3_1':0.0},(224,348):{'3_1':0.0,'5_1':0.0},(224,347):{'3_1':0.0},(224,346):{'3_1':0.0},(224,343):{'3_1':0.0},(224,341):{'3_1':0.0},(224,340):{'3_1':0.0},(224,339):{'3_1':0.0},(224,338):{'3_1':0.0,'5_1':0.0},(224,336):{'3_1':0.0},(224,333):{'3_1':0.0},(224,329):{'3_1':0.0},(224,327):{'3_1':0.0},(224,326):{'3_1':0.0},(224,325):{'3_1':0.0,'4_1':0.0},(224,324):{'3_1':0.03,'4_1':0.0},(224,323):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(224,322):{'3_1':0.0,'4_1':0.0},(224,321):{'3_1':0.0},(224,320):{'3_1':0.03},(224,319):{'3_1':0.03},(224,318):{'3_1':0.0},(224,317):{'3_1':0.03},(224,316):{'3_1':0.03},(224,315):{'3_1':0.0},(224,314):{'3_1':0.0},(224,312):{'3_1':0.0},(224,311):{'3_1':0.0},(224,310):{'3_1':0.0,'5_1':0.0},(224,309):{'3_1':0.0},(224,308):{'3_1':0.0},(224,306):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(224,305):{'3_1':0.0},(224,304):{'3_1':0.03},(224,303):{'3_1':0.0},(224,302):{'3_1':0.0},(224,299):{'3_1':0.0},(224,293):{'3_1':0.0},(224,290):{'3_1':0.0},(224,283):{'3_1':0.0},(224,281):{'3_1':0.0},(224,274):{'3_1':0.03},(224,273):{'3_1':0.0},(224,265):{'3_1':0.0},(224,263):{'3_1':0.0},(224,260):{'3_1':0.0},(224,258):{'3_1':0.0},(224,256):{'3_1':0.0},(224,255):{'3_1':0.0},(224,250):{'3_1':0.0},(224,248):{'3_1':0.0},(224,234):{'3_1':0.0},(224,232):{'3_1':0.0},(225,727):{'6_1':0.18,'4_1':0.09,'5_2':0.06,'3_1':0.03,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(225,726):{'6_1':0.21,'4_1':0.09,'3_1':0.03,'5_2':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(225,725):{'6_1':0.12,'3_1':0.06,'4_1':0.06,'5_2':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(225,724):{'6_1':0.15,'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(225,723):{'6_1':0.15,'3_1':0.12,'5_2':0.09,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_3':0.0},(225,722):{'6_1':0.24,'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(225,721):{'5_2':0.12,'6_1':0.12,'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(225,720):{'6_1':0.18,'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_6':0.0},(225,719):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(225,718):{'6_1':0.15,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(225,717):{'4_1':0.12,'6_1':0.12,'5_2':0.06,'3_1':0.03,'7_2':0.03,'7_6':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(225,716):{'6_1':0.18,'5_2':0.09,'3_1':0.09,'4_1':0.0,'7_4':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(225,715):{'6_1':0.21,'5_2':0.12,'3_1':0.09,'4_1':0.03,'8_14':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(225,714):{'6_1':0.21,'3_1':0.09,'5_2':0.09,'4_1':0.03,'7_2':0.0,'8_1':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(225,713):{'6_1':0.15,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_6':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(225,712):{'6_1':0.18,'5_2':0.15,'4_1':0.09,'3_1':0.06,'8_6':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(225,711):{'3_1':0.12,'6_1':0.12,'5_2':0.09,'7_5':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_6':0.0,'7_4':0.0,'8_6':0.0},(225,710):{'3_1':0.09,'5_2':0.09,'6_1':0.09,'4_1':0.06,'7_5':0.03,'8_6':0.03,'-3':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_14':0.0},(225,709):{'6_1':0.21,'3_1':0.12,'5_2':0.06,'-3':0.06,'7_5':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'9_1':0.0},(225,708):{'6_1':0.18,'3_1':0.09,'5_2':0.09,'4_1':0.06,'-3':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(225,707):{'6_1':0.15,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(225,706):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.06,'7_2':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0},(225,705):{'6_1':0.15,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_2':0.0,'7_5':0.0,'-3':0.0,'8_2':0.0,'8_3':0.0,'8_14':0.0},(225,704):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(225,703):{'6_1':0.21,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(225,702):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_3':0.03,'7_4':0.03,'7_2':0.0,'7_5':0.0},(225,701):{'4_1':0.09,'5_2':0.09,'6_1':0.09,'3_1':0.09,'7_4':0.03,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0},(225,700):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(225,699):{'6_1':0.09,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.03,'7_6':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0},(225,698):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(225,697):{'5_2':0.15,'6_1':0.15,'4_1':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(225,696):{'5_2':0.12,'6_1':0.09,'3_1':0.09,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(225,695):{'6_1':0.12,'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(225,694):{'6_1':0.12,'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'7_5':0.0,'8_4':0.0},(225,693):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(225,692):{'6_1':0.12,'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0},(225,691):{'6_1':0.09,'5_2':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_12':0.0,'-3':0.0},(225,690):{'6_1':0.15,'5_2':0.12,'4_1':0.12,'3_1':0.09,'7_4':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(225,689):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.06,'7_2':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(225,688):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(225,687):{'5_2':0.18,'3_1':0.09,'4_1':0.09,'6_1':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(225,686):{'3_1':0.18,'4_1':0.12,'6_1':0.06,'5_2':0.06,'7_3':0.0,'7_5':0.0,'7_2':0.0,'6_2':0.0,'-3':0.0},(225,685):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(225,684):{'3_1':0.12,'6_1':0.06,'4_1':0.06,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_8':0.0,'-3':0.0},(225,683):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(225,682):{'4_1':0.18,'5_2':0.15,'6_1':0.09,'3_1':0.06,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(225,681):{'4_1':0.12,'6_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(225,680):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.03,'8_11':0.0},(225,679):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(225,678):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(225,677):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0},(225,676):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0},(225,675):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(225,674):{'4_1':0.15,'3_1':0.15,'5_2':0.12,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_12':0.0},(225,673):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(225,672):{'3_1':0.15,'4_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0},(225,671):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(225,670):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(225,669):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0},(225,668):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0},(225,667):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(225,666):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(225,665):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0},(225,664):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(225,663):{'4_1':0.21,'3_1':0.09,'5_1':0.03,'6_1':0.03,'5_2':0.0,'7_3':0.0,'8_3':0.0},(225,662):{'3_1':0.18,'4_1':0.12,'6_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0},(225,661):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'7_3':0.0},(225,660):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(225,659):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0},(225,658):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(225,657):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(225,656):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.0,'-3':0.0},(225,655):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(225,654):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0},(225,653):{'3_1':0.21,'4_1':0.15,'5_1':0.03,'5_2':0.0},(225,652):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0},(225,651):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(225,650):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0},(225,649):{'3_1':0.21,'4_1':0.09,'5_2':0.06,'5_1':0.0},(225,648):{'3_1':0.24,'4_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(225,647):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(225,646):{'3_1':0.18,'4_1':0.09,'7_5':0.0,'9_1':0.0},(225,645):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0},(225,644):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(225,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'-3':0.0},(225,642):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(225,641):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(225,640):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(225,639):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_1':0.0,'-3':0.0},(225,638):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(225,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(225,636):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(225,635):{'3_1':0.12,'4_1':0.03,'8_4':0.0},(225,634):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(225,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(225,632):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(225,631):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,630):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(225,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(225,628):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(225,627):{'3_1':0.06,'4_1':0.0},(225,626):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(225,625):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(225,624):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_19':0.0},(225,623):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(225,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(225,621):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(225,620):{'3_1':0.06,'4_1':0.03},(225,619):{'3_1':0.09,'4_1':0.06,'8_20|3_1#3_1':0.0},(225,618):{'3_1':0.12,'4_1':0.03,'7_1':0.0,'-3':0.0},(225,617):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(225,616):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(225,615):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(225,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(225,613):{'3_1':0.06,'4_1':0.03},(225,612):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(225,611):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'-3':0.0},(225,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(225,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(225,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(225,607):{'4_1':0.03,'5_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(225,606):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(225,605):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(225,604):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(225,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(225,602):{'3_1':0.03},(225,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(225,600):{'3_1':0.03},(225,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(225,598):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(225,597):{'3_1':0.03,'4_1':0.0},(225,596):{'3_1':0.03},(225,595):{'3_1':0.03},(225,594):{'3_1':0.03,'4_1':0.0},(225,593):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,592):{'3_1':0.03,'4_1':0.0},(225,591):{'3_1':0.0,'5_2':0.0},(225,590):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,589):{'3_1':0.06,'5_2':0.0},(225,588):{'3_1':0.06,'4_1':0.0},(225,587):{'3_1':0.06,'4_1':0.0},(225,586):{'3_1':0.09,'4_1':0.0},(225,585):{'3_1':0.06,'4_1':0.0},(225,584):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(225,583):{'3_1':0.09},(225,582):{'3_1':0.03},(225,581):{'3_1':0.09},(225,580):{'3_1':0.06,'4_1':0.0},(225,579):{'3_1':0.06,'4_1':0.0},(225,578):{'3_1':0.03,'4_1':0.0},(225,577):{'3_1':0.03,'4_1':0.0},(225,576):{'3_1':0.06},(225,575):{'3_1':0.06,'4_1':0.0},(225,574):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,573):{'3_1':0.09,'5_2':0.0},(225,572):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(225,571):{'3_1':0.06,'4_1':0.0},(225,570):{'3_1':0.03},(225,569):{'3_1':0.03,'4_1':0.0},(225,568):{'3_1':0.03,'5_2':0.0},(225,567):{'3_1':0.06},(225,566):{'3_1':0.0},(225,565):{'3_1':0.03,'4_1':0.0},(225,564):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(225,563):{'3_1':0.06,'5_1':0.0},(225,562):{'3_1':0.06,'4_1':0.0},(225,561):{'3_1':0.0,'4_1':0.0},(225,560):{'3_1':0.09,'4_1':0.0},(225,559):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,558):{'3_1':0.03},(225,557):{'3_1':0.09,'7_1':0.0},(225,556):{'3_1':0.06,'5_2':0.0},(225,555):{'3_1':0.0},(225,554):{'3_1':0.03,'5_2':0.0},(225,553):{'3_1':0.06,'4_1':0.0},(225,552):{'3_1':0.06,'5_1':0.0},(225,551):{'3_1':0.06},(225,550):{'3_1':0.09},(225,549):{'3_1':0.03,'5_1':0.0},(225,548):{'3_1':0.0},(225,547):{'3_1':0.03,'4_1':0.0},(225,546):{'3_1':0.03,'5_1':0.0},(225,545):{'3_1':0.03,'5_1':0.0},(225,544):{'3_1':0.03},(225,543):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(225,542):{'3_1':0.06,'4_1':0.0},(225,541):{'3_1':0.06,'5_1':0.0},(225,540):{'3_1':0.0},(225,539):{'3_1':0.03,'5_1':0.0},(225,538):{'3_1':0.06},(225,537):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(225,536):{'3_1':0.06},(225,535):{'3_1':0.03,'5_1':0.0},(225,534):{'3_1':0.06},(225,533):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(225,532):{'3_1':0.03,'5_1':0.0},(225,531):{'3_1':0.06},(225,530):{'3_1':0.06,'4_1':0.0},(225,529):{'3_1':0.03,'5_1':0.0},(225,528):{'3_1':0.06},(225,527):{'3_1':0.06},(225,526):{'3_1':0.06,'4_1':0.0},(225,525):{'3_1':0.06,'4_1':0.0},(225,524):{'3_1':0.03,'5_2':0.0},(225,523):{'3_1':0.0,'5_1':0.0},(225,522):{'3_1':0.12,'4_1':0.0},(225,521):{'3_1':0.0,'7_1':0.0},(225,520):{'3_1':0.03,'5_1':0.0},(225,519):{'3_1':0.03,'5_1':0.0},(225,518):{'3_1':0.0,'4_1':0.0},(225,517):{'3_1':0.0,'5_1':0.0},(225,516):{'3_1':0.03},(225,515):{'3_1':0.0},(225,514):{'3_1':0.06},(225,513):{'3_1':0.03},(225,512):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(225,511):{'3_1':0.0},(225,510):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(225,509):{'3_1':0.03,'4_1':0.0},(225,508):{'3_1':0.0,'5_2':0.0},(225,507):{'4_1':0.0,'5_1':0.0},(225,506):{'3_1':0.03,'4_1':0.0},(225,505):{'3_1':0.0},(225,504):{'5_1':0.0},(225,503):{'3_1':0.0},(225,502):{'3_1':0.0},(225,501):{'3_1':0.03},(225,500):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(225,499):{'3_1':0.0,'4_1':0.0},(225,498):{'3_1':0.0,'4_1':0.0},(225,497):{'3_1':0.03,'4_1':0.0},(225,496):{'3_1':0.0},(225,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(225,494):{'3_1':0.03},(225,493):{'3_1':0.03},(225,492):{'3_1':0.0,'4_1':0.0},(225,491):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(225,490):{'3_1':0.03},(225,489):{'3_1':0.0,'4_1':0.0},(225,488):{'3_1':0.0,'5_1':0.0},(225,487):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(225,486):{'3_1':0.06},(225,485):{'3_1':0.0,'4_1':0.0},(225,484):{'3_1':0.09},(225,483):{'3_1':0.09,'4_1':0.0,'8_2':0.0},(225,482):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(225,481):{'3_1':0.0},(225,480):{'3_1':0.06,'4_1':0.0},(225,479):{'3_1':0.09,'5_1':0.0},(225,478):{'3_1':0.09},(225,477):{'3_1':0.06},(225,476):{'3_1':0.12,'5_1':0.0},(225,475):{'3_1':0.03,'5_1':0.0},(225,474):{'3_1':0.06},(225,473):{'3_1':0.06},(225,472):{'3_1':0.03},(225,471):{'3_1':0.03},(225,470):{'3_1':0.06,'5_1':0.0},(225,469):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(225,468):{'3_1':0.03},(225,467):{'3_1':0.0},(225,466):{'3_1':0.03},(225,465):{'3_1':0.03},(225,464):{'3_1':0.03,'5_2':0.0},(225,463):{'3_1':0.03},(225,462):{'3_1':0.03},(225,461):{'3_1':0.03,'4_1':0.0},(225,460):{'3_1':0.03},(225,459):{'3_1':0.03,'5_2':0.0},(225,458):{'3_1':0.0,'5_1':0.0},(225,457):{'3_1':0.0},(225,456):{'3_1':0.03,'5_1':0.0},(225,455):{'3_1':0.03},(225,454):{'3_1':0.03},(225,453):{'3_1':0.03},(225,452):{'3_1':0.03},(225,451):{'3_1':0.03},(225,450):{'3_1':0.03},(225,449):{'3_1':0.0},(225,448):{'3_1':0.0,'4_1':0.0},(225,447):{'3_1':0.0},(225,446):{'3_1':0.0},(225,445):{'3_1':0.0,'5_1':0.0},(225,444):{'3_1':0.03},(225,443):{'3_1':0.06},(225,442):{'3_1':0.0},(225,441):{'3_1':0.03,'4_1':0.0},(225,440):{'3_1':0.0},(225,439):{'3_1':0.03},(225,438):{'3_1':0.03},(225,437):{'3_1':0.0,'4_1':0.0},(225,436):{'3_1':0.0},(225,435):{'3_1':0.0},(225,433):{'3_1':0.03},(225,432):{'3_1':0.03},(225,431):{'3_1':0.03},(225,430):{'3_1':0.0},(225,429):{'3_1':0.03},(225,428):{'3_1':0.06},(225,427):{'3_1':0.0},(225,426):{'3_1':0.03},(225,425):{'3_1':0.06},(225,424):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(225,423):{'3_1':0.03},(225,422):{'3_1':0.03},(225,421):{'3_1':0.03},(225,420):{'3_1':0.0},(225,419):{'3_1':0.03},(225,418):{'3_1':0.03,'5_1':0.0},(225,417):{'3_1':0.0},(225,416):{'3_1':0.0},(225,415):{'3_1':0.03,'5_1':0.0},(225,414):{'3_1':0.0},(225,413):{'3_1':0.03,'4_1':0.0},(225,412):{'3_1':0.03},(225,411):{'3_1':0.06},(225,410):{'3_1':0.03,'5_2':0.0},(225,409):{'3_1':0.03,'4_1':0.0},(225,408):{'3_1':0.0,'5_1':0.0},(225,407):{'3_1':0.03},(225,406):{'3_1':0.0},(225,405):{'3_1':0.0,'4_1':0.0},(225,404):{'3_1':0.0},(225,403):{'3_1':0.0},(225,402):{'3_1':0.0},(225,401):{'3_1':0.0,'4_1':0.0},(225,400):{'3_1':0.0},(225,399):{'3_1':0.0},(225,398):{'3_1':0.03},(225,397):{'3_1':0.0},(225,396):{'3_1':0.06,'4_1':0.0},(225,395):{'3_1':0.03,'4_1':0.0},(225,394):{'3_1':0.0},(225,393):{'3_1':0.0},(225,392):{'3_1':0.0},(225,391):{'3_1':0.0},(225,390):{'3_1':0.0},(225,389):{'3_1':0.0},(225,388):{'3_1':0.03,'7_4':0.0},(225,387):{'3_1':0.0},(225,386):{'3_1':0.0},(225,385):{'3_1':0.03},(225,384):{'3_1':0.0},(225,382):{'3_1':0.0},(225,381):{'3_1':0.0},(225,380):{'3_1':0.0},(225,379):{'3_1':0.03},(225,378):{'3_1':0.03},(225,377):{'3_1':0.03},(225,376):{'3_1':0.03},(225,375):{'3_1':0.0},(225,374):{'3_1':0.0,'5_1':0.0},(225,373):{'3_1':0.0},(225,372):{'3_1':0.03},(225,371):{'3_1':0.0},(225,370):{'3_1':0.0},(225,369):{'3_1':0.0},(225,368):{'3_1':0.0},(225,366):{'3_1':0.0},(225,365):{'3_1':0.0,'7_1':0.0},(225,364):{'3_1':0.0},(225,363):{'3_1':0.0},(225,362):{'3_1':0.0},(225,360):{'3_1':0.0},(225,359):{'3_1':0.0},(225,358):{'3_1':0.0},(225,355):{'3_1':0.0,'5_2':0.0},(225,354):{'3_1':0.03},(225,353):{'3_1':0.0},(225,352):{'3_1':0.0},(225,351):{'3_1':0.0},(225,350):{'3_1':0.0},(225,349):{'3_1':0.0},(225,348):{'3_1':0.0},(225,346):{'3_1':0.0},(225,345):{'3_1':0.0},(225,343):{'3_1':0.0},(225,341):{'3_1':0.0},(225,340):{'3_1':0.0},(225,334):{'3_1':0.0},(225,331):{'3_1':0.0},(225,329):{'3_1':0.0},(225,328):{'3_1':0.0},(225,327):{'3_1':0.0},(225,326):{'3_1':0.0},(225,325):{'3_1':0.0},(225,324):{'3_1':0.0},(225,323):{'3_1':0.0},(225,322):{'3_1':0.0},(225,321):{'3_1':0.0},(225,320):{'3_1':0.0},(225,319):{'3_1':0.0},(225,316):{'3_1':0.0},(225,315):{'3_1':0.0},(225,313):{'3_1':0.0,'5_2':0.0},(225,312):{'3_1':0.0},(225,311):{'3_1':0.0},(225,310):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(225,309):{'3_1':0.0},(225,308):{'3_1':0.03},(225,307):{'3_1':0.0},(225,306):{'5_1':0.0},(225,305):{'3_1':0.0},(225,304):{'3_1':0.0},(225,302):{'3_1':0.0},(225,301):{'3_1':0.0},(225,300):{'3_1':0.0},(225,298):{'3_1':0.0},(225,297):{'3_1':0.0},(225,296):{'3_1':0.0},(225,291):{'3_1':0.0},(225,286):{'3_1':0.0,'4_1':0.0},(225,280):{'3_1':0.0},(225,275):{'3_1':0.0},(225,266):{'3_1':0.0},(225,265):{'3_1':0.0},(225,261):{'3_1':0.0},(225,259):{'3_1':0.0},(225,257):{'3_1':0.0},(225,256):{'3_1':0.0},(225,252):{'3_1':0.0},(225,251):{'3_1':0.0},(226,727):{'3_1':0.12,'6_1':0.12,'5_2':0.06,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(226,726):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_5':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0},(226,725):{'6_1':0.18,'4_1':0.06,'5_2':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'6_2':0.0},(226,724):{'6_1':0.21,'5_2':0.09,'3_1':0.06,'4_1':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(226,723):{'6_1':0.21,'3_1':0.06,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_3':0.0,'7_2':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(226,722):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(226,721):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.06,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(226,720):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'5_1':0.0,'7_5':0.0,'8_1':0.0},(226,719):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_4':0.0},(226,718):{'6_1':0.18,'3_1':0.06,'4_1':0.06,'5_2':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'-3':0.0},(226,717):{'6_1':0.24,'5_2':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(226,716):{'6_1':0.15,'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(226,715):{'6_1':0.18,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(226,714):{'6_1':0.21,'5_2':0.06,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_14':0.0,'-3':0.0},(226,713):{'5_2':0.12,'6_1':0.12,'4_1':0.03,'3_1':0.03,'7_6':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(226,712):{'6_1':0.18,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.03,'8_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(226,711):{'6_1':0.12,'5_2':0.12,'3_1':0.03,'4_1':0.03,'-3':0.03,'7_3':0.0,'8_3':0.0,'7_5':0.0,'8_6':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0},(226,710):{'3_1':0.12,'6_1':0.12,'7_5':0.06,'5_2':0.03,'-3':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0},(226,709):{'6_1':0.21,'3_1':0.15,'7_5':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(226,708):{'3_1':0.12,'6_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.03,'-3':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(226,707):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'3_1':0.06,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0},(226,706):{'6_1':0.15,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(226,705):{'6_1':0.15,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_6':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(226,704):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0},(226,703):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_4':0.0},(226,702):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'3_1':0.0,'8_11':0.0},(226,701):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'8_12':0.0},(226,700):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(226,699):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'-3':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(226,698):{'6_1':0.15,'5_2':0.12,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(226,697):{'6_1':0.15,'5_2':0.06,'4_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(226,696):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(226,695):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'7_3':0.0,'8_6':0.0},(226,694):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(226,693):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(226,692):{'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_2':0.03,'-3':0.03,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0,'8_3':0.0,'8_6':0.0},(226,691):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.03,'7_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(226,690):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(226,689):{'6_1':0.12,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(226,688):{'4_1':0.09,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(226,687):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_2':0.0,'7_3':0.0,'8_3':0.0,'7_6':0.0,'-3':0.0},(226,686):{'3_1':0.09,'4_1':0.09,'6_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(226,685):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'8_14':0.0},(226,684):{'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(226,683):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(226,682):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.03,'-3':0.03,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0,'3_1#5_2':0.0},(226,681):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.06,'8_3':0.0,'7_6':0.0},(226,680):{'4_1':0.18,'3_1':0.06,'6_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0},(226,679):{'4_1':0.21,'3_1':0.09,'6_1':0.06,'5_2':0.03,'-3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(226,678):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'3_1#5_2':0.0},(226,677):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.06,'5_1':0.0,'8_2':0.0,'8_6':0.0},(226,676):{'4_1':0.21,'5_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(226,675):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_3':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(226,674):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(226,673):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0},(226,672):{'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(226,671):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'8_3':0.0},(226,670):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(226,669):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0},(226,668):{'4_1':0.18,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0},(226,667):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.0,'8_3':0.0},(226,666):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(226,665):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0},(226,664):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.06,'5_1':0.0},(226,663):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.06,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(226,662):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(226,661):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0},(226,660):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(226,659):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'5_2':0.03,'7_3':0.0,'8_8':0.0,'8_19':0.0},(226,658):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(226,657):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0},(226,656):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_3':0.0},(226,655):{'3_1':0.18,'4_1':0.09,'6_1':0.0},(226,654):{'3_1':0.21,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0},(226,653):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(226,652):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0},(226,651):{'4_1':0.15,'3_1':0.15,'5_2':0.03},(226,650):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(226,649):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(226,648):{'3_1':0.27,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(226,647):{'3_1':0.24,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(226,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(226,645):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_4':0.0},(226,644):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(226,643):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(226,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(226,641):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0},(226,640):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(226,639):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0},(226,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(226,637):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(226,636):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(226,635):{'3_1':0.18,'4_1':0.0},(226,634):{'3_1':0.18,'4_1':0.06},(226,633):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(226,632):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(226,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(226,630):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(226,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(226,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(226,627):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(226,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(226,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(226,624):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(226,623):{'3_1':0.09,'4_1':0.0},(226,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(226,621):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(226,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(226,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(226,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(226,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0},(226,616):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(226,615):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(226,614):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(226,613):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(226,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(226,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(226,610):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(226,609):{'3_1':0.0,'4_1':0.0},(226,608):{'3_1':0.09,'4_1':0.03},(226,607):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(226,606):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(226,605):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(226,604):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(226,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(226,602):{'3_1':0.09,'4_1':0.0},(226,601):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(226,600):{'3_1':0.06},(226,599):{'3_1':0.03,'5_2':0.0},(226,598):{'3_1':0.0,'4_1':0.0},(226,597):{'3_1':0.03,'4_1':0.0},(226,596):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(226,595):{'3_1':0.06,'4_1':0.0},(226,594):{'3_1':0.03},(226,593):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(226,592):{'3_1':0.03,'5_2':0.0},(226,591):{'3_1':0.09},(226,590):{'3_1':0.09,'5_2':0.0},(226,589):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(226,588):{'3_1':0.09},(226,587):{'3_1':0.09},(226,586):{'3_1':0.06,'5_1':0.0},(226,585):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(226,584):{'3_1':0.06},(226,583):{'3_1':0.03,'5_1':0.0},(226,582):{'3_1':0.09,'4_1':0.0},(226,581):{'3_1':0.06,'4_1':0.0},(226,580):{'3_1':0.06,'4_1':0.0},(226,579):{'3_1':0.06},(226,578):{'3_1':0.09},(226,577):{'3_1':0.06,'4_1':0.0},(226,576):{'3_1':0.06,'5_2':0.0},(226,575):{'3_1':0.06},(226,574):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(226,573):{'3_1':0.06,'4_1':0.0},(226,572):{'3_1':0.06,'4_1':0.0},(226,571):{'3_1':0.0,'5_2':0.0},(226,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(226,569):{'3_1':0.0,'5_1':0.0},(226,568):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(226,567):{'3_1':0.03},(226,566):{'3_1':0.03,'4_1':0.0},(226,565):{'3_1':0.03,'5_1':0.0},(226,564):{'3_1':0.06},(226,563):{'3_1':0.03},(226,562):{'3_1':0.06,'5_2':0.0},(226,561):{'3_1':0.03},(226,560):{'3_1':0.09},(226,559):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(226,558):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(226,557):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(226,556):{'3_1':0.06,'5_1':0.0},(226,555):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(226,554):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(226,553):{'3_1':0.03,'5_1':0.0},(226,552):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(226,551):{'3_1':0.03,'7_5':0.0},(226,550):{'3_1':0.09,'5_1':0.0},(226,549):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(226,548):{'3_1':0.03,'7_5':0.0},(226,547):{'3_1':0.09},(226,546):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(226,545):{'3_1':0.0},(226,544):{'3_1':0.03,'5_1':0.0},(226,543):{'3_1':0.03,'5_2':0.0},(226,542):{'3_1':0.06},(226,541):{'3_1':0.06},(226,540):{'3_1':0.06,'4_1':0.0},(226,539):{'3_1':0.09,'4_1':0.0},(226,538):{'3_1':0.09},(226,537):{'3_1':0.12,'5_2':0.0},(226,536):{'3_1':0.06,'5_2':0.0},(226,535):{'3_1':0.03,'4_1':0.0},(226,534):{'3_1':0.06,'5_1':0.0},(226,533):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(226,532):{'3_1':0.03},(226,531):{'3_1':0.06,'5_1':0.0},(226,530):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(226,529):{'3_1':0.06,'5_2':0.0},(226,528):{'3_1':0.06,'5_2':0.0},(226,527):{'3_1':0.0},(226,526):{'3_1':0.06,'4_1':0.0},(226,525):{'3_1':0.09,'5_2':0.0},(226,524):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(226,523):{'3_1':0.09},(226,522):{'3_1':0.09,'4_1':0.0},(226,521):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(226,520):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(226,519):{'3_1':0.0},(226,518):{'3_1':0.06,'5_2':0.0},(226,517):{'3_1':0.03},(226,516):{'3_1':0.03},(226,515):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(226,514):{'3_1':0.06,'4_1':0.0},(226,513):{'3_1':0.03,'7_5':0.0},(226,512):{'3_1':0.09,'5_1':0.0},(226,511):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(226,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(226,509):{'3_1':0.03,'7_6':0.0},(226,508):{'3_1':0.03,'5_1':0.0},(226,507):{'3_1':0.06,'5_1':0.0},(226,506):{'3_1':0.03},(226,505):{'3_1':0.03},(226,504):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(226,503):{'3_1':0.0,'5_1':0.0},(226,502):{'3_1':0.03,'5_1':0.0},(226,501):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(226,500):{'4_1':0.0},(226,499):{'3_1':0.0,'4_1':0.0},(226,498):{'3_1':0.03},(226,497):{'3_1':0.0},(226,496):{'3_1':0.03,'4_1':0.0},(226,495):{'3_1':0.03},(226,494):{'3_1':0.03,'5_1':0.0},(226,493):{'3_1':0.03},(226,492):{'3_1':0.03},(226,491):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(226,490):{'3_1':0.06},(226,489):{'3_1':0.03},(226,488):{'3_1':0.09,'5_1':0.0},(226,487):{'3_1':0.03},(226,486):{'3_1':0.09,'5_2':0.0},(226,485):{'3_1':0.06,'4_1':0.0},(226,484):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(226,483):{'3_1':0.06,'5_1':0.0},(226,482):{'3_1':0.12,'9_1':0.0},(226,481):{'3_1':0.09,'7_1':0.0},(226,480):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(226,479):{'3_1':0.0,'5_1':0.0},(226,478):{'3_1':0.06},(226,477):{'3_1':0.03},(226,476):{'3_1':0.06},(226,475):{'3_1':0.0},(226,474):{'3_1':0.03},(226,473):{'3_1':0.03},(226,472):{'3_1':0.06},(226,471):{'3_1':0.0,'5_2':0.0},(226,470):{'3_1':0.06},(226,469):{'3_1':0.06},(226,468):{'3_1':0.09},(226,467):{'3_1':0.03},(226,466):{'3_1':0.03},(226,465):{'3_1':0.03,'4_1':0.0},(226,464):{'3_1':0.03},(226,463):{'3_1':0.03,'5_2':0.0},(226,462):{'3_1':0.0,'4_1':0.0},(226,461):{'3_1':0.03},(226,460):{'3_1':0.0},(226,459):{'3_1':0.03},(226,457):{'3_1':0.0,'5_1':0.0},(226,456):{'3_1':0.0},(226,455):{'3_1':0.03},(226,454):{'3_1':0.03,'4_1':0.0},(226,453):{'3_1':0.0,'4_1':0.0},(226,452):{'3_1':0.03},(226,451):{'3_1':0.0,'4_1':0.0},(226,450):{'3_1':0.0,'4_1':0.0},(226,449):{'3_1':0.0,'4_1':0.0},(226,448):{'3_1':0.0},(226,447):{'3_1':0.0},(226,446):{'3_1':0.03,'7_2':0.0},(226,445):{'3_1':0.0,'4_1':0.0},(226,444):{'3_1':0.0},(226,443):{'3_1':0.0,'4_1':0.0},(226,442):{'3_1':0.0},(226,441):{'3_1':0.0},(226,440):{'3_1':0.0},(226,439):{'3_1':0.03},(226,438):{'3_1':0.0},(226,437):{'3_1':0.0},(226,436):{'3_1':0.0},(226,435):{'3_1':0.0,'4_1':0.0},(226,434):{'3_1':0.03,'4_1':0.0},(226,433):{'3_1':0.0},(226,432):{'3_1':0.03},(226,431):{'3_1':0.03,'4_1':0.0},(226,430):{'3_1':0.03},(226,429):{'3_1':0.0},(226,428):{'3_1':0.03},(226,427):{'3_1':0.03},(226,426):{'3_1':0.0},(226,425):{'3_1':0.0},(226,424):{'3_1':0.0},(226,423):{'3_1':0.03},(226,422):{'3_1':0.03},(226,421):{'3_1':0.0},(226,420):{'3_1':0.03,'4_1':0.0},(226,419):{'3_1':0.03},(226,418):{'3_1':0.03},(226,417):{'3_1':0.0},(226,416):{'3_1':0.0,'5_1':0.0},(226,415):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(226,414):{'3_1':0.0},(226,413):{'3_1':0.03},(226,412):{'3_1':0.03},(226,411):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(226,410):{'3_1':0.0},(226,408):{'3_1':0.0,'5_1':0.0},(226,407):{'3_1':0.03},(226,406):{'3_1':0.03},(226,405):{'3_1':0.03},(226,404):{'3_1':0.0},(226,403):{'3_1':0.0},(226,402):{'3_1':0.03},(226,401):{'3_1':0.0},(226,400):{'3_1':0.0,'4_1':0.0},(226,398):{'3_1':0.03},(226,397):{'3_1':0.0},(226,396):{'3_1':0.0},(226,395):{'3_1':0.0},(226,393):{'3_1':0.0},(226,386):{'3_1':0.0},(226,385):{'3_1':0.03},(226,384):{'3_1':0.03},(226,383):{'3_1':0.03},(226,382):{'3_1':0.0},(226,381):{'3_1':0.0},(226,380):{'3_1':0.0},(226,379):{'3_1':0.0,'7_1':0.0},(226,378):{'3_1':0.03},(226,377):{'3_1':0.0},(226,376):{'3_1':0.0},(226,375):{'4_1':0.0},(226,374):{'3_1':0.03},(226,373):{'3_1':0.0},(226,372):{'3_1':0.0},(226,371):{'3_1':0.0},(226,370):{'3_1':0.0},(226,369):{'5_1':0.0},(226,368):{'3_1':0.0},(226,367):{'3_1':0.0},(226,366):{'3_1':0.0},(226,365):{'5_2':0.0},(226,364):{'9_1':0.0},(226,363):{'3_1':0.0},(226,361):{'3_1':0.0},(226,360):{'3_1':0.0},(226,359):{'3_1':0.0},(226,358):{'3_1':0.0},(226,357):{'3_1':0.0},(226,355):{'3_1':0.0},(226,354):{'3_1':0.0},(226,353):{'3_1':0.0},(226,352):{'3_1':0.0},(226,351):{'3_1':0.0,'5_2':0.0},(226,350):{'3_1':0.0},(226,349):{'3_1':0.0},(226,347):{'3_1':0.0},(226,346):{'3_1':0.0},(226,345):{'3_1':0.0},(226,343):{'3_1':0.0},(226,342):{'3_1':0.0},(226,341):{'3_1':0.0},(226,340):{'3_1':0.0},(226,339):{'3_1':0.0},(226,337):{'3_1':0.0},(226,335):{'3_1':0.0},(226,333):{'3_1':0.0},(226,332):{'3_1':0.0},(226,331):{'3_1':0.0},(226,330):{'3_1':0.0},(226,329):{'3_1':0.0,'6_1':0.0},(226,328):{'3_1':0.0},(226,327):{'3_1':0.0},(226,326):{'3_1':0.0,'5_2':0.0},(226,325):{'3_1':0.0},(226,324):{'3_1':0.0},(226,323):{'3_1':0.0,'4_1':0.0},(226,322):{'3_1':0.03},(226,321):{'3_1':0.0,'4_1':0.0},(226,320):{'5_1':0.0},(226,319):{'3_1':0.0},(226,318):{'3_1':0.0},(226,317):{'3_1':0.0},(226,316):{'4_1':0.0},(226,314):{'3_1':0.0},(226,313):{'3_1':0.0},(226,312):{'3_1':0.0},(226,311):{'3_1':0.0,'5_1':0.0},(226,310):{'5_1':0.0},(226,309):{'3_1':0.0},(226,308):{'3_1':0.0},(226,306):{'3_1':0.0},(226,305):{'3_1':0.0},(226,304):{'3_1':0.0},(226,303):{'3_1':0.0},(226,302):{'3_1':0.0},(226,299):{'3_1':0.0},(226,266):{'3_1':0.0},(226,262):{'3_1':0.0,'5_2':0.0},(226,260):{'3_1':0.0},(226,259):{'3_1':0.0},(226,258):{'5_2':0.0},(226,257):{'3_1':0.0},(226,252):{'3_1':0.0},(226,237):{'3_1':0.0},(226,232):{'3_1':0.0},(227,727):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.03,'7_6':0.0,'8_3':0.0,'-3':0.0},(227,726):{'6_1':0.15,'7_3':0.03,'4_1':0.03,'5_2':0.03,'7_6':0.03,'-3':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'8_1':0.0,'8_11':0.0},(227,725):{'6_1':0.15,'4_1':0.06,'5_2':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(227,724):{'6_1':0.21,'5_2':0.06,'3_1':0.06,'7_2':0.03,'4_1':0.03,'7_6':0.03,'7_3':0.0,'8_2':0.0},(227,723):{'6_1':0.18,'5_2':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(227,722):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.03,'3_1':0.03,'7_2':0.0,'7_5':0.0,'-3':0.0,'8_3':0.0},(227,721):{'6_1':0.18,'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0},(227,720):{'6_1':0.15,'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_3':0.03,'7_5':0.03,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(227,719):{'6_1':0.18,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(227,718):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(227,717):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'7_3':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(227,716):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'4_1':0.03,'7_6':0.0,'7_4':0.0},(227,715):{'6_1':0.21,'3_1':0.12,'4_1':0.06,'5_2':0.06,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(227,714):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'7_3':0.03,'7_2':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0,'8_11':0.0},(227,713):{'6_1':0.15,'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(227,712):{'6_1':0.18,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(227,711):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_14':0.0},(227,710):{'6_1':0.15,'3_1':0.09,'7_5':0.03,'-3':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0,'8_6':0.0},(227,709):{'6_1':0.18,'3_1':0.06,'-3':0.06,'7_5':0.03,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'7_6':0.0,'8_3':0.0},(227,708):{'3_1':0.12,'6_1':0.12,'4_1':0.09,'5_2':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(227,707):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_3':0.03,'7_2':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(227,706):{'5_2':0.09,'6_1':0.09,'7_2':0.06,'4_1':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(227,705):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(227,704):{'6_1':0.12,'5_2':0.12,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(227,703):{'5_2':0.09,'4_1':0.09,'6_1':0.06,'-3':0.03,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0},(227,702):{'6_1':0.09,'4_1':0.09,'5_2':0.06,'7_2':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(227,701):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(227,700):{'3_1':0.06,'6_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0,'7_5':0.0,'8_2':0.0,'8_14':0.0},(227,699):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.06,'-3':0.03,'7_2':0.03,'7_3':0.03,'7_6':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0},(227,698):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(227,697):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0},(227,696):{'6_1':0.15,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_3':0.03,'-3':0.03,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(227,695):{'6_1':0.12,'5_2':0.06,'4_1':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(227,694):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'7_6':0.0},(227,693):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_2':0.06,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(227,692):{'6_1':0.15,'4_1':0.09,'3_1':0.06,'5_2':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(227,691):{'5_2':0.06,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(227,690):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.06,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(227,689):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0},(227,688):{'6_1':0.06,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_11':0.0,'8_14':0.0},(227,687):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(227,686):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(227,685):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(227,684):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(227,683):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'8_6':0.0,'8_21|3_1#4_1':0.0},(227,682):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_6':0.03,'-3':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(227,681):{'4_1':0.12,'5_2':0.12,'6_1':0.03,'5_1':0.03,'3_1':0.0,'7_6':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(227,680):{'4_1':0.21,'6_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'7_5':0.0,'-3':0.0},(227,679):{'4_1':0.12,'3_1':0.12,'6_1':0.06,'5_2':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(227,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(227,677):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_6':0.0},(227,676):{'4_1':0.27,'5_2':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_6':0.0},(227,675):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(227,674):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(227,673):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(227,672):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0},(227,671):{'4_1':0.21,'3_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(227,670):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0},(227,669):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(227,668):{'4_1':0.21,'5_2':0.06,'3_1':0.06,'5_1':0.03,'7_4':0.0},(227,667):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'-3':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(227,666):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(227,665):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(227,664):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(227,663):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0},(227,662):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_1':0.0},(227,661):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(227,660):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(227,659):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0},(227,658):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_1':0.0,'8_19':0.0},(227,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(227,656):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(227,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(227,654):{'3_1':0.18,'4_1':0.18,'5_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(227,653):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(227,652):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(227,651):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(227,650):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'-3':0.0},(227,649):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0,'-3':0.0},(227,648):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.03,'7_1':0.0,'7_4':0.0,'8_19':0.0},(227,647):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'8_19':0.0},(227,646):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(227,645):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(227,644):{'3_1':0.18,'4_1':0.12,'5_1':0.03,'5_2':0.03,'7_1':0.0,'-3':0.0},(227,643):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(227,642):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.03},(227,641):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(227,640):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(227,639):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(227,638):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(227,637):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(227,636):{'5_1':0.06,'3_1':0.06,'4_1':0.0,'6_1':0.0},(227,635):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(227,634):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0},(227,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(227,632):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(227,631):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(227,630):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'6_1':0.0},(227,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(227,628):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,627):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(227,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(227,625):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(227,624):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(227,623):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(227,622):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(227,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(227,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(227,618):{'3_1':0.12,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(227,617):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,616):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_1':0.0},(227,615):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(227,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,613):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(227,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(227,611):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(227,610):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(227,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(227,608):{'3_1':0.12,'5_1':0.0},(227,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(227,606):{'3_1':0.06,'4_1':0.03},(227,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,604):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(227,603):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(227,602):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(227,601):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,600):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(227,599):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(227,598):{'3_1':0.03,'4_1':0.0},(227,597):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(227,596):{'3_1':0.03},(227,595):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,594):{'3_1':0.03,'4_1':0.0},(227,593):{'3_1':0.09,'8_20|3_1#3_1':0.0},(227,592):{'3_1':0.06,'4_1':0.0},(227,591):{'3_1':0.09},(227,590):{'3_1':0.09,'5_2':0.0},(227,589):{'3_1':0.06,'4_1':0.0},(227,588):{'3_1':0.06},(227,587):{'3_1':0.06},(227,586):{'3_1':0.09,'4_1':0.0},(227,585):{'3_1':0.12,'4_1':0.0,'8_3':0.0},(227,584):{'3_1':0.09,'7_2':0.0},(227,583):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(227,582):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(227,581):{'3_1':0.12,'4_1':0.0},(227,580):{'3_1':0.06,'5_2':0.0},(227,579):{'3_1':0.06},(227,578):{'3_1':0.06,'5_2':0.0},(227,577):{'3_1':0.12,'5_2':0.0},(227,576):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(227,575):{'3_1':0.06,'4_1':0.0},(227,574):{'3_1':0.06,'5_2':0.0},(227,573):{'3_1':0.06},(227,572):{'3_1':0.09},(227,571):{'3_1':0.12},(227,570):{'3_1':0.06},(227,569):{'3_1':0.06,'4_1':0.0},(227,568):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(227,567):{'3_1':0.06},(227,566):{'3_1':0.09},(227,565):{'3_1':0.06,'6_2':0.0},(227,564):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,563):{'3_1':0.06,'5_2':0.0},(227,562):{'3_1':0.09,'5_1':0.0},(227,561):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(227,560):{'3_1':0.06,'4_1':0.0},(227,559):{'3_1':0.12,'5_2':0.0},(227,558):{'3_1':0.06},(227,557):{'3_1':0.06,'4_1':0.0},(227,556):{'3_1':0.12},(227,555):{'3_1':0.12,'5_2':0.0},(227,554):{'3_1':0.06,'5_2':0.0},(227,553):{'3_1':0.09,'5_2':0.0},(227,552):{'3_1':0.06,'5_1':0.0},(227,551):{'3_1':0.06},(227,550):{'3_1':0.06,'5_2':0.0},(227,549):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(227,548):{'3_1':0.06,'5_1':0.0},(227,547):{'3_1':0.06,'5_1':0.0},(227,546):{'3_1':0.03,'4_1':0.0},(227,545):{'3_1':0.09,'5_2':0.0},(227,544):{'3_1':0.09,'5_2':0.0},(227,543):{'3_1':0.06,'5_2':0.0},(227,542):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(227,541):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(227,540):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(227,539):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(227,538):{'3_1':0.09,'5_2':0.0},(227,537):{'3_1':0.15},(227,536):{'3_1':0.09},(227,535):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(227,534):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(227,533):{'3_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0},(227,532):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(227,531):{'3_1':0.09},(227,530):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(227,529):{'3_1':0.12,'5_2':0.0},(227,528):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(227,527):{'3_1':0.06,'5_2':0.0},(227,526):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(227,525):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(227,524):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(227,523):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,522):{'3_1':0.09,'4_1':0.0},(227,521):{'3_1':0.06,'5_2':0.0},(227,520):{'3_1':0.09,'5_2':0.0},(227,519):{'3_1':0.06},(227,518):{'3_1':0.03,'4_1':0.0},(227,517):{'3_1':0.06},(227,516):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(227,515):{'3_1':0.06,'5_2':0.0},(227,514):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(227,513):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(227,512):{'3_1':0.06,'5_1':0.0},(227,511):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0},(227,510):{'3_1':0.06,'5_2':0.0},(227,509):{'3_1':0.06},(227,508):{'3_1':0.09},(227,507):{'3_1':0.12,'5_1':0.0},(227,506):{'3_1':0.09},(227,505):{'3_1':0.06,'5_2':0.0},(227,504):{'3_1':0.03},(227,503):{'3_1':0.06,'5_2':0.0},(227,502):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(227,501):{'3_1':0.03},(227,500):{'3_1':0.06},(227,499):{'3_1':0.06,'4_1':0.0},(227,498):{'3_1':0.03},(227,497):{'3_1':0.09,'5_2':0.0},(227,496):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(227,495):{'3_1':0.03,'5_1':0.0},(227,494):{'3_1':0.03},(227,493):{'3_1':0.06},(227,492):{'3_1':0.03,'5_2':0.0},(227,491):{'3_1':0.06},(227,490):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(227,489):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(227,488):{'3_1':0.06,'4_1':0.0},(227,487):{'3_1':0.09},(227,486):{'3_1':0.06},(227,485):{'3_1':0.03,'4_1':0.0},(227,484):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(227,483):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(227,482):{'3_1':0.06},(227,481):{'3_1':0.06,'4_1':0.0},(227,480):{'3_1':0.03,'4_1':0.0},(227,479):{'3_1':0.03,'5_1':0.0},(227,478):{'3_1':0.06},(227,477):{'3_1':0.06},(227,476):{'3_1':0.03},(227,475):{'3_1':0.0},(227,474):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(227,473):{'3_1':0.09,'5_1':0.0},(227,472):{'3_1':0.09,'5_1':0.0},(227,471):{'3_1':0.03},(227,470):{'3_1':0.09},(227,469):{'3_1':0.03},(227,468):{'3_1':0.0},(227,467):{'3_1':0.0},(227,466):{'3_1':0.0,'4_1':0.0},(227,465):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(227,464):{'3_1':0.03},(227,463):{'3_1':0.03,'4_1':0.0},(227,462):{'3_1':0.0},(227,461):{'3_1':0.0},(227,460):{'3_1':0.0},(227,459):{'3_1':0.03},(227,458):{'3_1':0.0},(227,457):{'3_1':0.0},(227,456):{'3_1':0.0},(227,455):{'3_1':0.0},(227,454):{'3_1':0.03,'4_1':0.0},(227,453):{'3_1':0.0},(227,452):{'3_1':0.0},(227,451):{'3_1':0.03},(227,450):{'3_1':0.0},(227,449):{'3_1':0.06},(227,448):{'3_1':0.0},(227,447):{'3_1':0.03,'5_2':0.0},(227,446):{'3_1':0.0},(227,445):{'3_1':0.0,'5_1':0.0},(227,444):{'3_1':0.03},(227,442):{'3_1':0.03},(227,441):{'3_1':0.0},(227,439):{'3_1':0.03},(227,438):{'3_1':0.0},(227,437):{'3_1':0.0},(227,436):{'3_1':0.0},(227,435):{'3_1':0.0},(227,434):{'3_1':0.0},(227,433):{'3_1':0.0,'4_1':0.0},(227,432):{'3_1':0.0},(227,431):{'3_1':0.03,'4_1':0.0},(227,430):{'3_1':0.03},(227,429):{'3_1':0.0},(227,428):{'3_1':0.03},(227,427):{'3_1':0.0},(227,426):{'3_1':0.0},(227,425):{'3_1':0.0},(227,424):{'3_1':0.0},(227,423):{'3_1':0.06},(227,422):{'3_1':0.0},(227,421):{'3_1':0.03},(227,420):{'3_1':0.03},(227,419):{'3_1':0.0},(227,418):{'3_1':0.0},(227,417):{'3_1':0.06},(227,416):{'3_1':0.0,'4_1':0.0},(227,415):{'3_1':0.0},(227,414):{'3_1':0.03},(227,413):{'3_1':0.03},(227,412):{'3_1':0.0},(227,411):{'3_1':0.0},(227,410):{'3_1':0.0,'6_1':0.0},(227,409):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(227,407):{'3_1':0.0},(227,406):{'3_1':0.03},(227,405):{'3_1':0.0},(227,404):{'3_1':0.0},(227,403):{'3_1':0.0},(227,402):{'3_1':0.03},(227,401):{'5_2':0.0},(227,400):{'4_1':0.0},(227,399):{'3_1':0.0},(227,398):{'3_1':0.0,'4_1':0.0},(227,396):{'3_1':0.0},(227,395):{'3_1':0.0},(227,394):{'3_1':0.0},(227,393):{'3_1':0.03},(227,389):{'4_1':0.0},(227,388):{'3_1':0.0},(227,386):{'3_1':0.0},(227,384):{'3_1':0.0},(227,382):{'3_1':0.0},(227,381):{'3_1':0.0},(227,380):{'3_1':0.0},(227,379):{'3_1':0.0,'5_1':0.0},(227,377):{'3_1':0.0},(227,376):{'3_1':0.0},(227,375):{'3_1':0.0},(227,374):{'3_1':0.0},(227,373):{'3_1':0.0},(227,372):{'3_1':0.0},(227,370):{'3_1':0.0},(227,369):{'3_1':0.0,'5_1':0.0},(227,365):{'3_1':0.0},(227,364):{'3_1':0.0,'7_1':0.0},(227,363):{'3_1':0.0,'4_1':0.0},(227,362):{'3_1':0.0},(227,358):{'3_1':0.0},(227,357):{'3_1':0.0},(227,355):{'3_1':0.0},(227,354):{'3_1':0.0},(227,352):{'3_1':0.0,'5_2':0.0},(227,349):{'3_1':0.0},(227,346):{'3_1':0.0},(227,345):{'3_1':0.0},(227,342):{'3_1':0.0},(227,339):{'3_1':0.0,'5_1':0.0},(227,337):{'3_1':0.0},(227,336):{'3_1':0.0},(227,335):{'3_1':0.0},(227,333):{'3_1':0.0},(227,330):{'3_1':0.0},(227,329):{'3_1':0.0},(227,328):{'3_1':0.0},(227,327):{'3_1':0.0},(227,324):{'3_1':0.0},(227,322):{'3_1':0.0},(227,320):{'4_1':0.0},(227,319):{'3_1':0.0},(227,318):{'3_1':0.0},(227,315):{'3_1':0.0},(227,314):{'3_1':0.0},(227,313):{'3_1':0.0},(227,311):{'3_1':0.0},(227,310):{'3_1':0.03},(227,309):{'3_1':0.0},(227,308):{'3_1':0.0},(227,307):{'3_1':0.0},(227,306):{'3_1':0.0},(227,305):{'3_1':0.0},(227,304):{'3_1':0.0},(227,302):{'3_1':0.0},(227,301):{'3_1':0.0},(227,264):{'3_1':0.0},(227,261):{'3_1':0.0},(227,260):{'3_1':0.0},(227,258):{'3_1':0.0},(227,257):{'3_1':0.0},(227,256):{'3_1':0.0},(227,254):{'3_1':0.0},(227,237):{'3_1':0.0},(228,727):{'6_1':0.15,'3_1':0.09,'4_1':0.09,'7_3':0.03,'5_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(228,726):{'6_1':0.15,'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(228,725):{'6_1':0.15,'4_1':0.06,'3_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(228,724):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(228,723):{'6_1':0.15,'4_1':0.09,'5_2':0.06,'3_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(228,722):{'6_1':0.18,'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_5':0.0,'7_6':0.0,'7_2':0.0},(228,721):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(228,720):{'6_1':0.18,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0},(228,719):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'-3':0.0,'4_1':0.0,'7_2':0.0,'8_6':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(228,718):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(228,717):{'6_1':0.15,'3_1':0.09,'4_1':0.09,'5_2':0.09,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(228,716):{'6_1':0.18,'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0},(228,715):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_6':0.03,'7_4':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0},(228,714):{'6_1':0.18,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(228,713):{'5_2':0.15,'6_1':0.12,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_6':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(228,712):{'3_1':0.12,'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0},(228,711):{'6_1':0.18,'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0},(228,710):{'3_1':0.15,'6_1':0.09,'5_2':0.06,'-3':0.06,'4_1':0.03,'7_5':0.0,'7_6':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(228,709):{'3_1':0.15,'6_1':0.12,'7_5':0.09,'4_1':0.03,'5_2':0.03,'-3':0.03,'6_2':0.0,'5_1':0.0,'8_6':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0,'9_1':0.0},(228,708):{'6_1':0.06,'3_1':0.06,'5_2':0.03,'7_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(228,707):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'7_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(228,706):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(228,705):{'6_1':0.12,'4_1':0.06,'3_1':0.06,'5_2':0.03,'-3':0.03,'7_4':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(228,704):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(228,703):{'6_1':0.18,'5_2':0.09,'4_1':0.09,'3_1':0.06,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_12':0.0},(228,702):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(228,701):{'6_1':0.15,'4_1':0.09,'3_1':0.03,'5_2':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0},(228,700):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_3':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(228,699):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(228,698):{'6_1':0.15,'4_1':0.06,'5_2':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0},(228,697):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(228,696):{'6_1':0.09,'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_2':0.06,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(228,695):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.03,'7_2':0.03,'7_3':0.03,'-3':0.03,'6_2':0.0,'7_4':0.0},(228,694):{'4_1':0.09,'6_1':0.09,'5_2':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(228,693):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_3':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(228,692):{'5_2':0.12,'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_1':0.0,'7_6':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(228,691):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(228,690):{'6_1':0.12,'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_2':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(228,689):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'7_2':0.03,'7_5':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(228,688):{'6_1':0.12,'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_2':0.0,'7_4':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(228,687):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'7_2':0.06,'3_1':0.03,'7_5':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(228,686):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(228,685):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.06,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(228,684):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(228,683):{'4_1':0.06,'6_1':0.06,'5_2':0.06,'7_2':0.06,'3_1':0.03,'7_3':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(228,682):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(228,681):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0},(228,680):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_6':0.0,'8_2':0.0,'8_12':0.0},(228,679):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'8_3':0.0},(228,678):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(228,677):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_6':0.0},(228,676):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(228,675):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(228,674):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(228,673):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'8_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(228,672):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_3':0.0},(228,671):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(228,670):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(228,669):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0},(228,668):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'6_1':0.0,'8_1':0.0},(228,667):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_4':0.0,'8_2':0.0},(228,666):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(228,665):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0},(228,664):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0,'8_19':0.0},(228,663):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(228,662):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(228,661):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(228,660):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.0,'6_3':0.0,'8_1':0.0},(228,659):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0},(228,658):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.0,'7_3':0.0},(228,657):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(228,656):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0},(228,655):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'8_19':0.0},(228,654):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.0},(228,653):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(228,652):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0},(228,651):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(228,650):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(228,649):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(228,648):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0},(228,647):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(228,646):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(228,645):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(228,644):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'6_3':0.0,'8_7':0.0,'8_19':0.0,'-3':0.0},(228,643):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(228,642):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_2':0.0},(228,641):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(228,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(228,639):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(228,638):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(228,637):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(228,636):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(228,635):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(228,634):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0},(228,633):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0},(228,632):{'3_1':0.06,'4_1':0.0},(228,631):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(228,630):{'3_1':0.12,'4_1':0.06},(228,629):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(228,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(228,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(228,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(228,624):{'3_1':0.15,'4_1':0.03,'7_5':0.0},(228,623):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(228,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(228,621):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(228,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(228,619):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(228,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(228,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(228,616):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(228,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(228,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(228,613):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(228,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(228,611):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(228,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,609):{'3_1':0.12,'4_1':0.0},(228,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(228,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,605):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(228,604):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(228,603):{'3_1':0.03,'4_1':0.0},(228,602):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(228,601):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(228,600):{'3_1':0.06},(228,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(228,598):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(228,597):{'3_1':0.03,'6_1':0.0},(228,596):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(228,595):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(228,594):{'3_1':0.06,'5_2':0.0},(228,593):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,592):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(228,591):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(228,590):{'3_1':0.06,'5_1':0.0},(228,589):{'3_1':0.12},(228,588):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,587):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,586):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(228,585):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(228,584):{'3_1':0.06},(228,583):{'3_1':0.09,'5_2':0.0},(228,582):{'3_1':0.12,'5_1':0.0},(228,581):{'3_1':0.03},(228,580):{'3_1':0.06},(228,579):{'3_1':0.03,'4_1':0.0},(228,578):{'3_1':0.06,'5_2':0.0},(228,577):{'3_1':0.06},(228,576):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(228,575):{'3_1':0.09,'4_1':0.0},(228,574):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(228,573):{'3_1':0.06,'4_1':0.0},(228,572):{'3_1':0.09,'4_1':0.0},(228,571):{'3_1':0.03,'4_1':0.0},(228,570):{'3_1':0.06,'4_1':0.0},(228,569):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(228,568):{'3_1':0.03},(228,567):{'3_1':0.03,'4_1':0.0},(228,566):{'3_1':0.03},(228,565):{'3_1':0.03,'4_1':0.0},(228,564):{'3_1':0.03},(228,563):{'3_1':0.09,'5_2':0.0},(228,562):{'3_1':0.0,'5_2':0.0},(228,561):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(228,560):{'3_1':0.12,'4_1':0.0},(228,559):{'3_1':0.03},(228,558):{'3_1':0.0,'5_2':0.0},(228,557):{'3_1':0.03,'4_1':0.0},(228,556):{'3_1':0.03},(228,555):{'3_1':0.03,'5_2':0.0},(228,554):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(228,553):{'3_1':0.09,'5_2':0.0},(228,552):{'3_1':0.03},(228,551):{'3_1':0.03,'5_2':0.0},(228,550):{'3_1':0.06},(228,549):{'3_1':0.06,'5_1':0.0},(228,548):{'3_1':0.09},(228,547):{'3_1':0.03},(228,546):{'3_1':0.06},(228,545):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(228,544):{'3_1':0.03},(228,543):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(228,542):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(228,541):{'3_1':0.03,'4_1':0.0},(228,540):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(228,539):{'3_1':0.09,'5_2':0.0},(228,538):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(228,537):{'3_1':0.06,'5_1':0.0},(228,536):{'3_1':0.03,'5_1':0.0},(228,535):{'3_1':0.06},(228,534):{'3_1':0.03},(228,533):{'3_1':0.12,'5_1':0.0},(228,532):{'3_1':0.03},(228,531):{'3_1':0.09,'4_1':0.0},(228,530):{'3_1':0.0,'5_2':0.0},(228,529):{'3_1':0.06},(228,528):{'3_1':0.03,'5_1':0.0},(228,527):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,526):{'3_1':0.03},(228,525):{'3_1':0.06,'5_2':0.0},(228,524):{'3_1':0.06},(228,523):{'3_1':0.06},(228,522):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(228,521):{'3_1':0.06,'5_1':0.0},(228,520):{'3_1':0.03},(228,519):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(228,518):{'3_1':0.0},(228,517):{'3_1':0.06},(228,516):{'3_1':0.06},(228,515):{'3_1':0.09},(228,514):{'3_1':0.03,'4_1':0.0},(228,513):{'3_1':0.06},(228,512):{'3_1':0.03,'5_2':0.0},(228,511):{'3_1':0.03},(228,510):{'3_1':0.0,'8_20|3_1#3_1':0.0},(228,509):{'3_1':0.03},(228,508):{'3_1':0.0,'5_2':0.0},(228,507):{'3_1':0.0},(228,506):{'3_1':0.03,'4_1':0.0},(228,505):{'3_1':0.06,'4_1':0.0},(228,504):{'3_1':0.0,'5_2':0.0},(228,503):{'3_1':0.06},(228,502):{'3_1':0.0,'5_2':0.0},(228,501):{'3_1':0.0},(228,500):{'3_1':0.06,'4_1':0.0},(228,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(228,498):{'3_1':0.03},(228,497):{'3_1':0.03},(228,496):{'3_1':0.06},(228,495):{'3_1':0.06,'4_1':0.0},(228,494):{'3_1':0.0,'5_2':0.0},(228,493):{'3_1':0.03,'5_2':0.0},(228,492):{'3_1':0.0,'5_2':0.0},(228,491):{'3_1':0.06,'5_2':0.0},(228,490):{'3_1':0.0,'5_1':0.0},(228,489):{'3_1':0.03},(228,488):{'3_1':0.03},(228,487):{'3_1':0.03,'4_1':0.0},(228,486):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(228,485):{'3_1':0.03},(228,484):{'3_1':0.03,'5_1':0.0},(228,483):{'3_1':0.06,'7_1':0.0},(228,482):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(228,481):{'3_1':0.03,'7_1':0.0},(228,480):{'3_1':0.09},(228,479):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(228,478):{'3_1':0.03},(228,477):{'3_1':0.06},(228,476):{'3_1':0.06},(228,475):{'3_1':0.03,'5_1':0.0},(228,474):{'3_1':0.03},(228,473):{'3_1':0.03},(228,472):{'3_1':0.03},(228,471):{'3_1':0.0,'5_1':0.0},(228,470):{'3_1':0.06},(228,469):{'3_1':0.03},(228,468):{'3_1':0.0},(228,467):{'3_1':0.06},(228,466):{'3_1':0.03},(228,465):{'3_1':0.09},(228,464):{'3_1':0.03},(228,463):{'3_1':0.03},(228,461):{'3_1':0.0},(228,460):{'3_1':0.0},(228,459):{'3_1':0.03},(228,458):{'3_1':0.0},(228,457):{'3_1':0.0},(228,455):{'3_1':0.0},(228,454):{'3_1':0.0},(228,453):{'3_1':0.0},(228,452):{'3_1':0.0},(228,451):{'3_1':0.0},(228,450):{'3_1':0.0},(228,449):{'3_1':0.0},(228,448):{'3_1':0.0,'4_1':0.0},(228,447):{'3_1':0.0,'4_1':0.0},(228,446):{'3_1':0.03},(228,445):{'3_1':0.03},(228,444):{'3_1':0.0},(228,443):{'3_1':0.03},(228,442):{'3_1':0.0},(228,441):{'3_1':0.03},(228,440):{'3_1':0.0},(228,439):{'3_1':0.0},(228,438):{'3_1':0.03},(228,437):{'3_1':0.0},(228,436):{'3_1':0.0},(228,435):{'3_1':0.0},(228,434):{'3_1':0.0,'5_1':0.0},(228,433):{'3_1':0.03},(228,432):{'3_1':0.03},(228,431):{'3_1':0.0},(228,430):{'3_1':0.0},(228,429):{'3_1':0.0},(228,428):{'3_1':0.03},(228,427):{'3_1':0.0},(228,426):{'3_1':0.0},(228,425):{'3_1':0.0},(228,424):{'3_1':0.0,'4_1':0.0},(228,423):{'3_1':0.03},(228,422):{'3_1':0.0},(228,421):{'3_1':0.0},(228,420):{'3_1':0.0},(228,419):{'3_1':0.0,'4_1':0.0},(228,418):{'3_1':0.03},(228,417):{'3_1':0.0},(228,416):{'3_1':0.03,'5_1':0.0},(228,415):{'3_1':0.0,'5_1':0.0},(228,414):{'3_1':0.0},(228,413):{'3_1':0.0},(228,412):{'3_1':0.0},(228,411):{'3_1':0.0},(228,410):{'3_1':0.0},(228,409):{'3_1':0.03,'5_1':0.0},(228,408):{'3_1':0.06,'5_1':0.0},(228,407):{'4_1':0.0},(228,406):{'3_1':0.0,'4_1':0.0},(228,404):{'3_1':0.0},(228,403):{'3_1':0.0},(228,402):{'3_1':0.0},(228,401):{'3_1':0.0},(228,400):{'3_1':0.0},(228,399):{'3_1':0.06},(228,398):{'3_1':0.0},(228,397):{'3_1':0.0},(228,395):{'3_1':0.0},(228,394):{'3_1':0.0},(228,393):{'3_1':0.03},(228,392):{'3_1':0.0},(228,391):{'3_1':0.0},(228,390):{'3_1':0.0},(228,388):{'3_1':0.0},(228,387):{'3_1':0.0},(228,386):{'3_1':0.0},(228,385):{'3_1':0.03},(228,383):{'3_1':0.03},(228,382):{'3_1':0.0},(228,381):{'3_1':0.0,'5_2':0.0},(228,380):{'3_1':0.0,'5_1':0.0},(228,378):{'3_1':0.0},(228,377):{'3_1':0.03},(228,376):{'3_1':0.0},(228,375):{'3_1':0.0},(228,374):{'3_1':0.0},(228,373):{'3_1':0.0},(228,372):{'4_1':0.0,'5_1':0.0},(228,371):{'3_1':0.0},(228,370):{'3_1':0.0},(228,369):{'3_1':0.0,'5_2':0.0},(228,368):{'3_1':0.0},(228,367):{'3_1':0.0},(228,366):{'3_1':0.0},(228,365):{'3_1':0.0},(228,364):{'7_1':0.0,'9_1':0.0},(228,363):{'3_1':0.0},(228,362):{'3_1':0.0,'5_2':0.0},(228,361):{'3_1':0.0},(228,359):{'3_1':0.0},(228,358):{'3_1':0.0},(228,357):{'3_1':0.0},(228,356):{'3_1':0.0},(228,355):{'3_1':0.0},(228,354):{'3_1':0.0},(228,353):{'3_1':0.0},(228,351):{'3_1':0.0},(228,350):{'3_1':0.0},(228,349):{'3_1':0.0},(228,348):{'3_1':0.0,'5_2':0.0},(228,347):{'3_1':0.0},(228,346):{'3_1':0.0},(228,344):{'3_1':0.0},(228,343):{'3_1':0.0},(228,341):{'3_1':0.0},(228,340):{'3_1':0.0},(228,339):{'3_1':0.0},(228,337):{'3_1':0.0},(228,336):{'3_1':0.0},(228,335):{'3_1':0.0},(228,334):{'5_2':0.0},(228,333):{'3_1':0.0},(228,332):{'3_1':0.0},(228,331):{'3_1':0.0},(228,330):{'3_1':0.0,'4_1':0.0},(228,329):{'3_1':0.0},(228,328):{'3_1':0.0},(228,327):{'3_1':0.0},(228,326):{'3_1':0.0},(228,325):{'3_1':0.0,'4_1':0.0},(228,324):{'3_1':0.0},(228,323):{'5_1':0.0},(228,322):{'3_1':0.0},(228,320):{'3_1':0.0},(228,319):{'3_1':0.03},(228,318):{'3_1':0.0},(228,317):{'3_1':0.0},(228,316):{'3_1':0.0,'4_1':0.0},(228,315):{'3_1':0.0},(228,314):{'3_1':0.0},(228,313):{'3_1':0.0},(228,312):{'3_1':0.0},(228,311):{'3_1':0.03},(228,310):{'3_1':0.0},(228,309):{'3_1':0.03},(228,308):{'3_1':0.0},(228,306):{'3_1':0.0},(228,305):{'3_1':0.0},(228,304):{'3_1':0.0},(228,303):{'3_1':0.0},(228,302):{'3_1':0.0},(228,301):{'3_1':0.0},(228,300):{'3_1':0.0},(228,298):{'3_1':0.0},(228,264):{'3_1':0.0},(228,259):{'3_1':0.0},(228,257):{'3_1':0.0},(228,256):{'3_1':0.0},(228,255):{'4_1':0.0},(228,254):{'3_1':0.0},(228,253):{'3_1':0.0},(228,238):{'3_1':0.0},(228,237):{'5_1':0.0},(228,233):{'3_1':0.0},(228,232):{'3_1':0.0},(229,727):{'3_1':0.15,'6_1':0.09,'4_1':0.06,'5_2':0.06,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'8_12':0.0},(229,726):{'6_1':0.18,'3_1':0.12,'4_1':0.06,'5_2':0.03,'-3':0.03,'7_2':0.0,'8_3':0.0},(229,725):{'6_1':0.12,'4_1':0.06,'3_1':0.03,'5_2':0.03,'-3':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(229,724):{'6_1':0.15,'4_1':0.03,'5_2':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(229,723):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'8_4':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(229,722):{'6_1':0.15,'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(229,721):{'6_1':0.18,'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0},(229,720):{'6_1':0.24,'5_2':0.03,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(229,719):{'4_1':0.12,'3_1':0.09,'6_1':0.09,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(229,718):{'6_1':0.18,'3_1':0.03,'4_1':0.03,'7_3':0.0,'5_2':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(229,717):{'6_1':0.21,'4_1':0.06,'3_1':0.06,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0},(229,716):{'6_1':0.12,'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(229,715):{'6_1':0.15,'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(229,714):{'6_1':0.21,'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'6_2':0.0,'7_5':0.0,'8_2':0.0,'8_3':0.0,'8_6':0.0},(229,713):{'6_1':0.15,'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(229,712):{'3_1':0.12,'6_1':0.09,'5_2':0.06,'4_1':0.06,'7_5':0.0,'7_2':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(229,711):{'6_1':0.12,'3_1':0.09,'4_1':0.06,'5_2':0.03,'8_6':0.03,'7_3':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(229,710):{'3_1':0.18,'6_1':0.12,'4_1':0.06,'7_5':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(229,709):{'6_1':0.15,'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_5':0.03,'6_2':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0,'8_6':0.0},(229,708):{'6_1':0.12,'3_1':0.09,'5_2':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(229,707):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(229,706):{'6_1':0.09,'7_2':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_2':0.0},(229,705):{'6_1':0.15,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_6':0.0,'-3':0.0,'6_2':0.0,'8_3':0.0},(229,704):{'6_1':0.15,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(229,703):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'7_2':0.03,'4_1':0.03,'6_2':0.0,'-3':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(229,702):{'6_1':0.15,'4_1':0.06,'5_2':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0,'8_4':0.0},(229,701):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(229,700):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_2':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(229,699):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'7_2':0.03,'3_1':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0},(229,698):{'6_1':0.15,'4_1':0.06,'3_1':0.06,'5_2':0.03,'-3':0.03,'7_2':0.0,'8_3':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(229,697):{'4_1':0.15,'6_1':0.12,'5_2':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(229,696):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(229,695):{'6_1':0.09,'4_1':0.06,'3_1':0.06,'-3':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(229,694):{'6_1':0.21,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(229,693):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(229,692):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'3_1':0.09,'7_2':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0,'7_6':0.0},(229,691):{'6_1':0.12,'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_5':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(229,690):{'6_1':0.12,'4_1':0.09,'7_2':0.09,'5_2':0.06,'3_1':0.03,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(229,689):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.06,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(229,688):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(229,687):{'6_1':0.09,'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_2':0.03,'7_3':0.03,'6_2':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(229,686):{'6_1':0.12,'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_5':0.0},(229,685):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.09,'7_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(229,684):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'7_4':0.0,'8_11':0.0},(229,683):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(229,682):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(229,681):{'5_2':0.12,'4_1':0.12,'6_1':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_2':0.0,'-3':0.0},(229,680):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_2':0.0},(229,679):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(229,678):{'5_2':0.12,'4_1':0.12,'6_1':0.03,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(229,677):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(229,676):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(229,675):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(229,674):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(229,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(229,672):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(229,671):{'4_1':0.12,'5_2':0.03,'5_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(229,670):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(229,669):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0},(229,668):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'5_1':0.0,'7_2':0.0,'6_1':0.0},(229,667):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_11':0.0},(229,666):{'4_1':0.15,'5_2':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(229,665):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_3':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(229,664):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(229,663):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(229,662):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0},(229,661):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(229,660):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0},(229,659):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(229,658):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(229,657):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_8':0.0},(229,656):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(229,655):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(229,654):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(229,653):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(229,652):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(229,651):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(229,650):{'3_1':0.09,'4_1':0.09,'5_1':0.06,'5_2':0.0},(229,649):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(229,648):{'3_1':0.27,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0},(229,647):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(229,646):{'3_1':0.12,'5_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_1':0.0,'9_1':0.0},(229,645):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(229,644):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(229,643):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03},(229,642):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(229,641):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0},(229,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(229,639):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'9_1':0.0},(229,638):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(229,637):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'8_19':0.0},(229,636):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(229,635):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(229,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(229,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(229,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(229,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(229,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(229,629):{'3_1':0.06,'4_1':0.03},(229,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(229,627):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(229,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(229,625):{'3_1':0.09,'4_1':0.03,'5_1':0.03},(229,624):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(229,623):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(229,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(229,621):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(229,620):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0},(229,619):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(229,618):{'4_1':0.06,'3_1':0.06,'5_1':0.0},(229,617):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(229,616):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(229,615):{'3_1':0.09,'4_1':0.0},(229,614):{'3_1':0.06,'4_1':0.0,'-3':0.0},(229,613):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(229,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(229,611):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(229,610):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'3_1#5_1':0.0},(229,609):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(229,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(229,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(229,606):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(229,605):{'3_1':0.03,'4_1':0.0},(229,604):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(229,603):{'3_1':0.03,'4_1':0.0},(229,602):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(229,601):{'3_1':0.09,'4_1':0.0},(229,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,599):{'3_1':0.09,'4_1':0.0},(229,598):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,597):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(229,596):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,595):{'3_1':0.0,'4_1':0.0},(229,594):{'3_1':0.06},(229,593):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_4':0.0},(229,592):{'3_1':0.06,'4_1':0.0},(229,591):{'3_1':0.09,'5_1':0.0},(229,590):{'3_1':0.06,'4_1':0.0},(229,589):{'3_1':0.09,'5_2':0.0},(229,588):{'3_1':0.06,'5_1':0.0},(229,587):{'3_1':0.06},(229,586):{'3_1':0.06,'5_2':0.0},(229,585):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(229,584):{'3_1':0.06,'4_1':0.0},(229,583):{'3_1':0.03,'4_1':0.0},(229,582):{'3_1':0.03,'5_1':0.0},(229,581):{'3_1':0.06},(229,580):{'3_1':0.03,'5_2':0.0},(229,579):{'3_1':0.09},(229,578):{'3_1':0.03,'5_2':0.0},(229,577):{'3_1':0.09},(229,576):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0},(229,575):{'3_1':0.03,'5_2':0.0},(229,574):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(229,573):{'3_1':0.06,'4_1':0.0},(229,572):{'3_1':0.09,'4_1':0.0},(229,571):{'3_1':0.03,'4_1':0.0},(229,570):{'3_1':0.06,'5_1':0.0},(229,569):{'3_1':0.0,'5_2':0.0},(229,568):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,567):{'3_1':0.06},(229,566):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(229,565):{'3_1':0.06,'5_2':0.0},(229,564):{'3_1':0.09,'4_1':0.0},(229,563):{'3_1':0.03,'5_2':0.0},(229,562):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(229,561):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(229,560):{'3_1':0.03,'4_1':0.0},(229,559):{'3_1':0.06},(229,558):{'3_1':0.06},(229,557):{'3_1':0.06,'4_1':0.0},(229,556):{'3_1':0.09,'4_1':0.0},(229,555):{'3_1':0.03,'5_2':0.0},(229,554):{'3_1':0.03,'4_1':0.0},(229,553):{'3_1':0.03,'4_1':0.0},(229,552):{'3_1':0.12,'4_1':0.0},(229,551):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(229,550):{'3_1':0.0},(229,549):{'3_1':0.0,'7_2':0.0},(229,548):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(229,547):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(229,546):{'3_1':0.06,'5_2':0.0},(229,545):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(229,544):{'3_1':0.06,'6_2':0.0},(229,543):{'3_1':0.06},(229,542):{'3_1':0.09,'5_2':0.0},(229,541):{'3_1':0.0,'5_1':0.0},(229,540):{'3_1':0.06,'6_2':0.0},(229,539):{'3_1':0.06},(229,538):{'3_1':0.06},(229,537):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(229,536):{'3_1':0.06,'6_1':0.0},(229,535):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(229,534):{'3_1':0.03},(229,533):{'3_1':0.06},(229,532):{'3_1':0.03,'5_1':0.0},(229,531):{'3_1':0.06,'5_2':0.0},(229,530):{'3_1':0.03,'6_2':0.0},(229,529):{'3_1':0.06},(229,528):{'3_1':0.06},(229,527):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(229,526):{'3_1':0.09,'6_1':0.0},(229,525):{'3_1':0.09,'4_1':0.0},(229,524):{'3_1':0.06,'6_1':0.0},(229,523):{'3_1':0.06},(229,522):{'3_1':0.06,'5_2':0.0},(229,521):{'3_1':0.09,'5_1':0.0},(229,520):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(229,519):{'3_1':0.03,'5_2':0.0},(229,518):{'3_1':0.06,'5_2':0.0},(229,517):{'3_1':0.06},(229,516):{'3_1':0.03,'5_2':0.0},(229,515):{'3_1':0.09},(229,514):{'3_1':0.06},(229,513):{'3_1':0.06,'5_2':0.0},(229,512):{'3_1':0.0},(229,511):{'3_1':0.09},(229,510):{'3_1':0.03,'5_2':0.0},(229,509):{'3_1':0.12},(229,508):{'3_1':0.09},(229,507):{'3_1':0.06},(229,506):{'3_1':0.0,'5_2':0.0},(229,505):{'3_1':0.03},(229,504):{'3_1':0.0,'5_2':0.0},(229,503):{'3_1':0.03,'4_1':0.0},(229,502):{'3_1':0.03,'4_1':0.0},(229,501):{'3_1':0.03},(229,500):{'3_1':0.06,'4_1':0.0},(229,499):{'3_1':0.0,'5_2':0.0},(229,498):{'3_1':0.03,'5_2':0.0},(229,497):{'3_1':0.09},(229,496):{'3_1':0.06,'4_1':0.0},(229,495):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(229,494):{'3_1':0.0,'5_2':0.0},(229,493):{'3_1':0.06},(229,492):{'3_1':0.0,'4_1':0.0},(229,490):{'3_1':0.03},(229,489):{'3_1':0.03},(229,488):{'3_1':0.06},(229,487):{'3_1':0.03,'7_1':0.0},(229,486):{'3_1':0.0,'5_2':0.0},(229,485):{'3_1':0.03},(229,484):{'3_1':0.06,'5_2':0.0},(229,483):{'3_1':0.06},(229,482):{'3_1':0.03,'5_2':0.0},(229,481):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(229,480):{'3_1':0.06},(229,479):{'3_1':0.12,'5_2':0.0},(229,478):{'3_1':0.0},(229,477):{'3_1':0.03},(229,476):{'3_1':0.06},(229,475):{'3_1':0.06,'5_1':0.0},(229,474):{'3_1':0.06},(229,473):{'3_1':0.03},(229,472):{'3_1':0.03},(229,471):{'3_1':0.0},(229,470):{'3_1':0.03,'5_1':0.0},(229,469):{'3_1':0.03},(229,468):{'3_1':0.03,'5_1':0.0},(229,467):{'3_1':0.0},(229,466):{'3_1':0.0},(229,465):{'3_1':0.0},(229,464):{'3_1':0.0,'4_1':0.0},(229,463):{'3_1':0.0},(229,462):{'3_1':0.0},(229,461):{'3_1':0.0,'4_1':0.0},(229,460):{'3_1':0.0},(229,459):{'3_1':0.03},(229,458):{'3_1':0.0,'5_2':0.0},(229,457):{'3_1':0.03},(229,456):{'3_1':0.0},(229,455):{'3_1':0.03},(229,454):{'3_1':0.0,'4_1':0.0},(229,451):{'3_1':0.0},(229,450):{'3_1':0.0},(229,449):{'3_1':0.0},(229,448):{'3_1':0.03,'4_1':0.0},(229,447):{'3_1':0.0},(229,446):{'3_1':0.0},(229,445):{'3_1':0.03,'5_1':0.0},(229,444):{'3_1':0.0},(229,443):{'3_1':0.0},(229,442):{'3_1':0.0,'4_1':0.0},(229,441):{'3_1':0.03},(229,440):{'3_1':0.0},(229,439):{'3_1':0.0},(229,438):{'3_1':0.0},(229,437):{'3_1':0.06},(229,436):{'3_1':0.0},(229,434):{'3_1':0.0},(229,432):{'3_1':0.0},(229,430):{'3_1':0.0},(229,429):{'3_1':0.03},(229,428):{'3_1':0.0},(229,427):{'3_1':0.0},(229,426):{'3_1':0.03},(229,425):{'3_1':0.0},(229,423):{'3_1':0.03},(229,422):{'3_1':0.0},(229,421):{'3_1':0.0},(229,420):{'3_1':0.03},(229,419):{'3_1':0.03},(229,418):{'3_1':0.0},(229,417):{'3_1':0.0},(229,416):{'3_1':0.03,'5_1':0.0},(229,415):{'3_1':0.03},(229,414):{'3_1':0.0},(229,413):{'3_1':0.0},(229,412):{'3_1':0.03},(229,411):{'3_1':0.03},(229,410):{'3_1':0.0},(229,409):{'3_1':0.03},(229,408):{'3_1':0.0},(229,407):{'3_1':0.03},(229,406):{'3_1':0.0,'5_1':0.0},(229,405):{'3_1':0.03,'5_1':0.0},(229,404):{'3_1':0.0},(229,403):{'3_1':0.0},(229,402):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(229,401):{'3_1':0.0},(229,400):{'3_1':0.03},(229,399):{'3_1':0.0},(229,398):{'3_1':0.0},(229,396):{'3_1':0.0},(229,394):{'3_1':0.0},(229,393):{'3_1':0.0},(229,392):{'3_1':0.0},(229,391):{'3_1':0.0},(229,390):{'3_1':0.0},(229,389):{'3_1':0.03},(229,386):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(229,385):{'3_1':0.0},(229,384):{'3_1':0.0},(229,382):{'3_1':0.0},(229,381):{'3_1':0.0},(229,380):{'3_1':0.0},(229,379):{'3_1':0.0},(229,377):{'3_1':0.03},(229,376):{'3_1':0.0},(229,375):{'3_1':0.0},(229,374):{'3_1':0.0},(229,373):{'3_1':0.0},(229,371):{'3_1':0.0},(229,369):{'3_1':0.0},(229,368):{'3_1':0.0},(229,367):{'5_1':0.0},(229,366):{'3_1':0.0},(229,364):{'3_1':0.0},(229,361):{'3_1':0.0},(229,359):{'3_1':0.0},(229,358):{'3_1':0.0},(229,356):{'3_1':0.0},(229,355):{'5_2':0.0},(229,354):{'3_1':0.0},(229,353):{'3_1':0.0},(229,352):{'3_1':0.0},(229,351):{'3_1':0.0},(229,350):{'3_1':0.0},(229,349):{'3_1':0.0},(229,348):{'3_1':0.0},(229,347):{'3_1':0.0},(229,346):{'3_1':0.0},(229,345):{'3_1':0.0},(229,344):{'3_1':0.0},(229,342):{'3_1':0.0},(229,341):{'3_1':0.0},(229,340):{'3_1':0.0},(229,339):{'3_1':0.0},(229,338):{'3_1':0.0},(229,335):{'3_1':0.0,'4_1':0.0},(229,331):{'3_1':0.0},(229,330):{'3_1':0.0},(229,329):{'3_1':0.0},(229,328):{'3_1':0.0},(229,327):{'3_1':0.0},(229,326):{'3_1':0.0},(229,325):{'3_1':0.0},(229,322):{'3_1':0.0},(229,320):{'3_1':0.0},(229,319):{'3_1':0.0},(229,316):{'3_1':0.0},(229,315):{'3_1':0.0},(229,313):{'3_1':0.0},(229,310):{'3_1':0.0},(229,309):{'3_1':0.0},(229,308):{'3_1':0.0},(229,306):{'3_1':0.0},(229,305):{'3_1':0.0},(229,304):{'3_1':0.0},(229,303):{'3_1':0.0},(229,302):{'3_1':0.0},(229,301):{'3_1':0.0},(229,300):{'3_1':0.0,'4_1':0.0},(229,299):{'5_2':0.0},(229,262):{'3_1':0.0,'5_2':0.0},(229,257):{'3_1':0.0},(229,241):{'3_1':0.0},(229,234):{'3_1':0.0},(229,233):{'3_1':0.0},(230,727):{'6_1':0.15,'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(230,726):{'6_1':0.09,'3_1':0.09,'-3':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(230,725):{'6_1':0.15,'3_1':0.06,'5_2':0.03,'7_3':0.03,'7_5':0.03,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(230,724):{'6_1':0.15,'3_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'8_15':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(230,723):{'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(230,722):{'6_1':0.15,'3_1':0.06,'5_2':0.06,'7_5':0.03,'7_2':0.03,'7_3':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(230,721):{'6_1':0.15,'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_2':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(230,720):{'6_1':0.21,'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(230,719):{'6_1':0.15,'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_2':0.0,'7_5':0.0,'8_4':0.0,'7_3':0.0,'8_6':0.0},(230,718):{'5_2':0.12,'6_1':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(230,717):{'6_1':0.15,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(230,716):{'6_1':0.27,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(230,715):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_15':0.0,'-3':0.0},(230,714):{'6_1':0.12,'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'8_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(230,713):{'6_1':0.15,'3_1':0.06,'5_2':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(230,712):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(230,711):{'3_1':0.09,'6_1':0.09,'5_2':0.09,'4_1':0.03,'7_3':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(230,710):{'6_1':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'7_5':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0,'-3':0.0,'8_4':0.0},(230,709):{'3_1':0.15,'6_1':0.12,'4_1':0.06,'-3':0.06,'7_5':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(230,708):{'6_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_10':0.0},(230,707):{'6_1':0.09,'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_3':0.03,'7_6':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'8_9':0.0,'8_15':0.0,'9_1':0.0},(230,706):{'4_1':0.09,'6_1':0.09,'5_2':0.09,'3_1':0.06,'7_2':0.0,'8_4':0.0,'7_4':0.0,'8_6':0.0,'6_2':0.0,'8_3':0.0},(230,705):{'6_1':0.18,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(230,704):{'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(230,703):{'6_1':0.15,'4_1':0.09,'5_2':0.06,'7_2':0.06,'3_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(230,702):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0},(230,701):{'6_1':0.15,'4_1':0.06,'5_2':0.06,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(230,700):{'6_1':0.12,'4_1':0.09,'5_2':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.03,'8_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0},(230,699):{'6_1':0.18,'4_1':0.03,'7_2':0.03,'5_2':0.03,'7_3':0.03,'-3':0.03,'6_2':0.0,'3_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(230,698):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(230,697):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(230,696):{'6_1':0.15,'5_2':0.06,'7_2':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(230,695):{'4_1':0.12,'6_1':0.06,'3_1':0.03,'5_2':0.03,'-3':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(230,694):{'6_1':0.12,'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(230,693):{'6_1':0.09,'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_12':0.0},(230,692):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'7_2':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(230,691):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_2':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(230,690):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(230,689):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.03,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0},(230,688):{'6_1':0.15,'5_2':0.09,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(230,687):{'6_1':0.15,'4_1':0.12,'7_2':0.06,'5_2':0.03,'-3':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(230,686):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(230,685):{'4_1':0.15,'6_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.0,'8_11':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(230,684):{'5_2':0.09,'4_1':0.09,'6_1':0.06,'3_1':0.03,'7_5':0.03,'7_2':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(230,683):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(230,682):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(230,681):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0},(230,680):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.06,'5_1':0.0,'7_1':0.0,'7_2':0.0},(230,679):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.06,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(230,678):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0},(230,677):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_1':0.0,'-3':0.0},(230,676):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(230,675):{'5_2':0.18,'4_1':0.12,'6_1':0.09,'3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(230,674):{'4_1':0.18,'5_2':0.06,'5_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0},(230,673):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'5_1':0.0,'3_1':0.0,'7_3':0.0,'7_1':0.0},(230,672):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(230,671):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(230,670):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(230,669):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(230,668):{'4_1':0.21,'3_1':0.03,'5_1':0.03,'5_2':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0,'-3':0.0},(230,667):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.06,'5_1':0.0,'7_2':0.0,'-3':0.0},(230,666):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(230,665):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0},(230,664):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0},(230,663):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(230,662):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(230,661):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0},(230,660):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(230,659):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(230,658):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'8_4':0.0},(230,657):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0},(230,656):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0},(230,655):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(230,654):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(230,653):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(230,652):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(230,651):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(230,650):{'3_1':0.15,'4_1':0.12,'5_1':0.06,'5_2':0.03,'6_2':0.0,'8_19':0.0},(230,649):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0},(230,648):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(230,647):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'8_19':0.0},(230,646):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(230,645):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(230,644):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(230,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_6':0.0,'9_1':0.0},(230,642):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.03,'9_1':0.0},(230,641):{'3_1':0.18,'4_1':0.12,'5_1':0.06},(230,640):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0,'6_2':0.0,'7_3':0.0},(230,639):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0},(230,638):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(230,637):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'5_2':0.0},(230,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,635):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(230,634):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(230,633):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_1':0.0},(230,632):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(230,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(230,630):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(230,629):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(230,628):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(230,627):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0},(230,626):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(230,625):{'3_1':0.09,'4_1':0.03,'5_1':0.03},(230,624):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(230,623):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(230,622):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_4':0.0},(230,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(230,620):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(230,619):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(230,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(230,617):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(230,616):{'3_1':0.12,'4_1':0.0},(230,615):{'3_1':0.09,'4_1':0.03,'3_1#5_1':0.0},(230,614):{'3_1':0.12,'4_1':0.03},(230,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(230,612):{'3_1':0.06,'4_1':0.0},(230,611):{'3_1':0.03,'4_1':0.0},(230,610):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(230,609):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(230,608):{'3_1':0.09,'4_1':0.03},(230,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(230,606):{'3_1':0.09,'4_1':0.03},(230,605):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(230,604):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(230,603):{'3_1':0.06,'4_1':0.03},(230,602):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,601):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(230,600):{'3_1':0.06,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(230,599):{'3_1':0.06,'4_1':0.0},(230,598):{'3_1':0.06,'4_1':0.0},(230,597):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(230,596):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(230,595):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(230,594):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(230,593):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(230,592):{'3_1':0.12},(230,591):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(230,590):{'3_1':0.06},(230,589):{'3_1':0.06},(230,588):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(230,587):{'3_1':0.12,'4_1':0.0},(230,586):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(230,585):{'3_1':0.03,'5_2':0.0},(230,584):{'3_1':0.06},(230,583):{'3_1':0.03},(230,582):{'3_1':0.06,'5_2':0.0},(230,581):{'3_1':0.09},(230,580):{'3_1':0.09,'4_1':0.0},(230,579):{'3_1':0.06},(230,578):{'3_1':0.12},(230,577):{'3_1':0.09,'4_1':0.0},(230,576):{'3_1':0.03,'4_1':0.0},(230,575):{'3_1':0.06,'5_1':0.0},(230,574):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(230,573):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(230,572):{'3_1':0.06,'5_2':0.0},(230,571):{'3_1':0.06,'5_2':0.0},(230,570):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,569):{'3_1':0.09,'5_1':0.0},(230,568):{'3_1':0.09,'4_1':0.0},(230,567):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,566):{'3_1':0.09},(230,565):{'3_1':0.03},(230,564):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(230,563):{'3_1':0.09,'6_2':0.0},(230,562):{'3_1':0.12},(230,561):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(230,560):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(230,559):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,558):{'3_1':0.03,'7_5':0.0},(230,557):{'3_1':0.03,'5_2':0.0},(230,556):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(230,555):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,554):{'3_1':0.03,'5_1':0.0},(230,553):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(230,552):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(230,551):{'3_1':0.06,'5_1':0.0},(230,550):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(230,549):{'3_1':0.09,'5_2':0.0},(230,548):{'3_1':0.09},(230,547):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(230,546):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(230,545):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(230,544):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,543):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(230,542):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,541):{'3_1':0.03,'6_2':0.0},(230,540):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(230,539):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(230,538):{'3_1':0.06},(230,537):{'3_1':0.06,'4_1':0.0},(230,536):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(230,535):{'3_1':0.06},(230,534):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(230,533):{'3_1':0.09,'5_1':0.0},(230,532):{'3_1':0.03},(230,531):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(230,530):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(230,529):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(230,528):{'3_1':0.03,'5_1':0.0},(230,527):{'3_1':0.09,'5_2':0.0},(230,526):{'3_1':0.09,'5_1':0.0},(230,525):{'3_1':0.09},(230,524):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(230,523):{'3_1':0.09},(230,522):{'3_1':0.09,'5_2':0.0},(230,521):{'3_1':0.03},(230,520):{'3_1':0.06,'6_1':0.0},(230,519):{'3_1':0.06},(230,518):{'3_1':0.09,'5_2':0.0},(230,517):{'3_1':0.06},(230,516):{'3_1':0.03,'6_1':0.0},(230,515):{'3_1':0.06,'5_2':0.0},(230,514):{'3_1':0.03,'5_2':0.0},(230,513):{'3_1':0.06,'5_2':0.0},(230,512):{'3_1':0.06},(230,511):{'3_1':0.06},(230,510):{'3_1':0.06,'4_1':0.0},(230,509):{'3_1':0.09},(230,508):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(230,507):{'3_1':0.03},(230,506):{'3_1':0.03,'5_2':0.0},(230,505):{'3_1':0.0,'4_1':0.0},(230,504):{'3_1':0.03},(230,502):{'3_1':0.06},(230,501):{'3_1':0.0},(230,500):{'3_1':0.0},(230,499):{'3_1':0.03},(230,498):{'3_1':0.0,'5_2':0.0},(230,497):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(230,496):{'3_1':0.09},(230,495):{'3_1':0.06},(230,494):{'3_1':0.03},(230,493):{'3_1':0.06},(230,492):{'3_1':0.03,'4_1':0.0},(230,491):{'3_1':0.06},(230,490):{'3_1':0.03},(230,489):{'3_1':0.06,'4_1':0.0},(230,488):{'3_1':0.03},(230,487):{'3_1':0.03,'4_1':0.0},(230,486):{'3_1':0.06},(230,485):{'3_1':0.03},(230,484):{'3_1':0.03,'5_1':0.0},(230,483):{'3_1':0.03},(230,482):{'3_1':0.06,'7_1':0.0},(230,481):{'3_1':0.06,'4_1':0.0},(230,480):{'3_1':0.06},(230,479):{'3_1':0.06,'4_1':0.0},(230,478):{'3_1':0.03},(230,477):{'3_1':0.03},(230,476):{'3_1':0.03},(230,475):{'3_1':0.06},(230,474):{'3_1':0.0},(230,473):{'3_1':0.0},(230,472):{'3_1':0.0},(230,471):{'3_1':0.03,'5_1':0.0},(230,470):{'3_1':0.03},(230,469):{'3_1':0.0},(230,468):{'3_1':0.0},(230,467):{'3_1':0.0},(230,466):{'3_1':0.03},(230,465):{'3_1':0.0},(230,464):{'3_1':0.03},(230,463):{'3_1':0.0},(230,462):{'3_1':0.0},(230,461):{'3_1':0.0},(230,460):{'3_1':0.0},(230,459):{'3_1':0.0},(230,458):{'3_1':0.0,'4_1':0.0},(230,457):{'3_1':0.03},(230,456):{'3_1':0.0},(230,455):{'3_1':0.0},(230,454):{'3_1':0.0},(230,453):{'3_1':0.0},(230,452):{'3_1':0.0},(230,451):{'3_1':0.0},(230,450):{'3_1':0.0},(230,449):{'3_1':0.0},(230,448):{'3_1':0.03},(230,447):{'3_1':0.0},(230,446):{'3_1':0.0},(230,445):{'3_1':0.0},(230,444):{'3_1':0.0},(230,443):{'3_1':0.03},(230,442):{'3_1':0.0},(230,441):{'3_1':0.0},(230,439):{'3_1':0.03},(230,438):{'3_1':0.0},(230,437):{'3_1':0.03},(230,436):{'3_1':0.03},(230,435):{'3_1':0.0},(230,434):{'3_1':0.0},(230,433):{'3_1':0.0},(230,432):{'3_1':0.0},(230,431):{'3_1':0.0},(230,430):{'3_1':0.0},(230,429):{'3_1':0.0},(230,428):{'3_1':0.0},(230,427):{'3_1':0.0,'5_2':0.0},(230,426):{'3_1':0.06},(230,425):{'3_1':0.0},(230,424):{'3_1':0.0,'5_2':0.0},(230,423):{'3_1':0.03},(230,422):{'3_1':0.0},(230,421):{'3_1':0.03},(230,420):{'3_1':0.0},(230,419):{'3_1':0.0},(230,418):{'3_1':0.03},(230,417):{'3_1':0.03},(230,416):{'3_1':0.0},(230,415):{'3_1':0.06,'5_1':0.0},(230,414):{'3_1':0.0},(230,413):{'3_1':0.03,'5_1':0.0},(230,412):{'3_1':0.0},(230,411):{'3_1':0.03,'5_1':0.0},(230,410):{'3_1':0.0,'7_1':0.0},(230,409):{'5_1':0.0},(230,408):{'3_1':0.0},(230,407):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(230,406):{'3_1':0.0},(230,405):{'3_1':0.03},(230,404):{'3_1':0.0},(230,402):{'3_1':0.0},(230,401):{'3_1':0.03},(230,400):{'3_1':0.0},(230,399):{'3_1':0.0},(230,398):{'3_1':0.0},(230,395):{'3_1':0.0},(230,394):{'3_1':0.0},(230,393):{'3_1':0.0},(230,392):{'3_1':0.0},(230,391):{'3_1':0.0},(230,390):{'3_1':0.0},(230,389):{'3_1':0.0},(230,388):{'3_1':0.0},(230,386):{'3_1':0.0},(230,384):{'3_1':0.0},(230,383):{'4_1':0.0},(230,382):{'3_1':0.0},(230,381):{'3_1':0.0},(230,379):{'3_1':0.0},(230,378):{'3_1':0.0},(230,377):{'3_1':0.0},(230,376):{'3_1':0.0,'5_1':0.0},(230,375):{'3_1':0.0,'5_1':0.0},(230,374):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(230,373):{'3_1':0.0},(230,371):{'3_1':0.0},(230,367):{'3_1':0.0,'5_1':0.0},(230,364):{'3_1':0.0},(230,361):{'3_1':0.0},(230,360):{'3_1':0.0},(230,359):{'3_1':0.0},(230,357):{'3_1':0.0},(230,355):{'3_1':0.0},(230,354):{'3_1':0.0,'4_1':0.0},(230,352):{'3_1':0.0},(230,350):{'3_1':0.0},(230,347):{'3_1':0.0},(230,346):{'3_1':0.0},(230,341):{'3_1':0.0},(230,338):{'5_2':0.0},(230,334):{'3_1':0.0},(230,331):{'3_1':0.0},(230,329):{'3_1':0.0},(230,328):{'3_1':0.0},(230,327):{'4_1':0.0},(230,325):{'3_1':0.0},(230,324):{'4_1':0.0},(230,323):{'3_1':0.0},(230,322):{'5_1':0.0},(230,320):{'3_1':0.0},(230,319):{'3_1':0.0},(230,310):{'3_1':0.0},(230,309):{'3_1':0.0},(230,307):{'3_1':0.0},(230,306):{'3_1':0.0},(230,305):{'3_1':0.0},(230,304):{'3_1':0.0},(230,299):{'3_1':0.0},(230,250):{'3_1':0.0},(230,237):{'3_1':0.0},(230,233):{'3_1':0.0},(231,727):{'6_1':0.12,'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(231,726):{'6_1':0.21,'3_1':0.06,'7_2':0.0,'7_3':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(231,725):{'6_1':0.15,'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_5':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(231,724):{'6_1':0.15,'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(231,723):{'6_1':0.18,'3_1':0.06,'7_5':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(231,722):{'6_1':0.15,'3_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(231,721):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(231,720):{'6_1':0.12,'4_1':0.09,'5_2':0.03,'3_1':0.03,'7_2':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(231,719):{'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_2':0.03,'7_2':0.0,'7_5':0.0,'7_3':0.0},(231,718):{'6_1':0.12,'3_1':0.06,'4_1':0.06,'5_2':0.06,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(231,717):{'6_1':0.15,'5_2':0.12,'3_1':0.06,'4_1':0.03,'7_2':0.0,'8_1':0.0,'8_6':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(231,716):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.03,'7_6':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0},(231,715):{'6_1':0.15,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(231,714):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(231,713):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_6':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0},(231,712):{'3_1':0.12,'6_1':0.12,'4_1':0.06,'5_2':0.06,'8_6':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(231,711):{'6_1':0.12,'3_1':0.12,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(231,710):{'3_1':0.15,'6_1':0.09,'5_2':0.03,'4_1':0.03,'8_6':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0},(231,709):{'3_1':0.12,'6_1':0.12,'7_5':0.03,'-3':0.03,'4_1':0.03,'8_6':0.0,'6_2':0.0,'7_2':0.0,'5_2':0.0,'7_3':0.0,'7_6':0.0},(231,708):{'5_2':0.09,'3_1':0.09,'6_1':0.06,'7_5':0.06,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(231,707):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(231,706):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.06,'7_3':0.03,'7_2':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0},(231,705):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(231,704):{'5_2':0.15,'6_1':0.12,'4_1':0.12,'3_1':0.06,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0},(231,703):{'6_1':0.09,'4_1':0.06,'3_1':0.06,'5_2':0.03,'7_2':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(231,702):{'6_1':0.21,'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_3':0.0,'8_12':0.0,'-3':0.0,'7_2':0.0},(231,701):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'7_3':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(231,700):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'1':-0.03},(231,699):{'6_1':0.18,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(231,698):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.06,'-3':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_5':0.0,'5_1':0.0,'8_14':0.0},(231,697):{'4_1':0.12,'6_1':0.12,'3_1':0.06,'5_2':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_11':0.0,'-3':0.0},(231,696):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.03,'7_4':0.0,'8_3':0.0,'9_1':0.0,'-3':0.0},(231,695):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(231,694):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.03,'7_3':0.0,'7_6':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(231,693):{'6_1':0.09,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_2':0.03,'7_3':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(231,692):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(231,691):{'6_1':0.09,'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(231,690):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_3':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(231,689):{'6_1':0.09,'4_1':0.06,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(231,688):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_2':0.03,'3_1':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(231,687):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_2':0.03,'-3':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(231,686):{'6_1':0.15,'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(231,685):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.06,'-3':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(231,684):{'5_2':0.06,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(231,683):{'4_1':0.15,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(231,682):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_6':0.0},(231,681):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(231,680):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'-3':0.0},(231,679):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(231,678):{'4_1':0.09,'6_1':0.09,'5_2':0.09,'3_1':0.06,'7_2':0.03,'5_1':0.0,'7_3':0.0},(231,677):{'5_2':0.12,'4_1':0.12,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_1':0.0,'7_5':0.0,'-3':0.0},(231,676):{'4_1':0.15,'5_2':0.03,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(231,675):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(231,674):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0},(231,673):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0},(231,672):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_12':0.0},(231,671):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(231,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'7_5':0.0},(231,669):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(231,668):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(231,667):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0},(231,666):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(231,665):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(231,664):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'8_1':0.0,'8_4':0.0},(231,663):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(231,662):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(231,661):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(231,660):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(231,659):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(231,658):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_19':0.0},(231,657):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0,'-3':0.0},(231,656):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_19':0.0},(231,655):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(231,654):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(231,653):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0},(231,652):{'3_1':0.15,'4_1':0.12,'5_1':0.0},(231,651):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'6_3':0.0},(231,650):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(231,649):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(231,648):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'7_1':0.0},(231,647):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0,'8_19':0.0},(231,646):{'3_1':0.15,'4_1':0.12,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0},(231,645):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'-3':0.0},(231,644):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(231,643):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(231,642):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(231,641):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0},(231,640):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(231,639):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(231,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_4':0.0},(231,637):{'3_1':0.12,'4_1':0.03,'7_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(231,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(231,635):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0},(231,634):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_19':0.0},(231,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(231,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(231,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(231,630):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(231,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(231,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(231,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(231,626):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(231,625):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(231,624):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(231,623):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(231,622):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(231,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(231,620):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(231,619):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(231,618):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'7_1':0.0},(231,617):{'3_1':0.09,'4_1':0.0},(231,616):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(231,615):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(231,614):{'3_1':0.06,'5_1':0.0},(231,613):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(231,612):{'3_1':0.12,'4_1':0.0},(231,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'-3':0.0},(231,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(231,609):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(231,608):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(231,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(231,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(231,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(231,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(231,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(231,602):{'3_1':0.06,'5_1':0.0},(231,601):{'3_1':0.03,'4_1':0.0},(231,600):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(231,599):{'3_1':0.0},(231,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(231,597):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(231,596):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(231,595):{'3_1':0.06},(231,594):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(231,593):{'3_1':0.06},(231,592):{'3_1':0.03},(231,591):{'3_1':0.06,'4_1':0.0},(231,590):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(231,589):{'3_1':0.09,'5_1':0.0},(231,588):{'3_1':0.03,'5_2':0.0},(231,587):{'3_1':0.06},(231,586):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(231,585):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(231,584):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(231,583):{'3_1':0.06},(231,582):{'3_1':0.06,'-3':0.0},(231,581):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(231,580):{'3_1':0.06,'5_1':0.0},(231,579):{'3_1':0.09,'5_2':0.0,'7_5':0.0},(231,578):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(231,577):{'3_1':0.03},(231,576):{'3_1':0.0,'5_2':0.0},(231,575):{'3_1':0.06,'5_1':0.0},(231,574):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(231,573):{'3_1':0.06,'5_2':0.0},(231,572):{'3_1':0.09,'5_1':0.0},(231,571):{'3_1':0.06,'5_1':0.0},(231,570):{'3_1':0.06,'5_1':0.0},(231,569):{'3_1':0.09},(231,568):{'3_1':0.06},(231,567):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(231,566):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(231,565):{'3_1':0.06,'5_1':0.0},(231,564):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(231,563):{'3_1':0.03,'4_1':0.0},(231,562):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(231,561):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(231,560):{'3_1':0.03},(231,559):{'3_1':0.03,'4_1':0.0},(231,558):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(231,557):{'3_1':0.03},(231,556):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(231,555):{'3_1':0.09,'5_1':0.0},(231,554):{'3_1':0.03,'5_1':0.0},(231,553):{'3_1':0.0,'4_1':0.0},(231,552):{'3_1':0.06,'5_1':0.0},(231,551):{'3_1':0.0,'4_1':0.0},(231,550):{'3_1':0.06,'5_1':0.0},(231,549):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(231,548):{'3_1':0.06},(231,547):{'3_1':0.0,'5_2':0.0},(231,546):{'3_1':0.0},(231,545):{'3_1':0.06},(231,544):{'3_1':0.03,'5_2':0.0},(231,543):{'3_1':0.03,'5_1':0.0},(231,542):{'3_1':0.12,'4_1':0.0},(231,541):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(231,540):{'3_1':0.03,'5_1':0.0},(231,539):{'3_1':0.03},(231,538):{'3_1':0.03,'5_1':0.0},(231,537):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(231,536):{'3_1':0.0},(231,535):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(231,534):{'3_1':0.06},(231,533):{'3_1':0.09},(231,532):{'3_1':0.0,'5_2':0.0},(231,531):{'3_1':0.0,'6_1':0.0},(231,530):{'3_1':0.09,'5_2':0.0},(231,529):{'3_1':0.03,'5_1':0.0},(231,528):{'3_1':0.0,'4_1':0.0},(231,527):{'3_1':0.06,'5_1':0.0},(231,526):{'3_1':0.06,'5_1':0.0},(231,525):{'3_1':0.09,'5_2':0.0},(231,524):{'3_1':0.06},(231,523):{'3_1':0.03},(231,522):{'3_1':0.06},(231,521):{'3_1':0.0},(231,520):{'3_1':0.03,'4_1':0.0},(231,519):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(231,518):{'3_1':0.06},(231,517):{'3_1':0.03},(231,516):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(231,515):{'3_1':0.06,'5_2':0.0},(231,514):{'3_1':0.03},(231,513):{'3_1':0.03},(231,512):{'3_1':0.06},(231,511):{'3_1':0.03,'5_1':0.0},(231,510):{'3_1':0.0},(231,509):{'3_1':0.09},(231,508):{'3_1':0.03},(231,507):{'3_1':0.0,'5_2':0.0},(231,506):{'3_1':0.0},(231,505):{'3_1':0.06,'5_2':0.0},(231,504):{'3_1':0.03},(231,503):{'3_1':0.0},(231,502):{'3_1':0.0,'5_2':0.0},(231,501):{'3_1':0.03,'4_1':0.0},(231,500):{'3_1':0.0,'5_2':0.0},(231,499):{'3_1':0.0,'4_1':0.0},(231,498):{'3_1':0.03},(231,497):{'3_1':0.06},(231,496):{'3_1':0.06},(231,495):{'3_1':0.0,'4_1':0.0},(231,494):{'3_1':0.03,'4_1':0.0},(231,493):{'3_1':0.0},(231,492):{'3_1':0.0},(231,491):{'3_1':0.03,'4_1':0.0},(231,490):{'3_1':0.03},(231,489):{'3_1':0.03},(231,488):{'3_1':0.0,'4_1':0.0},(231,487):{'3_1':0.06},(231,486):{'3_1':0.09},(231,485):{'3_1':0.06},(231,484):{'3_1':0.09},(231,483):{'3_1':0.03},(231,482):{'3_1':0.06},(231,481):{'3_1':0.03,'4_1':0.0},(231,480):{'3_1':0.06},(231,479):{'3_1':0.03},(231,478):{'3_1':0.03},(231,477):{'3_1':0.03},(231,476):{'3_1':0.06},(231,475):{'3_1':0.0},(231,474):{'3_1':0.03},(231,473):{'3_1':0.03},(231,472):{'3_1':0.06,'5_1':0.0},(231,471):{'3_1':0.0},(231,470):{'3_1':0.03},(231,469):{'3_1':0.0},(231,468):{'3_1':0.09},(231,467):{'3_1':0.0,'5_2':0.0},(231,466):{'3_1':0.03},(231,465):{'3_1':0.0},(231,464):{'3_1':0.0},(231,463):{'3_1':0.0,'5_1':0.0},(231,462):{'3_1':0.0},(231,461):{'3_1':0.03},(231,460):{'3_1':0.03},(231,459):{'3_1':0.0,'4_1':0.0},(231,458):{'3_1':0.0},(231,457):{'3_1':0.09,'5_1':0.0},(231,456):{'3_1':0.0},(231,455):{'3_1':0.0},(231,454):{'3_1':0.0},(231,453):{'3_1':0.0,'4_1':0.0},(231,452):{'3_1':0.0},(231,451):{'3_1':0.0},(231,450):{'3_1':0.0,'4_1':0.0},(231,449):{'3_1':0.0,'4_1':0.0},(231,448):{'3_1':0.0},(231,447):{'3_1':0.0},(231,446):{'3_1':0.0},(231,445):{'3_1':0.03},(231,444):{'3_1':0.0},(231,443):{'3_1':0.0},(231,442):{'5_2':0.0},(231,441):{'3_1':0.0},(231,440):{'3_1':0.0},(231,439):{'3_1':0.03},(231,438):{'3_1':0.03},(231,437):{'3_1':0.03},(231,436):{'3_1':0.03},(231,435):{'3_1':0.0},(231,434):{'3_1':0.0,'4_1':0.0},(231,432):{'3_1':0.03,'4_1':0.0},(231,431):{'3_1':0.0},(231,430):{'3_1':0.0},(231,429):{'3_1':0.0},(231,428):{'3_1':0.0},(231,427):{'3_1':0.0},(231,426):{'3_1':0.0},(231,425):{'3_1':0.0,'5_2':0.0},(231,424):{'3_1':0.0},(231,423):{'3_1':0.03},(231,422):{'3_1':0.0},(231,421):{'3_1':0.03},(231,420):{'3_1':0.03},(231,419):{'3_1':0.0},(231,418):{'3_1':0.0},(231,417):{'3_1':0.0},(231,416):{'3_1':0.03,'5_1':0.0},(231,415):{'3_1':0.0},(231,414):{'3_1':0.0},(231,413):{'3_1':0.0},(231,412):{'3_1':0.0},(231,411):{'3_1':0.0},(231,410):{'3_1':0.0,'4_1':0.0},(231,409):{'3_1':0.0},(231,408):{'3_1':0.0},(231,407):{'3_1':0.0},(231,406):{'3_1':0.0,'5_1':0.0},(231,405):{'3_1':0.0},(231,404):{'3_1':0.03},(231,403):{'3_1':0.03},(231,402):{'3_1':0.0},(231,401):{'3_1':0.0},(231,400):{'3_1':0.03},(231,399):{'3_1':0.03},(231,398):{'3_1':0.0},(231,397):{'3_1':0.0},(231,396):{'3_1':0.0},(231,395):{'3_1':0.0},(231,392):{'3_1':0.0},(231,391):{'3_1':0.0},(231,390):{'3_1':0.0,'7_2':0.0},(231,389):{'3_1':0.0},(231,388):{'3_1':0.0},(231,387):{'3_1':0.0},(231,386):{'3_1':0.0},(231,385):{'3_1':0.0},(231,384):{'3_1':0.0},(231,382):{'3_1':0.0},(231,381):{'7_2':0.0},(231,380):{'3_1':0.0},(231,379):{'3_1':0.0,'5_2':0.0},(231,378):{'3_1':0.0},(231,377):{'3_1':0.0},(231,376):{'3_1':0.0},(231,375):{'3_1':0.0,'5_1':0.0},(231,374):{'3_1':0.0},(231,373):{'3_1':0.0},(231,372):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(231,369):{'3_1':0.0},(231,368):{'3_1':0.0,'4_1':0.0},(231,367):{'3_1':0.0},(231,365):{'3_1':0.0,'5_2':0.0},(231,363):{'3_1':0.03},(231,362):{'3_1':0.0},(231,361):{'3_1':0.0},(231,359):{'3_1':0.0},(231,358):{'3_1':0.0},(231,355):{'3_1':0.0},(231,353):{'3_1':0.0},(231,352):{'3_1':0.0},(231,350):{'3_1':0.0},(231,349):{'3_1':0.0},(231,347):{'3_1':0.03},(231,346):{'3_1':0.0},(231,345):{'3_1':0.0},(231,344):{'3_1':0.0},(231,342):{'3_1':0.0},(231,339):{'3_1':0.0},(231,338):{'3_1':0.0},(231,336):{'3_1':0.0},(231,332):{'3_1':0.0},(231,331):{'3_1':0.0},(231,330):{'3_1':0.0},(231,329):{'3_1':0.0},(231,328):{'3_1':0.0,'4_1':0.0},(231,311):{'3_1':0.0},(231,310):{'3_1':0.0},(231,309):{'3_1':0.0},(231,308):{'3_1':0.0},(231,307):{'3_1':0.0},(231,306):{'3_1':0.0},(231,303):{'3_1':0.0},(231,257):{'3_1':0.0},(231,256):{'3_1':0.0},(231,255):{'3_1':0.0},(232,727):{'6_1':0.15,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(232,726):{'6_1':0.12,'3_1':0.06,'4_1':0.06,'5_2':0.06,'8_4':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(232,725):{'6_1':0.12,'3_1':0.09,'5_2':0.03,'4_1':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(232,724):{'6_1':0.15,'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0},(232,723):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_5':0.0,'8_6':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(232,722):{'6_1':0.18,'3_1':0.06,'5_2':0.03,'4_1':0.0,'8_6':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(232,721):{'6_1':0.12,'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_5':0.0,'8_6':0.0,'7_2':0.0},(232,720):{'6_1':0.18,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0},(232,719):{'6_1':0.12,'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(232,718):{'6_1':0.18,'3_1':0.09,'5_2':0.06,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(232,717):{'6_1':0.12,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(232,716):{'6_1':0.12,'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(232,715):{'3_1':0.12,'6_1':0.12,'4_1':0.09,'5_2':0.09,'7_5':0.03,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(232,714):{'6_1':0.21,'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.0,'7_4':0.0,'8_4':0.0},(232,713):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_5':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_12':0.0},(232,712):{'6_1':0.12,'3_1':0.06,'4_1':0.06,'5_2':0.06,'7_2':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(232,711):{'4_1':0.12,'6_1':0.09,'5_2':0.06,'3_1':0.03,'7_5':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0},(232,710):{'6_1':0.12,'3_1':0.12,'5_2':0.06,'7_5':0.03,'6_2':0.0,'-3':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0},(232,709):{'6_1':0.15,'3_1':0.12,'5_2':0.03,'-3':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0},(232,708):{'6_1':0.15,'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_2':0.03,'7_5':0.03,'8_6':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(232,707):{'6_1':0.12,'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_2':0.0,'8_4':0.0,'8_14':0.0},(232,706):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.06,'-3':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'7_5':0.0},(232,705):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.0,'8_4':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0},(232,704):{'6_1':0.24,'4_1':0.12,'5_2':0.06,'7_2':0.03,'3_1':0.0,'8_8':0.0,'7_3':0.0,'7_4':0.0},(232,703):{'6_1':0.18,'5_2':0.09,'4_1':0.09,'3_1':0.06,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(232,702):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'7_2':0.06,'4_1':0.03,'7_4':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(232,701):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.03,'6_2':0.0,'7_5':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(232,700):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(232,699):{'6_1':0.12,'3_1':0.03,'5_2':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(232,698):{'4_1':0.09,'5_2':0.09,'6_1':0.09,'3_1':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(232,697):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_5':0.03,'7_3':0.0,'7_6':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(232,696):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'7_5':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(232,695):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0},(232,694):{'6_1':0.12,'5_2':0.09,'3_1':0.09,'4_1':0.03,'7_2':0.03,'7_6':0.0,'8_4':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(232,693):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_1':0.06,'-3':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(232,692):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'7_3':0.0,'7_6':0.0},(232,691):{'4_1':0.12,'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(232,690):{'5_2':0.09,'4_1':0.09,'6_1':0.09,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(232,689):{'4_1':0.09,'6_1':0.09,'3_1':0.0,'5_2':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(232,688):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0},(232,687):{'6_1':0.12,'4_1':0.09,'3_1':0.09,'5_2':0.06,'7_2':0.0,'7_5':0.0,'-3':0.0},(232,686):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(232,685):{'4_1':0.15,'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.03,'6_2':0.0,'7_5':0.0,'8_4':0.0},(232,684):{'3_1':0.12,'5_2':0.09,'6_1':0.09,'4_1':0.06,'7_2':0.0,'-3':0.0,'7_6':0.0,'8_1':0.0},(232,683):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(232,682):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(232,681):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0},(232,680):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.06,'7_2':0.0,'8_21|3_1#4_1':0.0},(232,679):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_3':0.0},(232,678):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(232,677):{'4_1':0.21,'5_2':0.12,'6_1':0.06,'3_1':0.0,'7_3':0.0,'7_6':0.0},(232,676):{'4_1':0.21,'5_2':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(232,675):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0},(232,674):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(232,673):{'4_1':0.18,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0},(232,672):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(232,671):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'9_1':0.0},(232,670):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(232,669):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(232,668):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(232,667):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0},(232,666):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0},(232,665):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(232,664):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(232,663):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'8_4':0.0},(232,662):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(232,661):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'8_8':0.0},(232,660):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(232,659):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.03,'8_4':0.0},(232,658):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(232,657):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(232,656):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(232,655):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'8_6':0.0},(232,654):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_8':0.0},(232,653):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(232,652):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0},(232,651):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0},(232,650):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(232,649):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(232,648):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0},(232,647):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0},(232,646):{'3_1':0.18,'4_1':0.12,'5_2':0.0},(232,645):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_1':0.0},(232,644):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(232,643):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(232,642):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(232,641):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(232,640):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'6_1':0.0},(232,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(232,638):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(232,637):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(232,636):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(232,635):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(232,634):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_1':0.0},(232,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(232,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(232,631):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(232,630):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(232,629):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(232,628):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(232,627):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(232,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(232,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(232,624):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(232,623):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0,'6_2':0.0},(232,622):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(232,621):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(232,620):{'3_1':0.06,'4_1':0.03},(232,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(232,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(232,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(232,616):{'3_1':0.09,'4_1':0.03},(232,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(232,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(232,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(232,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(232,611):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0},(232,610):{'3_1':0.03,'4_1':0.03},(232,609):{'3_1':0.06,'4_1':0.0},(232,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(232,607):{'3_1':0.03,'4_1':0.0},(232,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(232,605):{'3_1':0.06},(232,604):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(232,603):{'4_1':0.0,'3_1':0.0},(232,602):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(232,601):{'3_1':0.03,'4_1':0.03},(232,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,598):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(232,597):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(232,596):{'3_1':0.03,'4_1':0.0},(232,595):{'3_1':0.0,'4_1':0.0},(232,594):{'3_1':0.03},(232,593):{'3_1':0.0,'4_1':0.0},(232,592):{'3_1':0.03},(232,591):{'3_1':0.0},(232,590):{'3_1':0.0,'4_1':0.0},(232,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(232,588):{'3_1':0.03},(232,587):{'3_1':0.06},(232,586):{'3_1':0.03,'4_1':0.0},(232,585):{'3_1':0.09},(232,584):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(232,583):{'3_1':0.03},(232,582):{'3_1':0.06,'5_1':0.0},(232,581):{'3_1':0.03,'5_2':0.0},(232,580):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(232,579):{'3_1':0.03,'5_2':0.0},(232,578):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(232,577):{'3_1':0.0,'5_2':0.0},(232,576):{'3_1':0.03},(232,575):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(232,574):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(232,573):{'3_1':0.0},(232,572):{'3_1':0.0,'5_2':0.0},(232,571):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,570):{'3_1':0.03},(232,569):{'3_1':0.12},(232,568):{'3_1':0.06,'5_1':0.0},(232,567):{'3_1':0.06,'4_1':0.0},(232,566):{'3_1':0.03},(232,565):{'3_1':0.06},(232,564):{'3_1':0.06,'4_1':0.0},(232,563):{'3_1':0.09,'4_1':0.0},(232,562):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(232,560):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(232,559):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(232,558):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,557):{'3_1':0.06,'5_1':0.0},(232,556):{'3_1':0.06},(232,555):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,554):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(232,553):{'3_1':0.03},(232,552):{'3_1':0.06,'4_1':0.0},(232,551):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(232,550):{'3_1':0.03,'5_1':0.0},(232,549):{'3_1':0.03},(232,548):{'3_1':0.06,'4_1':0.0},(232,547):{'3_1':0.03,'5_1':0.0},(232,546):{'3_1':0.06,'7_1':0.0},(232,545):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(232,544):{'3_1':0.03,'5_1':0.0},(232,543):{'3_1':0.06,'5_1':0.0},(232,542):{'3_1':0.06,'5_1':0.0},(232,541):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(232,540):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(232,539):{'3_1':0.0},(232,538):{'3_1':0.06},(232,537):{'3_1':0.03,'4_1':0.0},(232,536):{'3_1':0.03},(232,535):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(232,534):{'3_1':0.06},(232,533):{'3_1':0.09,'5_1':0.0},(232,532):{'3_1':0.06},(232,531):{'3_1':0.0},(232,530):{'3_1':0.06},(232,529):{'3_1':0.06,'5_1':0.0},(232,528):{'3_1':0.0,'5_2':0.0},(232,527):{'3_1':0.0,'4_1':0.0},(232,526):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(232,525):{'3_1':0.03,'5_2':0.0},(232,524):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(232,523):{'3_1':0.06,'5_1':0.0},(232,522):{'3_1':0.03,'8_20|3_1#3_1':0.0},(232,521):{'3_1':0.06,'5_2':0.0},(232,519):{'3_1':0.06,'5_1':0.0},(232,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(232,517):{'3_1':0.03,'5_1':0.0},(232,516):{'3_1':0.03},(232,515):{'3_1':0.06},(232,514):{'3_1':0.03},(232,513):{'3_1':0.0,'4_1':0.0},(232,512):{'3_1':0.06},(232,511):{'3_1':0.06},(232,510):{'3_1':0.03},(232,509):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(232,507):{'3_1':0.03,'4_1':0.0},(232,506):{'3_1':0.0},(232,505):{'3_1':0.03},(232,504):{'3_1':0.0,'6_2':0.0},(232,503):{'3_1':0.0,'5_1':0.0},(232,502):{'3_1':0.03},(232,501):{'3_1':0.0},(232,500):{'3_1':0.0,'4_1':0.0},(232,499):{'3_1':0.03},(232,498):{'3_1':0.0,'4_1':0.0},(232,497):{'3_1':0.0,'6_1':0.0},(232,496):{'3_1':0.03},(232,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,493):{'3_1':0.0},(232,492):{'3_1':0.0},(232,491):{'3_1':0.06,'5_1':0.0},(232,490):{'3_1':0.03},(232,489):{'3_1':0.06},(232,488):{'3_1':0.06,'4_1':0.0},(232,487):{'3_1':0.0,'5_1':0.0},(232,486):{'3_1':0.03},(232,485):{'3_1':0.06},(232,484):{'3_1':0.03},(232,483):{'3_1':0.06},(232,482):{'3_1':0.06,'5_2':0.0},(232,481):{'3_1':0.06,'5_1':0.0},(232,480):{'3_1':0.03},(232,479):{'3_1':0.0},(232,478):{'3_1':0.03,'4_1':0.0},(232,477):{'3_1':0.03},(232,476):{'3_1':0.09},(232,475):{'3_1':0.03},(232,474):{'3_1':0.03},(232,473):{'3_1':0.03},(232,472):{'3_1':0.06},(232,471):{'3_1':0.03,'5_2':0.0},(232,470):{'3_1':0.03,'5_1':0.0},(232,469):{'3_1':0.0},(232,468):{'3_1':0.0,'4_1':0.0},(232,467):{'3_1':0.03,'5_2':0.0},(232,466):{'3_1':0.0},(232,465):{'3_1':0.0},(232,464):{'3_1':0.0},(232,463):{'3_1':0.03},(232,462):{'3_1':0.0},(232,461):{'3_1':0.03,'4_1':0.0},(232,460):{'3_1':0.0,'4_1':0.0},(232,459):{'3_1':0.0},(232,458):{'3_1':0.0},(232,457):{'3_1':0.0},(232,456):{'3_1':0.0},(232,455):{'3_1':0.0},(232,454):{'3_1':0.0},(232,453):{'3_1':0.0},(232,452):{'3_1':0.0},(232,451):{'3_1':0.0},(232,450):{'3_1':0.03},(232,448):{'3_1':0.0},(232,447):{'3_1':0.03},(232,446):{'3_1':0.0},(232,444):{'3_1':0.0},(232,443):{'3_1':0.03},(232,442):{'3_1':0.0},(232,441):{'3_1':0.0},(232,440):{'3_1':0.0},(232,439):{'3_1':0.03},(232,438):{'3_1':0.0},(232,437):{'3_1':0.0},(232,436):{'3_1':0.0},(232,435):{'3_1':0.0},(232,434):{'3_1':0.0},(232,433):{'3_1':0.0},(232,432):{'3_1':0.0},(232,431):{'3_1':0.03},(232,430):{'3_1':0.03},(232,429):{'3_1':0.03},(232,428):{'3_1':0.0},(232,426):{'3_1':0.0},(232,425):{'3_1':0.0},(232,424):{'3_1':0.03},(232,423):{'3_1':0.03},(232,422):{'3_1':0.03},(232,420):{'3_1':0.03},(232,418):{'3_1':0.0},(232,417):{'3_1':0.0},(232,416):{'3_1':0.0},(232,415):{'3_1':0.0},(232,414):{'3_1':0.0},(232,411):{'3_1':0.0},(232,410):{'3_1':0.0},(232,409):{'5_1':0.0},(232,408):{'3_1':0.03},(232,407):{'3_1':0.0},(232,406):{'3_1':0.03},(232,404):{'3_1':0.0},(232,402):{'3_1':0.0},(232,400):{'3_1':0.0,'5_1':0.0},(232,399):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(232,398):{'3_1':0.0},(232,397):{'3_1':0.0},(232,396):{'3_1':0.0},(232,395):{'3_1':0.0},(232,394):{'3_1':0.0},(232,392):{'3_1':0.0},(232,391):{'3_1':0.0},(232,390):{'3_1':0.0},(232,389):{'3_1':0.0},(232,387):{'3_1':0.0},(232,386):{'3_1':0.0},(232,385):{'3_1':0.0},(232,383):{'3_1':0.0},(232,382):{'3_1':0.0},(232,377):{'3_1':0.0},(232,376):{'3_1':0.0},(232,375):{'3_1':0.0},(232,374):{'3_1':0.0},(232,373):{'3_1':0.0},(232,372):{'3_1':0.0},(232,371):{'3_1':0.0},(232,370):{'3_1':0.0},(232,369):{'3_1':0.03},(232,368):{'3_1':0.0},(232,367):{'5_2':0.0},(232,366):{'3_1':0.0},(232,362):{'3_1':0.0},(232,352):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(232,348):{'3_1':0.0},(232,347):{'3_1':0.0},(232,344):{'3_1':0.0},(232,342):{'3_1':0.0},(232,338):{'3_1':0.0},(232,330):{'4_1':0.0},(232,329):{'3_1':0.0},(232,328):{'3_1':0.0},(232,317):{'3_1':0.0},(232,315):{'3_1':0.0},(232,314):{'3_1':0.0},(232,313):{'3_1':0.0},(232,312):{'3_1':0.0},(232,309):{'3_1':0.0},(232,308):{'3_1':0.0},(232,307):{'3_1':0.0},(232,306):{'3_1':0.0},(232,305):{'3_1':0.0,'4_1':0.0},(232,303):{'3_1':0.0},(232,302):{'3_1':0.0},(232,298):{'3_1':0.0},(232,293):{'3_1':0.0},(232,262):{'4_1':0.0},(232,261):{'3_1':0.0},(232,260):{'3_1':0.0},(232,258):{'3_1':0.0},(232,256):{'3_1':0.0},(232,254):{'3_1':0.0},(233,727):{'6_1':0.09,'8_4':0.06,'4_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(233,726):{'6_1':0.15,'4_1':0.09,'3_1':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(233,725):{'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0,'7_3':0.0},(233,724):{'6_1':0.15,'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_5':0.0,'8_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(233,723):{'6_1':0.18,'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(233,722):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'8_4':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(233,721):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.03,'8_4':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(233,720):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(233,719):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.03,'5_1':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(233,718):{'6_1':0.12,'5_2':0.12,'3_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(233,717):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(233,716):{'6_1':0.12,'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(233,715):{'6_1':0.12,'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0,'-3':0.0},(233,714):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_3':0.0,'-3':0.0},(233,713):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'7_2':0.03,'4_1':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(233,712):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.06,'7_5':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'8_9':0.0},(233,711):{'6_1':0.15,'3_1':0.06,'4_1':0.06,'5_2':0.03,'8_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0,'8_3':0.0,'8_11':0.0},(233,710):{'3_1':0.15,'6_1':0.06,'7_5':0.06,'5_2':0.06,'-3':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'8_4':0.0,'1':-0.03},(233,709):{'3_1':0.12,'6_1':0.06,'7_5':0.06,'-3':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_6':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(233,708):{'6_1':0.15,'3_1':0.09,'5_2':0.06,'8_4':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(233,707):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(233,706):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'7_4':0.0,'8_3':0.0},(233,705):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_2':0.03,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(233,704):{'6_1':0.12,'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_2':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'8_6':0.0},(233,703):{'6_1':0.15,'5_2':0.06,'7_2':0.03,'-3':0.03,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0,'6_2':0.0,'8_4':0.0},(233,702):{'6_1':0.18,'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.0,'-3':0.0,'7_2':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'8_14':0.0},(233,701):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(233,700):{'6_1':0.09,'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_5':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(233,699):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'-3':0.03,'7_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(233,698):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'6_2':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(233,697):{'6_1':0.12,'7_2':0.06,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0},(233,696):{'6_1':0.18,'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_9':0.0},(233,695):{'6_1':0.15,'4_1':0.09,'5_2':0.09,'3_1':0.06,'8_4':0.03,'7_3':0.0,'7_6':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(233,694):{'6_1':0.15,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(233,693):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_9':0.0},(233,692):{'6_1':0.09,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_3':0.03,'7_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(233,691):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.06,'6_2':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(233,690):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'6_2':0.03,'7_4':0.03,'8_4':0.03,'3_1':0.0,'-3':0.0,'5_1':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(233,689):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_5':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(233,688):{'6_1':0.15,'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(233,687):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.03,'4_1':0.03,'8_6':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(233,686):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_2':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0,'7_6':0.0,'8_15':0.0},(233,685):{'4_1':0.15,'6_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_3':0.0,'8_4':0.0,'7_4':0.0,'7_6':0.0,'8_14':0.0,'8_21|3_1#4_1':0.0},(233,684):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(233,683):{'4_1':0.12,'5_2':0.12,'6_1':0.03,'6_2':0.0,'7_2':0.0,'3_1':0.0,'7_5':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_9':0.0},(233,682):{'4_1':0.09,'6_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'8_4':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(233,681):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_12':0.0,'-3':0.0},(233,680):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.03,'3_1':0.0,'8_4':0.0,'7_4':0.0,'8_3':0.0},(233,679):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(233,678):{'4_1':0.12,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0},(233,677):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(233,676):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(233,675):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(233,674):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_1':0.0,'8_3':0.0},(233,673):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0,'6_2':0.0},(233,672):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(233,671):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.03,'8_4':0.0,'7_3':0.0,'5_1':0.0,'8_14':0.0,'-3':0.0},(233,670):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(233,669):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0},(233,668):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(233,667):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0},(233,666):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(233,665):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(233,664):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.0},(233,663):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(233,662):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_4':0.0},(233,661):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(233,660):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(233,659):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(233,658):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(233,657):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(233,656):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0},(233,655):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(233,654):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0,'8_19':0.0},(233,653):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(233,652):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(233,651):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(233,650):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(233,649):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(233,648):{'3_1':0.24,'4_1':0.06,'6_2':0.0,'8_19':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(233,647):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(233,646):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0},(233,645):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'9_1':0.0},(233,644):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(233,643):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(233,642):{'3_1':0.18,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(233,641):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0},(233,640):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0},(233,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(233,638):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(233,637):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(233,636):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(233,635):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(233,634):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(233,633):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(233,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(233,631):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(233,630):{'3_1':0.21,'5_2':0.0,'6_1':0.0},(233,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(233,628):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0},(233,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(233,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'3_1#5_1':0.0},(233,625):{'3_1':0.12,'4_1':0.0},(233,624):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0},(233,623):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(233,622):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0},(233,621):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(233,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_17':0.0},(233,619):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(233,618):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(233,617):{'3_1':0.12,'4_1':0.03},(233,616):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(233,615):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(233,614):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(233,613):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,612):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(233,611):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(233,610):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_3':0.0},(233,609):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(233,608):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(233,607):{'3_1':0.09,'4_1':0.0},(233,606):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(233,605):{'3_1':0.06,'4_1':0.0},(233,604):{'3_1':0.09,'5_2':0.0},(233,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(233,602):{'3_1':0.03,'4_1':0.0},(233,601):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(233,600):{'3_1':0.06,'4_1':0.0},(233,599):{'3_1':0.06,'5_2':0.0},(233,598):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,597):{'3_1':0.03},(233,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(233,595):{'3_1':0.06,'4_1':0.0},(233,594):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_1':0.0},(233,593):{'3_1':0.06,'7_5':0.0},(233,592):{'3_1':0.09,'7_2':0.0},(233,591):{'3_1':0.09},(233,590):{'3_1':0.09,'5_1':0.0},(233,589):{'3_1':0.12},(233,588):{'3_1':0.09,'5_2':0.0},(233,587):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(233,586):{'3_1':0.09,'5_1':0.0},(233,585):{'3_1':0.09,'7_4':0.0},(233,584):{'3_1':0.09,'5_2':0.0},(233,583):{'3_1':0.03},(233,582):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(233,581):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(233,580):{'3_1':0.09},(233,579):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(233,578):{'3_1':0.03},(233,577):{'3_1':0.09,'4_1':0.0},(233,576):{'3_1':0.06,'5_2':0.0},(233,575):{'3_1':0.12,'5_1':0.0},(233,574):{'3_1':0.06},(233,573):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(233,572):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(233,571):{'3_1':0.06},(233,570):{'3_1':0.03,'5_2':0.0},(233,569):{'3_1':0.03},(233,568):{'3_1':0.09},(233,567):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(233,566):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(233,565):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,564):{'3_1':0.06,'5_2':0.0},(233,563):{'3_1':0.06,'4_1':0.0},(233,562):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(233,561):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,560):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(233,559):{'3_1':0.09},(233,558):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(233,557):{'3_1':0.09},(233,556):{'3_1':0.06,'5_2':0.0},(233,555):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(233,554):{'3_1':0.03,'4_1':0.0},(233,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(233,552):{'3_1':0.09,'5_2':0.0},(233,551):{'3_1':0.09,'5_2':0.0},(233,550):{'3_1':0.09},(233,549):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(233,548):{'3_1':0.06,'5_2':0.0},(233,547):{'3_1':0.06,'4_1':0.0},(233,546):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,545):{'3_1':0.03,'4_1':0.0},(233,544):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,543):{'3_1':0.06,'5_1':0.0},(233,542):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,541):{'3_1':0.03},(233,540):{'3_1':0.09},(233,539):{'3_1':0.06},(233,538):{'3_1':0.09,'5_1':0.0},(233,537):{'3_1':0.09,'5_2':0.0},(233,536):{'3_1':0.06},(233,535):{'3_1':0.03,'4_1':0.0},(233,534):{'3_1':0.06},(233,533):{'3_1':0.06},(233,532):{'3_1':0.06},(233,531):{'3_1':0.06,'5_2':0.0},(233,530):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,529):{'3_1':0.03},(233,528):{'3_1':0.09,'5_2':0.0},(233,527):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(233,526):{'3_1':0.03},(233,525):{'3_1':0.09},(233,524):{'3_1':0.0},(233,523):{'3_1':0.0,'4_1':0.0},(233,522):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(233,521):{'3_1':0.0},(233,520):{'3_1':0.03},(233,519):{'3_1':0.06,'5_2':0.0},(233,518):{'3_1':0.03},(233,517):{'3_1':0.06},(233,516):{'3_1':0.0,'5_2':0.0},(233,515):{'3_1':0.06},(233,514):{'3_1':0.06,'5_2':0.0},(233,513):{'3_1':0.06},(233,512):{'3_1':0.03},(233,511):{'3_1':0.06},(233,510):{'3_1':0.0},(233,509):{'3_1':0.0,'4_1':0.0},(233,508):{'3_1':0.0},(233,507):{'3_1':0.03},(233,506):{'3_1':0.0,'4_1':0.0},(233,505):{'3_1':0.0},(233,504):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(233,503):{'3_1':0.03,'4_1':0.0},(233,502):{'3_1':0.03},(233,500):{'3_1':0.0,'4_1':0.0},(233,499):{'3_1':0.0,'4_1':0.0},(233,498):{'3_1':0.0},(233,497):{'3_1':0.0},(233,496):{'3_1':0.03},(233,495):{'3_1':0.0},(233,494):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(233,493):{'3_1':0.03,'4_1':0.0},(233,492):{'3_1':0.0,'4_1':0.0},(233,491):{'3_1':0.06,'5_2':0.0},(233,490):{'3_1':0.03,'5_2':0.0},(233,489):{'3_1':0.03},(233,488):{'3_1':0.03},(233,487):{'3_1':0.0,'5_2':0.0},(233,486):{'3_1':0.03},(233,485):{'3_1':0.03},(233,484):{'3_1':0.0},(233,483):{'3_1':0.03,'4_1':0.0},(233,482):{'3_1':0.03},(233,481):{'3_1':0.0},(233,480):{'3_1':0.06},(233,479):{'3_1':0.03,'5_1':0.0},(233,478):{'3_1':0.0,'4_1':0.0},(233,477):{'3_1':0.0},(233,476):{'3_1':0.03},(233,475):{'3_1':0.03},(233,474):{'3_1':0.03,'5_1':0.0},(233,473):{'3_1':0.0},(233,472):{'3_1':0.03},(233,471):{'3_1':0.0},(233,470):{'3_1':0.03,'5_2':0.0},(233,469):{'3_1':0.0},(233,468):{'3_1':0.0},(233,467):{'3_1':0.03},(233,466):{'3_1':0.03},(233,465):{'3_1':0.0},(233,464):{'3_1':0.03},(233,463):{'3_1':0.0},(233,462):{'3_1':0.03},(233,461):{'3_1':0.0},(233,460):{'3_1':0.0},(233,459):{'3_1':0.0},(233,458):{'3_1':0.0},(233,457):{'3_1':0.0},(233,456):{'3_1':0.0},(233,455):{'3_1':0.0},(233,454):{'3_1':0.0},(233,453):{'3_1':0.0},(233,452):{'3_1':0.0},(233,451):{'3_1':0.0},(233,450):{'3_1':0.0},(233,449):{'3_1':0.0},(233,448):{'3_1':0.06},(233,447):{'3_1':0.03},(233,446):{'3_1':0.0},(233,445):{'3_1':0.0},(233,444):{'3_1':0.03},(233,443):{'3_1':0.0},(233,442):{'3_1':0.0},(233,440):{'3_1':0.0},(233,439):{'3_1':0.0},(233,437):{'3_1':0.06},(233,436):{'3_1':0.0},(233,435):{'3_1':0.0},(233,434):{'3_1':0.0},(233,432):{'3_1':0.0},(233,431):{'3_1':0.0},(233,430):{'3_1':0.0},(233,429):{'3_1':0.0},(233,428):{'3_1':0.0},(233,427):{'3_1':0.0},(233,426):{'3_1':0.0},(233,425):{'3_1':0.0,'5_2':0.0},(233,424):{'3_1':0.0},(233,423):{'3_1':0.0,'5_1':0.0},(233,422):{'3_1':0.03},(233,421):{'3_1':0.0},(233,419):{'3_1':0.0},(233,418):{'3_1':0.03},(233,416):{'3_1':0.0},(233,415):{'3_1':0.03,'5_1':0.0},(233,414):{'3_1':0.0},(233,413):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(233,412):{'3_1':0.0},(233,411):{'3_1':0.0},(233,410):{'3_1':0.03,'-3':0.0},(233,409):{'3_1':0.0,'5_1':0.0},(233,408):{'3_1':0.0},(233,407):{'3_1':0.03},(233,406):{'3_1':0.0},(233,405):{'3_1':0.0},(233,404):{'3_1':0.0},(233,403):{'3_1':0.0,'4_1':0.0},(233,402):{'5_1':0.0,'3_1':0.0},(233,401):{'3_1':0.0},(233,400):{'3_1':0.0},(233,399):{'3_1':0.03},(233,398):{'3_1':0.0},(233,397):{'3_1':0.0},(233,396):{'3_1':0.0},(233,395):{'3_1':0.0},(233,394):{'3_1':0.0},(233,393):{'3_1':0.0},(233,392):{'3_1':0.0},(233,391):{'3_1':0.0},(233,390):{'3_1':0.0},(233,388):{'3_1':0.0},(233,387):{'3_1':0.0},(233,386):{'3_1':0.0},(233,385):{'3_1':0.0},(233,384):{'3_1':0.0},(233,382):{'3_1':0.0},(233,381):{'3_1':0.0},(233,380):{'3_1':0.0},(233,377):{'3_1':0.0},(233,374):{'5_1':0.0},(233,373):{'3_1':0.0},(233,372):{'3_1':0.0},(233,371):{'3_1':0.0},(233,370):{'3_1':0.0},(233,369):{'3_1':0.0},(233,367):{'3_1':0.0,'7_1':0.0},(233,364):{'3_1':0.0,'4_1':0.0},(233,362):{'3_1':0.0},(233,360):{'3_1':0.0},(233,356):{'3_1':0.0},(233,355):{'3_1':0.0},(233,353):{'3_1':0.0},(233,351):{'3_1':0.0},(233,347):{'3_1':0.0},(233,346):{'3_1':0.0},(233,345):{'3_1':0.0},(233,344):{'3_1':0.0},(233,343):{'3_1':0.0},(233,342):{'4_1':0.0},(233,341):{'3_1':0.0,'4_1':0.0},(233,340):{'3_1':0.0},(233,336):{'3_1':0.0},(233,335):{'3_1':0.0},(233,332):{'4_1':0.0},(233,331):{'3_1':0.0},(233,330):{'3_1':0.0},(233,316):{'3_1':0.0},(233,314):{'3_1':0.0},(233,313):{'3_1':0.0},(233,311):{'3_1':0.0,'5_1':0.0},(233,310):{'3_1':0.03},(233,309):{'3_1':0.0},(233,307):{'3_1':0.0},(233,305):{'3_1':0.0},(233,304):{'3_1':0.0},(233,303):{'3_1':0.0},(233,295):{'3_1':0.0},(233,266):{'4_1':0.0},(233,254):{'3_1':0.0},(234,727):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0},(234,726):{'6_1':0.18,'3_1':0.06,'5_2':0.06,'4_1':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(234,725):{'6_1':0.15,'4_1':0.06,'3_1':0.06,'5_2':0.06,'8_4':0.03,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(234,724):{'6_1':0.15,'3_1':0.06,'5_2':0.03,'4_1':0.03,'8_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(234,723):{'6_1':0.15,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.03,'8_4':0.03,'-3':0.0,'7_2':0.0,'8_1':0.0},(234,722):{'3_1':0.09,'6_1':0.09,'5_2':0.09,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(234,721):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(234,720):{'6_1':0.12,'3_1':0.03,'5_2':0.03,'7_2':0.03,'4_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(234,719):{'6_1':0.15,'3_1':0.06,'4_1':0.03,'5_2':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(234,718):{'6_1':0.12,'4_1':0.06,'3_1':0.06,'5_2':0.03,'7_2':0.03,'8_4':0.0,'5_1':0.0,'8_6':0.0,'-3':0.0},(234,717):{'6_1':0.15,'4_1':0.06,'5_2':0.03,'3_1':0.03,'8_4':0.0,'6_2':0.0,'-3':0.0},(234,716):{'6_1':0.12,'5_2':0.09,'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(234,715):{'6_1':0.18,'4_1':0.06,'3_1':0.06,'5_2':0.03,'8_4':0.03,'7_6':0.0,'8_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(234,714):{'6_1':0.21,'5_2':0.09,'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(234,713):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(234,712):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0},(234,711):{'6_1':0.18,'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(234,710):{'3_1':0.12,'5_2':0.09,'6_1':0.09,'7_2':0.03,'-3':0.03,'4_1':0.0,'8_4':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'8_14':0.0},(234,709):{'6_1':0.12,'3_1':0.12,'4_1':0.03,'7_5':0.03,'-3':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(234,708):{'3_1':0.12,'6_1':0.12,'5_2':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(234,707):{'6_1':0.15,'4_1':0.06,'5_2':0.06,'-3':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(234,706):{'6_1':0.12,'5_2':0.09,'7_2':0.03,'3_1':0.0,'-3':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(234,705):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'8_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(234,704):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_9':0.0},(234,703):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(234,702):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(234,701):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.03,'3_1':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_11':0.0},(234,700):{'6_1':0.18,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(234,699):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_6':0.0},(234,698):{'5_2':0.12,'6_1':0.12,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'-3':0.0,'7_5':0.0,'8_4':0.0},(234,697):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'8_4':0.03,'7_2':0.0,'7_6':0.0,'8_14':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(234,696):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_6':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(234,695):{'6_1':0.15,'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.03,'7_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'7_3':0.0,'7_6':0.0},(234,694):{'6_1':0.15,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(234,693):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_1':0.0},(234,692):{'4_1':0.12,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_3':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(234,691):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.06,'8_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(234,690):{'6_1':0.15,'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(234,689):{'6_1':0.15,'5_2':0.12,'4_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(234,688):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(234,687):{'4_1':0.09,'6_1':0.09,'3_1':0.06,'5_2':0.06,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(234,686):{'4_1':0.12,'6_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_5':0.0,'7_1':0.0,'7_4':0.0},(234,685):{'5_2':0.15,'4_1':0.09,'6_1':0.09,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(234,684):{'4_1':0.12,'5_2':0.12,'6_1':0.09,'3_1':0.06,'7_5':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(234,683):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.06,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(234,682):{'4_1':0.12,'6_1':0.06,'5_2':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_6':0.0},(234,681):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'3_1':0.0,'6_2':0.0,'8_4':0.0},(234,680):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(234,679):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0},(234,678):{'4_1':0.12,'6_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(234,677):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(234,676):{'4_1':0.18,'5_2':0.06,'6_1':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0},(234,675):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(234,674):{'4_1':0.15,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(234,673):{'4_1':0.21,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0},(234,672):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.06,'5_1':0.0},(234,671):{'4_1':0.12,'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(234,670):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(234,669):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0},(234,668):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0},(234,667):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(234,666):{'4_1':0.21,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_19':0.0},(234,665):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0},(234,664):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0},(234,663):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(234,662):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'8_19':0.0},(234,661):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0,'-3':0.0},(234,660):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(234,659):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(234,658):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(234,657):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(234,656):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(234,655):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(234,654):{'4_1':0.21,'3_1':0.15,'5_1':0.0,'8_4':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(234,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_6':0.0},(234,652):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(234,651):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'7_1':0.0},(234,650):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(234,649):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(234,648):{'3_1':0.21,'4_1':0.15,'5_1':0.0,'5_2':0.0},(234,647):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(234,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'-3':0.0,'8_19':0.0},(234,645):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(234,644):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(234,643):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(234,642):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0},(234,641):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(234,640):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(234,639):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0},(234,638):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(234,637):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(234,636):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(234,635):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(234,634):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(234,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(234,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(234,631):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(234,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(234,629):{'3_1':0.18,'7_1':0.0},(234,628):{'3_1':0.12,'4_1':0.0},(234,627):{'3_1':0.18,'4_1':0.03},(234,626):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(234,625):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(234,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(234,623):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(234,622):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(234,621):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'6_1':0.0},(234,620):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(234,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_17':0.0},(234,618):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(234,617):{'3_1':0.12,'6_2':0.0},(234,616):{'3_1':0.09,'4_1':0.0},(234,615):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(234,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_17':0.0,'3_1#5_1':0.0},(234,613):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0},(234,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(234,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(234,610):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(234,609):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(234,608):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(234,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(234,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(234,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(234,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(234,603):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(234,602):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(234,601):{'3_1':0.09},(234,600):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(234,599):{'3_1':0.03},(234,598):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(234,597):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,596):{'3_1':0.06,'5_1':0.0},(234,595):{'3_1':0.06,'5_1':0.0},(234,594):{'3_1':0.09,'5_1':0.0},(234,593):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(234,592):{'3_1':0.03,'5_1':0.0},(234,591):{'3_1':0.03,'5_1':0.0},(234,590):{'3_1':0.06},(234,589):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(234,588):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(234,587):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(234,586):{'3_1':0.06,'4_1':0.0},(234,585):{'3_1':0.06,'4_1':0.0},(234,584):{'3_1':0.06,'5_2':0.0},(234,583):{'3_1':0.09,'4_1':0.0},(234,582):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(234,581):{'3_1':0.06},(234,580):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(234,579):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(234,578):{'3_1':0.06,'4_1':0.0},(234,577):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(234,576):{'3_1':0.06},(234,575):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(234,574):{'3_1':0.12},(234,573):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(234,572):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(234,571):{'3_1':0.03,'4_1':0.0},(234,570):{'3_1':0.03,'4_1':0.0},(234,569):{'3_1':0.09,'5_2':0.0},(234,568):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(234,567):{'3_1':0.09},(234,566):{'3_1':0.03},(234,565):{'3_1':0.03,'4_1':0.0},(234,564):{'3_1':0.03,'4_1':0.0},(234,563):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(234,562):{'3_1':0.06},(234,561):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,560):{'3_1':0.06,'5_2':0.0},(234,559):{'3_1':0.06},(234,558):{'3_1':0.06},(234,557):{'3_1':0.0},(234,556):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(234,555):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(234,554):{'3_1':0.06,'5_2':0.0},(234,553):{'3_1':0.03,'4_1':0.0},(234,552):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(234,551):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(234,550):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(234,549):{'3_1':0.03,'4_1':0.0},(234,548):{'3_1':0.03,'5_1':0.0},(234,547):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,546):{'3_1':0.06,'4_1':0.0},(234,545):{'3_1':0.06,'5_2':0.0},(234,544):{'3_1':0.06},(234,543):{'3_1':0.03,'5_2':0.0},(234,542):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(234,541):{'3_1':0.06},(234,540):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,539):{'3_1':0.03},(234,538):{'3_1':0.0,'5_1':0.0},(234,537):{'3_1':0.03,'5_2':0.0},(234,536):{'3_1':0.06},(234,535):{'3_1':0.09},(234,534):{'3_1':0.03,'5_1':0.0},(234,533):{'3_1':0.03,'5_1':0.0},(234,532):{'3_1':0.03},(234,531):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(234,530):{'3_1':0.03,'5_1':0.0},(234,529):{'3_1':0.06,'5_1':0.0},(234,528):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(234,527):{'3_1':0.03,'5_1':0.0},(234,526):{'3_1':0.0},(234,525):{'3_1':0.03},(234,524):{'3_1':0.06,'5_2':0.0},(234,523):{'3_1':0.03},(234,522):{'3_1':0.06},(234,521):{'3_1':0.03},(234,520):{'3_1':0.0,'5_2':0.0},(234,519):{'3_1':0.0},(234,518):{'3_1':0.0,'4_1':0.0},(234,517):{'3_1':0.03},(234,516):{'3_1':0.0},(234,515):{'3_1':0.06,'5_2':0.0},(234,514):{'3_1':0.03},(234,513):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(234,512):{'3_1':0.0,'5_2':0.0},(234,511):{'3_1':0.03},(234,510):{'5_1':0.0},(234,509):{'3_1':0.03,'5_2':0.0},(234,508):{'3_1':0.03},(234,507):{'3_1':0.03},(234,506):{'3_1':0.0},(234,504):{'3_1':0.03},(234,503):{'3_1':0.0},(234,501):{'3_1':0.0},(234,499):{'3_1':0.0},(234,498):{'3_1':0.0},(234,497):{'3_1':0.0},(234,496):{'3_1':0.0},(234,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(234,494):{'3_1':0.0},(234,493):{'3_1':0.03},(234,492):{'3_1':0.03},(234,491):{'3_1':0.03},(234,490):{'3_1':0.03},(234,489):{'3_1':0.06},(234,488):{'3_1':0.03},(234,487):{'3_1':0.03},(234,486):{'3_1':0.03,'5_2':0.0},(234,485):{'3_1':0.0,'4_1':0.0},(234,484):{'3_1':0.03},(234,483):{'3_1':0.03},(234,482):{'3_1':0.06,'5_1':0.0},(234,481):{'3_1':0.06},(234,480):{'3_1':0.06},(234,479):{'3_1':0.06,'4_1':0.0},(234,478):{'3_1':0.03},(234,477):{'3_1':0.03},(234,476):{'3_1':0.0},(234,475):{'3_1':0.0,'5_1':0.0},(234,474):{'3_1':0.06},(234,473):{'3_1':0.03},(234,472):{'3_1':0.0,'4_1':0.0},(234,471):{'3_1':0.03},(234,470):{'3_1':0.0,'5_1':0.0},(234,469):{'3_1':0.03},(234,468):{'3_1':0.0},(234,467):{'3_1':0.0},(234,466):{'3_1':0.0},(234,465):{'3_1':0.0},(234,464):{'3_1':0.03},(234,463):{'3_1':0.0},(234,462):{'3_1':0.0},(234,461):{'3_1':0.0},(234,460):{'3_1':0.0},(234,459):{'3_1':0.0},(234,458):{'3_1':0.0},(234,457):{'3_1':0.03},(234,456):{'3_1':0.03},(234,455):{'3_1':0.0},(234,454):{'3_1':0.03},(234,453):{'3_1':0.0},(234,452):{'3_1':0.03},(234,451):{'3_1':0.03},(234,450):{'3_1':0.0},(234,449):{'4_1':0.0},(234,448):{'3_1':0.06},(234,447):{'3_1':0.0},(234,446):{'3_1':0.0},(234,445):{'3_1':0.0},(234,444):{'3_1':0.0,'4_1':0.0},(234,443):{'3_1':0.0},(234,442):{'3_1':0.0,'4_1':0.0},(234,441):{'3_1':0.0},(234,440):{'3_1':0.0},(234,439):{'4_1':0.0},(234,438):{'3_1':0.0},(234,437):{'3_1':0.0},(234,436):{'3_1':0.0},(234,435):{'3_1':0.0},(234,434):{'3_1':0.0},(234,433):{'3_1':0.0},(234,432):{'3_1':0.03},(234,431):{'3_1':0.0},(234,430):{'3_1':0.0},(234,429):{'3_1':0.0},(234,428):{'3_1':0.0},(234,427):{'3_1':0.0},(234,426):{'3_1':0.0},(234,425):{'3_1':0.0},(234,422):{'3_1':0.0},(234,421):{'3_1':0.0},(234,420):{'3_1':0.0},(234,419):{'3_1':0.0},(234,418):{'3_1':0.03},(234,417):{'3_1':0.03},(234,416):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(234,415):{'3_1':0.0,'5_1':0.0},(234,414):{'3_1':0.0},(234,413):{'3_1':0.0},(234,412):{'3_1':0.0},(234,411):{'3_1':0.03,'5_1':0.0,'9_1':0.0},(234,410):{'3_1':0.0},(234,409):{'3_1':0.0},(234,408):{'3_1':0.0},(234,406):{'3_1':0.0},(234,405):{'5_1':0.0},(234,404):{'3_1':0.0},(234,403):{'3_1':0.0},(234,402):{'3_1':0.0},(234,401):{'3_1':0.0},(234,400):{'3_1':0.03},(234,398):{'3_1':0.0},(234,397):{'3_1':0.0},(234,395):{'3_1':0.0},(234,393):{'3_1':0.0},(234,392):{'3_1':0.0},(234,389):{'3_1':0.0},(234,387):{'3_1':0.0,'5_2':0.0},(234,386):{'3_1':0.0},(234,385):{'3_1':0.0},(234,383):{'3_1':0.0},(234,382):{'3_1':0.0},(234,381):{'3_1':0.0},(234,379):{'3_1':0.0},(234,378):{'3_1':0.0},(234,377):{'3_1':0.0},(234,376):{'3_1':0.0},(234,372):{'3_1':0.0},(234,370):{'3_1':0.0},(234,369):{'3_1':0.0},(234,367):{'5_1':0.0},(234,366):{'3_1':0.0},(234,364):{'5_1':0.0},(234,363):{'3_1':0.0},(234,362):{'3_1':0.0},(234,359):{'3_1':0.0},(234,356):{'4_1':0.0},(234,355):{'3_1':0.0},(234,351):{'3_1':0.0},(234,348):{'3_1':0.0},(234,347):{'3_1':0.0},(234,344):{'3_1':0.0},(234,342):{'3_1':0.0},(234,341):{'3_1':0.0},(234,339):{'3_1':0.0},(234,336):{'3_1':0.0},(234,332):{'3_1':0.0},(234,330):{'4_1':0.0},(234,328):{'3_1':0.0},(234,321):{'3_1':0.0},(234,320):{'3_1':0.0},(234,319):{'3_1':0.0},(234,312):{'3_1':0.0},(234,311):{'3_1':0.0},(234,310):{'3_1':0.0},(234,309):{'3_1':0.0},(234,308):{'3_1':0.0},(234,306):{'3_1':0.0},(234,305):{'3_1':0.0},(234,304):{'3_1':0.0},(234,302):{'3_1':0.0},(234,301):{'3_1':0.0},(234,289):{'4_1':0.0},(234,266):{'3_1':0.0},(234,264):{'6_1':0.0},(234,263):{'4_1':0.0},(234,253):{'3_1':0.0},(235,727):{'6_1':0.09,'4_1':0.06,'5_2':0.03,'3_1':0.03,'8_4':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(235,726):{'6_1':0.12,'3_1':0.06,'8_4':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0},(235,725):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'7_5':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(235,724):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(235,723):{'6_1':0.18,'5_2':0.09,'3_1':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(235,722):{'6_1':0.15,'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(235,721):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0},(235,720):{'6_1':0.12,'4_1':0.03,'5_2':0.03,'3_1':0.03,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0},(235,719):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(235,718):{'6_1':0.12,'4_1':0.03,'3_1':0.03,'5_2':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(235,717):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(235,716):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0},(235,715):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0},(235,714):{'6_1':0.12,'5_2':0.06,'4_1':0.06,'3_1':0.03,'8_4':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(235,713):{'6_1':0.15,'5_2':0.09,'4_1':0.03,'8_4':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0},(235,712):{'6_1':0.12,'4_1':0.03,'5_2':0.03,'3_1':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(235,711):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(235,710):{'6_1':0.15,'3_1':0.06,'5_2':0.06,'7_5':0.06,'-3':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_19':0.0},(235,709):{'6_1':0.12,'7_5':0.09,'3_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(235,708):{'6_1':0.09,'3_1':0.06,'4_1':0.03,'5_2':0.03,'8_4':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0},(235,707):{'5_2':0.09,'6_1':0.09,'3_1':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(235,706):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_5':0.0,'-3':0.0},(235,705):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.06,'7_2':0.03,'8_4':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(235,704):{'4_1':0.12,'6_1':0.12,'5_2':0.09,'7_2':0.0,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0},(235,703):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(235,702):{'6_1':0.09,'4_1':0.09,'5_2':0.06,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(235,701):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'4_1':0.03,'7_2':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(235,700):{'4_1':0.09,'5_2':0.09,'6_1':0.09,'3_1':0.06,'7_4':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(235,699):{'6_1':0.06,'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(235,698):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'5_1':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(235,697):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(235,696):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_3':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(235,695):{'6_1':0.15,'4_1':0.03,'5_2':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(235,694):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_2':0.03,'7_4':0.03},(235,693):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(235,692):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(235,691):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'7_2':0.03,'7_4':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'8_3':0.0},(235,690):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'8_4':0.0,'7_2':0.0,'5_1':0.0,'-3':0.0},(235,689):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'7_2':0.03,'3_1':0.03,'7_4':0.0,'8_4':0.0,'-3':0.0,'8_11':0.0},(235,688):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(235,687):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(235,686):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(235,685):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_5':0.0,'6_2':0.0,'-3':0.0},(235,684):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'6_2':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(235,683):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(235,682):{'5_2':0.12,'4_1':0.12,'6_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(235,681):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0},(235,680):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(235,679):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'6_2':0.0},(235,678):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.0,'7_3':0.0,'-3':0.0},(235,677):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(235,676):{'4_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0},(235,675):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0},(235,674):{'4_1':0.09,'5_2':0.03,'6_1':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0},(235,673):{'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(235,672):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(235,671):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0},(235,670):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(235,669):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(235,668):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(235,667):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(235,666):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(235,665):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(235,664):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0},(235,663):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(235,662):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(235,661):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(235,660):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(235,659):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.03,'8_4':0.0},(235,658):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'8_4':0.0,'5_1':0.0,'6_1':0.0},(235,657):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(235,656):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(235,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_19':0.0},(235,654):{'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0},(235,653):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(235,652):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(235,651):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_19':0.0},(235,650):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_6':0.0},(235,649):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0},(235,648):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(235,647):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(235,646):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_7':0.0},(235,645):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(235,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_3':0.0},(235,643):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(235,642):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(235,641):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(235,640):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(235,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_2':0.0},(235,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'5_2':0.0},(235,637):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(235,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(235,635):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(235,634):{'3_1':0.15,'5_2':0.0},(235,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(235,632):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(235,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(235,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(235,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(235,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(235,627):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(235,626):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(235,625):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(235,624):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(235,623):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(235,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(235,621):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(235,620):{'3_1':0.09,'4_1':0.0},(235,619):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(235,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(235,617):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_17':0.0},(235,616):{'3_1':0.12,'4_1':0.0},(235,615):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_3':0.0},(235,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(235,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(235,612):{'3_1':0.06,'4_1':0.0},(235,611):{'3_1':0.06,'4_1':0.0},(235,610):{'3_1':0.06,'4_1':0.03},(235,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(235,608):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(235,607):{'3_1':0.06,'4_1':0.0},(235,606):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(235,605):{'3_1':0.06},(235,604):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(235,603):{'3_1':0.03,'4_1':0.0},(235,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(235,601):{'3_1':0.09,'4_1':0.0},(235,600):{'3_1':0.06,'4_1':0.0},(235,599):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,598):{'3_1':0.06},(235,597):{'3_1':0.03},(235,596):{'3_1':0.09},(235,595):{'3_1':0.0,'4_1':0.0},(235,594):{'3_1':0.03,'4_1':0.0},(235,593):{'3_1':0.03},(235,592):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(235,591):{'3_1':0.06,'4_1':0.0},(235,590):{'3_1':0.06},(235,589):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(235,588):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(235,587):{'3_1':0.06},(235,586):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(235,585):{'3_1':0.0},(235,584):{'3_1':0.06,'5_1':0.0},(235,583):{'3_1':0.0},(235,582):{'3_1':0.03,'4_1':0.0},(235,581):{'3_1':0.03,'5_1':0.0},(235,580):{'3_1':0.09,'4_1':0.0},(235,579):{'3_1':0.03},(235,578):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,577):{'3_1':0.09},(235,576):{'3_1':0.0},(235,575):{'3_1':0.06,'5_1':0.0},(235,574):{'3_1':0.09,'4_1':0.0},(235,573):{'3_1':0.09},(235,572):{'3_1':0.06,'4_1':0.0},(235,571):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(235,570):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(235,569):{'3_1':0.06,'5_1':0.0},(235,568):{'3_1':0.06},(235,567):{'3_1':0.0},(235,566):{'3_1':0.03,'4_1':0.0},(235,565):{'3_1':0.0,'5_2':0.0},(235,564):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(235,563):{'3_1':0.06},(235,562):{'3_1':0.0},(235,561):{'3_1':0.03,'4_1':0.0},(235,560):{'3_1':0.03},(235,559):{'3_1':0.06},(235,558):{'3_1':0.0,'7_5':0.0},(235,557):{'3_1':0.03,'4_1':0.0},(235,556):{'3_1':0.03,'5_2':0.0},(235,555):{'3_1':0.03},(235,554):{'3_1':0.06,'5_2':0.0},(235,553):{'3_1':0.06},(235,552):{'3_1':0.03,'5_1':0.0},(235,551):{'3_1':0.06,'4_1':0.0},(235,550):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(235,549):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(235,548):{'3_1':0.06},(235,547):{'3_1':0.03,'5_2':0.0},(235,546):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(235,545):{'3_1':0.03,'5_1':0.0},(235,544):{'3_1':0.03},(235,543):{'3_1':0.0},(235,542):{'3_1':0.06,'4_1':0.0},(235,541):{'3_1':0.03,'5_2':0.0},(235,540):{'3_1':0.06,'4_1':0.0},(235,539):{'3_1':0.06},(235,538):{'3_1':0.03},(235,537):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(235,536):{'3_1':0.03,'5_1':0.0},(235,535):{'3_1':0.06,'6_2':0.0},(235,534):{'3_1':0.06},(235,533):{'3_1':0.03,'5_2':0.0},(235,532):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(235,531):{'3_1':0.0,'4_1':0.0},(235,530):{'3_1':0.09},(235,529):{'3_1':0.0},(235,528):{'3_1':0.06,'6_1':0.0},(235,527):{'3_1':0.03,'5_2':0.0},(235,526):{'3_1':0.06},(235,525):{'3_1':0.03,'4_1':0.0},(235,524):{'3_1':0.03,'5_2':0.0},(235,523):{'3_1':0.03,'4_1':0.0},(235,522):{'3_1':0.03,'5_2':0.0},(235,521):{'3_1':0.03,'5_2':0.0},(235,520):{'3_1':0.0,'5_2':0.0},(235,519):{'3_1':0.09},(235,518):{'3_1':0.0},(235,517):{'3_1':0.0,'6_1':0.0},(235,516):{'3_1':0.0,'5_2':0.0},(235,515):{'3_1':0.03,'5_2':0.0},(235,514):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(235,513):{'3_1':0.03,'5_2':0.0},(235,512):{'3_1':0.0,'4_1':0.0},(235,511):{'4_1':0.0,'5_2':0.0},(235,510):{'3_1':0.03},(235,509):{'3_1':0.03},(235,508):{'3_1':0.0},(235,507):{'3_1':0.03},(235,506):{'3_1':0.0},(235,505):{'3_1':0.0,'4_1':0.0},(235,504):{'3_1':0.0},(235,503):{'3_1':0.0},(235,502):{'3_1':0.06},(235,501):{'3_1':0.03},(235,500):{'3_1':0.0},(235,499):{'3_1':0.0},(235,497):{'3_1':0.0},(235,495):{'3_1':0.0},(235,494):{'3_1':0.03,'5_2':0.0},(235,493):{'3_1':0.0,'4_1':0.0},(235,492):{'3_1':0.0},(235,491):{'3_1':0.0,'5_1':0.0},(235,490):{'3_1':0.03},(235,489):{'3_1':0.0},(235,488):{'3_1':0.06},(235,487):{'3_1':0.03},(235,486):{'3_1':0.03},(235,485):{'3_1':0.03},(235,484):{'3_1':0.03},(235,483):{'3_1':0.03},(235,482):{'3_1':0.0},(235,481):{'3_1':0.0},(235,480):{'3_1':0.0,'5_1':0.0},(235,479):{'3_1':0.0},(235,478):{'3_1':0.0},(235,477):{'3_1':0.06},(235,476):{'3_1':0.06},(235,475):{'3_1':0.0},(235,474):{'3_1':0.03},(235,472):{'3_1':0.0},(235,471):{'3_1':0.0},(235,470):{'3_1':0.0},(235,469):{'3_1':0.03},(235,468):{'3_1':0.03},(235,467):{'3_1':0.0,'5_2':0.0},(235,466):{'3_1':0.0},(235,465):{'3_1':0.0},(235,464):{'3_1':0.03},(235,463):{'3_1':0.0},(235,462):{'3_1':0.03},(235,461):{'3_1':0.0},(235,460):{'3_1':0.03},(235,459):{'3_1':0.0},(235,458):{'3_1':0.03,'5_2':0.0},(235,457):{'3_1':0.0},(235,456):{'3_1':0.0},(235,455):{'3_1':0.0},(235,454):{'3_1':0.0},(235,453):{'3_1':0.03},(235,452):{'3_1':0.0},(235,451):{'3_1':0.0,'4_1':0.0},(235,450):{'3_1':0.03},(235,449):{'3_1':0.0},(235,448):{'3_1':0.0,'4_1':0.0},(235,447):{'3_1':0.0,'5_2':0.0},(235,446):{'3_1':0.03},(235,445):{'3_1':0.0},(235,444):{'3_1':0.03},(235,443):{'3_1':0.0},(235,442):{'3_1':0.0},(235,441):{'3_1':0.0},(235,440):{'3_1':0.03},(235,439):{'3_1':0.03},(235,438):{'3_1':0.0},(235,437):{'3_1':0.0},(235,436):{'3_1':0.0},(235,435):{'3_1':0.0},(235,434):{'3_1':0.0},(235,433):{'3_1':0.03},(235,432):{'3_1':0.03},(235,431):{'3_1':0.03},(235,430):{'3_1':0.0},(235,429):{'3_1':0.0},(235,428):{'3_1':0.0},(235,427):{'3_1':0.03},(235,426):{'3_1':0.0},(235,425):{'3_1':0.0},(235,424):{'3_1':0.0},(235,423):{'3_1':0.03},(235,422):{'3_1':0.0},(235,421):{'3_1':0.03},(235,419):{'3_1':0.0},(235,418):{'3_1':0.0},(235,417):{'3_1':0.0},(235,416):{'3_1':0.0,'5_1':0.0},(235,415):{'3_1':0.0},(235,414):{'3_1':0.03},(235,413):{'3_1':0.0},(235,412):{'3_1':0.0},(235,411):{'3_1':0.0,'5_1':0.0},(235,410):{'5_1':0.0},(235,409):{'3_1':0.0,'5_1':0.0},(235,408):{'3_1':0.03},(235,407):{'3_1':0.0},(235,405):{'3_1':0.0},(235,404):{'3_1':0.0},(235,403):{'3_1':0.0},(235,402):{'3_1':0.0},(235,401):{'3_1':0.03,'5_2':0.0},(235,400):{'3_1':0.0,'5_1':0.0},(235,398):{'3_1':0.0},(235,397):{'3_1':0.0},(235,395):{'3_1':0.0},(235,392):{'3_1':0.0},(235,388):{'3_1':0.0,'5_1':0.0},(235,387):{'3_1':0.0},(235,386):{'3_1':0.0},(235,385):{'3_1':0.0},(235,384):{'3_1':0.03},(235,382):{'3_1':0.0},(235,380):{'3_1':0.0},(235,378):{'3_1':0.0},(235,377):{'3_1':0.0},(235,375):{'3_1':0.0},(235,374):{'3_1':0.0},(235,373):{'3_1':0.0},(235,370):{'4_1':0.0},(235,369):{'3_1':0.0},(235,368):{'3_1':0.0},(235,366):{'3_1':0.0},(235,364):{'5_1':0.0},(235,361):{'3_1':0.0},(235,360):{'3_1':0.0,'5_2':0.0},(235,358):{'3_1':0.0},(235,352):{'3_1':0.0},(235,348):{'3_1':0.0},(235,344):{'3_1':0.0},(235,343):{'3_1':0.0},(235,341):{'3_1':0.0},(235,336):{'3_1':0.0},(235,335):{'3_1':0.0},(235,331):{'3_1':0.0},(235,330):{'3_1':0.0},(235,319):{'3_1':0.0},(235,316):{'3_1':0.0},(235,314):{'3_1':0.0},(235,312):{'3_1':0.0},(235,311):{'3_1':0.0},(235,310):{'3_1':0.0},(235,304):{'3_1':0.0,'4_1':0.0},(235,302):{'3_1':0.0},(235,265):{'3_1':0.0},(235,261):{'3_1':0.0},(235,260):{'3_1':0.0},(235,259):{'3_1':0.0,'4_1':0.0},(235,257):{'3_1':0.0},(235,256):{'3_1':0.0},(235,254):{'3_1':0.0},(235,253):{'3_1':0.0},(236,727):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(236,726):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(236,725):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'7_2':0.03,'7_5':0.0,'8_4':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(236,724):{'6_1':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.03,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0},(236,723):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(236,722):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_4':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0,'7_2':0.0,'7_5':0.0},(236,721):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'8_4':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_14':0.0},(236,720):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(236,719):{'6_1':0.18,'5_2':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(236,718):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(236,717):{'5_2':0.06,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_6':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0},(236,716):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.0,'7_4':0.0,'7_2':0.0},(236,715):{'6_1':0.09,'3_1':0.06,'4_1':0.03,'5_2':0.03,'8_4':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0},(236,714):{'6_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'3_1':0.0,'7_2':0.0,'8_4':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(236,713):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'8_4':0.03,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_3':0.0},(236,712):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_5':0.0,'8_4':0.0,'7_2':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(236,711):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.06,'7_5':0.03,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(236,710):{'3_1':0.09,'7_5':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0,'7_2':0.0},(236,709):{'3_1':0.09,'7_5':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.03,'8_4':0.03,'5_2':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0,'9_1':0.0},(236,708):{'6_1':0.12,'3_1':0.09,'5_2':0.06,'-3':0.03,'8_4':0.03,'6_2':0.0,'8_3':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_2':0.0},(236,707):{'6_1':0.09,'7_4':0.03,'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0},(236,706):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(236,705):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(236,704):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0,'7_2':0.0,'8_4':0.0},(236,703):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(236,702):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0},(236,701):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_11':0.0},(236,700):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'8_4':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0},(236,699):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0},(236,698):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'8_4':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0},(236,697):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(236,696):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(236,695):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(236,694):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_2':0.03,'8_4':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(236,693):{'5_2':0.09,'4_1':0.09,'6_1':0.09,'3_1':0.03,'7_4':0.0,'8_4':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_9':0.0,'-3':0.0},(236,692):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'8_4':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(236,691):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_11':0.0},(236,690):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.06,'7_2':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(236,689):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(236,688):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'8_4':0.0},(236,687):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0,'8_11':0.0},(236,686):{'5_2':0.15,'3_1':0.09,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(236,685):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_5':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(236,684):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'8_4':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'8_14':0.0},(236,683):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'8_4':0.03,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(236,682):{'5_2':0.15,'4_1':0.12,'6_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(236,681):{'5_2':0.15,'4_1':0.09,'6_1':0.09,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(236,680):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0},(236,679):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(236,678):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(236,677):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.0,'-3':0.0},(236,676):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'8_6':0.0},(236,675):{'5_2':0.21,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_4':0.0,'-3':0.0},(236,674):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(236,673):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_6':0.0},(236,672):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_2':0.0,'8_4':0.0},(236,671):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(236,670):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(236,669):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'8_4':0.0},(236,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(236,667):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0},(236,666):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0},(236,665):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(236,664):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(236,663):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0},(236,662):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_2':0.0},(236,661):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(236,660):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(236,659):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(236,658):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0},(236,657):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(236,656):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0},(236,655):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(236,654):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(236,653):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(236,652):{'3_1':0.15,'4_1':0.12,'6_2':0.03,'5_2':0.0},(236,651):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(236,650):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_2':0.0},(236,649):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0},(236,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(236,647):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(236,646):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'9_1':0.0},(236,645):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(236,644):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(236,643):{'3_1':0.3,'5_1':0.03,'4_1':0.03,'6_2':0.0},(236,642):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_17':0.0},(236,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(236,640):{'3_1':0.3,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_17':0.0},(236,639):{'3_1':0.21,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,638):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(236,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(236,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(236,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(236,634):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(236,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(236,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(236,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(236,630):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(236,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(236,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(236,627):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(236,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(236,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(236,624):{'3_1':0.09,'6_2':0.0,'8_20|3_1#3_1':0.0},(236,623):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(236,622):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(236,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_17':0.0},(236,620):{'3_1':0.09,'6_2':0.0},(236,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(236,618):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_17':0.0},(236,617):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(236,616):{'3_1':0.09,'8_20|3_1#3_1':0.0},(236,615):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_17':0.0},(236,614):{'3_1':0.03,'4_1':0.0},(236,613):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0},(236,612):{'3_1':0.09},(236,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,609):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(236,608):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_17':0.0},(236,607):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(236,606):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(236,605):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_7':0.0,'5_2':0.0,'8_2':0.0},(236,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(236,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(236,602):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(236,601):{'3_1':0.03,'4_1':0.0},(236,600):{'3_1':0.06},(236,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(236,598):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,597):{'3_1':0.06},(236,596):{'3_1':0.03,'5_2':0.0},(236,595):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,594):{'3_1':0.03,'5_2':0.0},(236,593):{'3_1':0.03},(236,592):{'3_1':0.0,'7_5':0.0},(236,591):{'3_1':0.06,'5_1':0.0},(236,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(236,589):{'3_1':0.03},(236,588):{'3_1':0.06,'5_1':0.0},(236,587):{'3_1':0.06,'5_1':0.0},(236,586):{'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(236,585):{'3_1':0.06,'5_1':0.0},(236,584):{'3_1':0.03,'5_2':0.0},(236,583):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(236,582):{'3_1':0.06},(236,581):{'3_1':0.09,'5_1':0.0},(236,580):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(236,579):{'3_1':0.03,'5_2':0.0},(236,578):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(236,577):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(236,576):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0},(236,575):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(236,574):{'3_1':0.03},(236,573):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(236,572):{'3_1':0.09},(236,571):{'3_1':0.09},(236,570):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(236,569):{'3_1':0.06,'5_1':0.0},(236,568):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,567):{'3_1':0.06,'4_1':0.0},(236,566):{'3_1':0.06},(236,565):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(236,564):{'3_1':0.06,'5_1':0.0},(236,563):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(236,562):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(236,561):{'3_1':0.09},(236,560):{'3_1':0.06,'4_1':0.0},(236,559):{'3_1':0.03,'5_1':0.0},(236,558):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(236,557):{'3_1':0.06},(236,556):{'3_1':0.03,'5_1':0.0},(236,555):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(236,554):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(236,553):{'3_1':0.06,'7_5':0.0,'4_1':0.0},(236,552):{'3_1':0.06},(236,551):{'3_1':0.03},(236,550):{'3_1':0.03,'4_1':0.0},(236,549):{'3_1':0.06},(236,548):{'3_1':0.03},(236,547):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(236,546):{'3_1':0.03,'5_2':0.0},(236,545):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(236,544):{'3_1':0.06,'4_1':0.0},(236,543):{'3_1':0.03},(236,542):{'3_1':0.06,'4_1':0.0},(236,541):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(236,540):{'3_1':0.0},(236,539):{'3_1':0.03},(236,538):{'3_1':0.09},(236,537):{'3_1':0.0},(236,536):{'3_1':0.06},(236,535):{'3_1':0.06},(236,534):{'3_1':0.03},(236,533):{'3_1':0.03},(236,532):{'3_1':0.0},(236,531):{'3_1':0.03,'5_1':0.0},(236,530):{'3_1':0.03,'4_1':0.0},(236,529):{'3_1':0.09,'5_1':0.0},(236,528):{'3_1':0.0},(236,527):{'3_1':0.0,'5_2':0.0},(236,526):{'3_1':0.06,'5_2':0.0},(236,525):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(236,524):{'3_1':0.0},(236,523):{'3_1':0.03},(236,522):{'3_1':0.06,'5_1':0.0},(236,521):{'3_1':0.06,'4_1':0.0},(236,520):{'3_1':0.03},(236,519):{'3_1':0.0},(236,518):{'3_1':0.0},(236,517):{'3_1':0.03,'5_2':0.0},(236,516):{'3_1':0.03,'5_2':0.0},(236,515):{'3_1':0.0},(236,514):{'3_1':0.0},(236,513):{'3_1':0.0},(236,512):{'3_1':0.0,'5_1':0.0},(236,511):{'3_1':0.0},(236,510):{'3_1':0.0,'4_1':0.0},(236,509):{'3_1':0.0},(236,508):{'3_1':0.0},(236,507):{'3_1':0.0},(236,506):{'3_1':0.0,'5_1':0.0},(236,505):{'3_1':0.0},(236,504):{'3_1':0.0},(236,503):{'3_1':0.0,'4_1':0.0},(236,502):{'3_1':0.03,'4_1':0.0},(236,501):{'3_1':0.0},(236,500):{'3_1':0.0},(236,499):{'3_1':0.03},(236,498):{'3_1':0.0,'4_1':0.0},(236,497):{'3_1':0.0},(236,496):{'3_1':0.03},(236,495):{'3_1':0.0},(236,494):{'3_1':0.0},(236,493):{'3_1':0.0},(236,492):{'3_1':0.0},(236,491):{'3_1':0.0},(236,490):{'3_1':0.03},(236,489):{'3_1':0.0,'5_2':0.0},(236,488):{'3_1':0.06},(236,487):{'3_1':0.03},(236,486):{'3_1':0.06},(236,485):{'3_1':0.0},(236,484):{'3_1':0.03},(236,483):{'3_1':0.0,'5_2':0.0},(236,482):{'3_1':0.0,'5_2':0.0},(236,481):{'3_1':0.06,'5_2':0.0},(236,480):{'3_1':0.03},(236,479):{'3_1':0.03},(236,478):{'3_1':0.03},(236,477):{'3_1':0.03},(236,476):{'3_1':0.0},(236,475):{'3_1':0.09,'5_1':0.0},(236,474):{'3_1':0.03},(236,473):{'3_1':0.03},(236,472):{'3_1':0.0},(236,471):{'3_1':0.03},(236,470):{'3_1':0.0},(236,469):{'3_1':0.0},(236,467):{'3_1':0.0},(236,466):{'3_1':0.0},(236,465):{'3_1':0.0},(236,464):{'3_1':0.0},(236,463):{'3_1':0.0},(236,462):{'3_1':0.0},(236,461):{'3_1':0.0,'5_2':0.0},(236,460):{'3_1':0.0},(236,459):{'3_1':0.0},(236,458):{'3_1':0.0,'4_1':0.0},(236,457):{'3_1':0.0},(236,456):{'3_1':0.03},(236,455):{'3_1':0.03},(236,454):{'3_1':0.0},(236,453):{'3_1':0.0},(236,452):{'3_1':0.0},(236,451):{'3_1':0.03},(236,450):{'3_1':0.0},(236,449):{'3_1':0.0},(236,448):{'3_1':0.03},(236,447):{'3_1':0.0},(236,446):{'3_1':0.0},(236,445):{'3_1':0.03},(236,444):{'3_1':0.0},(236,443):{'3_1':0.0},(236,442):{'3_1':0.03,'4_1':0.0},(236,441):{'3_1':0.0},(236,440):{'3_1':0.03,'6_1':0.0},(236,439):{'3_1':0.03},(236,438):{'3_1':0.0},(236,437):{'3_1':0.0},(236,435):{'3_1':0.03},(236,434):{'3_1':0.0},(236,433):{'3_1':0.0},(236,432):{'3_1':0.03},(236,431):{'3_1':0.0},(236,430):{'3_1':0.0},(236,428):{'3_1':0.0},(236,427):{'3_1':0.0},(236,426):{'3_1':0.0},(236,425):{'3_1':0.0},(236,424):{'3_1':0.0},(236,423):{'3_1':0.0},(236,422):{'3_1':0.0},(236,421):{'3_1':0.0},(236,420):{'3_1':0.0},(236,419):{'3_1':0.0,'5_2':0.0},(236,418):{'3_1':0.0},(236,417):{'3_1':0.0},(236,415):{'3_1':0.0,'5_1':0.0},(236,414):{'3_1':0.0,'4_1':0.0},(236,412):{'3_1':0.0},(236,411):{'3_1':0.0,'4_1':0.0},(236,410):{'3_1':0.0},(236,409):{'3_1':0.03,'5_1':0.0},(236,408):{'3_1':0.03},(236,407):{'3_1':0.0},(236,405):{'3_1':0.0},(236,404):{'3_1':0.0},(236,403):{'3_1':0.03},(236,402):{'3_1':0.0},(236,400):{'3_1':0.0},(236,399):{'3_1':0.0},(236,398):{'3_1':0.0},(236,397):{'3_1':0.0},(236,396):{'3_1':0.0},(236,395):{'3_1':0.0},(236,394):{'3_1':0.0},(236,392):{'3_1':0.0},(236,391):{'3_1':0.0},(236,390):{'3_1':0.0},(236,389):{'3_1':0.0,'4_1':0.0},(236,388):{'3_1':0.03,'4_1':0.0},(236,387):{'3_1':0.0},(236,385):{'3_1':0.0},(236,384):{'3_1':0.0},(236,374):{'3_1':0.0},(236,373):{'3_1':0.03},(236,372):{'4_1':0.0},(236,371):{'3_1':0.0,'5_2':0.0},(236,370):{'3_1':0.0,'6_1':0.0},(236,369):{'3_1':0.0},(236,368):{'3_1':0.0,'4_1':0.0},(236,367):{'3_1':0.0},(236,366):{'3_1':0.0},(236,365):{'3_1':0.0},(236,363):{'3_1':0.0},(236,362):{'3_1':0.0},(236,361):{'3_1':0.0},(236,360):{'3_1':0.0},(236,320):{'3_1':0.0,'4_1':0.0},(236,319):{'3_1':0.0},(236,318):{'3_1':0.0},(236,317):{'3_1':0.0},(236,316):{'3_1':0.0},(236,315):{'3_1':0.0},(236,313):{'5_1':0.0},(236,312):{'3_1':0.0},(236,311):{'3_1':0.03},(236,310):{'3_1':0.0},(236,309):{'3_1':0.0},(236,305):{'3_1':0.0},(236,303):{'3_1':0.0},(236,302):{'3_1':0.0},(236,301):{'3_1':0.0},(236,296):{'3_1':0.0},(236,295):{'3_1':0.0},(236,292):{'4_1':0.0},(236,265):{'3_1':0.0},(236,262):{'3_1':0.0},(236,260):{'4_1':0.0},(237,727):{'3_1':0.06,'5_2':0.03,'6_1':0.03,'-3':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0},(237,726):{'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.03,'-3':0.03,'6_2':0.0,'8_4':0.0,'5_2':0.0,'7_3':0.0,'8_3':0.0},(237,725):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'8_4':0.03,'7_3':0.0,'-3':0.0,'7_5':0.0,'7_6':0.0},(237,724):{'6_1':0.15,'8_4':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(237,723):{'5_2':0.09,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(237,722):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'8_4':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'7_2':0.0,'8_14':0.0,'-3':0.0},(237,721):{'5_2':0.12,'6_1':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(237,720):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'8_4':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(237,719):{'4_1':0.06,'6_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(237,718):{'6_1':0.09,'5_2':0.06,'8_4':0.06,'4_1':0.03,'7_2':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(237,717):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(237,716):{'5_2':0.09,'6_1':0.06,'8_4':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_6':0.0},(237,715):{'5_2':0.09,'6_1':0.09,'8_4':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(237,714):{'3_1':0.06,'5_2':0.06,'8_4':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'-3':0.0},(237,713):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'8_4':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(237,712):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.0,'8_4':0.0,'7_3':0.0},(237,711):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'8_4':0.03,'-3':0.03,'8_6':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0},(237,710):{'3_1':0.06,'5_2':0.03,'7_5':0.03,'6_1':0.03,'4_1':0.03,'-3':0.03,'5_1':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_9':0.0},(237,709):{'3_1':0.15,'7_5':0.09,'6_1':0.09,'-3':0.09,'8_4':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'1':-0.03},(237,708):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'8_4':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(237,707):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.03,'7_4':0.03,'7_2':0.0,'3_1':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0},(237,706):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.03,'8_4':0.03,'7_2':0.0,'7_3':0.0},(237,705):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.03,'8_4':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(237,704):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(237,703):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'6_2':0.03,'8_4':0.03,'7_2':0.0,'3_1':0.0,'7_6':0.0,'-3':0.0},(237,702):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(237,701):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'1':-0.03},(237,700):{'4_1':0.09,'6_1':0.09,'5_2':0.09,'8_4':0.06,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(237,699):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'8_4':0.03,'3_1':0.03,'7_3':0.0,'8_3':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(237,698):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'7_2':0.0,'7_5':0.0},(237,697):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(237,696):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'8_4':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(237,695):{'4_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0},(237,694):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.03,'7_3':0.03,'7_4':0.03,'-3':0.0,'8_4':0.0},(237,693):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'8_4':0.0,'6_2':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_9':0.0},(237,692):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.03,'8_4':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(237,691):{'5_2':0.06,'6_1':0.06,'8_4':0.03,'6_2':0.03,'7_3':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'7_4':0.0,'8_6':0.0},(237,690):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(237,689):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'8_4':0.03,'6_1':0.03,'-3':0.0,'7_4':0.0,'7_5':0.0},(237,688):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'6_2':0.03,'8_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0},(237,687):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(237,686):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(237,685):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.03,'8_4':0.03,'5_1':0.0,'7_3':0.0},(237,684):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_3':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(237,683):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.0,'8_4':0.0,'6_2':0.0,'5_1':0.0},(237,682):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0},(237,681):{'5_2':0.18,'4_1':0.12,'3_1':0.0,'6_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(237,680):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0},(237,679):{'5_2':0.15,'4_1':0.09,'5_1':0.03,'6_2':0.03,'7_3':0.0,'6_1':0.0,'3_1':0.0},(237,678):{'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(237,677):{'5_2':0.15,'4_1':0.09,'6_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(237,676):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_19':0.0,'-3':0.0},(237,675):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(237,674):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'3_1#5_2':0.0,'5_1':0.0,'6_2':0.0},(237,673):{'5_2':0.12,'4_1':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'3_1#5_2':0.0},(237,672):{'4_1':0.12,'5_2':0.09,'6_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(237,671):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(237,670):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_7':0.0,'-3':0.0,'1':-0.03},(237,669):{'4_1':0.09,'3_1':0.03,'6_2':0.03,'5_2':0.0,'8_4':0.0,'6_1':0.0,'7_4':0.0},(237,668):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(237,667):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'8_4':0.0},(237,666):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(237,665):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'6_3':0.0,'8_4':0.0,'8_6':0.0},(237,664):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'8_4':0.0},(237,663):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(237,662):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(237,661):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(237,660):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0},(237,659):{'3_1':0.15,'4_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(237,658):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(237,657):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0},(237,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(237,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(237,654):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(237,653):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0,'3_1#5_2':0.0},(237,652):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(237,651):{'3_1':0.12,'4_1':0.06,'6_2':0.0},(237,650):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(237,649):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'5_2':0.0},(237,648):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_19':0.0},(237,647):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(237,646):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'7_3':0.0},(237,645):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(237,644):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'9_1':0.0},(237,643):{'3_1':0.18,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_17':0.0},(237,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_17':0.0},(237,641):{'3_1':0.18,'6_2':0.06,'4_1':0.03,'7_1':0.0,'7_3':0.0},(237,640):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(237,639):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'7_1':0.0},(237,638):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(237,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(237,636):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(237,635):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(237,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(237,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(237,632):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0},(237,631):{'3_1':0.15,'4_1':0.0},(237,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(237,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(237,628):{'3_1':0.18,'6_2':0.0},(237,627):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0},(237,626):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_5':0.0},(237,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(237,624):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(237,623):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(237,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_17':0.0},(237,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(237,620):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(237,619):{'3_1':0.12,'4_1':0.0},(237,618):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'7_6':0.0,'8_4':0.0},(237,617):{'3_1':0.15},(237,616):{'3_1':0.15,'4_1':0.0},(237,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(237,614):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(237,613):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(237,612):{'3_1':0.09,'6_1':0.0},(237,611):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(237,610):{'3_1':0.12,'4_1':0.03,'8_17':0.0,'5_1':0.0},(237,609):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_17':0.0},(237,608):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(237,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(237,606):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(237,605):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(237,604):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(237,603):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,602):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(237,601):{'3_1':0.06,'4_1':0.0},(237,600):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(237,599):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(237,598):{'3_1':0.03},(237,597):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(237,596):{'3_1':0.12,'5_1':0.0},(237,595):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(237,594):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(237,593):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(237,592):{'3_1':0.12,'4_1':0.0},(237,591):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(237,590):{'3_1':0.09,'5_2':0.0},(237,589):{'3_1':0.09,'5_1':0.0},(237,588):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(237,587):{'3_1':0.09,'5_1':0.0},(237,586):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(237,585):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(237,584):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(237,583):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(237,582):{'3_1':0.06,'5_1':0.0},(237,581):{'3_1':0.12,'5_2':0.0},(237,580):{'3_1':0.09,'7_5':0.0,'5_1':0.0,'5_2':0.0},(237,579):{'3_1':0.0,'5_2':0.0,'5_1':0.0,'4_1':0.0},(237,578):{'3_1':0.03},(237,577):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(237,576):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(237,575):{'3_1':0.06},(237,574):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(237,573):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(237,572):{'3_1':0.03,'4_1':0.0},(237,571):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(237,570):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(237,569):{'3_1':0.06},(237,568):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(237,567):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(237,566):{'3_1':0.09,'5_1':0.0},(237,565):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(237,564):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_5':0.0},(237,563):{'3_1':0.03,'5_2':0.0},(237,562):{'3_1':0.12,'5_1':0.0,'7_5':0.0},(237,561):{'3_1':0.06,'5_1':0.0},(237,560):{'3_1':0.09,'5_1':0.0},(237,559):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(237,558):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(237,557):{'3_1':0.06},(237,556):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(237,555):{'3_1':0.09,'5_2':0.0},(237,554):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(237,553):{'3_1':0.03,'5_1':0.0},(237,552):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(237,551):{'3_1':0.06},(237,550):{'3_1':0.09,'5_1':0.0},(237,549):{'3_1':0.0,'5_2':0.0},(237,548):{'3_1':0.06,'5_1':0.0},(237,547):{'3_1':0.03,'5_2':0.0},(237,546):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(237,545):{'3_1':0.06},(237,544):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(237,543):{'3_1':0.06},(237,542):{'3_1':0.03,'5_1':0.0},(237,541):{'3_1':0.09},(237,540):{'3_1':0.03},(237,539):{'3_1':0.12},(237,538):{'3_1':0.03,'5_1':0.0},(237,537):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(237,536):{'3_1':0.12,'5_2':0.0},(237,535):{'3_1':0.03,'5_2':0.0},(237,534):{'3_1':0.03},(237,533):{'3_1':0.03,'5_2':0.0},(237,532):{'3_1':0.0,'5_1':0.0},(237,531):{'3_1':0.06},(237,530):{'3_1':0.03,'5_2':0.0},(237,529):{'3_1':0.06},(237,528):{'3_1':0.06,'5_1':0.0},(237,527):{'3_1':0.03,'5_1':0.0},(237,526):{'3_1':0.06},(237,525):{'3_1':0.06,'5_1':0.0},(237,524):{'3_1':0.03,'4_1':0.0},(237,523):{'3_1':0.03},(237,522):{'3_1':0.03,'5_2':0.0},(237,521):{'3_1':0.03},(237,520):{'3_1':0.0},(237,519):{'3_1':0.0,'5_2':0.0},(237,518):{'3_1':0.03},(237,517):{'3_1':0.03},(237,516):{'3_1':0.03,'8_20|3_1#3_1':0.0},(237,515):{'3_1':0.0},(237,514):{'3_1':0.0},(237,513):{'3_1':0.06,'4_1':0.0},(237,512):{'3_1':0.03,'5_1':0.0},(237,511):{'3_1':0.03},(237,510):{'3_1':0.03,'4_1':0.0},(237,509):{'3_1':0.03},(237,508):{'3_1':0.03,'5_2':0.0},(237,506):{'3_1':0.06,'5_1':0.0},(237,505):{'3_1':0.0},(237,504):{'3_1':0.0},(237,503):{'3_1':0.0,'4_1':0.0},(237,502):{'3_1':0.03,'5_1':0.0},(237,501):{'3_1':0.06,'5_1':0.0},(237,500):{'3_1':0.0},(237,499):{'3_1':0.0},(237,498):{'3_1':0.0},(237,497):{'3_1':0.0},(237,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(237,493):{'3_1':0.0},(237,492):{'3_1':0.0},(237,491):{'3_1':0.0},(237,490):{'3_1':0.06,'5_2':0.0},(237,489):{'3_1':0.03},(237,488):{'3_1':0.03,'4_1':0.0},(237,487):{'3_1':0.03},(237,486):{'3_1':0.03},(237,485):{'3_1':0.0},(237,484):{'3_1':0.06},(237,483):{'3_1':0.0},(237,482):{'3_1':0.0,'5_2':0.0},(237,481):{'3_1':0.0},(237,480):{'3_1':0.0,'4_1':0.0},(237,479):{'3_1':0.06},(237,478):{'3_1':0.06},(237,477):{'3_1':0.06},(237,476):{'3_1':0.03,'4_1':0.0},(237,475):{'3_1':0.03},(237,474):{'3_1':0.06},(237,473):{'3_1':0.03},(237,472):{'3_1':0.0},(237,471):{'3_1':0.0},(237,470):{'3_1':0.0},(237,469):{'3_1':0.03},(237,468):{'3_1':0.0},(237,467):{'3_1':0.0},(237,466):{'3_1':0.0},(237,465):{'3_1':0.0},(237,464):{'3_1':0.0},(237,463):{'3_1':0.0},(237,461):{'3_1':0.0},(237,460):{'3_1':0.0},(237,459):{'3_1':0.0},(237,457):{'3_1':0.03},(237,456):{'3_1':0.0},(237,455):{'3_1':0.0},(237,453):{'3_1':0.0,'4_1':0.0},(237,452):{'3_1':0.0},(237,451):{'3_1':0.0},(237,450):{'3_1':0.0},(237,449):{'3_1':0.0},(237,448):{'3_1':0.0},(237,447):{'3_1':0.0},(237,446):{'3_1':0.0},(237,445):{'3_1':0.0},(237,444):{'3_1':0.03},(237,443):{'3_1':0.0},(237,441):{'3_1':0.0},(237,440):{'3_1':0.0},(237,439):{'3_1':0.03},(237,438):{'3_1':0.0},(237,437):{'3_1':0.0},(237,436):{'3_1':0.0},(237,432):{'3_1':0.0},(237,429):{'3_1':0.0},(237,428):{'3_1':0.0},(237,427):{'3_1':0.0},(237,426):{'3_1':0.0},(237,425):{'3_1':0.0},(237,424):{'3_1':0.0},(237,423):{'3_1':0.0},(237,422):{'3_1':0.0},(237,421):{'3_1':0.0},(237,419):{'3_1':0.03},(237,417):{'3_1':0.0},(237,416):{'3_1':0.03,'5_1':0.0},(237,415):{'3_1':0.0,'5_1':0.0},(237,414):{'3_1':0.0},(237,413):{'3_1':0.0},(237,412):{'3_1':0.0},(237,411):{'3_1':0.0},(237,410):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(237,408):{'3_1':0.0},(237,407):{'3_1':0.0},(237,406):{'3_1':0.0},(237,405):{'3_1':0.0},(237,404):{'3_1':0.0},(237,403):{'3_1':0.0,'5_1':0.0},(237,402):{'3_1':0.0,'4_1':0.0},(237,400):{'3_1':0.0},(237,399):{'3_1':0.0},(237,398):{'3_1':0.0},(237,397):{'3_1':0.0},(237,395):{'3_1':0.0},(237,391):{'3_1':0.0},(237,390):{'3_1':0.0},(237,389):{'3_1':0.0},(237,388):{'3_1':0.0},(237,386):{'3_1':0.0},(237,384):{'3_1':0.0},(237,383):{'3_1':0.0},(237,382):{'3_1':0.0},(237,381):{'3_1':0.0},(237,380):{'3_1':0.0},(237,377):{'3_1':0.0},(237,373):{'3_1':0.0},(237,372):{'3_1':0.0},(237,369):{'3_1':0.0},(237,368):{'3_1':0.0},(237,367):{'3_1':0.0},(237,366):{'3_1':0.0},(237,365):{'3_1':0.0},(237,364):{'3_1':0.0},(237,363):{'3_1':0.0},(237,361):{'3_1':0.0},(237,360):{'3_1':0.0},(237,355):{'3_1':0.0},(237,351):{'3_1':0.0},(237,350):{'3_1':0.0},(237,348):{'3_1':0.0},(237,318):{'3_1':0.0},(237,317):{'3_1':0.0},(237,314):{'3_1':0.0},(237,311):{'3_1':0.0},(237,310):{'3_1':0.0},(237,309):{'3_1':0.0},(237,306):{'3_1':0.0},(237,303):{'3_1':0.0},(237,302):{'3_1':0.0},(237,301):{'3_1':0.0},(237,300):{'3_1':0.0},(237,296):{'3_1':0.0},(237,295):{'3_1':0.0},(237,264):{'3_1':0.0},(237,261):{'3_1':0.0},(238,727):{'6_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0,'8_4':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(238,726):{'3_1':0.03,'5_2':0.03,'6_1':0.03,'4_1':0.03,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0},(238,725):{'6_1':0.09,'5_2':0.06,'8_4':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(238,724):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(238,723):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_5':0.0,'8_4':0.0,'7_4':0.0,'-3':0.0},(238,722):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'8_4':0.03,'3_1':0.0,'7_2':0.0,'5_1':0.0},(238,721):{'6_1':0.12,'4_1':0.03,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(238,720):{'6_1':0.12,'5_2':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(238,719):{'6_1':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_4':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(238,718):{'6_1':0.15,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(238,717):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'3_1':0.03,'7_4':0.0,'8_4':0.0,'7_5':0.0,'-3':0.0},(238,716):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_4':0.0,'7_3':0.0},(238,715):{'6_1':0.09,'5_2':0.09,'3_1':0.06,'8_4':0.03,'4_1':0.0,'8_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(238,714):{'6_1':0.06,'5_2':0.06,'3_1':0.06,'4_1':0.03,'8_4':0.03,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_14':0.0},(238,713):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(238,712):{'5_2':0.09,'3_1':0.09,'6_1':0.03,'4_1':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(238,711):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'8_4':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(238,710):{'6_1':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.03,'8_4':0.03,'7_5':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(238,709):{'3_1':0.09,'6_1':0.09,'7_5':0.06,'-3':0.03,'6_2':0.03,'7_2':0.03,'4_1':0.0,'8_4':0.0,'5_2':0.0,'8_3':0.0,'8_9':0.0,'9_1':0.0},(238,708):{'3_1':0.06,'6_1':0.06,'5_2':0.03,'8_4':0.03,'4_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(238,707):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.03,'7_3':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(238,706):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'5_1':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(238,705):{'6_1':0.06,'5_2':0.06,'7_4':0.03,'8_4':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(238,704):{'5_2':0.12,'6_1':0.12,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(238,703):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(238,702):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'8_4':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(238,701):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'7_4':0.03,'4_1':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0},(238,700):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(238,699):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.03,'8_4':0.0,'-3':0.0,'7_2':0.0},(238,698):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(238,697):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'8_12':0.0,'-3':0.0},(238,696):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0},(238,695):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(238,694):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'7_2':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(238,693):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(238,692):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_14':0.0,'-3':0.0},(238,691):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_2':0.0,'3_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_12':0.0,'8_14':0.0},(238,690):{'4_1':0.12,'5_2':0.12,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(238,689):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'7_5':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(238,688):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_6':0.0,'8_13':0.0},(238,687):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(238,686):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(238,685):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(238,684):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.0,'8_4':0.0,'8_11':0.0,'8_14':0.0,'-3':0.0},(238,683):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(238,682):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.03,'7_5':0.0,'3_1#5_2':0.0},(238,681):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0,'8_12':0.0},(238,680):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(238,679):{'5_2':0.09,'4_1':0.06,'6_2':0.06,'6_1':0.03,'3_1':0.03,'8_4':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(238,678):{'4_1':0.12,'5_2':0.12,'5_1':0.03,'3_1':0.0,'8_4':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(238,677):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_6':0.0,'6_2':0.0,'7_3':0.0},(238,676):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0},(238,675):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_5':0.0,'-3':0.0},(238,674):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(238,673):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(238,672):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(238,671):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0},(238,670):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_4':0.0,'6_2':0.0,'-3':0.0},(238,669):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(238,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'8_4':0.0},(238,667):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'8_6':0.0},(238,666):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(238,665):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(238,664):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(238,663):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(238,662):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(238,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(238,660):{'4_1':0.12,'3_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_19':0.0},(238,659):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0,'5_1':0.0,'8_4':0.0},(238,658):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(238,657):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0},(238,656):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'8_4':0.0},(238,655):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(238,654):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(238,653):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(238,652):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0},(238,651):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_8':0.0},(238,650):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(238,649):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(238,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(238,647):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(238,646):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(238,645):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0},(238,644):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03,'9_1':0.0},(238,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(238,642):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_19':0.0},(238,641):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_1':0.0},(238,640):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0},(238,639):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(238,638):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(238,637):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0},(238,636):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_19':0.0},(238,635):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_1':0.0},(238,634):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'5_1':0.0},(238,633):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(238,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(238,631):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0},(238,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(238,629):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(238,628):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_3':0.0},(238,627):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(238,626):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(238,625):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(238,624):{'3_1':0.12,'4_1':0.0},(238,623):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(238,622):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(238,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(238,620):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(238,619):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(238,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(238,617):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(238,616):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0},(238,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(238,614):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(238,613):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0},(238,612):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(238,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(238,610):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_17':0.0},(238,609):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(238,608):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0},(238,607):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(238,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(238,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(238,604):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(238,603):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(238,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(238,601):{'3_1':0.09,'5_1':0.0},(238,600):{'3_1':0.12,'4_1':0.0},(238,599):{'3_1':0.06,'4_1':0.0},(238,598):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(238,597):{'3_1':0.06,'5_2':0.0},(238,596):{'3_1':0.03,'5_1':0.0},(238,595):{'3_1':0.06,'5_2':0.0},(238,594):{'3_1':0.09,'4_1':0.0},(238,593):{'3_1':0.0},(238,592):{'3_1':0.06,'4_1':0.0},(238,591):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(238,590):{'3_1':0.03,'5_2':0.0},(238,589):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(238,588):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(238,587):{'3_1':0.03,'6_2':0.0,'-3':0.0},(238,586):{'3_1':0.09,'4_1':0.0},(238,585):{'3_1':0.09,'5_1':0.0},(238,584):{'3_1':0.03},(238,583):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(238,582):{'3_1':0.06},(238,581):{'3_1':0.09,'5_1':0.0},(238,580):{'3_1':0.06},(238,579):{'3_1':0.03},(238,578):{'3_1':0.06},(238,577):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(238,576):{'3_1':0.09,'4_1':0.0},(238,575):{'3_1':0.06,'5_2':0.0},(238,574):{'3_1':0.06,'4_1':0.0},(238,573):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(238,572):{'3_1':0.06,'5_1':0.0},(238,571):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(238,570):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(238,569):{'3_1':0.0},(238,568):{'3_1':0.03,'5_1':0.0},(238,567):{'3_1':0.03},(238,566):{'3_1':0.06,'5_2':0.0},(238,565):{'3_1':0.0,'5_2':0.0},(238,564):{'3_1':0.09},(238,563):{'3_1':0.09},(238,562):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(238,561):{'3_1':0.03,'4_1':0.03},(238,560):{'3_1':0.06,'5_1':0.0},(238,559):{'3_1':0.03},(238,558):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(238,557):{'3_1':0.09,'4_1':0.0},(238,556):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(238,555):{'3_1':0.06},(238,554):{'3_1':0.03},(238,553):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(238,552):{'3_1':0.09,'5_1':0.0},(238,551):{'3_1':0.03},(238,550):{'3_1':0.03,'5_1':0.0},(238,549):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(238,548):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(238,547):{'3_1':0.03,'5_2':0.0},(238,546):{'3_1':0.03},(238,545):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(238,544):{'3_1':0.06,'4_1':0.0},(238,543):{'3_1':0.09},(238,542):{'3_1':0.06},(238,541):{'3_1':0.06,'5_2':0.0},(238,540):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(238,539):{'3_1':0.03},(238,538):{'3_1':0.03},(238,537):{'3_1':0.03,'4_1':0.0},(238,536):{'3_1':0.06,'5_2':0.0},(238,535):{'3_1':0.09},(238,534):{'3_1':0.06,'4_1':0.0},(238,533):{'3_1':0.03},(238,532):{'3_1':0.03},(238,531):{'3_1':0.0},(238,530):{'3_1':0.06,'5_2':0.0},(238,529):{'3_1':0.0,'5_2':0.0},(238,528):{'3_1':0.03},(238,527):{'3_1':0.06},(238,526):{'3_1':0.03,'6_2':0.0},(238,525):{'3_1':0.03,'5_2':0.0},(238,524):{'3_1':0.03},(238,523):{'3_1':0.03},(238,522):{'3_1':0.03},(238,521):{'3_1':0.0},(238,520):{'3_1':0.0},(238,519):{'3_1':0.0,'5_1':0.0},(238,518):{'3_1':0.0},(238,517):{'3_1':0.0,'4_1':0.0},(238,516):{'3_1':0.03},(238,515):{'3_1':0.03},(238,514):{'3_1':0.03},(238,513):{'3_1':0.03},(238,512):{'3_1':0.0},(238,511):{'3_1':0.03},(238,510):{'3_1':0.0,'5_2':0.0},(238,509):{'3_1':0.0,'4_1':0.0},(238,508):{'3_1':0.0},(238,507):{'3_1':0.03},(238,506):{'3_1':0.0},(238,505):{'3_1':0.0},(238,504):{'3_1':0.0,'5_2':0.0},(238,503):{'3_1':0.0},(238,502):{'3_1':0.0},(238,501):{'3_1':0.0,'5_2':0.0},(238,500):{'3_1':0.03},(238,499):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(238,498):{'3_1':0.0},(238,497):{'3_1':0.0,'4_1':0.0},(238,496):{'3_1':0.0,'4_1':0.0},(238,495):{'3_1':0.0},(238,494):{'3_1':0.0},(238,493):{'3_1':0.03,'4_1':0.0},(238,492):{'3_1':0.03,'4_1':0.0},(238,491):{'3_1':0.0,'5_1':0.0},(238,490):{'3_1':0.0},(238,488):{'3_1':0.03},(238,487):{'3_1':0.03},(238,486):{'3_1':0.0},(238,485):{'3_1':0.03},(238,484):{'3_1':0.03},(238,483):{'3_1':0.09},(238,482):{'3_1':0.0,'5_2':0.0},(238,481):{'3_1':0.0,'5_2':0.0},(238,480):{'3_1':0.03},(238,479):{'3_1':0.0,'5_2':0.0},(238,478):{'3_1':0.0},(238,476):{'3_1':0.0,'4_1':0.0},(238,475):{'3_1':0.0,'4_1':0.0},(238,474):{'3_1':0.0},(238,473):{'3_1':0.0},(238,472):{'3_1':0.0},(238,471):{'3_1':0.03},(238,470):{'3_1':0.03},(238,469):{'3_1':0.0},(238,468):{'3_1':0.0},(238,467):{'3_1':0.0},(238,465):{'3_1':0.0},(238,464):{'3_1':0.0},(238,463):{'3_1':0.0},(238,462):{'3_1':0.0},(238,461):{'3_1':0.0},(238,460):{'3_1':0.0},(238,459):{'3_1':0.0},(238,458):{'3_1':0.0},(238,457):{'3_1':0.0},(238,456):{'3_1':0.0},(238,455):{'3_1':0.0},(238,454):{'3_1':0.0},(238,453):{'3_1':0.03},(238,451):{'3_1':0.0},(238,450):{'3_1':0.0},(238,449):{'3_1':0.0},(238,448):{'4_1':0.0},(238,447):{'3_1':0.0},(238,446):{'3_1':0.0},(238,445):{'3_1':0.0},(238,444):{'3_1':0.0},(238,443):{'3_1':0.0},(238,442):{'3_1':0.0},(238,441):{'3_1':0.0},(238,440):{'3_1':0.0},(238,439):{'3_1':0.0},(238,438):{'3_1':0.0},(238,437):{'3_1':0.03},(238,436):{'3_1':0.0},(238,435):{'3_1':0.0},(238,434):{'3_1':0.0},(238,433):{'3_1':0.0},(238,432):{'3_1':0.0},(238,431):{'3_1':0.03},(238,430):{'3_1':0.0},(238,429):{'3_1':0.0},(238,428):{'3_1':0.0},(238,427):{'3_1':0.0},(238,426):{'3_1':0.03},(238,425):{'3_1':0.0},(238,424):{'3_1':0.0},(238,423):{'3_1':0.03},(238,422):{'3_1':0.0},(238,421):{'3_1':0.0},(238,420):{'3_1':0.0},(238,419):{'3_1':0.0},(238,417):{'3_1':0.0},(238,416):{'3_1':0.03},(238,415):{'3_1':0.0},(238,414):{'3_1':0.0},(238,412):{'3_1':0.0},(238,411):{'3_1':0.0,'5_1':0.0},(238,410):{'3_1':0.03,'5_1':0.0},(238,409):{'3_1':0.03},(238,408):{'3_1':0.0},(238,407):{'3_1':0.0},(238,405):{'3_1':0.0},(238,404):{'3_1':0.0},(238,403):{'3_1':0.0},(238,402):{'3_1':0.0},(238,401):{'3_1':0.0},(238,400):{'3_1':0.0},(238,397):{'3_1':0.0},(238,396):{'3_1':0.0},(238,394):{'3_1':0.0},(238,389):{'3_1':0.0},(238,388):{'3_1':0.0},(238,385):{'3_1':0.0},(238,384):{'3_1':0.0},(238,383):{'3_1':0.0},(238,381):{'3_1':0.0},(238,380):{'3_1':0.0},(238,378):{'3_1':0.0},(238,377):{'3_1':0.0},(238,373):{'3_1':0.0},(238,372):{'3_1':0.0},(238,370):{'3_1':0.03},(238,369):{'3_1':0.0},(238,364):{'3_1':0.0},(238,362):{'3_1':0.0},(238,361):{'3_1':0.0},(238,358):{'3_1':0.0},(238,355):{'4_1':0.0},(238,347):{'3_1':0.0},(238,343):{'5_2':0.0},(238,321):{'3_1':0.0},(238,319):{'3_1':0.0},(238,317):{'3_1':0.0},(238,315):{'3_1':0.0},(238,314):{'3_1':0.0},(238,313):{'3_1':0.0},(238,311):{'3_1':0.0},(238,310):{'3_1':0.0},(238,309):{'3_1':0.0},(238,308):{'4_1':0.0},(238,304):{'3_1':0.0},(238,303):{'3_1':0.0},(238,298):{'3_1':0.0},(238,295):{'3_1':0.0},(238,292):{'3_1':0.0},(238,289):{'4_1':0.0},(238,263):{'6_1':0.0},(238,261):{'3_1':0.0},(238,254):{'3_1':0.0},(238,253):{'3_1':0.0},(238,252):{'3_1':0.0},(238,250):{'3_1':0.0},(238,249):{'3_1':0.0},(239,727):{'6_1':0.09,'5_2':0.06,'3_1':0.0,'7_2':0.0,'8_4':0.0,'4_1':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0},(239,726):{'6_1':0.12,'5_2':0.03,'8_4':0.0,'-3':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(239,725):{'6_1':0.09,'3_1':0.03,'5_2':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(239,724):{'6_1':0.09,'5_2':0.06,'8_4':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_6':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(239,723):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'8_4':0.03,'7_3':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(239,722):{'6_1':0.12,'4_1':0.03,'5_2':0.03,'8_4':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(239,721):{'6_1':0.09,'4_1':0.06,'5_2':0.06,'7_4':0.03,'3_1':0.03,'7_3':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(239,720):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_4':0.0,'7_2':0.0,'8_4':0.0,'7_3':0.0},(239,719):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.03,'8_4':0.0,'4_1':0.0,'8_6':0.0,'7_5':0.0},(239,718):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'3_1':0.03,'8_4':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(239,717):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'7_4':0.0,'8_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(239,716):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_6':0.0,'8_4':0.0,'5_1':0.0,'8_1':0.0,'8_9':0.0},(239,715):{'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_5':0.03,'7_3':0.0,'-3':0.0,'5_2':0.0,'8_4':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(239,714):{'3_1':0.03,'6_1':0.03,'4_1':0.03,'5_2':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(239,713):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'-3':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(239,712):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(239,711):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'8_4':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_9':0.0},(239,710):{'3_1':0.18,'6_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'8_14':0.0},(239,709):{'3_1':0.15,'6_1':0.12,'7_5':0.06,'-3':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'7_2':0.0,'8_6':0.0,'8_9':0.0},(239,708):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'7_5':0.03,'7_4':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(239,707):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'8_4':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(239,706):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(239,705):{'6_1':0.12,'5_2':0.12,'4_1':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(239,704):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'8_4':0.0,'3_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(239,703):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0},(239,702):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'8_4':0.0,'-3':0.0,'7_3':0.0},(239,701):{'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'8_4':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(239,700):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'8_4':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(239,699):{'6_1':0.12,'5_2':0.06,'7_4':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(239,698):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_6':0.0,'8_1':0.0},(239,697):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0},(239,696):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(239,695):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(239,694):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'8_4':0.0,'6_2':0.0,'7_5':0.0},(239,693):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'8_4':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0},(239,692):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0,'5_1':0.0,'8_1':0.0},(239,691):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'8_4':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(239,690):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'8_4':0.03,'6_2':0.0,'3_1':0.0,'7_3':0.0,'7_2':0.0,'8_3':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(239,689):{'5_2':0.24,'6_1':0.06,'4_1':0.06,'3_1':0.03,'-3':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(239,688):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(239,687):{'5_2':0.12,'3_1':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(239,686):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0,'8_9':0.0},(239,685):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(239,684):{'4_1':0.12,'6_1':0.09,'5_2':0.06,'3_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(239,683):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(239,682):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.03,'8_4':0.0},(239,681):{'5_2':0.15,'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(239,680):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'8_4':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0,'8_9':0.0},(239,679):{'4_1':0.12,'5_2':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'3_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(239,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(239,677):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(239,676):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(239,675):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(239,674):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(239,673):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'5_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(239,672):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(239,671):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0},(239,670):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(239,669):{'5_2':0.06,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0},(239,668):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(239,667):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'6_2':0.0},(239,666):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0},(239,665):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(239,664):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(239,663):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(239,662):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(239,661):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(239,660):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0},(239,659):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(239,658):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(239,657):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(239,656):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(239,655):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(239,654):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(239,653):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(239,652):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(239,651):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(239,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(239,649):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(239,648):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(239,647):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(239,646):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(239,645):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0},(239,644):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(239,643):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(239,642):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(239,641):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(239,640):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'5_2':0.0},(239,639):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(239,638):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(239,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(239,636):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_17':0.0},(239,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(239,634):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(239,633):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(239,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(239,631):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(239,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(239,629):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(239,628):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(239,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(239,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(239,625):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(239,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(239,623):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(239,622):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(239,621):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(239,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(239,619):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(239,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(239,617):{'3_1':0.12,'4_1':0.0,'8_17':0.0},(239,616):{'3_1':0.06,'4_1':0.0},(239,615):{'3_1':0.06,'6_3':0.0},(239,614):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_5':0.0},(239,613):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(239,612):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(239,611):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(239,610):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_17':0.0},(239,609):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(239,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,607):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_1':0.0},(239,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(239,605):{'3_1':0.03,'5_1':0.0},(239,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,603):{'3_1':0.03},(239,602):{'3_1':0.06},(239,601):{'3_1':0.06,'4_1':0.0},(239,600):{'3_1':0.06},(239,599):{'3_1':0.03,'5_2':0.03},(239,598):{'3_1':0.06},(239,597):{'3_1':0.06,'5_2':0.0},(239,596):{'3_1':0.0},(239,595):{'3_1':0.06,'4_1':0.0},(239,594):{'3_1':0.09,'5_1':0.0},(239,593):{'3_1':0.06,'7_5':0.0},(239,592):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(239,591):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(239,590):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(239,589):{'3_1':0.06,'5_1':0.0},(239,588):{'3_1':0.06,'5_2':0.0},(239,587):{'3_1':0.03},(239,586):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(239,585):{'3_1':0.03,'5_2':0.0},(239,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,583):{'3_1':0.06},(239,582):{'3_1':0.06},(239,581):{'3_1':0.09,'5_1':0.0},(239,580):{'3_1':0.06,'5_2':0.0},(239,579):{'5_1':0.0,'3_1':0.0},(239,578):{'3_1':0.06,'5_1':0.0},(239,577):{'3_1':0.06},(239,576):{'3_1':0.09},(239,575):{'3_1':0.03},(239,574):{'3_1':0.09},(239,573):{'3_1':0.06,'8_20|3_1#3_1':0.0},(239,572):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(239,571):{'3_1':0.03,'5_2':0.0},(239,570):{'3_1':0.0,'5_1':0.0},(239,569):{'3_1':0.06,'4_1':0.0},(239,568):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,567):{'4_1':0.0,'3_1':0.0},(239,566):{'3_1':0.09,'5_1':0.0},(239,565):{'3_1':0.03},(239,564):{'3_1':0.06},(239,563):{'3_1':0.03,'4_1':0.0},(239,562):{'3_1':0.03,'5_1':0.0},(239,561):{'3_1':0.09,'5_1':0.0},(239,560):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(239,559):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(239,558):{'3_1':0.03,'5_1':0.0},(239,557):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(239,556):{'3_1':0.0,'4_1':0.0},(239,555):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(239,554):{'3_1':0.03},(239,553):{'3_1':0.06,'5_2':0.03},(239,552):{'3_1':0.06,'5_2':0.0},(239,551):{'3_1':0.06,'5_1':0.0},(239,550):{'3_1':0.03},(239,549):{'3_1':0.0},(239,548):{'3_1':0.03,'5_1':0.0},(239,547):{'5_1':0.0},(239,546):{'3_1':0.09},(239,545):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(239,544):{'3_1':0.03,'5_1':0.0},(239,543):{'3_1':0.03,'5_2':0.0},(239,542):{'3_1':0.03,'5_2':0.0},(239,541):{'3_1':0.06,'5_2':0.0},(239,540):{'3_1':0.09},(239,539):{'3_1':0.06},(239,538):{'3_1':0.03},(239,537):{'3_1':0.06},(239,536):{'3_1':0.03},(239,535):{'3_1':0.03},(239,534):{'3_1':0.0},(239,533):{'3_1':0.03},(239,532):{'3_1':0.0},(239,531):{'3_1':0.03},(239,530):{'3_1':0.03,'5_1':0.0},(239,529):{'3_1':0.03},(239,528):{'3_1':0.03},(239,527):{'3_1':0.03},(239,526):{'3_1':0.03},(239,525):{'3_1':0.03,'5_2':0.0},(239,524):{'3_1':0.03},(239,523):{'3_1':0.0},(239,522):{'3_1':0.03},(239,521):{'3_1':0.03,'4_1':0.0},(239,520):{'3_1':0.03},(239,519):{'3_1':0.0},(239,518):{'3_1':0.03},(239,517):{'3_1':0.0},(239,516):{'3_1':0.03},(239,515):{'3_1':0.03,'4_1':0.0},(239,514):{'3_1':0.03},(239,513):{'3_1':0.0},(239,512):{'3_1':0.03},(239,511):{'3_1':0.03,'8_20|3_1#3_1':0.0},(239,510):{'3_1':0.0,'4_1':0.0},(239,509):{'3_1':0.0,'4_1':0.0},(239,508):{'3_1':0.0},(239,507):{'3_1':0.03,'5_2':0.0},(239,506):{'3_1':0.0},(239,505):{'3_1':0.03,'5_2':0.0},(239,504):{'3_1':0.03},(239,503):{'3_1':0.03},(239,502):{'3_1':0.0},(239,501):{'3_1':0.03,'4_1':0.0},(239,500):{'3_1':0.0},(239,499):{'3_1':0.0},(239,498):{'3_1':0.0},(239,497):{'3_1':0.03},(239,496):{'3_1':0.0},(239,495):{'3_1':0.03},(239,494):{'3_1':0.0},(239,493):{'3_1':0.0,'4_1':0.0},(239,492):{'3_1':0.0},(239,491):{'3_1':0.0},(239,490):{'3_1':0.03},(239,489):{'3_1':0.03},(239,488):{'3_1':0.03,'5_2':0.0},(239,487):{'3_1':0.03,'5_2':0.0},(239,486):{'3_1':0.0},(239,485):{'3_1':0.0},(239,484):{'3_1':0.03},(239,483):{'3_1':0.06},(239,482):{'3_1':0.06},(239,481):{'3_1':0.03},(239,480):{'3_1':0.06},(239,479):{'3_1':0.03},(239,478):{'3_1':0.06},(239,477):{'3_1':0.03},(239,476):{'3_1':0.0},(239,475):{'3_1':0.0},(239,474):{'3_1':0.06},(239,473):{'3_1':0.0},(239,472):{'3_1':0.0},(239,471):{'3_1':0.03},(239,470):{'3_1':0.0},(239,469):{'3_1':0.0},(239,468):{'3_1':0.0},(239,467):{'3_1':0.0},(239,465):{'3_1':0.0,'5_2':0.0},(239,464):{'3_1':0.0,'5_2':0.0},(239,463):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(239,462):{'3_1':0.0},(239,461):{'3_1':0.0},(239,460):{'3_1':0.0},(239,459):{'3_1':0.0},(239,458):{'3_1':0.0,'4_1':0.0},(239,457):{'3_1':0.0},(239,455):{'3_1':0.0},(239,454):{'3_1':0.03},(239,453):{'3_1':0.0},(239,452):{'3_1':0.0},(239,451):{'3_1':0.0},(239,450):{'3_1':0.0},(239,449):{'3_1':0.0},(239,448):{'3_1':0.0},(239,447):{'3_1':0.03},(239,446):{'3_1':0.0},(239,445):{'3_1':0.0},(239,444):{'3_1':0.0},(239,442):{'3_1':0.0,'4_1':0.0},(239,441):{'3_1':0.0},(239,440):{'3_1':0.0,'4_1':0.0},(239,439):{'3_1':0.0},(239,438):{'3_1':0.0},(239,437):{'3_1':0.0},(239,436):{'3_1':0.0},(239,435):{'3_1':0.0},(239,434):{'3_1':0.0},(239,433):{'3_1':0.0},(239,432):{'3_1':0.0},(239,431):{'3_1':0.0},(239,430):{'3_1':0.03},(239,429):{'3_1':0.0},(239,428):{'3_1':0.0},(239,427):{'3_1':0.0},(239,426):{'3_1':0.0},(239,425):{'3_1':0.0},(239,424):{'3_1':0.0},(239,423):{'3_1':0.0},(239,422):{'3_1':0.0},(239,421):{'3_1':0.0},(239,420):{'3_1':0.0},(239,419):{'3_1':0.03},(239,418):{'3_1':0.0},(239,417):{'3_1':0.0},(239,416):{'3_1':0.0},(239,414):{'3_1':0.0},(239,412):{'3_1':0.03},(239,411):{'3_1':0.0},(239,410):{'3_1':0.0},(239,409):{'3_1':0.03},(239,408):{'3_1':0.0},(239,407):{'3_1':0.0,'4_1':0.0},(239,406):{'3_1':0.0},(239,405):{'3_1':0.0},(239,404):{'3_1':0.0,'5_1':0.0},(239,403):{'3_1':0.0},(239,402):{'3_1':0.0},(239,401):{'3_1':0.0},(239,400):{'3_1':0.0},(239,399):{'3_1':0.0},(239,398):{'3_1':0.0},(239,397):{'3_1':0.0},(239,396):{'3_1':0.0},(239,395):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(239,394):{'3_1':0.0,'4_1':0.0},(239,393):{'3_1':0.0},(239,390):{'3_1':0.0},(239,388):{'3_1':0.0},(239,386):{'3_1':0.0},(239,385):{'3_1':0.0},(239,384):{'3_1':0.0},(239,383):{'3_1':0.0},(239,381):{'3_1':0.0},(239,378):{'3_1':0.0},(239,377):{'3_1':0.0},(239,375):{'3_1':0.0},(239,373):{'3_1':0.0},(239,372):{'3_1':0.0},(239,371):{'3_1':0.0},(239,369):{'3_1':0.0},(239,368):{'3_1':0.0},(239,367):{'3_1':0.0},(239,366):{'3_1':0.0},(239,363):{'4_1':0.0},(239,362):{'3_1':0.0},(239,360):{'3_1':0.0},(239,358):{'3_1':0.0},(239,354):{'3_1':0.0},(239,350):{'3_1':0.0},(239,348):{'3_1':0.0},(239,344):{'3_1':0.0},(239,319):{'3_1':0.0},(239,315):{'3_1':0.0},(239,314):{'3_1':0.0},(239,313):{'3_1':0.0},(239,312):{'3_1':0.0},(239,309):{'3_1':0.0},(239,303):{'3_1':0.0},(239,262):{'3_1':0.0},(239,255):{'3_1':0.0},(239,254):{'3_1':0.0},(239,253):{'3_1':0.0},(240,727):{'5_2':0.03,'6_1':0.03,'3_1':0.03,'4_1':0.0,'7_5':0.0,'7_3':0.0,'8_4':0.0},(240,726):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'3_1':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(240,725):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'8_4':0.0},(240,724):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'7_2':0.03,'8_4':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0,'-3':0.0},(240,723):{'6_1':0.06,'5_2':0.06,'3_1':0.0,'8_4':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(240,722):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.03,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(240,721):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(240,720):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'8_4':0.0,'7_6':0.0,'8_9':0.0},(240,719):{'5_2':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(240,718):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'7_2':0.0,'8_4':0.0,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(240,717):{'6_1':0.06,'5_2':0.06,'7_2':0.03,'4_1':0.03,'8_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(240,716):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0},(240,715):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.03,'8_4':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0},(240,714):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.03,'8_4':0.03,'7_4':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0},(240,713):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'8_4':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(240,712):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.0,'8_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0},(240,711):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(240,710):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_5':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(240,709):{'6_1':0.12,'7_5':0.09,'3_1':0.06,'5_2':0.03,'-3':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'7_1':0.0,'9_1':0.0},(240,708):{'3_1':0.09,'5_2':0.03,'6_1':0.03,'8_4':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0},(240,707):{'5_2':0.12,'6_2':0.03,'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'7_2':0.0},(240,706):{'5_2':0.12,'6_1':0.06,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'4_1':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(240,705):{'4_1':0.03,'5_2':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.03,'8_4':0.0},(240,704):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(240,703):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.03,'8_4':0.03,'6_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(240,702):{'5_2':0.12,'7_4':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0},(240,701):{'5_2':0.12,'7_4':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_6':0.0,'8_4':0.0,'7_2':0.0,'8_3':0.0,'8_6':0.0,'8_11':0.0},(240,700):{'5_2':0.12,'6_1':0.09,'7_4':0.03,'3_1':0.03,'4_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_9':0.0,'-3':0.0},(240,699):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_3':0.03,'7_4':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0,'3_1':0.0,'-3':0.0},(240,698):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'8_4':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(240,697):{'5_2':0.15,'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0,'7_5':0.0,'8_9':0.0},(240,696):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0,'3_1':0.0,'7_2':0.0},(240,695):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.03,'8_4':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(240,694):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'8_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(240,693):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_2':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'8_3':0.0},(240,692):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0,'8_4':0.0},(240,691):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'7_4':0.03,'8_4':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'8_11':0.0,'8_14':0.0},(240,690):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0,'7_2':0.0,'8_3':0.0},(240,689):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_2':0.03,'8_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(240,688):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'6_2':0.0,'8_4':0.0,'3_1':0.0,'7_2':0.0},(240,687):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0,'6_2':0.0},(240,686):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'5_1':0.0,'8_9':0.0,'8_11':0.0},(240,685):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(240,684):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'8_4':0.03,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0},(240,683):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_3':0.0,'8_12':0.0},(240,682):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(240,681):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(240,680):{'5_2':0.15,'4_1':0.03,'6_2':0.03,'3_1':0.03,'8_4':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0},(240,679):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0},(240,678):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'8_1':0.0,'8_4':0.0},(240,677):{'5_2':0.12,'4_1':0.09,'6_2':0.06,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0},(240,676):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(240,675):{'5_2':0.09,'6_2':0.06,'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0},(240,674):{'5_2':0.18,'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(240,673):{'5_2':0.15,'4_1':0.0,'6_1':0.0,'6_2':0.0,'3_1':0.0},(240,672):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(240,671):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_1':0.0},(240,670):{'4_1':0.09,'6_1':0.03,'5_2':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0},(240,669):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'8_1':0.0,'8_4':0.0},(240,668):{'3_1':0.03,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(240,667):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'8_4':0.0},(240,666):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0},(240,665):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(240,664):{'4_1':0.09,'3_1':0.09,'6_2':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0,'8_4':0.0},(240,663):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(240,662):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'8_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(240,661):{'3_1':0.12,'4_1':0.09,'6_2':0.06,'5_2':0.03,'5_1':0.0,'8_1':0.0,'6_3':0.0},(240,660):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'8_4':0.0},(240,659):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(240,658):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0},(240,657):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(240,656):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'8_8':0.0},(240,655):{'4_1':0.12,'3_1':0.09,'6_2':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(240,654):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(240,653):{'3_1':0.12,'6_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(240,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(240,651):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(240,650):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0},(240,649):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(240,648):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(240,647):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(240,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_19':0.0},(240,645):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0,'8_19':0.0},(240,644):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(240,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(240,642):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0},(240,641):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(240,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(240,639):{'3_1':0.12,'6_2':0.0,'5_2':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0},(240,638):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0},(240,637):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(240,636):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(240,635):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(240,634):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(240,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(240,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(240,630):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0},(240,629):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0},(240,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(240,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(240,626):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(240,625):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,624):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(240,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(240,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(240,621):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_17':0.0},(240,620):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'6_3':0.0},(240,619):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(240,618):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0},(240,617):{'3_1':0.12,'4_1':0.0},(240,616):{'3_1':0.18,'5_2':0.0},(240,615):{'3_1':0.12,'5_2':0.0,'6_3':0.0},(240,614):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(240,613):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,612):{'3_1':0.06,'5_1':0.0},(240,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(240,610):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(240,609):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(240,608):{'3_1':0.03,'4_1':0.0},(240,607):{'3_1':0.09,'4_1':0.0},(240,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(240,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(240,604):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(240,603):{'3_1':0.03,'4_1':0.0},(240,602):{'3_1':0.09,'5_2':0.0},(240,601):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(240,600):{'3_1':0.09},(240,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,598):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(240,597):{'3_1':0.06},(240,596):{'3_1':0.03,'5_1':0.0},(240,595):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(240,594):{'3_1':0.06,'5_1':0.0},(240,593):{'3_1':0.09,'5_2':0.0},(240,592):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(240,591):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,590):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,589):{'3_1':0.03},(240,588):{'3_1':0.06},(240,587):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(240,586):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,585):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,584):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(240,583):{'3_1':0.06,'6_3':0.0,'7_3':0.0},(240,582):{'3_1':0.06},(240,581):{'3_1':0.09},(240,580):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(240,579):{'3_1':0.03,'5_1':0.0},(240,578):{'3_1':0.03},(240,577):{'3_1':0.03,'5_2':0.0},(240,576):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(240,575):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(240,574):{'3_1':0.03,'5_1':0.0},(240,573):{'3_1':0.0},(240,572):{'3_1':0.03,'5_2':0.0},(240,571):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,570):{'3_1':0.06,'5_1':0.0},(240,569):{'3_1':0.03,'5_2':0.0},(240,568):{'3_1':0.06,'8_20|3_1#3_1':0.0},(240,567):{'3_1':0.06,'5_1':0.0},(240,566):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(240,565):{'3_1':0.03,'5_1':0.0},(240,564):{'3_1':0.06,'5_2':0.0},(240,563):{'3_1':0.03,'5_1':0.0},(240,562):{'3_1':0.09,'4_1':0.0},(240,561):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(240,560):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(240,559):{'3_1':0.03,'5_1':0.0},(240,558):{'3_1':0.06,'5_1':0.0},(240,557):{'3_1':0.03},(240,556):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,555):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(240,554):{'3_1':0.03},(240,553):{'3_1':0.03},(240,552):{'3_1':0.06,'4_1':0.0},(240,551):{'3_1':0.0,'5_1':0.0},(240,550):{'3_1':0.06},(240,549):{'3_1':0.0,'5_1':0.0},(240,548):{'3_1':0.06,'6_2':0.0},(240,547):{'3_1':0.06},(240,546):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(240,545):{'3_1':0.03,'5_2':0.0},(240,544):{'3_1':0.03,'5_2':0.0},(240,543):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,542):{'3_1':0.0},(240,541):{'3_1':0.09},(240,540):{'3_1':0.03,'5_1':0.0},(240,539):{'3_1':0.03},(240,538):{'3_1':0.03},(240,537):{'3_1':0.0,'5_1':0.0},(240,536):{'3_1':0.0,'4_1':0.0},(240,535):{'3_1':0.03},(240,534):{'3_1':0.03},(240,533):{'3_1':0.03},(240,532):{'3_1':0.03,'5_2':0.0},(240,531):{'3_1':0.03,'4_1':0.0},(240,529):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(240,528):{'3_1':0.03,'5_1':0.0},(240,527):{'3_1':0.03},(240,526):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(240,525):{'3_1':0.03,'4_1':0.0},(240,524):{'3_1':0.0},(240,523):{'3_1':0.0},(240,522):{'3_1':0.0,'5_2':0.0},(240,521):{'3_1':0.0,'5_1':0.0},(240,520):{'3_1':0.06,'4_1':0.0},(240,519):{'3_1':0.03},(240,518):{'3_1':0.0},(240,517):{'3_1':0.03},(240,516):{'3_1':0.03,'5_1':0.0},(240,515):{'3_1':0.03},(240,514):{'3_1':0.0},(240,513):{'3_1':0.03},(240,512):{'3_1':0.0},(240,511):{'3_1':0.0},(240,510):{'3_1':0.0,'4_1':0.0},(240,509):{'3_1':0.0},(240,508):{'3_1':0.0},(240,507):{'3_1':0.0},(240,506):{'3_1':0.0},(240,505):{'3_1':0.0},(240,504):{'3_1':0.0,'4_1':0.0},(240,503):{'3_1':0.0},(240,502):{'3_1':0.0,'4_1':0.0},(240,501):{'3_1':0.0},(240,500):{'3_1':0.0,'4_1':0.0},(240,499):{'3_1':0.0},(240,498):{'3_1':0.0,'4_1':0.0},(240,497):{'3_1':0.03,'4_1':0.0},(240,496):{'3_1':0.0},(240,495):{'3_1':0.0},(240,494):{'3_1':0.0},(240,493):{'3_1':0.03},(240,492):{'3_1':0.0},(240,490):{'3_1':0.0},(240,489):{'3_1':0.0,'5_2':0.0},(240,488):{'3_1':0.0},(240,487):{'3_1':0.0},(240,486):{'3_1':0.0},(240,485):{'3_1':0.0},(240,484):{'3_1':0.06},(240,483):{'3_1':0.03},(240,482):{'3_1':0.0},(240,481):{'3_1':0.0,'4_1':0.0},(240,480):{'3_1':0.06},(240,479):{'3_1':0.0,'4_1':0.0},(240,478):{'3_1':0.03},(240,477):{'3_1':0.0},(240,476):{'3_1':0.0},(240,475):{'3_1':0.0},(240,474):{'3_1':0.0},(240,473):{'3_1':0.03},(240,472):{'3_1':0.06},(240,471):{'3_1':0.0},(240,470):{'3_1':0.03},(240,469):{'3_1':0.03,'4_1':0.0},(240,468):{'3_1':0.0},(240,467):{'3_1':0.03},(240,465):{'3_1':0.03},(240,464):{'3_1':0.0},(240,462):{'3_1':0.0},(240,461):{'3_1':0.0,'4_1':0.0},(240,460):{'3_1':0.0},(240,459):{'3_1':0.0},(240,458):{'3_1':0.0},(240,457):{'3_1':0.0},(240,456):{'3_1':0.0},(240,455):{'3_1':0.0},(240,454):{'3_1':0.0,'4_1':0.0},(240,452):{'3_1':0.03},(240,451):{'3_1':0.0,'5_1':0.0},(240,450):{'3_1':0.0},(240,448):{'3_1':0.03},(240,447):{'3_1':0.0},(240,446):{'3_1':0.0},(240,445):{'3_1':0.03,'4_1':0.0},(240,444):{'3_1':0.0},(240,443):{'3_1':0.0},(240,442):{'3_1':0.0},(240,440):{'3_1':0.0},(240,437):{'3_1':0.03},(240,436):{'3_1':0.0},(240,435):{'3_1':0.0},(240,434):{'3_1':0.03},(240,433):{'3_1':0.0},(240,432):{'3_1':0.0},(240,430):{'3_1':0.0},(240,429):{'4_1':0.0,'3_1':0.0},(240,427):{'3_1':0.0},(240,426):{'3_1':0.0},(240,425):{'3_1':0.0},(240,424):{'3_1':0.0},(240,423):{'3_1':0.0},(240,422):{'3_1':0.0},(240,420):{'3_1':0.0},(240,419):{'3_1':0.03},(240,418):{'3_1':0.0},(240,417):{'3_1':0.0},(240,415):{'3_1':0.0,'4_1':0.0},(240,414):{'4_1':0.0},(240,413):{'3_1':0.0,'5_1':0.0},(240,411):{'3_1':0.0},(240,410):{'3_1':0.03},(240,409):{'3_1':0.0},(240,408):{'3_1':0.0,'6_1':0.0},(240,407):{'4_1':0.0},(240,406):{'5_1':0.0,'3_1':0.0},(240,405):{'3_1':0.0},(240,404):{'5_1':0.0},(240,400):{'3_1':0.0},(240,397):{'3_1':0.0},(240,395):{'3_1':0.0},(240,394):{'3_1':0.0},(240,391):{'3_1':0.0},(240,389):{'3_1':0.0},(240,387):{'3_1':0.0,'4_1':0.0},(240,384):{'3_1':0.0},(240,382):{'5_2':0.0},(240,381):{'3_1':0.0},(240,378):{'3_1':0.0},(240,373):{'3_1':0.0},(240,372):{'3_1':0.0},(240,369):{'3_1':0.0},(240,366):{'3_1':0.0},(240,362):{'3_1':0.0},(240,359):{'3_1':0.0},(240,355):{'3_1':0.0},(240,348):{'3_1':0.0},(240,319):{'3_1':0.0},(240,316):{'3_1':0.0},(240,314):{'3_1':0.0},(240,306):{'3_1':0.0},(240,302):{'4_1':0.0},(240,301):{'3_1':0.0},(240,262):{'7_2':0.0},(240,256):{'3_1':0.0},(240,252):{'3_1':0.0},(240,251):{'3_1':0.0},(240,248):{'3_1':0.0},(241,727):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.03,'8_4':0.03,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(241,726):{'5_2':0.12,'6_1':0.06,'4_1':0.0,'8_4':0.0,'3_1':0.0,'7_2':0.0},(241,725):{'6_1':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_4':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(241,724):{'6_1':0.06,'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(241,723):{'6_1':0.09,'5_2':0.03,'3_1':0.0,'8_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(241,722):{'6_1':0.09,'5_2':0.03,'8_4':0.03,'3_1':0.03,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(241,721):{'6_1':0.12,'4_1':0.06,'5_2':0.06,'3_1':0.0,'8_4':0.0,'7_4':0.0,'8_6':0.0},(241,720):{'5_2':0.15,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0,'6_2':0.0,'7_4':0.0},(241,719):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.03,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(241,718):{'6_1':0.06,'4_1':0.06,'5_2':0.06,'3_1':0.0,'7_4':0.0,'8_4':0.0,'6_2':0.0,'7_5':0.0},(241,717):{'6_1':0.06,'3_1':0.06,'5_2':0.06,'8_4':0.03,'4_1':0.03,'7_4':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(241,716):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'8_4':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(241,715):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.03,'7_5':0.0,'8_1':0.0,'8_3':0.0},(241,714):{'6_1':0.03,'3_1':0.03,'4_1':0.03,'5_2':0.03,'8_4':0.03,'8_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(241,713):{'5_2':0.09,'3_1':0.06,'8_4':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(241,712):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'8_4':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_9':0.0},(241,711):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'1':-0.03},(241,710):{'3_1':0.12,'6_1':0.06,'7_5':0.03,'8_4':0.03,'5_2':0.03,'8_3':0.0,'4_1':0.0,'8_6':0.0,'6_2':0.0,'-3':0.0},(241,709):{'3_1':0.12,'7_5':0.06,'6_1':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'8_3':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(241,708):{'3_1':0.09,'6_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0,'8_4':0.0,'8_6':0.0},(241,707):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.03,'8_4':0.0,'3_1':0.0,'8_6':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_19':0.0},(241,706):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0},(241,705):{'6_1':0.12,'5_2':0.06,'4_1':0.0,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(241,704):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'7_1':0.0,'7_6':0.0},(241,703):{'5_2':0.09,'6_1':0.09,'8_4':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'7_3':0.0,'-3':0.0},(241,702):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'7_2':0.03,'7_4':0.03,'3_1':0.0,'8_4':0.0,'7_6':0.0,'8_3':0.0},(241,701):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(241,700):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(241,699):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'6_2':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(241,698):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(241,697):{'5_2':0.09,'7_4':0.03,'4_1':0.03,'6_1':0.03,'8_4':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(241,696):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.03,'7_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(241,695):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(241,694):{'5_2':0.12,'6_1':0.12,'4_1':0.06,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(241,693):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0},(241,692):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(241,691):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'8_4':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0,'8_3':0.0},(241,690):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.03,'6_2':0.0,'7_4':0.0,'8_9':0.0},(241,689):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_4':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(241,688):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(241,687):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0,'7_4':0.0},(241,686):{'5_2':0.09,'4_1':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'8_4':0.0,'7_3':0.0,'8_9':0.0},(241,685):{'5_2':0.15,'6_2':0.03,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'7_2':0.0,'8_6':0.0},(241,684):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'6_2':0.03,'3_1':0.0,'7_6':0.0,'8_4':0.0},(241,683):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(241,682):{'5_2':0.15,'4_1':0.03,'6_2':0.03,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(241,681):{'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0},(241,680):{'5_2':0.15,'4_1':0.09,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(241,679):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(241,678):{'5_2':0.12,'4_1':0.09,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'8_4':0.0},(241,677):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'5_1':0.03,'3_1':0.0,'6_2':0.0,'8_5':0.0},(241,676):{'5_2':0.18,'3_1':0.09,'4_1':0.09,'6_1':0.0,'8_4':0.0,'6_2':0.0},(241,675):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0,'8_1':0.0},(241,674):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'8_4':0.0},(241,673):{'5_2':0.12,'6_1':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(241,672):{'5_2':0.06,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(241,671):{'4_1':0.09,'5_2':0.06,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(241,670):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0},(241,669):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(241,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0},(241,667):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'8_6':0.0,'-3':0.0},(241,666):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(241,665):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(241,664):{'5_2':0.09,'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(241,663):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(241,662):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(241,661):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(241,660):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(241,659):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(241,658):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0,'8_19':0.0},(241,657):{'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(241,656):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(241,655):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0},(241,654):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(241,653):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(241,652):{'3_1':0.18,'6_2':0.03,'4_1':0.03,'5_2':0.0,'8_19':0.0},(241,651):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(241,650):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(241,649):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(241,648):{'3_1':0.21,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(241,647):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0,'7_3':0.0,'8_19':0.0},(241,646):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_19':0.0,'9_1':0.0},(241,645):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(241,644):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(241,643):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0},(241,642):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(241,641):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(241,640):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(241,639):{'3_1':0.15,'4_1':0.03},(241,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(241,637):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(241,636):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(241,635):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(241,634):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'4_1':0.0,'6_1':0.0},(241,633):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(241,632):{'3_1':0.24,'4_1':0.0,'6_1':0.0,'6_2':0.0},(241,631):{'3_1':0.12,'4_1':0.0},(241,630):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(241,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0},(241,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(241,627):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(241,626):{'3_1':0.18},(241,625):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(241,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_17':0.0},(241,623):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(241,622):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'4_1':0.0},(241,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(241,620):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(241,619):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(241,618):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_17':0.0},(241,617):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(241,616):{'3_1':0.09,'6_3':0.0,'5_1':0.0,'6_2':0.0,'8_17':0.0},(241,615):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(241,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(241,613):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(241,612):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(241,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(241,610):{'3_1':0.06,'4_1':0.0},(241,609):{'3_1':0.12,'6_2':0.0},(241,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(241,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(241,606):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(241,605):{'3_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(241,604):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(241,603):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(241,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(241,601):{'3_1':0.06,'5_2':0.0},(241,600):{'3_1':0.06,'5_1':0.0},(241,599):{'3_1':0.09,'4_1':0.0},(241,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(241,597):{'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_5':0.0},(241,596):{'3_1':0.0,'4_1':0.0},(241,595):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(241,594):{'3_1':0.0,'5_1':0.0},(241,593):{'3_1':0.06},(241,592):{'3_1':0.06},(241,591):{'3_1':0.06,'5_2':0.0},(241,590):{'3_1':0.06},(241,589):{'3_1':0.09,'5_1':0.0},(241,588):{'3_1':0.09,'5_1':0.0},(241,587):{'3_1':0.06,'5_1':0.0},(241,586):{'3_1':0.06,'4_1':0.0},(241,585):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(241,584):{'3_1':0.09,'5_2':0.0},(241,583):{'3_1':0.06},(241,582):{'3_1':0.09},(241,581):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(241,580):{'3_1':0.06,'5_1':0.0},(241,579):{'3_1':0.06,'4_1':0.0},(241,578):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(241,577):{'3_1':0.09,'4_1':0.0},(241,576):{'3_1':0.03,'5_2':0.0},(241,575):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(241,574):{'3_1':0.12,'5_1':0.0},(241,573):{'3_1':0.03},(241,572):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(241,571):{'3_1':0.06,'7_5':0.0},(241,570):{'3_1':0.06},(241,569):{'3_1':0.03,'5_1':0.0},(241,568):{'3_1':0.06},(241,567):{'3_1':0.03,'5_2':0.0},(241,566):{'3_1':0.06},(241,565):{'3_1':0.06},(241,564):{'3_1':0.06,'5_1':0.0},(241,563):{'3_1':0.06},(241,562):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(241,561):{'3_1':0.03,'4_1':0.0},(241,560):{'3_1':0.03,'5_1':0.0},(241,559):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(241,558):{'3_1':0.03,'4_1':0.0},(241,557):{'3_1':0.06},(241,556):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(241,555):{'3_1':0.06},(241,554):{'3_1':0.0,'5_2':0.0},(241,553):{'3_1':0.0,'5_1':0.0},(241,552):{'3_1':0.03},(241,551):{'3_1':0.06,'7_5':0.0},(241,550):{'3_1':0.03,'5_2':0.0},(241,549):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(241,548):{'3_1':0.09},(241,547):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(241,546):{'3_1':0.03,'5_2':0.0},(241,545):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(241,544):{'3_1':0.03,'5_2':0.0},(241,543):{'3_1':0.03,'4_1':0.0},(241,542):{'3_1':0.09,'7_4':0.0},(241,541):{'3_1':0.06},(241,540):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(241,539):{'3_1':0.06},(241,538):{'3_1':0.06},(241,537):{'3_1':0.09},(241,536):{'3_1':0.09},(241,535):{'3_1':0.06},(241,534):{'3_1':0.03},(241,533):{'3_1':0.03},(241,532):{'3_1':0.0},(241,531):{'3_1':0.0},(241,530):{'3_1':0.0,'6_2':0.0},(241,529):{'3_1':0.03},(241,528):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(241,527):{'3_1':0.0},(241,526):{'3_1':0.03},(241,525):{'3_1':0.0},(241,524):{'3_1':0.06},(241,522):{'3_1':0.03},(241,521):{'3_1':0.0},(241,520):{'3_1':0.0},(241,519):{'3_1':0.0},(241,518):{'3_1':0.0},(241,517):{'3_1':0.03,'4_1':0.0},(241,516):{'3_1':0.03,'5_2':0.0},(241,515):{'3_1':0.0,'4_1':0.0},(241,514):{'3_1':0.0},(241,513):{'3_1':0.0,'4_1':0.0},(241,512):{'3_1':0.0},(241,511):{'3_1':0.0},(241,510):{'3_1':0.03},(241,509):{'3_1':0.0,'4_1':0.0},(241,508):{'3_1':0.03,'5_2':0.0},(241,507):{'3_1':0.0},(241,505):{'3_1':0.0,'5_2':0.0},(241,504):{'3_1':0.03,'4_1':0.0},(241,503):{'3_1':0.0},(241,502):{'3_1':0.03},(241,501):{'3_1':0.0},(241,500):{'3_1':0.0},(241,499):{'3_1':0.0,'4_1':0.0},(241,498):{'3_1':0.0,'4_1':0.0},(241,497):{'3_1':0.0},(241,496):{'3_1':0.0},(241,495):{'3_1':0.0,'4_1':0.0},(241,494):{'3_1':0.0},(241,493):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(241,492):{'3_1':0.0},(241,491):{'3_1':0.03},(241,490):{'3_1':0.0},(241,489):{'5_2':0.0},(241,488):{'3_1':0.03},(241,487):{'3_1':0.0},(241,486):{'3_1':0.0,'5_2':0.0},(241,485):{'3_1':0.03},(241,484):{'3_1':0.03},(241,483):{'3_1':0.06,'5_2':0.0},(241,482):{'3_1':0.03},(241,481):{'3_1':0.06},(241,480):{'3_1':0.0},(241,479):{'3_1':0.03},(241,478):{'3_1':0.03},(241,477):{'3_1':0.03},(241,476):{'3_1':0.03},(241,475):{'3_1':0.0},(241,474):{'3_1':0.0},(241,473):{'3_1':0.03},(241,472):{'3_1':0.0},(241,470):{'3_1':0.0},(241,469):{'3_1':0.0},(241,468):{'3_1':0.0},(241,467):{'3_1':0.0},(241,466):{'3_1':0.0},(241,464):{'3_1':0.0},(241,463):{'3_1':0.0},(241,462):{'3_1':0.0},(241,461):{'3_1':0.0},(241,460):{'3_1':0.0},(241,458):{'3_1':0.0},(241,457):{'3_1':0.0},(241,456):{'3_1':0.0},(241,455):{'3_1':0.0},(241,454):{'3_1':0.0},(241,453):{'3_1':0.0},(241,452):{'3_1':0.0},(241,450):{'3_1':0.0},(241,449):{'3_1':0.03},(241,448):{'3_1':0.03},(241,447):{'3_1':0.0},(241,446):{'3_1':0.0,'4_1':0.0},(241,445):{'3_1':0.0},(241,444):{'3_1':0.0},(241,443):{'3_1':0.0},(241,442):{'3_1':0.0},(241,441):{'3_1':0.03},(241,439):{'3_1':0.0},(241,438):{'3_1':0.0},(241,436):{'3_1':0.0},(241,435):{'3_1':0.0},(241,434):{'3_1':0.0},(241,433):{'3_1':0.0},(241,432):{'3_1':0.0},(241,431):{'3_1':0.0},(241,427):{'3_1':0.0},(241,425):{'3_1':0.0},(241,424):{'3_1':0.03},(241,423):{'4_1':0.0},(241,421):{'3_1':0.0},(241,420):{'3_1':0.0},(241,418):{'3_1':0.0},(241,417):{'3_1':0.0},(241,416):{'3_1':0.0},(241,415):{'3_1':0.0,'5_1':0.0},(241,414):{'3_1':0.0},(241,413):{'3_1':0.0,'7_1':0.0},(241,412):{'3_1':0.0},(241,411):{'3_1':0.0},(241,410):{'3_1':0.0},(241,409):{'3_1':0.0},(241,408):{'3_1':0.0},(241,401):{'3_1':0.0},(241,400):{'3_1':0.0,'4_1':0.0},(241,399):{'3_1':0.0},(241,398):{'3_1':0.0},(241,397):{'3_1':0.03},(241,396):{'4_1':0.0},(241,395):{'3_1':0.0},(241,393):{'3_1':0.0,'4_1':0.0},(241,392):{'3_1':0.0},(241,390):{'3_1':0.0},(241,389):{'3_1':0.0},(241,387):{'3_1':0.0},(241,386):{'3_1':0.0},(241,384):{'3_1':0.0},(241,383):{'3_1':0.0},(241,382):{'3_1':0.0},(241,379):{'3_1':0.0},(241,378):{'3_1':0.0,'5_2':0.0},(241,377):{'3_1':0.0,'4_1':0.0},(241,375):{'3_1':0.0},(241,374):{'3_1':0.0},(241,373):{'3_1':0.0},(241,361):{'3_1':0.0},(241,360):{'3_1':0.0},(241,359):{'3_1':0.0},(241,357):{'3_1':0.0},(241,354):{'3_1':0.0},(241,347):{'3_1':0.0},(241,321):{'3_1':0.0},(241,320):{'3_1':0.0},(241,318):{'3_1':0.0},(241,317):{'3_1':0.0},(241,313):{'3_1':0.0},(241,253):{'3_1':0.0},(241,252):{'3_1':0.0},(241,248):{'3_1':0.0},(242,727):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(242,726):{'5_2':0.09,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(242,725):{'5_2':0.06,'4_1':0.06,'6_1':0.03,'8_4':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(242,724):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.0,'7_6':0.0,'8_4':0.0,'5_1':0.0,'8_3':0.0},(242,723):{'6_1':0.06,'5_2':0.06,'8_4':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0},(242,722):{'6_1':0.09,'5_2':0.09,'3_1':0.0,'4_1':0.0,'8_4':0.0},(242,721):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_6':0.0,'8_4':0.0},(242,720):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0},(242,719):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(242,718):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(242,717):{'6_1':0.15,'3_1':0.03,'4_1':0.03,'5_2':0.03,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0},(242,716):{'5_2':0.06,'4_1':0.03,'6_1':0.03,'8_4':0.03,'-3':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(242,715):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'7_3':0.0},(242,714):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(242,713):{'6_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(242,712):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(242,711):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.03,'8_4':0.03,'7_5':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(242,710):{'3_1':0.06,'7_5':0.06,'5_2':0.03,'6_1':0.03,'4_1':0.03,'8_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(242,709):{'3_1':0.12,'7_5':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.03,'-3':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0},(242,708):{'6_1':0.09,'3_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(242,707):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0},(242,706):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'6_2':0.0,'3_1':0.0,'7_2':0.0,'8_4':0.0},(242,705):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0},(242,704):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(242,703):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'7_4':0.0,'8_4':0.0,'7_3':0.0,'4_1':0.0,'-3':0.0},(242,702):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.0,'3_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0},(242,701):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.03,'8_4':0.0,'5_1':0.0},(242,700):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_3':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(242,699):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(242,698):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'3_1':0.03,'4_1':0.0,'8_4':0.0,'7_3':0.0},(242,697):{'5_2':0.15,'7_4':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'3_1':0.0,'7_3':0.0,'8_4':0.0},(242,696):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(242,695):{'5_2':0.21,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_2':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(242,694):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(242,693):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(242,692):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0},(242,691):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(242,690):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_2':0.0,'8_4':0.0},(242,689):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(242,688):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_1':0.0,'8_4':0.0,'7_4':0.0},(242,687):{'5_2':0.15,'4_1':0.06,'3_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(242,686):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(242,685):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_4':0.0},(242,684):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0},(242,683):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(242,682):{'5_2':0.09,'4_1':0.06,'6_2':0.0,'7_2':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0},(242,681):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0},(242,680):{'5_2':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(242,679):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(242,678):{'5_2':0.12,'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(242,677):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'8_3':0.0,'8_6':0.0},(242,676):{'5_2':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0},(242,675):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0},(242,674):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(242,673):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(242,672):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_3':0.0,'6_1':0.0,'6_2':0.0},(242,671):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_11':0.0},(242,670):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0},(242,669):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(242,668):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(242,667):{'5_2':0.06,'4_1':0.06,'3_1':0.06,'5_1':0.0,'8_4':0.0,'-3':0.0},(242,666):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0},(242,665):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'8_4':0.0},(242,664):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(242,663):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0},(242,662):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_5':0.0,'8_4':0.0},(242,661):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0},(242,660):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(242,659):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(242,658):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.03,'6_1':0.0},(242,657):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'8_4':0.0},(242,656):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0},(242,655):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0},(242,654):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(242,653):{'3_1':0.12,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(242,652):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0},(242,651):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(242,650):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(242,649):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(242,648):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(242,647):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(242,646):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(242,645):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0,'7_1':0.0},(242,644):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(242,643):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(242,642):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(242,641):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0,'8_9':0.0,'8_17':0.0},(242,640):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'6_2':0.0},(242,639):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(242,638):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0},(242,637):{'3_1':0.24,'4_1':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0},(242,636):{'3_1':0.18,'6_2':0.0,'5_1':0.0},(242,635):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_6':0.0},(242,634):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(242,633):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(242,632):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(242,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(242,630):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(242,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(242,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(242,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(242,626):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(242,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(242,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(242,623):{'3_1':0.12,'4_1':0.0},(242,622):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(242,621):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(242,620):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(242,619):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(242,618):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(242,617):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(242,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(242,615):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'-3':0.0},(242,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(242,613):{'3_1':0.15,'4_1':0.0},(242,612):{'3_1':0.09,'4_1':0.0},(242,611):{'3_1':0.06,'4_1':0.0},(242,610):{'3_1':0.12,'4_1':0.0},(242,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(242,608):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(242,607):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(242,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(242,605):{'3_1':0.06,'4_1':0.0},(242,604):{'3_1':0.03,'4_1':0.0},(242,603):{'3_1':0.0,'5_1':0.0},(242,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(242,601):{'3_1':0.03},(242,600):{'3_1':0.0,'4_1':0.0},(242,599):{'3_1':0.06,'4_1':0.0},(242,598):{'3_1':0.06,'4_1':0.0},(242,597):{'3_1':0.03,'5_1':0.0},(242,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,595):{'3_1':0.06,'4_1':0.0},(242,594):{'3_1':0.03,'5_1':0.0},(242,593):{'3_1':0.06,'5_1':0.0},(242,592):{'3_1':0.06,'4_1':0.0},(242,591):{'3_1':0.03,'5_2':0.0},(242,590):{'3_1':0.06,'5_1':0.0},(242,589):{'3_1':0.03},(242,588):{'3_1':0.03},(242,587):{'3_1':0.0,'5_1':0.0},(242,586):{'3_1':0.09},(242,585):{'3_1':0.03},(242,584):{'3_1':0.0},(242,583):{'3_1':0.06,'5_1':0.0},(242,582):{'3_1':0.03,'5_1':0.0},(242,581):{'3_1':0.03,'5_1':0.0},(242,580):{'3_1':0.03},(242,579):{'3_1':0.0,'5_1':0.0},(242,578):{'3_1':0.03},(242,577):{'3_1':0.03,'5_2':0.0},(242,576):{'3_1':0.03,'4_1':0.0},(242,575):{'3_1':0.0,'5_1':0.0},(242,574):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(242,573):{'3_1':0.03,'5_2':0.0,'6_3':0.0},(242,572):{'3_1':0.03},(242,571):{'3_1':0.0,'5_1':0.0},(242,570):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(242,569):{'3_1':0.06},(242,568):{'3_1':0.06,'6_2':0.0},(242,567):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(242,566):{'3_1':0.03,'4_1':0.0},(242,565):{'3_1':0.0},(242,564):{'3_1':0.03,'5_1':0.0},(242,563):{'3_1':0.03,'4_1':0.0},(242,562):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_5':0.0},(242,561):{'5_1':0.0,'3_1':0.0},(242,560):{'3_1':0.03,'4_1':0.0},(242,559):{'3_1':0.0,'5_1':0.0},(242,558):{'3_1':0.0},(242,557):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(242,556):{'3_1':0.06,'5_1':0.0},(242,555):{'3_1':0.03},(242,554):{'3_1':0.0,'4_1':0.0},(242,553):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(242,552):{'3_1':0.03},(242,551):{'3_1':0.0},(242,550):{'3_1':0.0,'5_2':0.0},(242,549):{'3_1':0.03,'5_2':0.0},(242,548):{'3_1':0.03},(242,547):{'3_1':0.0,'5_1':0.0},(242,546):{'3_1':0.0,'8_2':0.0},(242,545):{'3_1':0.03},(242,544):{'3_1':0.0,'5_1':0.0},(242,543):{'3_1':0.0,'5_1':0.0},(242,542):{'3_1':0.03,'5_2':0.0},(242,541):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(242,540):{'3_1':0.06},(242,539):{'3_1':0.06},(242,538):{'3_1':0.03,'6_2':0.0},(242,537):{'3_1':0.03,'4_1':0.0},(242,536):{'3_1':0.03},(242,535):{'3_1':0.06,'5_2':0.0},(242,534):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(242,533):{'3_1':0.03},(242,532):{'3_1':0.03},(242,531):{'3_1':0.03,'5_1':0.0},(242,530):{'3_1':0.03,'7_1':0.0},(242,529):{'3_1':0.06},(242,528):{'3_1':0.06},(242,527):{'3_1':0.03},(242,526):{'3_1':0.03},(242,525):{'3_1':0.0},(242,524):{'3_1':0.0},(242,523):{'3_1':0.0},(242,522):{'3_1':0.03},(242,521):{'3_1':0.06},(242,520):{'3_1':0.0},(242,519):{'3_1':0.03,'4_1':0.0},(242,518):{'3_1':0.03},(242,517):{'5_2':0.0},(242,516):{'3_1':0.03,'5_2':0.0},(242,515):{'3_1':0.03},(242,514):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(242,513):{'3_1':0.0},(242,512):{'3_1':0.0},(242,511):{'3_1':0.0},(242,510):{'3_1':0.03,'8_20|3_1#3_1':0.0},(242,509):{'4_1':0.0},(242,508):{'3_1':0.0},(242,507):{'3_1':0.0},(242,506):{'3_1':0.0},(242,504):{'3_1':0.0,'4_1':0.0},(242,503):{'3_1':0.0,'4_1':0.0},(242,501):{'3_1':0.0},(242,500):{'3_1':0.0,'4_1':0.0},(242,499):{'3_1':0.0},(242,498):{'3_1':0.0},(242,497):{'3_1':0.0},(242,496):{'3_1':0.0,'4_1':0.0},(242,494):{'3_1':0.0},(242,492):{'3_1':0.03},(242,491):{'3_1':0.03},(242,490):{'3_1':0.03},(242,489):{'3_1':0.0},(242,488):{'3_1':0.0,'4_1':0.0},(242,487):{'3_1':0.0},(242,486):{'3_1':0.0,'5_2':0.0},(242,485):{'3_1':0.06},(242,484):{'3_1':0.0,'5_2':0.0},(242,483):{'3_1':0.0,'5_1':0.0},(242,482):{'3_1':0.03},(242,481):{'4_1':0.0},(242,480):{'3_1':0.03},(242,479):{'3_1':0.0},(242,478):{'3_1':0.0},(242,477):{'3_1':0.0},(242,476):{'3_1':0.03,'5_2':0.0},(242,475):{'3_1':0.03},(242,474):{'3_1':0.06},(242,473):{'3_1':0.0},(242,472):{'3_1':0.03},(242,471):{'3_1':0.0},(242,470):{'3_1':0.0},(242,469):{'3_1':0.0},(242,468):{'3_1':0.03},(242,467):{'3_1':0.03},(242,466):{'3_1':0.0},(242,465):{'3_1':0.0,'5_1':0.0},(242,464):{'3_1':0.0,'5_1':0.0},(242,463):{'3_1':0.0},(242,462):{'3_1':0.0},(242,461):{'3_1':0.0},(242,460):{'3_1':0.0},(242,459):{'3_1':0.0},(242,458):{'3_1':0.0,'4_1':0.0},(242,457):{'3_1':0.0},(242,456):{'3_1':0.03},(242,455):{'3_1':0.0},(242,454):{'3_1':0.0},(242,453):{'3_1':0.0},(242,452):{'3_1':0.0},(242,451):{'3_1':0.0},(242,450):{'3_1':0.0,'4_1':0.0},(242,449):{'3_1':0.0},(242,448):{'3_1':0.03},(242,447):{'3_1':0.0},(242,446):{'3_1':0.0},(242,445):{'3_1':0.06},(242,444):{'3_1':0.0},(242,443):{'3_1':0.0},(242,441):{'3_1':0.0},(242,440):{'3_1':0.0},(242,439):{'3_1':0.0},(242,438):{'3_1':0.0},(242,437):{'3_1':0.0},(242,435):{'3_1':0.0},(242,434):{'3_1':0.0,'5_1':0.0},(242,433):{'3_1':0.0},(242,431):{'3_1':0.0},(242,430):{'3_1':0.03},(242,429):{'3_1':0.0},(242,428):{'3_1':0.0},(242,427):{'3_1':0.0},(242,426):{'3_1':0.0},(242,425):{'3_1':0.0},(242,424):{'3_1':0.03,'5_1':0.0},(242,421):{'3_1':0.0},(242,419):{'3_1':0.0},(242,418):{'3_1':0.0},(242,417):{'3_1':0.03,'4_1':0.0},(242,416):{'3_1':0.0},(242,415):{'3_1':0.03,'5_1':0.0},(242,414):{'3_1':0.0},(242,413):{'3_1':0.0},(242,412):{'3_1':0.0},(242,411):{'3_1':0.0},(242,410):{'3_1':0.03},(242,408):{'3_1':0.0},(242,407):{'3_1':0.0},(242,406):{'3_1':0.0},(242,403):{'3_1':0.0},(242,401):{'3_1':0.0},(242,400):{'3_1':0.0},(242,396):{'3_1':0.0},(242,394):{'3_1':0.0},(242,393):{'3_1':0.0},(242,392):{'3_1':0.0},(242,390):{'3_1':0.0},(242,387):{'3_1':0.0},(242,384):{'3_1':0.0},(242,383):{'3_1':0.0},(242,381):{'3_1':0.0},(242,380):{'3_1':0.0},(242,377):{'3_1':0.0},(242,374):{'4_1':0.0},(242,373):{'3_1':0.0,'5_2':0.0},(242,372):{'3_1':0.0},(242,370):{'3_1':0.0},(242,369):{'3_1':0.0},(242,368):{'3_1':0.0},(242,359):{'3_1':0.0},(242,355):{'3_1':0.0},(242,351):{'3_1':0.0},(242,346):{'5_2':0.0},(242,345):{'5_2':0.0},(242,343):{'5_2':0.0},(242,328):{'3_1':0.0},(242,326):{'4_1':0.0},(242,316):{'3_1':0.0},(242,315):{'3_1':0.0},(242,299):{'4_1':0.0},(242,256):{'3_1':0.0},(242,254):{'3_1':0.0},(242,253):{'3_1':0.0},(243,727):{'6_1':0.06,'5_2':0.03,'7_2':0.03,'7_5':0.0,'4_1':0.0,'-3':0.0,'3_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(243,726):{'4_1':0.06,'6_1':0.03,'5_2':0.03,'8_4':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(243,725):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'8_4':0.0,'7_6':0.0,'8_1':0.0},(243,724):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'8_4':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(243,723):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(243,722):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(243,721):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'7_2':0.0,'8_4':0.0,'8_6':0.0,'7_5':0.0,'3_1#5_2':0.0,'-3':0.0},(243,720):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(243,719):{'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_2':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(243,718):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_6':0.0,'8_4':0.0,'8_1':0.0},(243,717):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_6':0.0,'7_2':0.0,'3_1':0.0,'8_4':0.0,'8_9':0.0},(243,716):{'6_1':0.09,'5_2':0.06,'7_2':0.0,'8_4':0.0,'7_4':0.0,'-3':0.0,'3_1':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(243,715):{'5_2':0.06,'6_1':0.03,'7_2':0.0,'7_5':0.0,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(243,714):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(243,713):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(243,712):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(243,711):{'5_2':0.09,'6_1':0.03,'3_1':0.0,'4_1':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(243,710):{'3_1':0.09,'6_1':0.09,'4_1':0.03,'5_2':0.03,'7_5':0.03,'7_2':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0},(243,709):{'3_1':0.12,'7_5':0.09,'6_1':0.06,'-3':0.03,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_6':0.0,'8_3':0.0,'8_4':0.0,'8_12':0.0},(243,708):{'3_1':0.06,'5_2':0.06,'7_5':0.03,'6_1':0.03,'-3':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(243,707):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_5':0.0,'7_7':0.0},(243,706):{'5_2':0.12,'6_1':0.06,'3_1':0.0,'7_4':0.0,'4_1':0.0,'8_4':0.0,'7_3':0.0,'-3':0.0},(243,705):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'4_1':0.0,'3_1':0.0,'8_3':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(243,704):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(243,703):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_4':0.0,'-3':0.0,'7_3':0.0},(243,702):{'5_2':0.18,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_2':0.0},(243,701):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(243,700):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'7_4':0.06,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(243,699):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(243,698):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(243,697):{'5_2':0.18,'8_4':0.03,'7_4':0.03,'3_1':0.0,'6_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(243,696):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0},(243,695):{'5_2':0.09,'6_1':0.03,'7_4':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0},(243,694):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.03,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(243,693):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_12':0.0,'-3':0.0},(243,692):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(243,691):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(243,690):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(243,689):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(243,688):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(243,687):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(243,686):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(243,685):{'5_2':0.15,'4_1':0.06,'7_4':0.0,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0},(243,684):{'5_2':0.15,'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(243,683):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'8_6':0.0},(243,682):{'5_2':0.09,'4_1':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(243,681):{'5_2':0.15,'4_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_6':0.0},(243,680):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(243,679):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.0,'3_1':0.0,'7_2':0.0,'8_4':0.0},(243,678):{'5_2':0.15,'4_1':0.06,'3_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(243,677):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0},(243,676):{'5_2':0.12,'4_1':0.03,'6_2':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0},(243,675):{'5_2':0.18,'4_1':0.03,'7_3':0.0,'6_2':0.0,'8_4':0.0,'3_1':0.0,'6_1':0.0,'-3':0.0},(243,674):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(243,673):{'4_1':0.12,'5_2':0.09,'6_2':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0},(243,672):{'5_2':0.09,'4_1':0.09,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(243,671):{'4_1':0.09,'5_2':0.09,'6_2':0.0},(243,670):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(243,669):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(243,668):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(243,667):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_3':0.0},(243,666):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0},(243,665):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0},(243,664):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_9':0.0},(243,663):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(243,662):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(243,661):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(243,660):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(243,659):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0},(243,658):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_4':0.0,'5_1':0.0,'6_1':0.0},(243,657):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,656):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(243,655):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0},(243,654):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(243,653):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'8_9':0.0},(243,652):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(243,651):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,650):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(243,649):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(243,648):{'3_1':0.3,'4_1':0.03,'5_2':0.03,'5_1':0.0},(243,647):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(243,646):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(243,645):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(243,644):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(243,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,642):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(243,641):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(243,640):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0},(243,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(243,638):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(243,637):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(243,635):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(243,634):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(243,633):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(243,632):{'3_1':0.12,'4_1':0.0},(243,631):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(243,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,629):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(243,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,627):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(243,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(243,625):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(243,624):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(243,623):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(243,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(243,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(243,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(243,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(243,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,617):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_11':0.0},(243,616):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(243,615):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(243,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(243,613):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,612):{'3_1':0.06,'5_1':0.0},(243,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,610):{'3_1':0.03,'4_1':0.0,'8_17':0.0},(243,609):{'3_1':0.03,'4_1':0.0},(243,608):{'3_1':0.03},(243,607):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(243,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,603):{'3_1':0.06,'5_2':0.0},(243,602):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(243,601):{'3_1':0.03,'5_2':0.0},(243,600):{'3_1':0.03},(243,599):{'3_1':0.03,'4_1':0.0},(243,598):{'3_1':0.03},(243,597):{'3_1':0.03,'4_1':0.0},(243,596):{'3_1':0.06},(243,595):{'3_1':0.03,'8_11':0.0},(243,594):{'3_1':0.06,'4_1':0.0},(243,593):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(243,592):{'3_1':0.03},(243,591):{'5_2':0.0},(243,590):{'3_1':0.0},(243,589):{'3_1':0.03,'5_2':0.0},(243,588):{'3_1':0.06,'4_1':0.0},(243,587):{'3_1':0.03},(243,586):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(243,585):{'3_1':0.0},(243,584):{'3_1':0.03,'5_1':0.0},(243,583):{'3_1':0.0},(243,582):{'3_1':0.03,'5_1':0.0},(243,581):{'3_1':0.03,'5_1':0.0},(243,580):{'3_1':0.03},(243,579):{'3_1':0.03},(243,578):{'3_1':0.03,'4_1':0.0},(243,577):{'3_1':0.06},(243,576):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(243,575):{'3_1':0.06},(243,574):{'3_1':0.03},(243,573):{'3_1':0.03,'5_2':0.0},(243,572):{'3_1':0.03,'7_3':0.0},(243,571):{'3_1':0.0},(243,570):{'3_1':0.03},(243,569):{'3_1':0.0,'5_2':0.0},(243,568):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(243,567):{'3_1':0.06},(243,566):{'3_1':0.03,'4_1':0.0},(243,565):{'3_1':0.03},(243,564):{'3_1':0.03,'5_1':0.0},(243,563):{'3_1':0.03},(243,562):{'3_1':0.06},(243,561):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(243,560):{'3_1':0.06},(243,559):{'3_1':0.03,'5_1':0.0},(243,558):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(243,557):{'3_1':0.03},(243,556):{'3_1':0.0,'5_1':0.0},(243,555):{'3_1':0.09},(243,554):{'3_1':0.03},(243,553):{'3_1':0.03},(243,552):{'3_1':0.03},(243,551):{'3_1':0.03,'4_1':0.0},(243,550):{'3_1':0.03,'4_1':0.0},(243,549):{'3_1':0.03,'5_2':0.0},(243,548):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(243,547):{'3_1':0.0},(243,546):{'3_1':0.0},(243,545):{'3_1':0.03},(243,544):{'3_1':0.03,'5_2':0.0},(243,543):{'3_1':0.0},(243,542):{'3_1':0.0,'5_1':0.0},(243,541):{'3_1':0.0},(243,540):{'3_1':0.06},(243,539):{'3_1':0.03,'5_1':0.0},(243,538):{'3_1':0.03,'4_1':0.0},(243,537):{'3_1':0.06,'5_1':0.0},(243,536):{'3_1':0.0,'5_2':0.0},(243,535):{'3_1':0.03},(243,534):{'3_1':0.03},(243,533):{'3_1':0.0},(243,532):{'3_1':0.06,'5_1':0.0},(243,531):{'3_1':0.03},(243,530):{'3_1':0.06},(243,529):{'3_1':0.03},(243,528):{'3_1':0.06},(243,527):{'3_1':0.03},(243,526):{'3_1':0.03,'4_1':0.0},(243,525):{'3_1':0.03},(243,524):{'3_1':0.0},(243,522):{'3_1':0.03},(243,521):{'3_1':0.03},(243,520):{'3_1':0.03},(243,519):{'3_1':0.0},(243,518):{'3_1':0.0,'5_2':0.0},(243,517):{'3_1':0.0},(243,516):{'3_1':0.0},(243,515):{'3_1':0.0,'5_2':0.0},(243,514):{'3_1':0.0,'8_20|3_1#3_1':0.0},(243,513):{'3_1':0.03,'5_2':0.0},(243,512):{'3_1':0.0},(243,511):{'3_1':0.0},(243,510):{'3_1':0.0,'5_2':0.0},(243,509):{'3_1':0.0},(243,508):{'3_1':0.03},(243,507):{'3_1':0.0},(243,504):{'3_1':0.0,'4_1':0.0},(243,503):{'3_1':0.0},(243,502):{'3_1':0.0,'5_2':0.0},(243,501):{'3_1':0.0},(243,500):{'3_1':0.0,'4_1':0.0},(243,499):{'3_1':0.0},(243,498):{'3_1':0.0},(243,497):{'3_1':0.0},(243,496):{'3_1':0.0},(243,495):{'3_1':0.0},(243,494):{'3_1':0.03,'5_1':0.0},(243,493):{'3_1':0.0},(243,492):{'3_1':0.0},(243,491):{'3_1':0.0},(243,490):{'3_1':0.0},(243,489):{'3_1':0.03},(243,488):{'3_1':0.0},(243,487):{'3_1':0.0,'4_1':0.0},(243,486):{'3_1':0.03,'4_1':0.0},(243,485):{'3_1':0.0},(243,484):{'3_1':0.0},(243,483):{'3_1':0.03},(243,482):{'3_1':0.03},(243,481):{'3_1':0.0},(243,480):{'3_1':0.03},(243,479):{'3_1':0.03,'4_1':0.0},(243,478):{'3_1':0.03},(243,477):{'3_1':0.03},(243,476):{'3_1':0.0},(243,475):{'3_1':0.0},(243,474):{'3_1':0.0,'4_1':0.0},(243,473):{'3_1':0.0},(243,472):{'3_1':0.0,'4_1':0.0},(243,471):{'5_2':0.0},(243,470):{'3_1':0.0},(243,469):{'3_1':0.03},(243,468):{'3_1':0.0},(243,467):{'3_1':0.0},(243,466):{'3_1':0.0},(243,465):{'3_1':0.0},(243,462):{'3_1':0.0},(243,461):{'3_1':0.0},(243,460):{'3_1':0.0},(243,459):{'3_1':0.0},(243,458):{'3_1':0.0,'4_1':0.0},(243,457):{'3_1':0.0},(243,455):{'3_1':0.0},(243,451):{'3_1':0.0,'4_1':0.0},(243,450):{'3_1':0.0},(243,449):{'3_1':0.0},(243,447):{'3_1':0.0},(243,446):{'3_1':0.0},(243,445):{'3_1':0.0},(243,444):{'5_1':0.0},(243,443):{'3_1':0.0},(243,442):{'3_1':0.0},(243,441):{'3_1':0.0},(243,439):{'3_1':0.0},(243,438):{'3_1':0.0},(243,436):{'3_1':0.0},(243,435):{'3_1':0.0},(243,434):{'3_1':0.0},(243,432):{'3_1':0.0},(243,431):{'3_1':0.0},(243,430):{'3_1':0.0},(243,429):{'3_1':0.0},(243,428):{'3_1':0.0},(243,427):{'3_1':0.0},(243,423):{'3_1':0.0},(243,421):{'3_1':0.0},(243,420):{'3_1':0.0},(243,419):{'3_1':0.0},(243,417):{'3_1':0.0},(243,416):{'3_1':0.0},(243,415):{'3_1':0.0,'5_1':0.0},(243,413):{'3_1':0.0},(243,412):{'3_1':0.0},(243,411):{'5_1':0.0},(243,410):{'3_1':0.0},(243,409):{'3_1':0.0},(243,408):{'3_1':0.0},(243,407):{'3_1':0.0},(243,406):{'3_1':0.0},(243,405):{'3_1':0.0},(243,404):{'3_1':0.0},(243,403):{'3_1':0.0},(243,401):{'3_1':0.0},(243,400):{'3_1':0.0},(243,399):{'3_1':0.0},(243,398):{'3_1':0.0},(243,397):{'3_1':0.0},(243,396):{'3_1':0.0},(243,395):{'3_1':0.0},(243,394):{'3_1':0.03},(243,392):{'3_1':0.0},(243,390):{'3_1':0.0},(243,388):{'3_1':0.0},(243,386):{'3_1':0.0},(243,384):{'3_1':0.0},(243,383):{'3_1':0.0},(243,381):{'3_1':0.0},(243,380):{'3_1':0.0},(243,379):{'4_1':0.0},(243,378):{'5_2':0.0},(243,376):{'3_1':0.0,'4_1':0.0},(243,374):{'3_1':0.0},(243,372):{'3_1':0.0},(243,369):{'3_1':0.0},(243,368):{'3_1':0.0},(243,326):{'4_1':0.0},(243,314):{'3_1':0.0},(243,313):{'4_1':0.0},(243,306):{'3_1':0.0},(243,302):{'3_1':0.0},(243,299):{'3_1':0.0},(243,251):{'3_1':0.0},(243,250):{'3_1':0.0},(244,727):{'5_2':0.06,'7_5':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.0,'8_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(244,726):{'6_1':0.09,'5_2':0.09,'3_1':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(244,725):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'7_5':0.0,'3_1':0.0,'7_6':0.0,'-3':0.0},(244,724):{'6_1':0.09,'5_2':0.09,'3_1':0.0,'8_4':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'7_5':0.0},(244,723):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'7_5':0.03,'7_2':0.0,'8_4':0.0,'-3':0.0},(244,722):{'6_1':0.09,'8_4':0.03,'4_1':0.0,'5_2':0.0,'3_1':0.0,'7_5':0.0},(244,721):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'8_4':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(244,720):{'6_1':0.06,'5_2':0.03,'8_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0},(244,719):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_3':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(244,718):{'6_1':0.06,'4_1':0.06,'5_2':0.06,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(244,717):{'6_1':0.09,'5_2':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(244,716):{'5_2':0.06,'6_1':0.06,'4_1':0.06,'8_4':0.0,'7_2':0.0,'7_6':0.0},(244,715):{'5_2':0.09,'6_1':0.03,'7_5':0.0,'3_1':0.0,'8_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(244,714):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.03,'8_4':0.03,'7_2':0.0,'7_3':0.0,'7_6':0.0},(244,713):{'5_2':0.12,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(244,712):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'7_3':0.0,'7_5':0.0},(244,711):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'7_5':0.03,'8_6':0.03,'-3':0.0,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(244,710):{'3_1':0.12,'5_2':0.06,'6_1':0.06,'7_5':0.06,'4_1':0.03,'8_4':0.0,'8_6':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(244,709):{'7_5':0.12,'3_1':0.06,'6_1':0.03,'4_1':0.03,'8_4':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'9_1':0.0},(244,708):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'4_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0},(244,707):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'-3':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(244,706):{'5_2':0.09,'6_1':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'9_1':0.0},(244,705):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_4':0.03,'3_1':0.0,'8_4':0.0,'8_6':0.0},(244,704):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0},(244,703):{'5_2':0.12,'6_1':0.03,'4_1':0.03,'3_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(244,702):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(244,701):{'5_2':0.18,'6_1':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(244,700):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(244,699):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'8_6':0.0,'8_9':0.0},(244,698):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(244,697):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0},(244,696):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(244,695):{'5_2':0.12,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(244,694):{'5_2':0.15,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'3_1':0.0,'7_2':0.0},(244,693):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'8_4':0.0},(244,692):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(244,691):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0,'3_1':0.0,'6_2':0.0,'8_3':0.0},(244,690):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(244,689):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.03,'8_4':0.0,'6_2':0.0,'7_3':0.0},(244,688):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(244,687):{'5_2':0.12,'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_2':0.0,'6_2':0.0},(244,686):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_2':0.0},(244,685):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0},(244,684):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0},(244,683):{'5_2':0.12,'4_1':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0},(244,682):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.03,'3_1':0.0,'5_1':0.0,'8_4':0.0},(244,681):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(244,680):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(244,679):{'5_2':0.21,'4_1':0.09,'-3':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(244,678):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(244,677):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'3_1#5_2':0.0},(244,676):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(244,675):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(244,674):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(244,673):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(244,672):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(244,671):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0},(244,670):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'8_4':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0},(244,669):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0},(244,668):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(244,667):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'8_4':0.0},(244,666):{'5_2':0.12,'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(244,665):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0},(244,664):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(244,663):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0},(244,662):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(244,661):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'8_6':0.0,'8_11':0.0},(244,660):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'7_1':0.0,'8_4':0.0},(244,659):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(244,658):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(244,657):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0},(244,656):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(244,655):{'3_1':0.24,'4_1':0.06,'6_2':0.0},(244,654):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(244,653):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_11':0.0},(244,652):{'3_1':0.21,'4_1':0.09,'5_2':0.0},(244,651):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(244,650):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(244,649):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(244,648):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(244,647):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'8_19':0.0},(244,646):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(244,645):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'9_1':0.0},(244,644):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(244,643):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'6_2':0.0,'4_1':0.0},(244,642):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'7_1':0.0},(244,641):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0},(244,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'7_1':0.0},(244,639):{'3_1':0.21,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(244,638):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(244,637):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(244,636):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(244,635):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(244,634):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(244,633):{'3_1':0.15,'5_1':0.0},(244,632):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(244,631):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(244,630):{'3_1':0.15,'4_1':0.0},(244,629):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(244,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(244,627):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_17':0.0},(244,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(244,625):{'3_1':0.12,'5_1':0.0},(244,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(244,623):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(244,622):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_3':0.0},(244,621):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(244,620):{'3_1':0.09,'4_1':0.0},(244,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(244,618):{'3_1':0.12,'5_1':0.0},(244,617):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(244,616):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(244,615):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(244,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(244,613):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(244,612):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(244,611):{'3_1':0.12},(244,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(244,609):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(244,608):{'3_1':0.06,'4_1':0.0},(244,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(244,606):{'3_1':0.09,'4_1':0.0},(244,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(244,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(244,603):{'3_1':0.0},(244,602):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(244,601):{'3_1':0.06,'4_1':0.0},(244,600):{'3_1':0.03,'4_1':0.0},(244,599):{'3_1':0.0,'4_1':0.0},(244,598):{'3_1':0.03},(244,597):{'3_1':0.0,'4_1':0.0},(244,596):{'3_1':0.03,'4_1':0.0},(244,595):{'3_1':0.0,'5_2':0.0},(244,594):{'3_1':0.03},(244,593):{'3_1':0.06,'5_2':0.0},(244,592):{'3_1':0.03},(244,591):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(244,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(244,589):{'3_1':0.03,'5_2':0.0},(244,588):{'3_1':0.03},(244,587):{'3_1':0.0},(244,586):{'3_1':0.06},(244,585):{'3_1':0.03,'5_2':0.0},(244,584):{'3_1':0.0},(244,583):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(244,582):{'3_1':0.03},(244,581):{'3_1':0.03,'5_2':0.0,'7_7':0.0},(244,580):{'3_1':0.06},(244,579):{'3_1':0.0},(244,578):{'3_1':0.03,'4_1':0.0},(244,577):{'3_1':0.03},(244,576):{'3_1':0.0,'4_1':0.0},(244,575):{'3_1':0.03,'5_1':0.0},(244,574):{'3_1':0.06,'4_1':0.0},(244,573):{'3_1':0.0,'5_2':0.0},(244,572):{'3_1':0.06},(244,571):{'3_1':0.0,'5_1':0.0},(244,570):{'3_1':0.03},(244,569):{'3_1':0.03},(244,568):{'3_1':0.0},(244,567):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(244,566):{'3_1':0.03},(244,565):{'3_1':0.03},(244,564):{'3_1':0.0},(244,563):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(244,562):{'3_1':0.0,'5_1':0.0},(244,561):{'3_1':0.03,'5_1':0.0},(244,560):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(244,559):{'3_1':0.0},(244,558):{'3_1':0.03,'5_2':0.0},(244,557):{'3_1':0.0},(244,556):{'3_1':0.03},(244,555):{'3_1':0.0,'5_2':0.0},(244,554):{'3_1':0.0,'7_1':0.0},(244,553):{'3_1':0.0},(244,552):{'3_1':0.0,'5_1':0.0},(244,551):{'3_1':0.0},(244,550):{'3_1':0.0},(244,549):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(244,548):{'3_1':0.03},(244,547):{'3_1':0.0},(244,546):{'3_1':0.0},(244,545):{'3_1':0.03},(244,544):{'3_1':0.0},(244,543):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(244,542):{'3_1':0.0,'5_1':0.0},(244,541):{'3_1':0.03,'6_2':0.0},(244,540):{'3_1':0.03,'4_1':0.0},(244,539):{'3_1':0.03},(244,538):{'3_1':0.03},(244,537):{'3_1':0.0},(244,536):{'3_1':0.0,'5_2':0.0},(244,535):{'3_1':0.0},(244,534):{'3_1':0.0},(244,533):{'3_1':0.06,'5_1':0.0},(244,532):{'3_1':0.03,'5_1':0.0},(244,531):{'3_1':0.03,'5_2':0.0},(244,530):{'3_1':0.0},(244,529):{'3_1':0.0,'5_1':0.0},(244,528):{'3_1':0.0},(244,527):{'3_1':0.0,'5_2':0.0},(244,526):{'3_1':0.03},(244,525):{'3_1':0.03},(244,524):{'3_1':0.0},(244,523):{'3_1':0.03,'4_1':0.0},(244,522):{'3_1':0.0},(244,521):{'3_1':0.03},(244,520):{'3_1':0.03},(244,519):{'3_1':0.0},(244,518):{'3_1':0.03,'6_2':0.0},(244,517):{'3_1':0.0},(244,516):{'3_1':0.0},(244,515):{'3_1':0.03},(244,514):{'3_1':0.03},(244,513):{'3_1':0.0,'5_2':0.0},(244,512):{'3_1':0.0},(244,511):{'3_1':0.0},(244,510):{'3_1':0.0},(244,508):{'3_1':0.0},(244,507):{'3_1':0.0},(244,506):{'3_1':0.0},(244,505):{'3_1':0.0},(244,504):{'3_1':0.0},(244,503):{'3_1':0.0},(244,502):{'3_1':0.0},(244,500):{'3_1':0.03,'4_1':0.0},(244,499):{'4_1':0.0},(244,498):{'3_1':0.03,'4_1':0.0},(244,497):{'3_1':0.03},(244,496):{'3_1':0.0},(244,494):{'3_1':0.0},(244,493):{'3_1':0.0},(244,492):{'3_1':0.03},(244,491):{'3_1':0.0},(244,490):{'3_1':0.0},(244,489):{'3_1':0.0},(244,488):{'3_1':0.0},(244,487):{'3_1':0.0},(244,486):{'3_1':0.0},(244,485):{'3_1':0.0},(244,484):{'3_1':0.0},(244,483):{'3_1':0.0},(244,482):{'3_1':0.0},(244,481):{'3_1':0.0},(244,480):{'3_1':0.0},(244,479):{'3_1':0.0},(244,478):{'3_1':0.0},(244,477):{'3_1':0.0},(244,476):{'3_1':0.03},(244,475):{'3_1':0.03},(244,474):{'3_1':0.0},(244,473):{'3_1':0.03},(244,472):{'3_1':0.0},(244,471):{'3_1':0.0},(244,470):{'3_1':0.0},(244,469):{'3_1':0.0},(244,468):{'3_1':0.03},(244,467):{'3_1':0.0},(244,465):{'3_1':0.0},(244,463):{'3_1':0.0},(244,462):{'3_1':0.0},(244,460):{'3_1':0.0},(244,459):{'3_1':0.0},(244,458):{'3_1':0.0},(244,457):{'3_1':0.0},(244,456):{'3_1':0.0},(244,455):{'3_1':0.0,'4_1':0.0},(244,454):{'3_1':0.0},(244,453):{'3_1':0.0},(244,451):{'3_1':0.0,'4_1':0.0},(244,448):{'3_1':0.0,'4_1':0.0},(244,446):{'3_1':0.0},(244,445):{'3_1':0.0},(244,444):{'3_1':0.0},(244,443):{'3_1':0.0,'4_1':0.0},(244,442):{'3_1':0.0},(244,441):{'3_1':0.0},(244,440):{'3_1':0.0},(244,439):{'3_1':0.0},(244,438):{'3_1':0.0},(244,437):{'3_1':0.0},(244,435):{'3_1':0.0},(244,434):{'3_1':0.0,'5_1':0.0},(244,433):{'3_1':0.0},(244,432):{'3_1':0.03},(244,431):{'3_1':0.0},(244,430):{'3_1':0.0},(244,429):{'3_1':0.0},(244,428):{'3_1':0.0},(244,427):{'3_1':0.0},(244,426):{'3_1':0.0},(244,421):{'3_1':0.0},(244,420):{'3_1':0.0},(244,419):{'3_1':0.03},(244,418):{'3_1':0.0},(244,417):{'3_1':0.03},(244,416):{'3_1':0.0},(244,415):{'3_1':0.0},(244,414):{'3_1':0.0},(244,413):{'3_1':0.0},(244,411):{'5_1':0.0},(244,410):{'3_1':0.03},(244,409):{'3_1':0.0},(244,408):{'3_1':0.0},(244,407):{'3_1':0.0},(244,406):{'3_1':0.0,'7_1':0.0},(244,405):{'3_1':0.0},(244,404):{'3_1':0.0},(244,401):{'3_1':0.0},(244,400):{'3_1':0.0},(244,399):{'3_1':0.0},(244,395):{'3_1':0.0},(244,388):{'3_1':0.0},(244,387):{'3_1':0.0},(244,386):{'3_1':0.0},(244,385):{'3_1':0.0},(244,384):{'3_1':0.0},(244,381):{'3_1':0.0},(244,377):{'3_1':0.0},(244,374):{'3_1':0.0,'5_1':0.0},(244,373):{'4_1':0.0},(244,370):{'3_1':0.0},(244,368):{'3_1':0.0},(244,359):{'3_1':0.0},(244,356):{'3_1':0.0},(244,354):{'3_1':0.0},(244,314):{'4_1':0.0},(244,306):{'3_1':0.0},(244,301):{'3_1':0.0},(244,254):{'3_1':0.0},(244,251):{'3_1':0.0},(245,727):{'6_1':0.09,'5_2':0.06,'4_1':0.0,'8_4':0.0,'7_5':0.0,'-3':0.0},(245,726):{'6_1':0.09,'5_2':0.03,'7_5':0.03,'3_1':0.0,'8_4':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(245,725):{'6_1':0.06,'5_2':0.06,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(245,724):{'5_2':0.09,'6_1':0.06,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(245,723):{'5_2':0.09,'6_1':0.09,'3_1':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(245,722):{'6_1':0.09,'5_2':0.09,'4_1':0.03,'7_5':0.0,'-3':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(245,721):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_6':0.0,'8_4':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(245,720):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'5_1':0.0,'3_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(245,719):{'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_2':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0,'-3':0.0},(245,718):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(245,717):{'5_2':0.12,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(245,716):{'5_2':0.06,'6_1':0.03,'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(245,715):{'5_2':0.06,'6_1':0.06,'3_1':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(245,714):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'8_14':0.0},(245,713):{'5_2':0.15,'6_1':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(245,712):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0,'8_6':0.0},(245,711):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.03,'7_3':0.0,'8_4':0.0,'-3':0.0},(245,710):{'3_1':0.09,'6_1':0.06,'5_2':0.03,'7_5':0.03,'4_1':0.0,'8_6':0.0,'8_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(245,709):{'3_1':0.12,'7_5':0.09,'-3':0.06,'6_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_4':0.0},(245,708):{'3_1':0.12,'6_1':0.06,'5_2':0.03,'6_2':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(245,707):{'5_2':0.09,'6_1':0.06,'7_4':0.03,'4_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(245,706):{'5_2':0.12,'6_1':0.03,'7_4':0.03,'7_3':0.0,'3_1':0.0,'4_1':0.0,'-3':0.0},(245,705):{'5_2':0.06,'7_4':0.06,'4_1':0.03,'8_4':0.0,'3_1':0.0,'6_1':0.0,'-3':0.0},(245,704):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(245,703):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0},(245,702):{'5_2':0.09,'4_1':0.0,'6_1':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'8_6':0.0},(245,701):{'5_2':0.12,'4_1':0.03,'6_1':0.0,'3_1':0.0,'7_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(245,700):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(245,699):{'5_2':0.06,'3_1':0.06,'6_1':0.06,'7_4':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(245,698):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'8_4':0.0},(245,697):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(245,696):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(245,695):{'5_2':0.15,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(245,694):{'6_1':0.06,'3_1':0.06,'5_2':0.06,'4_1':0.03,'8_4':0.0,'7_4':0.0,'-3':0.0},(245,693):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'7_4':0.03,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(245,692):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(245,691):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(245,690):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(245,689):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(245,688):{'5_2':0.12,'6_1':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(245,687):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(245,686):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'8_4':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_9':0.0},(245,685):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(245,684):{'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'6_2':0.0},(245,683):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(245,682):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(245,681):{'5_2':0.15,'4_1':0.06,'6_2':0.0,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0},(245,680):{'5_2':0.18,'6_1':0.03,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(245,679):{'5_2':0.12,'4_1':0.09,'6_1':0.0,'3_1':0.0,'6_2':0.0},(245,678):{'5_2':0.12,'4_1':0.06,'6_1':0.0,'-3':0.0,'3_1':0.0,'8_3':0.0},(245,677):{'5_2':0.18,'4_1':0.03,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'8_6':0.0},(245,676):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(245,675):{'5_2':0.18,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(245,674):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(245,673):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.03,'3_1':0.0,'-3':0.0},(245,672):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(245,671):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(245,670):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0},(245,669):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0},(245,668):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0},(245,667):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(245,666):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(245,665):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(245,664):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0},(245,663):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0},(245,662):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0},(245,661):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(245,660):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0,'-3':0.0},(245,659):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(245,658):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(245,657):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0},(245,656):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(245,655):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(245,654):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(245,653):{'3_1':0.12,'5_2':0.06,'5_1':0.0,'4_1':0.0},(245,652):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(245,651):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0},(245,650):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0},(245,649):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(245,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(245,647):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(245,646):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'5_2':0.03},(245,645):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(245,644):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(245,643):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(245,642):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(245,641):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(245,640):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(245,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(245,638):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(245,637):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(245,636):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(245,635):{'3_1':0.09,'5_1':0.0},(245,634):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'6_1':0.0},(245,633):{'3_1':0.18,'4_1':0.0},(245,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(245,631):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(245,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(245,629):{'3_1':0.12},(245,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(245,627):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(245,626):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(245,625):{'3_1':0.15,'5_1':0.03,'6_2':0.0,'4_1':0.0},(245,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(245,623):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(245,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_17':0.0},(245,621):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(245,620):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0},(245,619):{'3_1':0.09,'4_1':0.0},(245,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_17':0.0},(245,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(245,616):{'3_1':0.0,'5_1':0.0},(245,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(245,614):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(245,613):{'3_1':0.09,'5_1':0.0},(245,612):{'3_1':0.06,'5_2':0.0},(245,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(245,610):{'3_1':0.09,'4_1':0.0},(245,609):{'3_1':0.06,'5_1':0.0},(245,608):{'3_1':0.03},(245,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(245,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(245,605):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(245,604):{'3_1':0.0,'5_1':0.0},(245,603):{'3_1':0.0},(245,602):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(245,601):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(245,600):{'3_1':0.0,'4_1':0.0},(245,599):{'3_1':0.0,'4_1':0.0},(245,598):{'3_1':0.0,'4_1':0.0},(245,597):{'3_1':0.0},(245,596):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(245,595):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(245,594):{'5_1':0.0,'3_1':0.0},(245,593):{'3_1':0.03,'5_1':0.0},(245,592):{'3_1':0.0,'5_2':0.0},(245,591):{'3_1':0.03},(245,590):{'3_1':0.0},(245,589):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(245,588):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(245,587):{'3_1':0.0,'5_1':0.0},(245,586):{'3_1':0.03,'5_1':0.0},(245,585):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(245,584):{'3_1':0.03},(245,583):{'3_1':0.0},(245,582):{'3_1':0.03,'5_1':0.0},(245,581):{'3_1':0.03,'4_1':0.0},(245,580):{'3_1':0.03,'5_1':0.0},(245,579):{'3_1':0.0},(245,578):{'3_1':0.06},(245,577):{'3_1':0.03},(245,576):{'3_1':0.06,'7_3':0.0},(245,575):{'3_1':0.06,'5_2':0.0},(245,574):{'3_1':0.0,'4_1':0.0},(245,573):{'3_1':0.03,'4_1':0.0},(245,572):{'3_1':0.0,'4_1':0.0},(245,571):{'3_1':0.03},(245,570):{'3_1':0.0},(245,569):{'3_1':0.0},(245,568):{'3_1':0.03,'4_1':0.0},(245,567):{'3_1':0.0},(245,566):{'3_1':0.0},(245,564):{'3_1':0.0,'5_2':0.0},(245,563):{'3_1':0.03},(245,562):{'3_1':0.03,'4_1':0.0},(245,561):{'3_1':0.0,'5_2':0.0},(245,560):{'3_1':0.0},(245,559):{'3_1':0.03},(245,558):{'3_1':0.03,'5_1':0.0},(245,557):{'3_1':0.03},(245,556):{'3_1':0.03,'5_2':0.0},(245,555):{'3_1':0.03},(245,554):{'3_1':0.0,'6_2':0.0},(245,553):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(245,552):{'3_1':0.0},(245,551):{'3_1':0.0},(245,550):{'3_1':0.0,'5_1':0.0},(245,549):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(245,548):{'3_1':0.0,'4_1':0.0},(245,547):{'3_1':0.03,'5_2':0.0},(245,546):{'3_1':0.0},(245,545):{'3_1':0.0,'5_2':0.0},(245,544):{'3_1':0.0},(245,543):{'3_1':0.0,'5_1':0.0},(245,542):{'3_1':0.0},(245,541):{'3_1':0.03,'7_1':0.0},(245,540):{'3_1':0.0,'5_2':0.0},(245,539):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(245,538):{'3_1':0.06},(245,537):{'3_1':0.0,'5_1':0.0},(245,536):{'3_1':0.03},(245,535):{'3_1':0.03},(245,534):{'3_1':0.03,'5_1':0.0},(245,533):{'3_1':0.0,'5_2':0.0},(245,532):{'3_1':0.0},(245,531):{'3_1':0.03},(245,530):{'3_1':0.03},(245,529):{'3_1':0.03},(245,528):{'3_1':0.0,'5_1':0.0},(245,527):{'3_1':0.0},(245,526):{'8_20|3_1#3_1':0.0},(245,525):{'3_1':0.0},(245,524):{'3_1':0.0},(245,523):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(245,522):{'3_1':0.0},(245,521):{'3_1':0.0},(245,520):{'3_1':0.0},(245,519):{'3_1':0.0},(245,518):{'3_1':0.0},(245,517):{'3_1':0.0},(245,516):{'3_1':0.0},(245,515):{'3_1':0.0},(245,514):{'3_1':0.0},(245,513):{'3_1':0.0},(245,512):{'3_1':0.0},(245,511):{'3_1':0.0},(245,510):{'3_1':0.0},(245,509):{'3_1':0.0},(245,508):{'3_1':0.0},(245,507):{'3_1':0.0},(245,504):{'3_1':0.0},(245,503):{'3_1':0.0},(245,502):{'3_1':0.0},(245,501):{'3_1':0.0},(245,500):{'3_1':0.0,'5_2':0.0},(245,499):{'3_1':0.0},(245,498):{'3_1':0.0,'4_1':0.0},(245,496):{'3_1':0.0,'5_2':0.0},(245,495):{'3_1':0.0,'4_1':0.0},(245,494):{'3_1':0.0},(245,493):{'3_1':0.0},(245,492):{'3_1':0.0},(245,491):{'3_1':0.03},(245,490):{'3_1':0.0},(245,489):{'3_1':0.0},(245,488):{'3_1':0.0},(245,485):{'3_1':0.0},(245,484):{'3_1':0.0},(245,483):{'3_1':0.0},(245,482):{'3_1':0.0},(245,481):{'4_1':0.0},(245,480):{'3_1':0.06},(245,479):{'3_1':0.03},(245,478):{'3_1':0.03},(245,477):{'3_1':0.0},(245,476):{'3_1':0.0},(245,475):{'3_1':0.03},(245,474):{'3_1':0.0},(245,473):{'3_1':0.0},(245,472):{'3_1':0.0},(245,471):{'3_1':0.0},(245,470):{'3_1':0.0},(245,469):{'3_1':0.03},(245,467):{'3_1':0.0},(245,466):{'3_1':0.0},(245,464):{'3_1':0.0},(245,461):{'3_1':0.0},(245,460):{'3_1':0.0},(245,458):{'3_1':0.0},(245,457):{'3_1':0.0},(245,456):{'3_1':0.0},(245,455):{'3_1':0.0},(245,454):{'3_1':0.0,'5_2':0.0},(245,453):{'4_1':0.0},(245,450):{'3_1':0.0},(245,449):{'3_1':0.0},(245,448):{'3_1':0.0},(245,447):{'3_1':0.0},(245,446):{'3_1':0.0,'4_1':0.0},(245,444):{'3_1':0.0},(245,442):{'3_1':0.0},(245,441):{'3_1':0.0},(245,440):{'3_1':0.0},(245,439):{'3_1':0.0},(245,438):{'3_1':0.0},(245,437):{'3_1':0.0},(245,436):{'3_1':0.0},(245,435):{'3_1':0.0},(245,434):{'3_1':0.0},(245,433):{'3_1':0.0},(245,432):{'3_1':0.0},(245,431):{'3_1':0.0},(245,430):{'3_1':0.03},(245,429):{'3_1':0.0},(245,428):{'3_1':0.0},(245,427):{'3_1':0.0},(245,426):{'3_1':0.0},(245,425):{'3_1':0.0},(245,424):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(245,423):{'3_1':0.0},(245,421):{'3_1':0.03},(245,418):{'3_1':0.0},(245,415):{'3_1':0.0},(245,413):{'3_1':0.0},(245,412):{'3_1':0.0},(245,411):{'3_1':0.03},(245,410):{'3_1':0.03},(245,408):{'3_1':0.0},(245,407):{'3_1':0.0},(245,406):{'3_1':0.0},(245,401):{'3_1':0.0},(245,400):{'3_1':0.0},(245,399):{'3_1':0.0},(245,398):{'3_1':0.0},(245,397):{'3_1':0.0},(245,395):{'3_1':0.0},(245,394):{'5_2':0.0},(245,391):{'3_1':0.0},(245,389):{'3_1':0.0},(245,383):{'4_1':0.0},(245,380):{'3_1':0.0},(245,378):{'4_1':0.0},(245,376):{'3_1':0.0},(245,374):{'5_2':0.0},(245,373):{'3_1':0.0},(245,370):{'3_1':0.0},(245,369):{'3_1':0.0},(245,368):{'3_1':0.0},(245,361):{'3_1':0.0},(245,360):{'3_1':0.0},(245,358):{'3_1':0.0},(245,356):{'3_1':0.0},(245,353):{'3_1':0.0},(245,350):{'3_1':0.0},(245,348):{'3_1':0.0},(245,346):{'3_1':0.0},(245,330):{'4_1':0.0},(245,302):{'3_1':0.0},(245,301):{'3_1':0.0},(245,300):{'3_1':0.0},(245,298):{'3_1':0.0},(245,254):{'5_2':0.0},(245,249):{'3_1':0.0},(246,727):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(246,726):{'6_1':0.12,'5_2':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(246,725):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_5':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0},(246,724):{'5_2':0.06,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(246,723):{'5_2':0.12,'6_1':0.0,'3_1':0.0,'4_1':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(246,722):{'5_2':0.06,'6_1':0.03,'7_5':0.03,'3_1':0.0,'4_1':0.0,'8_4':0.0,'-3':0.0},(246,721):{'5_2':0.12,'6_1':0.06,'4_1':0.0,'7_6':0.0,'7_4':0.0,'8_4':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(246,720):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(246,719):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(246,718):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.0,'8_4':0.0},(246,717):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0},(246,716):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'8_4':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(246,715):{'5_2':0.09,'3_1':0.09,'6_1':0.06,'4_1':0.06,'7_4':0.0,'8_4':0.0,'7_5':0.0,'7_6':0.0},(246,714):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(246,713):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(246,712):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(246,711):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(246,710):{'3_1':0.06,'5_2':0.06,'7_5':0.03,'-3':0.03,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(246,709):{'3_1':0.15,'7_5':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.0,'-3':0.0,'5_2':0.0,'7_2':0.0,'8_6':0.0},(246,708):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.03,'-3':0.03,'7_4':0.0,'8_4':0.0},(246,707):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0,'8_4':0.0},(246,706):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'4_1':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(246,705):{'5_2':0.12,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0},(246,704):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'8_3':0.0,'7_2':0.0},(246,703):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(246,702):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(246,701):{'5_2':0.18,'4_1':0.06,'7_4':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(246,700):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'7_4':0.03,'4_1':0.0},(246,699):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(246,698):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(246,697):{'5_2':0.09,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(246,696):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(246,695):{'5_2':0.24,'6_1':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(246,694):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0},(246,693):{'5_2':0.12,'6_1':0.03,'4_1':0.0,'7_3':0.0,'8_4':0.0,'3_1':0.0,'7_4':0.0},(246,692):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'1':-0.03},(246,691):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_4':0.0,'6_2':0.0},(246,690):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(246,689):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(246,688):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(246,687):{'5_2':0.15,'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(246,686):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0},(246,685):{'5_2':0.21,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(246,684):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'6_2':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(246,683):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.03,'5_1':0.0,'-3':0.0},(246,682):{'5_2':0.24,'3_1':0.06,'4_1':0.06,'6_1':0.0,'8_3':0.0},(246,681):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(246,680):{'4_1':0.12,'5_2':0.12,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0},(246,679):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'8_4':0.0},(246,678):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(246,677):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(246,676):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0},(246,675):{'5_2':0.12,'4_1':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(246,674):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'8_3':0.0},(246,673):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0},(246,672):{'4_1':0.03,'5_2':0.03,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_4':0.0},(246,671):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(246,670):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0},(246,669):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(246,668):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0},(246,667):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(246,666):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_3':0.0,'8_11':0.0},(246,665):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(246,664):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(246,663):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_5':0.0},(246,662):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(246,661):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(246,660):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(246,659):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0},(246,658):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0},(246,657):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(246,656):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0},(246,655):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_1':0.0},(246,654):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(246,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(246,652):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(246,651):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(246,650):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(246,649):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(246,648):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0},(246,647):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(246,646):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(246,645):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(246,644):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(246,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(246,642):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(246,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(246,640):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(246,639):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(246,638):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'8_2':0.0},(246,637):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(246,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(246,635):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_5':0.0},(246,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(246,633):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(246,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(246,631):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(246,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(246,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(246,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(246,627):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(246,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(246,625):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(246,624):{'3_1':0.09,'4_1':0.0,'8_17':0.0},(246,623):{'3_1':0.09,'6_2':0.0,'-3':0.0},(246,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0},(246,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(246,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(246,619):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(246,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(246,617):{'3_1':0.09},(246,616):{'3_1':0.03,'4_1':0.0},(246,615):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(246,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(246,613):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(246,612):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(246,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,610):{'3_1':0.09,'4_1':0.0},(246,609):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(246,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(246,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(246,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(246,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,604):{'3_1':0.0,'4_1':0.0},(246,603):{'3_1':0.03,'4_1':0.0},(246,601):{'3_1':0.06,'4_1':0.0},(246,600):{'3_1':0.03,'4_1':0.0},(246,599):{'3_1':0.0},(246,598):{'3_1':0.0,'4_1':0.0},(246,597):{'3_1':0.03,'4_1':0.0},(246,596):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(246,595):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,594):{'3_1':0.03},(246,593):{'3_1':0.0},(246,592):{'3_1':0.0},(246,591):{'3_1':0.03},(246,590):{'3_1':0.0},(246,589):{'3_1':0.03},(246,588):{'3_1':0.06},(246,587):{'3_1':0.0,'5_1':0.0},(246,586):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,585):{'3_1':0.06,'4_1':0.0},(246,584):{'3_1':0.03,'5_1':0.0},(246,583):{'3_1':0.03},(246,582):{'3_1':0.03},(246,581):{'3_1':0.03},(246,580):{'3_1':0.03},(246,579):{'3_1':0.03,'5_2':0.0},(246,578):{'3_1':0.03,'5_1':0.0},(246,577):{'3_1':0.06,'6_2':0.0},(246,576):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(246,575):{'3_1':0.0,'5_1':0.0},(246,574):{'3_1':0.0},(246,573):{'3_1':0.0,'5_1':0.0},(246,572):{'3_1':0.03},(246,571):{'3_1':0.0,'5_1':0.0},(246,570):{'3_1':0.03},(246,569):{'4_1':0.0,'5_1':0.0},(246,568):{'3_1':0.03,'4_1':0.0},(246,567):{'3_1':0.0},(246,566):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,565):{'3_1':0.0},(246,564):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(246,563):{'3_1':0.0,'5_2':0.0},(246,562):{'3_1':0.0},(246,561):{'3_1':0.06,'7_1':0.0,'7_3':0.0},(246,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(246,559):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(246,558):{'3_1':0.0},(246,557):{'3_1':0.03,'5_1':0.0},(246,556):{'3_1':0.03,'7_5':0.0},(246,554):{'3_1':0.0,'5_1':0.0},(246,553):{'3_1':0.0,'5_2':0.0},(246,552):{'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0},(246,551):{'3_1':0.0},(246,550):{'3_1':0.0},(246,549):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(246,548):{'3_1':0.03},(246,547):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(246,546):{'3_1':0.0},(246,545):{'3_1':0.03},(246,544):{'3_1':0.03,'7_5':0.0},(246,543):{'3_1':0.03,'4_1':0.0},(246,542):{'3_1':0.0},(246,541):{'3_1':0.03},(246,540):{'3_1':0.0},(246,539):{'3_1':0.03,'5_1':0.0},(246,538):{'3_1':0.03},(246,537):{'3_1':0.03,'5_2':0.0},(246,536):{'3_1':0.03},(246,535):{'3_1':0.06},(246,534):{'3_1':0.0},(246,533):{'3_1':0.03},(246,532):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(246,531):{'3_1':0.06},(246,530):{'3_1':0.06,'4_1':0.0},(246,529):{'3_1':0.03},(246,528):{'3_1':0.09},(246,527):{'3_1':0.03,'6_1':0.0},(246,526):{'3_1':0.0},(246,525):{'3_1':0.0},(246,524):{'3_1':0.0},(246,523):{'3_1':0.03},(246,522):{'3_1':0.0,'5_2':0.0},(246,521):{'3_1':0.0},(246,520):{'3_1':0.03},(246,519):{'3_1':0.03,'4_1':0.0},(246,518):{'3_1':0.03},(246,517):{'3_1':0.0},(246,515):{'3_1':0.0},(246,514):{'3_1':0.0},(246,513):{'3_1':0.0},(246,512):{'6_1':0.0},(246,511):{'3_1':0.03},(246,510):{'3_1':0.0,'4_1':0.0},(246,509):{'3_1':0.06},(246,508):{'3_1':0.0,'5_1':0.0},(246,506):{'3_1':0.0},(246,505):{'3_1':0.0},(246,504):{'3_1':0.03},(246,503):{'3_1':0.0},(246,502):{'3_1':0.0,'4_1':0.0},(246,501):{'3_1':0.0,'4_1':0.0},(246,500):{'3_1':0.0},(246,499):{'3_1':0.0},(246,498):{'3_1':0.0},(246,497):{'3_1':0.0},(246,496):{'4_1':0.0},(246,495):{'3_1':0.0},(246,494):{'3_1':0.0,'4_1':0.0},(246,493):{'3_1':0.0},(246,492):{'3_1':0.0},(246,491):{'3_1':0.0},(246,490):{'3_1':0.0},(246,489):{'3_1':0.0,'5_1':0.0},(246,488):{'3_1':0.0},(246,487):{'3_1':0.03,'5_2':0.0},(246,486):{'3_1':0.0},(246,485):{'3_1':0.03},(246,484):{'3_1':0.0,'4_1':0.0},(246,483):{'3_1':0.0},(246,480):{'3_1':0.0},(246,479):{'3_1':0.03},(246,478):{'3_1':0.0},(246,477):{'3_1':0.03},(246,476):{'3_1':0.0},(246,475):{'3_1':0.03},(246,474):{'3_1':0.03},(246,473):{'3_1':0.0},(246,472):{'3_1':0.0},(246,471):{'3_1':0.03},(246,470):{'3_1':0.03},(246,469):{'3_1':0.0},(246,468):{'3_1':0.03},(246,467):{'3_1':0.0},(246,466):{'3_1':0.03},(246,465):{'3_1':0.0},(246,464):{'3_1':0.0},(246,462):{'3_1':0.0},(246,461):{'3_1':0.0},(246,460):{'3_1':0.0},(246,458):{'3_1':0.0},(246,457):{'3_1':0.0},(246,455):{'3_1':0.03},(246,454):{'3_1':0.0},(246,452):{'3_1':0.0},(246,451):{'3_1':0.0},(246,450):{'4_1':0.0},(246,446):{'3_1':0.0},(246,445):{'3_1':0.0,'4_1':0.0},(246,443):{'3_1':0.0},(246,442):{'3_1':0.03},(246,441):{'3_1':0.0},(246,440):{'3_1':0.0},(246,439):{'4_1':0.0},(246,438):{'3_1':0.0},(246,437):{'3_1':0.0},(246,436):{'3_1':0.0},(246,435):{'3_1':0.0},(246,434):{'3_1':0.0},(246,433):{'3_1':0.0},(246,432):{'3_1':0.0},(246,431):{'3_1':0.0},(246,429):{'3_1':0.0},(246,428):{'3_1':0.0},(246,420):{'3_1':0.0},(246,418):{'3_1':0.0},(246,417):{'3_1':0.0},(246,416):{'3_1':0.0},(246,414):{'3_1':0.0},(246,410):{'3_1':0.0,'4_1':0.0},(246,409):{'3_1':0.0},(246,408):{'3_1':0.0},(246,407):{'3_1':0.0},(246,406):{'3_1':0.0},(246,405):{'3_1':0.0},(246,401):{'3_1':0.0},(246,397):{'3_1':0.0},(246,394):{'3_1':0.0},(246,376):{'3_1':0.0},(246,375):{'3_1':0.0},(246,374):{'3_1':0.0},(246,373):{'3_1':0.0},(246,372):{'3_1':0.0,'5_1':0.0},(246,371):{'3_1':0.0},(246,370):{'3_1':0.0},(246,368):{'3_1':0.0},(246,360):{'3_1':0.0},(246,358):{'3_1':0.0},(246,357):{'3_1':0.0},(246,356):{'3_1':0.0},(246,329):{'3_1':0.0},(246,327):{'3_1':0.0},(246,302):{'3_1':0.0},(246,301):{'3_1':0.0},(246,300):{'3_1':0.0},(246,299):{'3_1':0.0},(247,727):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0},(247,726):{'5_2':0.09,'6_1':0.06,'4_1':0.0,'-3':0.0,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(247,725):{'6_1':0.06,'5_2':0.06,'7_5':0.0,'4_1':0.0,'3_1':0.0},(247,724):{'5_2':0.06,'6_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(247,723):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0},(247,722):{'6_1':0.06,'5_2':0.03,'7_5':0.0,'8_3':0.0,'8_4':0.0,'3_1':0.0,'4_1':0.0,'7_4':0.0},(247,721):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(247,720):{'6_1':0.06,'5_2':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0},(247,719):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'8_6':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(247,718):{'6_1':0.09,'3_1':0.03,'4_1':0.03,'5_2':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(247,717):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(247,716):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.0,'8_4':0.0,'7_4':0.0,'8_1':0.0},(247,715):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(247,714):{'6_1':0.09,'5_2':0.09,'4_1':0.03,'3_1':0.03,'7_2':0.0,'8_1':0.0,'-3':0.0},(247,713):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(247,712):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(247,711):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(247,710):{'3_1':0.09,'6_1':0.06,'4_1':0.03,'5_2':0.03,'7_5':0.03,'5_1':0.0,'8_6':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(247,709):{'3_1':0.12,'6_1':0.12,'7_5':0.06,'4_1':0.03,'-3':0.03,'5_2':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(247,708):{'6_1':0.09,'5_2':0.03,'5_1':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(247,707):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0},(247,706):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(247,705):{'5_2':0.09,'6_1':0.09,'7_4':0.03,'3_1':0.0,'4_1':0.0,'-3':0.0},(247,704):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'-3':0.0},(247,703):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'8_4':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(247,702):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0},(247,701):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(247,700):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'8_4':0.0,'7_2':0.0,'-3':0.0},(247,699):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0},(247,698):{'5_2':0.15,'6_1':0.09,'7_4':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(247,697):{'5_2':0.12,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0},(247,696):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0},(247,695):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0,'-3':0.0},(247,694):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0},(247,693):{'5_2':0.15,'6_1':0.06,'7_3':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0},(247,692):{'5_2':0.15,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(247,691):{'5_2':0.12,'3_1':0.06,'7_4':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0},(247,690):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0},(247,689):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0},(247,688):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(247,687):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(247,686):{'5_2':0.18,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0},(247,685):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(247,684):{'6_1':0.09,'5_2':0.06,'4_1':0.06,'3_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(247,683):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(247,682):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_6':0.0,'-3':0.0},(247,681):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0},(247,680):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(247,679):{'5_2':0.12,'6_1':0.03,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(247,678):{'5_2':0.24,'4_1':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0,'8_3':0.0,'-3':0.0},(247,677):{'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0},(247,676):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(247,675):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0},(247,674):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(247,673):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.0,'8_11':0.0},(247,672):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0,'8_4':0.0},(247,671):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0},(247,670):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0},(247,669):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0},(247,668):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(247,667):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_6':0.0},(247,666):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0,'8_9':0.0},(247,665):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(247,664):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_1':0.0},(247,663):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_1':0.0,'8_19':0.0,'-3':0.0},(247,662):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'8_4':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(247,661):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(247,660):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0},(247,659):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(247,658):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_3':0.0,'8_1':0.0,'-3':0.0},(247,657):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0,'-3':0.0},(247,656):{'3_1':0.15,'4_1':0.06,'6_3':0.0,'5_1':0.0,'5_2':0.0},(247,655):{'3_1':0.24,'4_1':0.06,'5_2':0.0},(247,654):{'3_1':0.12,'4_1':0.03,'6_3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(247,653):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(247,652):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(247,651):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(247,650):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(247,649):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(247,648):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(247,647):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'7_1':0.0,'4_1':0.0},(247,646):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(247,645):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(247,644):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'9_1':0.0},(247,643):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(247,642):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0},(247,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(247,640):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(247,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(247,638):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(247,637):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(247,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(247,635):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(247,634):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(247,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_1':0.0},(247,632):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(247,631):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(247,630):{'3_1':0.15,'4_1':0.03},(247,629):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(247,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(247,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(247,626):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(247,625):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0},(247,624):{'3_1':0.09,'4_1':0.03},(247,623):{'3_1':0.03,'6_2':0.0,'5_1':0.0},(247,622):{'3_1':0.09,'4_1':0.03},(247,621):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(247,620):{'3_1':0.09,'4_1':0.0},(247,619):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(247,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(247,616):{'3_1':0.03,'4_1':0.03},(247,615):{'3_1':0.03,'4_1':0.0},(247,614):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_17':0.0},(247,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(247,612):{'3_1':0.03},(247,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(247,610):{'3_1':0.06,'4_1':0.0},(247,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(247,608):{'3_1':0.0,'4_1':0.0},(247,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(247,606):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(247,605):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(247,604):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(247,603):{'3_1':0.03,'4_1':0.0},(247,602):{'3_1':0.0,'5_1':0.0},(247,601):{'3_1':0.03,'4_1':0.0},(247,600):{'3_1':0.0,'4_1':0.0},(247,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(247,598):{'3_1':0.06},(247,597):{'3_1':0.0},(247,596):{'3_1':0.0,'5_2':0.0},(247,595):{'3_1':0.0},(247,594):{'3_1':0.03},(247,593):{'3_1':0.03,'8_20|3_1#3_1':0.0},(247,592):{'3_1':0.03},(247,591):{'3_1':0.03,'5_1':0.0},(247,590):{'3_1':0.03,'6_1':0.0},(247,589):{'3_1':0.06},(247,588):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(247,587):{'3_1':0.0},(247,586):{'3_1':0.03},(247,585):{'3_1':0.0},(247,584):{'3_1':0.0},(247,583):{'3_1':0.0,'5_1':0.0},(247,582):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(247,581):{'3_1':0.03,'4_1':0.0},(247,580):{'3_1':0.0,'4_1':0.0},(247,579):{'3_1':0.03},(247,578):{'3_1':0.0},(247,577):{'3_1':0.0},(247,576):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(247,575):{'3_1':0.03},(247,574):{'3_1':0.03},(247,573):{'3_1':0.03,'5_1':0.0},(247,572):{'3_1':0.03,'4_1':0.0},(247,571):{'3_1':0.03},(247,570):{'3_1':0.03},(247,569):{'3_1':0.0},(247,568):{'3_1':0.0},(247,567):{'3_1':0.03},(247,566):{'3_1':0.0},(247,565):{'3_1':0.03},(247,564):{'3_1':0.03},(247,563):{'3_1':0.03},(247,562):{'3_1':0.0},(247,561):{'3_1':0.0,'4_1':0.0},(247,560):{'3_1':0.03,'4_1':0.0},(247,559):{'3_1':0.0},(247,558):{'3_1':0.03},(247,557):{'3_1':0.03,'4_1':0.0},(247,556):{'3_1':0.0},(247,555):{'3_1':0.0},(247,554):{'4_1':0.0},(247,553):{'3_1':0.03},(247,552):{'3_1':0.03},(247,551):{'3_1':0.06},(247,550):{'3_1':0.0},(247,549):{'3_1':0.06},(247,548):{'3_1':0.03,'7_2':0.0},(247,547):{'3_1':0.0},(247,546):{'3_1':0.0},(247,545):{'3_1':0.0},(247,544):{'3_1':0.0},(247,543):{'3_1':0.06},(247,542):{'3_1':0.0,'4_1':0.0},(247,541):{'5_1':0.0},(247,540):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(247,539):{'3_1':0.03,'5_2':0.0},(247,538):{'3_1':0.03,'4_1':0.0},(247,537):{'3_1':0.03,'6_1':0.0},(247,536):{'3_1':0.03},(247,535):{'3_1':0.0,'4_1':0.0},(247,534):{'3_1':0.0},(247,533):{'3_1':0.03,'6_1':0.0},(247,532):{'3_1':0.03,'6_1':0.0},(247,531):{'3_1':0.0},(247,530):{'3_1':0.03},(247,529):{'3_1':0.0},(247,528):{'3_1':0.0},(247,527):{'3_1':0.03},(247,526):{'3_1':0.0,'8_4':0.0},(247,525):{'3_1':0.0},(247,524):{'3_1':0.03,'6_1':0.0},(247,523):{'3_1':0.03},(247,522):{'3_1':0.0},(247,521):{'3_1':0.03},(247,520):{'3_1':0.0},(247,519):{'3_1':0.0},(247,518):{'3_1':0.03,'4_1':0.0},(247,517):{'3_1':0.03},(247,516):{'3_1':0.03},(247,515):{'3_1':0.03},(247,514):{'3_1':0.03},(247,513):{'3_1':0.0},(247,512):{'3_1':0.0},(247,511):{'3_1':0.0},(247,510):{'3_1':0.0,'4_1':0.0},(247,509):{'3_1':0.03},(247,508):{'3_1':0.0},(247,507):{'3_1':0.0},(247,506):{'3_1':0.03},(247,505):{'3_1':0.0,'5_2':0.0},(247,504):{'3_1':0.0},(247,502):{'3_1':0.0},(247,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(247,499):{'3_1':0.0},(247,498):{'4_1':0.0},(247,496):{'3_1':0.0},(247,495):{'3_1':0.0},(247,494):{'3_1':0.03},(247,493):{'3_1':0.0,'5_2':0.0},(247,492):{'3_1':0.0},(247,490):{'3_1':0.0},(247,489):{'3_1':0.0},(247,488):{'3_1':0.0,'5_2':0.0},(247,487):{'3_1':0.0},(247,486):{'3_1':0.03},(247,485):{'3_1':0.0},(247,483):{'3_1':0.03,'5_2':0.0},(247,482):{'3_1':0.0,'5_2':0.0},(247,481):{'3_1':0.03},(247,480):{'3_1':0.0},(247,479):{'3_1':0.03},(247,478):{'3_1':0.03},(247,477):{'3_1':0.0},(247,476):{'3_1':0.0},(247,475):{'3_1':0.0,'5_2':0.0},(247,474):{'3_1':0.0},(247,473):{'3_1':0.0},(247,472):{'3_1':0.03},(247,471):{'3_1':0.0},(247,470):{'3_1':0.0,'4_1':0.0},(247,469):{'3_1':0.0},(247,468):{'3_1':0.0},(247,466):{'3_1':0.0},(247,465):{'3_1':0.0},(247,463):{'3_1':0.0},(247,462):{'3_1':0.0},(247,461):{'3_1':0.0},(247,460):{'3_1':0.0},(247,458):{'3_1':0.0},(247,456):{'3_1':0.0},(247,455):{'3_1':0.0},(247,454):{'3_1':0.0},(247,453):{'3_1':0.03},(247,451):{'3_1':0.0},(247,450):{'3_1':0.0},(247,448):{'3_1':0.0},(247,447):{'3_1':0.0,'4_1':0.0},(247,446):{'3_1':0.0},(247,445):{'3_1':0.0},(247,444):{'3_1':0.0},(247,443):{'3_1':0.0},(247,442):{'3_1':0.0},(247,441):{'3_1':0.0},(247,439):{'3_1':0.0},(247,438):{'3_1':0.0},(247,437):{'3_1':0.0},(247,435):{'3_1':0.0},(247,434):{'3_1':0.0},(247,433):{'3_1':0.0},(247,432):{'3_1':0.0},(247,431):{'3_1':0.0},(247,430):{'3_1':0.0},(247,428):{'3_1':0.0,'4_1':0.0},(247,426):{'3_1':0.0},(247,423):{'3_1':0.0},(247,421):{'3_1':0.0},(247,420):{'3_1':0.0},(247,414):{'5_1':0.0},(247,413):{'3_1':0.0},(247,410):{'3_1':0.0},(247,409):{'4_1':0.0},(247,408):{'3_1':0.0},(247,407):{'3_1':0.0},(247,406):{'3_1':0.0},(247,401):{'3_1':0.0},(247,400):{'3_1':0.0},(247,397):{'3_1':0.0},(247,381):{'3_1':0.0},(247,379):{'3_1':0.0},(247,378):{'3_1':0.0},(247,377):{'3_1':0.0},(247,376):{'3_1':0.0},(247,370):{'3_1':0.0},(247,369):{'3_1':0.0},(247,368):{'3_1':0.0},(247,365):{'3_1':0.0},(247,362):{'3_1':0.0},(247,361):{'4_1':0.0},(247,359):{'3_1':0.0},(247,355):{'5_2':0.0},(247,344):{'3_1':0.0},(247,325):{'3_1':0.0},(247,324):{'3_1':0.0},(247,316):{'3_1':0.0},(247,309):{'3_1':0.0},(247,306):{'3_1':0.0},(248,727):{'6_1':0.09,'5_2':0.03,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(248,726):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'7_2':0.0,'7_5':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(248,725):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(248,724):{'6_1':0.09,'5_2':0.06,'4_1':0.0,'7_3':0.0,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(248,723):{'6_1':0.09,'5_2':0.06,'3_1':0.0,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(248,722):{'6_1':0.09,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0,'8_14':0.0},(248,721):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(248,720):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(248,719):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'8_6':0.0},(248,718):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(248,717):{'6_1':0.09,'5_2':0.06,'3_1':0.0,'7_4':0.0,'8_6':0.0,'4_1':0.0,'7_3':0.0,'8_4':0.0},(248,716):{'6_1':0.09,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(248,715):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'8_3':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(248,714):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_3':0.0},(248,713):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_5':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(248,712):{'5_2':0.06,'6_1':0.06,'3_1':0.06,'4_1':0.06,'7_2':0.03,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(248,711):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'8_6':0.0,'4_1':0.0,'7_4':0.0,'7_5':0.0},(248,710):{'3_1':0.12,'6_1':0.12,'5_2':0.06,'4_1':0.03,'7_5':0.03,'-3':0.0,'8_6':0.0},(248,709):{'7_5':0.09,'3_1':0.09,'6_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(248,708):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(248,707):{'6_1':0.15,'5_2':0.12,'3_1':0.03,'6_2':0.03,'4_1':0.0,'7_3':0.0},(248,706):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(248,705):{'5_2':0.09,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'-3':0.0},(248,704):{'6_1':0.12,'5_2':0.12,'3_1':0.03,'4_1':0.03,'7_1':0.0,'7_4':0.0,'8_3':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(248,703):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0},(248,702):{'6_1':0.15,'5_2':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'3_1':0.0,'7_3':0.0,'8_4':0.0},(248,701):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0},(248,700):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.0,'3_1':0.0,'8_4':0.0,'7_2':0.0,'8_3':0.0},(248,699):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(248,698):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0,'3_1':0.0,'7_4':0.0,'8_3':0.0},(248,697):{'5_2':0.06,'6_1':0.06,'4_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(248,696):{'5_2':0.12,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'4_1':0.0,'5_1':0.0},(248,695):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'7_3':0.0},(248,694):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0},(248,693):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.0,'7_4':0.0,'7_3':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(248,692):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(248,691):{'5_2':0.15,'6_1':0.06,'7_4':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(248,690):{'5_2':0.18,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(248,689):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0},(248,688):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(248,687):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(248,686):{'5_2':0.12,'4_1':0.03,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0},(248,685):{'5_2':0.18,'4_1':0.09,'6_1':0.06,'3_1':0.0,'7_4':0.0,'-3':0.0},(248,684):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(248,683):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0},(248,682):{'5_2':0.21,'6_1':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0},(248,681):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(248,680):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'7_4':0.0,'7_2':0.0},(248,679):{'5_2':0.12,'4_1':0.03,'6_1':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0},(248,678):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(248,677):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0},(248,676):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(248,675):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(248,674):{'4_1':0.12,'5_2':0.12,'6_1':0.0,'7_3':0.0,'3_1':0.0,'6_2':0.0,'-3':0.0},(248,673):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(248,672):{'5_2':0.12,'4_1':0.09,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0},(248,671):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(248,670):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.0},(248,669):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'8_4':0.0,'8_3':0.0},(248,668):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(248,667):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0},(248,666):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(248,665):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0},(248,664):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(248,663):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(248,662):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'3_1#5_2':0.0},(248,661):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0},(248,660):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0,'3_1#5_2':0.0},(248,659):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'8_4':0.0},(248,658):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_2':0.0},(248,657):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'7_3':0.0,'8_4':0.0},(248,656):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(248,655):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(248,654):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(248,653):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(248,652):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0},(248,651):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0},(248,650):{'3_1':0.12,'4_1':0.06,'7_1':0.0,'5_1':0.0,'5_2':0.0},(248,649):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(248,648):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(248,647):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(248,646):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(248,645):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(248,644):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'7_1':0.0,'5_2':0.0},(248,643):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_1':0.0,'9_1':0.0},(248,642):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(248,641):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(248,640):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_1':0.0},(248,639):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(248,638):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(248,637):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(248,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(248,635):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(248,634):{'3_1':0.12,'4_1':0.03},(248,633):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(248,632):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_3':0.0},(248,631):{'3_1':0.12,'4_1':0.03},(248,630):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(248,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_3':0.0},(248,628):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(248,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_17':0.0,'8_20|3_1#3_1':0.0},(248,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(248,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(248,624):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(248,623):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(248,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(248,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(248,620):{'3_1':0.09,'4_1':0.0},(248,619):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(248,618):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(248,617):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,616):{'3_1':0.03},(248,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(248,614):{'3_1':0.09,'6_2':0.0},(248,613):{'3_1':0.06},(248,612):{'3_1':0.03,'4_1':0.0},(248,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(248,610):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(248,609):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(248,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(248,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(248,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_1':0.0},(248,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(248,603):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(248,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(248,601):{'3_1':0.0,'4_1':0.0},(248,600):{'3_1':0.03,'4_1':0.0},(248,599):{'3_1':0.0,'4_1':0.0},(248,598):{'3_1':0.0,'8_1':0.0},(248,597):{'3_1':0.0},(248,596):{'3_1':0.0},(248,595):{'4_1':0.0},(248,594):{'3_1':0.0},(248,593):{'3_1':0.06},(248,592):{'3_1':0.03},(248,591):{'3_1':0.0},(248,590):{'3_1':0.03},(248,589):{'3_1':0.06},(248,588):{'3_1':0.0,'5_2':0.0},(248,587):{'3_1':0.06},(248,586):{'3_1':0.03},(248,585):{'3_1':0.06},(248,584):{'3_1':0.0,'5_2':0.0},(248,583):{'3_1':0.03},(248,582):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(248,581):{'3_1':0.0},(248,580):{'3_1':0.03,'5_1':0.0},(248,579):{'3_1':0.0,'4_1':0.0},(248,578):{'3_1':0.03},(248,577):{'3_1':0.06},(248,576):{'3_1':0.03},(248,575):{'3_1':0.03},(248,574):{'3_1':0.03,'4_1':0.0},(248,573):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,572):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,571):{'3_1':0.0,'4_1':0.0},(248,570):{'3_1':0.0,'5_2':0.0},(248,569):{'3_1':0.03},(248,568):{'3_1':0.03,'5_2':0.0},(248,567):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(248,566):{'3_1':0.03,'7_3':0.0},(248,565):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(248,564):{'3_1':0.03},(248,563):{'3_1':0.03},(248,562):{'3_1':0.0},(248,561):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(248,560):{'3_1':0.0,'5_2':0.0},(248,559):{'3_1':0.03,'5_2':0.0},(248,558):{'3_1':0.0},(248,557):{'3_1':0.0,'4_1':0.0},(248,556):{'5_2':0.0},(248,555):{'3_1':0.03,'-3':0.0},(248,554):{'3_1':0.06,'5_2':0.0},(248,553):{'3_1':0.0,'4_1':0.0},(248,552):{'3_1':0.0,'5_2':0.0,'7_2':0.0},(248,551):{'3_1':0.03,'5_2':0.0},(248,550):{'3_1':0.0,'5_2':0.0},(248,549):{'3_1':0.0,'5_2':0.0},(248,548):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(248,547):{'3_1':0.0},(248,546):{'3_1':0.0},(248,545):{'3_1':0.0},(248,543):{'3_1':0.06},(248,542):{'3_1':0.03},(248,541):{'3_1':0.0,'5_2':0.0},(248,540):{'3_1':0.0},(248,539):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(248,538):{'3_1':0.03},(248,537):{'3_1':0.0},(248,536):{'3_1':0.03},(248,535):{'3_1':0.0},(248,534):{'3_1':0.03},(248,533):{'3_1':0.03},(248,532):{'3_1':0.03},(248,531):{'3_1':0.0},(248,530):{'3_1':0.03,'5_2':0.0},(248,529):{'3_1':0.0},(248,528):{'3_1':0.0},(248,527):{'3_1':0.03},(248,526):{'3_1':0.0,'4_1':0.0},(248,525):{'3_1':0.06,'5_2':0.0},(248,524):{'3_1':0.0,'5_2':0.0},(248,523):{'3_1':0.0},(248,522):{'3_1':0.0},(248,521):{'3_1':0.03},(248,520):{'3_1':0.0},(248,519):{'3_1':0.03},(248,518):{'8_20|3_1#3_1':0.0},(248,517):{'3_1':0.03,'4_1':0.0},(248,516):{'3_1':0.0},(248,513):{'3_1':0.0},(248,512):{'3_1':0.0},(248,511):{'3_1':0.03},(248,510):{'3_1':0.0},(248,509):{'3_1':0.0},(248,507):{'3_1':0.03},(248,506):{'3_1':0.0},(248,505):{'3_1':0.0},(248,504):{'3_1':0.0},(248,503):{'3_1':0.0},(248,502):{'3_1':0.0},(248,501):{'3_1':0.0,'4_1':0.0},(248,500):{'3_1':0.0},(248,499):{'3_1':0.0,'5_2':0.0},(248,498):{'3_1':0.0},(248,497):{'3_1':0.0},(248,496):{'3_1':0.0},(248,495):{'3_1':0.0},(248,494):{'3_1':0.03},(248,493):{'3_1':0.0},(248,492):{'3_1':0.0},(248,491):{'3_1':0.03},(248,490):{'3_1':0.0,'4_1':0.0},(248,489):{'3_1':0.06},(248,488):{'3_1':0.0},(248,487):{'3_1':0.03},(248,486):{'3_1':0.0},(248,485):{'3_1':0.0},(248,484):{'3_1':0.0},(248,483):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(248,482):{'3_1':0.0},(248,481):{'3_1':0.03},(248,480):{'3_1':0.0},(248,479):{'3_1':0.0},(248,478):{'3_1':0.0},(248,477):{'3_1':0.0},(248,476):{'3_1':0.0},(248,475):{'3_1':0.03},(248,474):{'3_1':0.0,'5_1':0.0},(248,473):{'3_1':0.0},(248,472):{'3_1':0.03},(248,471):{'3_1':0.0},(248,470):{'3_1':0.0},(248,469):{'3_1':0.0},(248,468):{'3_1':0.0},(248,467):{'3_1':0.0},(248,466):{'4_1':0.0},(248,465):{'3_1':0.0},(248,464):{'3_1':0.0},(248,462):{'3_1':0.0,'6_2':0.0},(248,461):{'3_1':0.0},(248,460):{'3_1':0.0},(248,459):{'3_1':0.0},(248,458):{'3_1':0.0},(248,457):{'3_1':0.0},(248,455):{'3_1':0.0},(248,454):{'3_1':0.0},(248,453):{'3_1':0.0},(248,452):{'3_1':0.0},(248,451):{'3_1':0.0},(248,449):{'3_1':0.0,'5_1':0.0},(248,448):{'4_1':0.0},(248,446):{'3_1':0.0},(248,445):{'3_1':0.03},(248,444):{'3_1':0.03},(248,443):{'3_1':0.0},(248,442):{'3_1':0.0},(248,441):{'3_1':0.03},(248,440):{'3_1':0.0},(248,439):{'3_1':0.0},(248,437):{'3_1':0.0},(248,435):{'3_1':0.0},(248,431):{'3_1':0.0},(248,430):{'3_1':0.0},(248,429):{'3_1':0.0},(248,428):{'3_1':0.0},(248,427):{'3_1':0.0},(248,424):{'3_1':0.0},(248,423):{'3_1':0.0},(248,422):{'3_1':0.0},(248,421):{'3_1':0.0},(248,420):{'3_1':0.0},(248,419):{'3_1':0.0},(248,418):{'3_1':0.0},(248,417):{'3_1':0.0,'5_2':0.0},(248,416):{'3_1':0.0},(248,415):{'5_1':0.0,'3_1':0.0},(248,414):{'5_1':0.0},(248,413):{'3_1':0.0},(248,411):{'5_1':0.0},(248,410):{'3_1':0.0},(248,408):{'3_1':0.0},(248,407):{'3_1':0.0},(248,406):{'3_1':0.0},(248,404):{'3_1':0.0},(248,403):{'3_1':0.0},(248,401):{'3_1':0.0},(248,395):{'3_1':0.0},(248,381):{'3_1':0.0},(248,378):{'3_1':0.0},(248,375):{'3_1':0.0},(248,374):{'3_1':0.0},(248,373):{'3_1':0.0},(248,372):{'3_1':0.0},(248,369):{'3_1':0.0},(248,368):{'3_1':0.03},(248,365):{'3_1':0.0},(248,360):{'3_1':0.0},(248,354):{'3_1':0.0},(248,342):{'4_1':0.0},(248,340):{'3_1':0.0,'4_1':0.0},(248,335):{'3_1':0.0},(248,331):{'3_1':0.0},(248,325):{'3_1':0.0},(248,320):{'3_1':0.0},(248,318):{'3_1':0.0},(248,315):{'3_1':0.0},(248,311):{'3_1':0.0},(248,309):{'3_1':0.0},(248,307):{'3_1':0.0},(248,306):{'3_1':0.0},(248,305):{'3_1':0.0},(248,304):{'3_1':0.0},(248,301):{'3_1':0.0},(248,300):{'3_1':0.0},(249,727):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.03,'7_5':0.0,'7_2':0.0,'8_1':0.0,'8_3':0.0,'-3':0.0},(249,726):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'7_5':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(249,725):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(249,724):{'6_1':0.12,'5_2':0.06,'3_1':0.0,'-3':0.0,'4_1':0.0},(249,723):{'6_1':0.09,'5_2':0.06,'3_1':0.0,'7_6':0.0,'4_1':0.0,'7_4':0.0,'8_11':0.0},(249,722):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(249,721):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_3':0.0},(249,720):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0},(249,719):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(249,718):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(249,717):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(249,716):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(249,715):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(249,714):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_4':0.0},(249,713):{'5_2':0.06,'6_1':0.06,'4_1':0.06,'3_1':0.03,'-3':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'8_1':0.0},(249,712):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'-3':0.0,'7_6':0.0,'8_1':0.0},(249,711):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.0,'7_5':0.0,'-3':0.0,'7_2':0.0},(249,710):{'3_1':0.06,'6_1':0.06,'7_5':0.06,'4_1':0.03,'5_2':0.03,'-3':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(249,709):{'6_1':0.12,'3_1':0.09,'7_5':0.03,'-3':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(249,708):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_9':0.0},(249,707):{'5_2':0.09,'6_1':0.09,'4_1':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(249,706):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.0,'7_3':0.0,'7_4':0.0},(249,705):{'5_2':0.12,'6_1':0.09,'4_1':0.0,'3_1':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0},(249,704):{'5_2':0.12,'6_1':0.09,'4_1':0.09,'7_4':0.03,'8_3':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0},(249,703):{'5_2':0.12,'6_1':0.03,'4_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(249,702):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'7_3':0.0,'7_2':0.0},(249,701):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(249,700):{'5_2':0.09,'4_1':0.09,'6_1':0.03,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(249,699):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0},(249,698):{'5_2':0.15,'6_1':0.12,'7_4':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(249,697):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(249,696):{'6_1':0.12,'5_2':0.09,'7_2':0.03,'4_1':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(249,695):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0},(249,694):{'5_2':0.12,'6_1':0.09,'7_4':0.03,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0},(249,693):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(249,692):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(249,691):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'-3':0.0,'7_5':0.0},(249,690):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0},(249,689):{'5_2':0.21,'6_1':0.09,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0},(249,688):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(249,687):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0,'-3':0.0},(249,686):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(249,685):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.03,'6_2':0.0,'7_5':0.0},(249,684):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'7_4':0.0,'7_3':0.0},(249,683):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'6_2':0.0,'7_3':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(249,682):{'5_2':0.18,'4_1':0.09,'6_1':0.06,'3_1':0.03,'8_4':0.0},(249,681):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0},(249,680):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_1':0.0,'7_4':0.0,'8_3':0.0},(249,679):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0},(249,678):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(249,677):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0},(249,676):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'3_1#5_2':0.0},(249,675):{'5_2':0.15,'4_1':0.06,'6_1':0.0,'5_1':0.0,'8_11':0.0},(249,674):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_2':0.0,'5_1':0.0,'8_6':0.0},(249,673):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(249,672):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'8_11':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(249,671):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(249,670):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(249,669):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(249,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0},(249,667):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(249,666):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_5':0.0,'8_3':0.0,'-3':0.0},(249,665):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'8_11':0.0},(249,664):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_1':0.0},(249,663):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'8_1':0.0},(249,662):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03},(249,661):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(249,660):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(249,659):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(249,658):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0},(249,657):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0},(249,656):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(249,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(249,654):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(249,653):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0},(249,652):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(249,651):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(249,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_11':0.0,'-3':0.0},(249,649):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'8_11':0.0},(249,648):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_2':0.0},(249,647):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(249,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(249,645):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(249,644):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(249,643):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(249,642):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(249,641):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_17':0.0},(249,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(249,639):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0},(249,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(249,637):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(249,636):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(249,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(249,634):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(249,633):{'3_1':0.12,'4_1':0.03},(249,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(249,631):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(249,630):{'3_1':0.15,'5_2':0.0,'8_11':0.0},(249,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(249,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_1':0.0},(249,627):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(249,626):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(249,625):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0},(249,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(249,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(249,622):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_6':0.0},(249,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(249,620):{'3_1':0.06,'4_1':0.0},(249,619):{'3_1':0.09,'5_1':0.0},(249,618):{'3_1':0.06,'4_1':0.03},(249,617):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(249,616):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(249,615):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'8_6':0.0},(249,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(249,613):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(249,612):{'3_1':0.06,'7_3':0.0},(249,611):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(249,610):{'3_1':0.06},(249,609):{'3_1':0.03},(249,608):{'3_1':0.03,'5_1':0.0},(249,607):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(249,606):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(249,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(249,604):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(249,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(249,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(249,601):{'3_1':0.03,'6_2':0.0},(249,600):{'3_1':0.03,'6_1':0.0},(249,599):{'3_1':0.0},(249,598):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(249,597):{'3_1':0.0,'5_1':0.0},(249,596):{'3_1':0.03,'5_2':0.0},(249,595):{'3_1':0.0},(249,594):{'3_1':0.06,'5_2':0.0},(249,593):{'3_1':0.06},(249,592):{'3_1':0.03},(249,591):{'3_1':0.03},(249,590):{'3_1':0.06},(249,589):{'3_1':0.0,'4_1':0.0},(249,588):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(249,587):{'3_1':0.03},(249,586):{'3_1':0.03,'4_1':0.0},(249,585):{'3_1':0.09,'5_2':0.0},(249,584):{'3_1':0.0,'4_1':0.0},(249,583):{'3_1':0.06},(249,582):{'3_1':0.03,'6_3':0.0},(249,581):{'3_1':0.03,'5_1':0.0},(249,580):{'3_1':0.0,'5_2':0.0},(249,579):{'3_1':0.03,'7_2':0.0},(249,578):{'3_1':0.0},(249,577):{'3_1':0.06,'5_1':0.0},(249,576):{'3_1':0.06,'4_1':0.0},(249,575):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(249,574):{'3_1':0.03,'4_1':0.0},(249,573):{'3_1':0.0},(249,572):{'3_1':0.03,'5_1':0.0},(249,571):{'3_1':0.06,'5_1':0.0},(249,570):{'3_1':0.03,'5_1':0.0},(249,569):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(249,568):{'3_1':0.03,'5_2':0.0},(249,567):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(249,566):{'3_1':0.0,'5_2':0.0},(249,565):{'3_1':0.0,'5_1':0.0},(249,564):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(249,563):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(249,562):{'3_1':0.03,'5_1':0.0},(249,561):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(249,560):{'3_1':0.0,'5_1':0.0},(249,559):{'3_1':0.03,'5_1':0.0},(249,558):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(249,557):{'3_1':0.03,'5_1':0.0},(249,556):{'3_1':0.03},(249,555):{'3_1':0.06,'4_1':0.0},(249,554):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(249,553):{'3_1':0.03},(249,552):{'3_1':0.03,'5_2':0.0},(249,551):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0},(249,550):{'3_1':0.06,'4_1':0.0},(249,549):{'3_1':0.0},(249,548):{'3_1':0.03,'5_2':0.0},(249,547):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(249,546):{'3_1':0.03},(249,545):{'3_1':0.09,'5_2':0.0},(249,544):{'3_1':0.0},(249,543):{'3_1':0.0},(249,542):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(249,541):{'3_1':0.0,'6_2':0.0},(249,540):{'3_1':0.06,'5_2':0.0},(249,539):{'3_1':0.03,'5_1':0.0},(249,538):{'3_1':0.03,'5_1':0.0},(249,537):{'3_1':0.06,'5_1':0.0},(249,536):{'3_1':0.0},(249,535):{'3_1':0.03,'5_1':0.0},(249,534):{'3_1':0.03},(249,533):{'3_1':0.03,'5_1':0.0},(249,532):{'3_1':0.06},(249,531):{'3_1':0.0},(249,530):{'3_1':0.0},(249,529):{'3_1':0.0},(249,528):{'3_1':0.03},(249,527):{'3_1':0.0,'5_1':0.0},(249,526):{'3_1':0.0},(249,525):{'3_1':0.03},(249,524):{'3_1':0.0,'5_1':0.0},(249,523):{'3_1':0.0,'5_1':0.0},(249,522):{'3_1':0.06},(249,521):{'3_1':0.03},(249,520):{'3_1':0.03},(249,519):{'3_1':0.0,'5_2':0.0},(249,518):{'3_1':0.03},(249,517):{'3_1':0.03},(249,516):{'3_1':0.03},(249,515):{'3_1':0.09},(249,514):{'3_1':0.0,'4_1':0.0},(249,513):{'3_1':0.03},(249,512):{'3_1':0.0},(249,511):{'3_1':0.0},(249,510):{'3_1':0.0},(249,509):{'3_1':0.0,'4_1':0.0},(249,508):{'3_1':0.0,'4_1':0.0},(249,507):{'3_1':0.0},(249,506):{'3_1':0.0,'5_2':0.0},(249,505):{'3_1':0.0},(249,503):{'3_1':0.0},(249,502):{'3_1':0.0},(249,501):{'3_1':0.0},(249,500):{'3_1':0.0,'4_1':0.0},(249,497):{'3_1':0.0},(249,496):{'3_1':0.0,'4_1':0.0},(249,495):{'3_1':0.03},(249,494):{'3_1':0.0,'4_1':0.0},(249,493):{'3_1':0.0},(249,492):{'3_1':0.0},(249,491):{'3_1':0.03},(249,490):{'3_1':0.0},(249,489):{'3_1':0.03},(249,488):{'3_1':0.0},(249,487):{'3_1':0.0},(249,486):{'3_1':0.0},(249,485):{'3_1':0.0},(249,484):{'3_1':0.03},(249,483):{'3_1':0.0},(249,482):{'3_1':0.0,'4_1':0.0},(249,481):{'3_1':0.0},(249,480):{'3_1':0.0},(249,479):{'3_1':0.0},(249,478):{'3_1':0.0},(249,477):{'3_1':0.03},(249,476):{'3_1':0.0},(249,475):{'3_1':0.0},(249,474):{'3_1':0.0,'4_1':0.0},(249,473):{'3_1':0.03},(249,472):{'3_1':0.03},(249,471):{'3_1':0.03},(249,469):{'3_1':0.0},(249,468):{'3_1':0.0},(249,467):{'3_1':0.0},(249,466):{'3_1':0.0},(249,465):{'3_1':0.0,'4_1':0.0},(249,464):{'3_1':0.0},(249,463):{'3_1':0.0},(249,462):{'3_1':0.0},(249,461):{'3_1':0.0},(249,460):{'3_1':0.0},(249,459):{'3_1':0.0},(249,458):{'3_1':0.0},(249,457):{'3_1':0.0},(249,456):{'3_1':0.03},(249,454):{'3_1':0.0},(249,453):{'3_1':0.0,'4_1':0.0},(249,452):{'3_1':0.0},(249,451):{'3_1':0.0},(249,450):{'3_1':0.0},(249,449):{'3_1':0.03},(249,448):{'3_1':0.03},(249,447):{'3_1':0.0},(249,446):{'3_1':0.0},(249,445):{'3_1':0.03},(249,444):{'3_1':0.0},(249,443):{'3_1':0.0},(249,442):{'3_1':0.0},(249,439):{'3_1':0.03},(249,438):{'3_1':0.0,'5_1':0.0},(249,437):{'3_1':0.0},(249,436):{'3_1':0.0},(249,435):{'3_1':0.0},(249,434):{'3_1':0.0},(249,433):{'3_1':0.0},(249,432):{'3_1':0.0,'5_2':0.0},(249,430):{'3_1':0.0,'4_1':0.0},(249,429):{'3_1':0.0},(249,428):{'3_1':0.0,'4_1':0.0},(249,427):{'3_1':0.0},(249,426):{'3_1':0.0},(249,425):{'3_1':0.0},(249,424):{'3_1':0.0},(249,423):{'3_1':0.0},(249,422):{'3_1':0.0},(249,420):{'3_1':0.0},(249,419):{'3_1':0.0},(249,418):{'3_1':0.0},(249,417):{'3_1':0.0},(249,416):{'3_1':0.0},(249,415):{'3_1':0.0,'5_1':0.0},(249,414):{'3_1':0.0},(249,411):{'3_1':0.0},(249,410):{'3_1':0.03},(249,409):{'3_1':0.0},(249,408):{'3_1':0.0},(249,407):{'5_1':0.0},(249,405):{'3_1':0.0},(249,404):{'3_1':0.0},(249,403):{'3_1':0.0},(249,401):{'3_1':0.03},(249,399):{'3_1':0.0,'5_1':0.0},(249,386):{'3_1':0.0},(249,385):{'3_1':0.0},(249,384):{'5_1':0.0},(249,383):{'3_1':0.0},(249,380):{'3_1':0.0},(249,379):{'3_1':0.0},(249,378):{'3_1':0.0},(249,377):{'3_1':0.0},(249,372):{'3_1':0.0},(249,364):{'3_1':0.0},(249,362):{'3_1':0.0},(249,359):{'3_1':0.0},(249,354):{'3_1':0.0},(249,346):{'3_1':0.0},(249,345):{'3_1':0.0},(249,343):{'3_1':0.0},(249,335):{'3_1':0.0},(249,328):{'3_1':0.0},(249,326):{'3_1':0.0},(249,325):{'3_1':0.0},(249,324):{'3_1':0.0},(249,313):{'3_1':0.0},(249,310):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(249,303):{'3_1':0.0},(249,301):{'3_1':0.0},(249,298):{'3_1':0.0},(250,727):{'5_2':0.06,'6_1':0.06,'7_5':0.03,'4_1':0.0,'7_2':0.0,'3_1':0.0,'7_3':0.0,'8_4':0.0},(250,726):{'5_2':0.06,'6_1':0.03,'8_4':0.03,'3_1':0.0,'7_5':0.0,'7_2':0.0,'7_3':0.0},(250,725):{'6_1':0.06,'7_5':0.06,'5_2':0.03,'8_4':0.03,'4_1':0.03,'3_1':0.0,'-3':0.0},(250,724):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.03,'8_3':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(250,723):{'6_1':0.09,'5_2':0.06,'4_1':0.0,'3_1':0.0,'8_4':0.0,'8_6':0.0,'7_6':0.0},(250,722):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0},(250,721):{'6_1':0.12,'5_2':0.06,'4_1':0.0,'3_1':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_6':0.0},(250,720):{'6_1':0.09,'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'-3':0.0,'7_5':0.0,'8_4':0.0},(250,719):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_3':0.0},(250,718):{'5_2':0.12,'6_1':0.09,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(250,717):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(250,716):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_6':0.0,'8_4':0.0},(250,715):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(250,714):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(250,713):{'5_2':0.03,'6_1':0.03,'4_1':0.0,'3_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(250,712):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.0,'-3':0.0},(250,711):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'8_6':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(250,710):{'3_1':0.12,'6_1':0.12,'5_2':0.06,'7_5':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0},(250,709):{'7_5':0.09,'3_1':0.06,'6_1':0.03,'-3':0.03,'6_2':0.0,'8_3':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(250,708):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'7_5':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(250,707):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'7_7':0.0,'8_4':0.0,'8_6':0.0},(250,706):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'7_4':0.0,'8_4':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0},(250,705):{'5_2':0.06,'6_1':0.03,'4_1':0.0,'3_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(250,704):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(250,703):{'5_2':0.15,'6_1':0.09,'7_4':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(250,702):{'5_2':0.12,'6_1':0.03,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0},(250,701):{'5_2':0.12,'6_1':0.09,'7_4':0.0,'-3':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(250,700):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(250,699):{'5_2':0.15,'6_1':0.03,'4_1':0.03,'7_3':0.03,'7_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0},(250,698):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'7_3':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0},(250,697):{'5_2':0.18,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(250,696):{'5_2':0.12,'7_4':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_2':0.0},(250,695):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.0,'7_2':0.0,'8_6':0.0},(250,694):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0,'8_6':0.0},(250,693):{'5_2':0.15,'6_1':0.12,'3_1':0.06,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_3':0.0,'8_3':0.0},(250,692):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(250,691):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(250,690):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(250,689):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'8_3':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(250,688):{'5_2':0.18,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(250,687):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'8_6':0.0},(250,686):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'6_2':0.0,'7_4':0.0,'8_3':0.0},(250,685):{'5_2':0.21,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(250,684):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(250,683):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.0,'6_2':0.0},(250,682):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_3':0.0,'5_1':0.0},(250,681):{'5_2':0.12,'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(250,680):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(250,679):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(250,678):{'5_2':0.18,'4_1':0.12,'3_1':0.03,'6_1':0.0,'8_4':0.0,'-3':0.0},(250,677):{'4_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(250,676):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(250,675):{'4_1':0.15,'5_2':0.15,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(250,674):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_3':0.0,'8_3':0.0},(250,673):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0},(250,672):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0},(250,671):{'4_1':0.09,'5_2':0.09,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(250,670):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_2':0.0,'6_1':0.0,'6_2':0.0},(250,669):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0},(250,668):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(250,667):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_3':0.0},(250,666):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0},(250,665):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0},(250,664):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0},(250,663):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(250,662):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(250,661):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(250,660):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(250,659):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(250,658):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'8_3':0.0},(250,657):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(250,656):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_2':0.0},(250,655):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(250,654):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(250,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(250,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(250,651):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(250,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(250,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(250,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0},(250,647):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(250,646):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0},(250,645):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'6_2':0.0},(250,644):{'3_1':0.21,'4_1':0.06,'5_1':0.06,'5_2':0.03,'6_1':0.0,'8_17':0.0},(250,643):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(250,642):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(250,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0},(250,640):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0},(250,639):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(250,638):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(250,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(250,636):{'3_1':0.15,'4_1':0.0},(250,635):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(250,634):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(250,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(250,632):{'3_1':0.12,'4_1':0.0},(250,631):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(250,630):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(250,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(250,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(250,627):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(250,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(250,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(250,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(250,623):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(250,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(250,621):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(250,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(250,619):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(250,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(250,617):{'3_1':0.09,'4_1':0.03},(250,616):{'3_1':0.09,'4_1':0.0},(250,615):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(250,614):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(250,613):{'3_1':0.09,'4_1':0.0},(250,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(250,611):{'3_1':0.06,'4_1':0.0},(250,610):{'3_1':0.09,'4_1':0.0},(250,609):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(250,608):{'3_1':0.06,'4_1':0.0},(250,607):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(250,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(250,605):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(250,604):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(250,603):{'3_1':0.06,'5_2':0.0},(250,602):{'3_1':0.03,'4_1':0.0},(250,601):{'3_1':0.03},(250,600):{'3_1':0.06},(250,599):{'3_1':0.09},(250,598):{'3_1':0.0},(250,597):{'3_1':0.0,'6_2':0.0},(250,596):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(250,595):{'3_1':0.03,'4_1':0.0},(250,594):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(250,593):{'3_1':0.03,'4_1':0.0},(250,592):{'3_1':0.03,'5_2':0.0},(250,591):{'3_1':0.03,'5_1':0.0},(250,590):{'3_1':0.06},(250,589):{'3_1':0.03,'5_2':0.0},(250,588):{'3_1':0.0},(250,587):{'3_1':0.03},(250,586):{'3_1':0.06,'5_1':0.0},(250,585):{'3_1':0.03,'5_1':0.0},(250,584):{'3_1':0.0},(250,583):{'3_1':0.03},(250,582):{'3_1':0.0},(250,581):{'3_1':0.03},(250,580):{'3_1':0.03},(250,579):{'3_1':0.0},(250,578):{'3_1':0.03,'4_1':0.0},(250,577):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(250,576):{'3_1':0.0},(250,575):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(250,574):{'3_1':0.06,'5_1':0.0},(250,573):{'3_1':0.06},(250,572):{'3_1':0.0},(250,571):{'3_1':0.03,'6_1':0.0},(250,570):{'3_1':0.03},(250,569):{'3_1':0.0},(250,568):{'3_1':0.03},(250,567):{'3_1':0.03,'5_1':0.0},(250,566):{'3_1':0.0},(250,565):{'3_1':0.0},(250,564):{'3_1':0.0,'5_1':0.0},(250,563):{'3_1':0.03},(250,562):{'3_1':0.06},(250,561):{'3_1':0.0},(250,560):{'3_1':0.06,'5_2':0.0},(250,559):{'3_1':0.03,'5_1':0.0},(250,558):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(250,557):{'3_1':0.0},(250,556):{'3_1':0.03,'5_2':0.0},(250,555):{'3_1':0.03},(250,554):{'3_1':0.03,'5_1':0.0},(250,553):{'3_1':0.03},(250,552):{'3_1':0.0,'5_2':0.0},(250,551):{'3_1':0.03},(250,550):{'3_1':0.03},(250,549):{'3_1':0.06},(250,548):{'3_1':0.03},(250,547):{'3_1':0.0},(250,546):{'3_1':0.0,'4_1':0.0},(250,545):{'3_1':0.06},(250,544):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(250,543):{'3_1':0.03},(250,542):{'3_1':0.03,'7_5':0.0},(250,541):{'3_1':0.06},(250,540):{'3_1':0.03,'5_2':0.0},(250,539):{'3_1':0.0},(250,538):{'3_1':0.03,'5_2':0.0},(250,537):{'3_1':0.03,'5_2':0.0},(250,536):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(250,535):{'3_1':0.03},(250,534):{'3_1':0.06},(250,533):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(250,532):{'3_1':0.03,'7_5':0.0},(250,530):{'3_1':0.06},(250,529):{'3_1':0.03,'5_1':0.0},(250,528):{'3_1':0.03},(250,527):{'3_1':0.03},(250,526):{'3_1':0.03,'5_1':0.0},(250,525):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(250,524):{'3_1':0.0,'4_1':0.0},(250,523):{'3_1':0.0},(250,522):{'3_1':0.03},(250,521):{'3_1':0.03},(250,520):{'5_1':0.0},(250,519):{'3_1':0.0},(250,518):{'3_1':0.0},(250,517):{'3_1':0.03},(250,516):{'3_1':0.03},(250,515):{'3_1':0.0},(250,514):{'3_1':0.03,'5_1':0.0},(250,513):{'3_1':0.0},(250,512):{'3_1':0.0},(250,511):{'3_1':0.03},(250,509):{'3_1':0.0},(250,508):{'3_1':0.0,'5_2':0.0},(250,507):{'3_1':0.0},(250,505):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(250,504):{'4_1':0.0},(250,503):{'3_1':0.0},(250,502):{'3_1':0.0,'4_1':0.0},(250,501):{'3_1':0.0},(250,500):{'3_1':0.0,'4_1':0.0},(250,498):{'3_1':0.0,'4_1':0.0},(250,496):{'3_1':0.0},(250,495):{'3_1':0.03},(250,494):{'3_1':0.0},(250,493):{'3_1':0.0},(250,492):{'3_1':0.0},(250,491):{'3_1':0.03},(250,490):{'3_1':0.0},(250,489):{'3_1':0.0},(250,488):{'3_1':0.03},(250,487):{'3_1':0.0},(250,486):{'3_1':0.0},(250,485):{'3_1':0.03},(250,483):{'3_1':0.03},(250,482):{'3_1':0.03},(250,481):{'3_1':0.0},(250,480):{'3_1':0.03},(250,479):{'3_1':0.0},(250,478):{'3_1':0.06},(250,477):{'3_1':0.03},(250,476):{'3_1':0.0},(250,475):{'3_1':0.0},(250,474):{'3_1':0.03},(250,473):{'3_1':0.0},(250,472):{'3_1':0.0},(250,471):{'3_1':0.0},(250,470):{'3_1':0.0},(250,468):{'3_1':0.03},(250,467):{'3_1':0.0},(250,466):{'3_1':0.0},(250,465):{'3_1':0.0},(250,464):{'3_1':0.03},(250,463):{'3_1':0.0},(250,462):{'3_1':0.0},(250,461):{'3_1':0.0},(250,460):{'3_1':0.0},(250,459):{'3_1':0.0},(250,458):{'3_1':0.0,'4_1':0.0},(250,457):{'3_1':0.0,'4_1':0.0},(250,456):{'3_1':0.0},(250,455):{'3_1':0.0},(250,454):{'3_1':0.0},(250,451):{'3_1':0.0},(250,450):{'3_1':0.03},(250,449):{'3_1':0.0},(250,448):{'3_1':0.03},(250,447):{'5_1':0.0},(250,446):{'3_1':0.0},(250,445):{'3_1':0.03},(250,444):{'3_1':0.0},(250,443):{'3_1':0.0},(250,442):{'3_1':0.0},(250,441):{'3_1':0.0},(250,440):{'3_1':0.0},(250,439):{'3_1':0.03},(250,438):{'3_1':0.0},(250,436):{'3_1':0.0},(250,435):{'3_1':0.0},(250,434):{'3_1':0.0,'5_1':0.0},(250,433):{'3_1':0.0,'4_1':0.0},(250,432):{'3_1':0.03},(250,431):{'3_1':0.0},(250,430):{'3_1':0.0},(250,428):{'3_1':0.0},(250,427):{'3_1':0.0,'5_2':0.0},(250,426):{'3_1':0.0},(250,425):{'3_1':0.0},(250,424):{'3_1':0.0},(250,423):{'3_1':0.0},(250,421):{'4_1':0.0},(250,420):{'3_1':0.0},(250,419):{'3_1':0.0,'5_1':0.0},(250,416):{'3_1':0.0},(250,415):{'3_1':0.0},(250,414):{'3_1':0.0,'5_1':0.0},(250,410):{'3_1':0.0},(250,409):{'3_1':0.0},(250,408):{'3_1':0.0},(250,407):{'3_1':0.0},(250,406):{'3_1':0.0},(250,404):{'3_1':0.0},(250,400):{'5_2':0.0},(250,397):{'5_1':0.0},(250,396):{'3_1':0.0},(250,386):{'3_1':0.0},(250,380):{'3_1':0.0},(250,379):{'4_1':0.0},(250,375):{'3_1':0.0},(250,374):{'3_1':0.0},(250,373):{'3_1':0.0},(250,372):{'3_1':0.0},(250,369):{'6_1':0.0},(250,367):{'3_1':0.0},(250,366):{'3_1':0.0},(250,363):{'3_1':0.0},(250,360):{'3_1':0.0,'4_1':0.0},(250,358):{'3_1':0.0},(250,356):{'3_1':0.0},(250,346):{'3_1':0.0},(250,340):{'3_1':0.0},(250,335):{'3_1':0.0},(250,329):{'3_1':0.0,'4_1':0.0},(250,328):{'3_1':0.0},(250,320):{'4_1':0.0},(250,319):{'4_1':0.0},(250,315):{'3_1':0.0},(250,310):{'3_1':0.0},(250,309):{'3_1':0.0},(250,303):{'3_1':0.0},(250,302):{'3_1':0.0},(250,299):{'3_1':0.0},(251,727):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'7_5':0.03,'8_4':0.0,'7_2':0.0,'4_1':0.0,'5_1':0.0,'8_3':0.0},(251,726):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(251,725):{'5_2':0.06,'6_1':0.03,'3_1':0.0,'7_5':0.0},(251,724):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(251,723):{'5_2':0.09,'6_1':0.06,'3_1':0.0,'7_5':0.0,'4_1':0.0,'8_11':0.0},(251,722):{'6_1':0.09,'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_5':0.0,'8_4':0.0,'8_14':0.0},(251,721):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'4_1':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(251,720):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(251,719):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(251,718):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_6':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(251,717):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'3_1#5_2':0.0},(251,716):{'6_1':0.09,'5_2':0.09,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(251,715):{'6_1':0.15,'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(251,714):{'6_1':0.18,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0},(251,713):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.03,'8_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_8':0.0},(251,712):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'7_2':0.0,'3_1':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(251,711):{'6_1':0.12,'3_1':0.06,'5_2':0.03,'7_5':0.03,'4_1':0.0,'8_4':0.0,'6_2':0.0,'8_6':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0},(251,710):{'6_1':0.12,'5_2':0.06,'7_5':0.06,'3_1':0.03,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(251,709):{'7_5':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0,'-3':0.0},(251,708):{'6_1':0.09,'3_1':0.06,'5_2':0.03,'7_5':0.03,'5_1':0.0,'8_6':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0},(251,707):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'8_19':0.0},(251,706):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'8_4':0.0,'7_4':0.0},(251,705):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0},(251,704):{'6_1':0.09,'5_2':0.06,'7_4':0.03,'4_1':0.03,'3_1':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(251,703):{'6_1':0.12,'5_2':0.09,'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(251,702):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0},(251,701):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'7_4':0.03,'7_3':0.0,'7_2':0.0,'4_1':0.0,'8_2':0.0,'8_4':0.0},(251,700):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'7_2':0.0,'7_5':0.0},(251,699):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'7_4':0.03,'7_2':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(251,698):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0},(251,697):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0},(251,696):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0},(251,695):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'4_1':0.0,'8_4':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(251,694):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'8_4':0.0},(251,693):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_2':0.0,'8_1':0.0},(251,692):{'5_2':0.18,'3_1':0.03,'6_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(251,691):{'5_2':0.12,'6_1':0.03,'4_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(251,690):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0},(251,689):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'-3':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(251,688):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_4':0.0},(251,687):{'5_2':0.18,'6_1':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0},(251,686):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.06,'6_2':0.03,'5_1':0.03,'7_2':0.0,'7_4':0.0},(251,685):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0},(251,684):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(251,683):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'8_2':0.0},(251,682):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'3_1':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0},(251,681):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_3':0.0,'6_1':0.0,'7_2':0.0},(251,680):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'3_1':0.03},(251,679):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_2':0.0,'7_5':0.0},(251,678):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(251,677):{'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(251,676):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0},(251,675):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(251,674):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(251,673):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(251,672):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_3':0.0},(251,671):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0},(251,670):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_2':0.0},(251,669):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(251,668):{'4_1':0.12,'5_2':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(251,667):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(251,666):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_5':0.0},(251,665):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(251,664):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(251,663):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_3':0.0},(251,662):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'6_2':0.0,'8_9':0.0},(251,661):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(251,660):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(251,659):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0},(251,658):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(251,657):{'3_1':0.12,'5_2':0.03,'4_1':0.03},(251,656):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_3':0.0},(251,655):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(251,654):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(251,653):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(251,652):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(251,651):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(251,650):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(251,649):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(251,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0},(251,647):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_2':0.0},(251,646):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(251,645):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(251,644):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(251,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(251,642):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(251,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(251,640):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0},(251,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_17':0.0},(251,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(251,637):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_1':0.0},(251,636):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(251,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(251,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(251,633):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(251,632):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_1':0.0},(251,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(251,630):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(251,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(251,628):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(251,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(251,626):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(251,625):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(251,624):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(251,623):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(251,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(251,621):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(251,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(251,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(251,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(251,617):{'3_1':0.12,'4_1':0.03},(251,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(251,615):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(251,614):{'3_1':0.06,'4_1':0.03},(251,613):{'3_1':0.03,'4_1':0.0},(251,612):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(251,611):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(251,610):{'3_1':0.03},(251,609):{'3_1':0.03,'6_2':0.0},(251,608):{'4_1':0.0,'3_1':0.0},(251,607):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(251,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(251,605):{'3_1':0.03,'4_1':0.03},(251,604):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(251,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(251,602):{'3_1':0.03},(251,601):{'3_1':0.0,'4_1':0.0},(251,600):{'3_1':0.0,'4_1':0.0},(251,599):{'3_1':0.0},(251,598):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(251,597):{'3_1':0.0,'4_1':0.0},(251,596):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(251,595):{'3_1':0.0},(251,594):{'3_1':0.0,'4_1':0.0},(251,593):{'3_1':0.03},(251,592):{'3_1':0.06,'6_2':0.0},(251,591):{'3_1':0.03},(251,590):{'3_1':0.03},(251,589):{'3_1':0.03},(251,588):{'3_1':0.0},(251,587):{'3_1':0.03},(251,586):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(251,585):{'3_1':0.06,'5_1':0.0},(251,584):{'3_1':0.0},(251,583):{'3_1':0.03,'5_2':0.0},(251,582):{'3_1':0.06},(251,581):{'3_1':0.0},(251,580):{'3_1':0.0},(251,579):{'3_1':0.0,'4_1':0.0},(251,578):{'3_1':0.03},(251,577):{'3_1':0.03,'4_1':0.0},(251,576):{'3_1':0.0,'5_1':0.0},(251,575):{'3_1':0.06},(251,574):{'3_1':0.0,'5_1':0.0},(251,573):{'3_1':0.0,'4_1':0.0},(251,572):{'3_1':0.0,'5_2':0.0},(251,571):{'3_1':0.0},(251,570):{'3_1':0.0,'5_1':0.0},(251,569):{'3_1':0.0},(251,568):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(251,567):{'3_1':0.03},(251,566):{'3_1':0.06,'5_2':0.0},(251,565):{'3_1':0.03},(251,564):{'3_1':0.0},(251,563):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(251,562):{'3_1':0.0},(251,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(251,560):{'3_1':0.0},(251,559):{'3_1':0.0},(251,558):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(251,557):{'3_1':0.03,'4_1':0.0},(251,556):{'3_1':0.03,'5_2':0.0},(251,555):{'3_1':0.03,'-3':0.0},(251,554):{'3_1':0.0,'4_1':0.0},(251,553):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(251,552):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(251,551):{'3_1':0.03},(251,550):{'3_1':0.03,'4_1':0.0},(251,549):{'3_1':0.0,'5_1':0.0},(251,548):{'3_1':0.03},(251,547):{'3_1':0.0,'4_1':0.0},(251,546):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(251,545):{'3_1':0.0,'5_2':0.0},(251,544):{'3_1':0.0},(251,543):{'3_1':0.0,'5_2':0.0},(251,542):{'3_1':0.03,'4_1':0.0},(251,541):{'3_1':0.03,'5_1':0.0},(251,540):{'3_1':0.03},(251,539):{'3_1':0.03,'5_2':0.0},(251,538):{'3_1':0.03},(251,537):{'3_1':0.03,'5_1':0.0},(251,536):{'3_1':0.03,'4_1':0.0},(251,535):{'3_1':0.03},(251,534):{'3_1':0.03},(251,533):{'3_1':0.0},(251,532):{'3_1':0.0,'5_1':0.0},(251,531):{'3_1':0.03},(251,530):{'3_1':0.0,'6_2':0.0},(251,529):{'3_1':0.0},(251,528):{'3_1':0.03},(251,527):{'3_1':0.0},(251,526):{'3_1':0.0},(251,525):{'3_1':0.0,'5_2':0.0},(251,524):{'3_1':0.0},(251,523):{'3_1':0.03},(251,522):{'3_1':0.06},(251,521):{'3_1':0.03,'5_2':0.0},(251,520):{'3_1':0.0},(251,519):{'3_1':0.0},(251,518):{'3_1':0.03,'4_1':0.0},(251,517):{'3_1':0.0},(251,516):{'3_1':0.03},(251,515):{'3_1':0.0,'5_2':0.0},(251,514):{'3_1':0.0},(251,513):{'3_1':0.0},(251,511):{'3_1':0.03},(251,510):{'3_1':0.0},(251,509):{'3_1':0.0},(251,508):{'3_1':0.0},(251,507):{'3_1':0.0},(251,506):{'3_1':0.0},(251,504):{'3_1':0.0},(251,503):{'3_1':0.0},(251,502):{'3_1':0.0},(251,500):{'3_1':0.0},(251,499):{'3_1':0.0},(251,498):{'3_1':0.0,'5_2':0.0},(251,497):{'3_1':0.03},(251,496):{'3_1':0.0},(251,495):{'3_1':0.0},(251,494):{'3_1':0.0},(251,493):{'3_1':0.0},(251,492):{'3_1':0.0},(251,491):{'3_1':0.0},(251,490):{'3_1':0.03,'4_1':0.0},(251,489):{'3_1':0.0},(251,488):{'3_1':0.03},(251,487):{'3_1':0.0},(251,486):{'3_1':0.0},(251,485):{'3_1':0.03},(251,484):{'3_1':0.0},(251,483):{'3_1':0.03},(251,482):{'3_1':0.06},(251,481):{'3_1':0.0},(251,480):{'3_1':0.06},(251,479):{'3_1':0.03},(251,478):{'3_1':0.0},(251,477):{'3_1':0.06},(251,476):{'3_1':0.03},(251,475):{'3_1':0.03},(251,474):{'3_1':0.0,'4_1':0.0},(251,473):{'3_1':0.0,'5_1':0.0},(251,472):{'3_1':0.03},(251,470):{'3_1':0.0},(251,469):{'3_1':0.0},(251,468):{'3_1':0.03},(251,467):{'3_1':0.0},(251,466):{'3_1':0.0},(251,465):{'3_1':0.0},(251,464):{'3_1':0.03},(251,463):{'3_1':0.03},(251,462):{'3_1':0.0},(251,461):{'3_1':0.03},(251,460):{'3_1':0.0},(251,459):{'3_1':0.0},(251,458):{'3_1':0.0},(251,457):{'3_1':0.0},(251,456):{'3_1':0.0},(251,454):{'3_1':0.0,'4_1':0.0},(251,453):{'3_1':0.0},(251,451):{'3_1':0.0},(251,450):{'3_1':0.0},(251,449):{'3_1':0.0},(251,448):{'3_1':0.0,'4_1':0.0},(251,447):{'3_1':0.0},(251,446):{'5_2':0.0},(251,445):{'4_1':0.0},(251,444):{'3_1':0.0},(251,443):{'4_1':0.0},(251,442):{'3_1':0.0},(251,441):{'3_1':0.0},(251,440):{'3_1':0.0},(251,439):{'3_1':0.0},(251,438):{'3_1':0.0},(251,437):{'3_1':0.0},(251,436):{'3_1':0.0},(251,435):{'3_1':0.0},(251,434):{'3_1':0.0},(251,433):{'3_1':0.0},(251,432):{'3_1':0.0},(251,431):{'3_1':0.0},(251,430):{'3_1':0.0},(251,429):{'3_1':0.0},(251,428):{'3_1':0.0},(251,427):{'5_1':0.0,'3_1':0.0},(251,426):{'3_1':0.0},(251,425):{'3_1':0.0,'5_1':0.0},(251,424):{'3_1':0.0},(251,423):{'3_1':0.0},(251,422):{'3_1':0.0},(251,421):{'3_1':0.0},(251,420):{'3_1':0.0},(251,419):{'3_1':0.0,'5_1':0.0},(251,411):{'3_1':0.0},(251,410):{'3_1':0.0},(251,409):{'3_1':0.0},(251,408):{'3_1':0.0},(251,407):{'3_1':0.0},(251,403):{'3_1':0.0},(251,386):{'3_1':0.0},(251,385):{'3_1':0.0},(251,382):{'3_1':0.0},(251,379):{'4_1':0.0},(251,378):{'3_1':0.0},(251,377):{'3_1':0.0,'4_1':0.0},(251,376):{'3_1':0.0},(251,375):{'3_1':0.0},(251,374):{'4_1':0.0},(251,373):{'3_1':0.0},(251,372):{'3_1':0.0},(251,370):{'3_1':0.0},(251,367):{'3_1':0.03},(251,366):{'3_1':0.0},(251,365):{'3_1':0.0},(251,364):{'4_1':0.0},(251,363):{'3_1':0.0},(251,362):{'3_1':0.0},(251,361):{'4_1':0.0},(251,359):{'3_1':0.0},(251,342):{'3_1':0.0},(251,341):{'3_1':0.0},(251,339):{'3_1':0.0},(251,332):{'3_1':0.0},(251,331):{'4_1':0.0},(251,330):{'3_1':0.0},(251,323):{'3_1':0.0},(251,322):{'3_1':0.0},(251,320):{'3_1':0.0},(251,319):{'3_1':0.0},(251,318):{'4_1':0.0},(251,316):{'3_1':0.0},(251,312):{'3_1':0.0},(251,310):{'3_1':0.0},(251,308):{'3_1':0.0},(251,307):{'3_1':0.0},(251,304):{'3_1':0.0},(251,303):{'3_1':0.0},(252,727):{'6_1':0.09,'5_2':0.09,'3_1':0.06,'7_5':0.06,'4_1':0.0,'8_3':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(252,726):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(252,725):{'6_1':0.15,'5_2':0.03,'3_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(252,724):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0},(252,723):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(252,722):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(252,721):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0},(252,720):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(252,719):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(252,718):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.06,'7_2':0.0,'8_4':0.0,'8_6':0.0},(252,717):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'8_6':0.0},(252,716):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_1':0.0},(252,715):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(252,714):{'5_2':0.12,'6_1':0.12,'3_1':0.0,'7_4':0.0,'4_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0,'8_8':0.0},(252,713):{'5_2':0.15,'6_1':0.12,'3_1':0.03,'4_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_9':0.0},(252,712):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'8_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(252,711):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0},(252,710):{'3_1':0.18,'6_1':0.12,'4_1':0.03,'7_5':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(252,709):{'3_1':0.09,'6_1':0.06,'7_5':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(252,708):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'6_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(252,707):{'5_2':0.15,'6_1':0.12,'4_1':0.0,'6_2':0.0,'3_1':0.0,'8_14':0.0,'7_2':0.0,'7_3':0.0,'8_9':0.0},(252,706):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_3':0.0,'8_4':0.0},(252,705):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(252,704):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.03,'4_1':0.0,'7_2':0.0,'8_1':0.0},(252,703):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0},(252,702):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(252,701):{'5_2':0.18,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'8_3':0.0},(252,700):{'6_1':0.09,'5_2':0.06,'7_4':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'6_3':0.0,'-3':0.0},(252,699):{'6_1':0.06,'5_2':0.03,'4_1':0.03,'3_1':0.0,'8_4':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(252,698):{'5_2':0.15,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_3':0.0,'7_3':0.0},(252,697):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'7_2':0.03,'3_1':0.0,'7_4':0.0,'7_3':0.0,'8_4':0.0},(252,696):{'5_2':0.12,'6_1':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(252,695):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_2':0.0,'8_3':0.0,'8_9':0.0},(252,694):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_4':0.0,'7_3':0.0},(252,693):{'5_2':0.12,'6_1':0.12,'4_1':0.03,'7_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(252,692):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(252,691):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(252,690):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0},(252,689):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.0,'6_2':0.0,'7_4':0.0},(252,688):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(252,687):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(252,686):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(252,685):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_2':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0,'8_3':0.0,'-3':0.0},(252,684):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_3':0.0,'8_4':0.0},(252,683):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'8_3':0.0},(252,682):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'8_3':0.0},(252,681):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(252,680):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(252,679):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(252,678):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.0,'3_1':0.0,'7_4':0.0,'8_2':0.0},(252,677):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(252,676):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(252,675):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_1':0.0},(252,674):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'6_2':0.0},(252,673):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_6':0.0},(252,672):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(252,671):{'4_1':0.09,'5_2':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(252,670):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_11':0.0},(252,669):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(252,668):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.0,'6_2':0.0},(252,667):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.03},(252,666):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(252,665):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(252,664):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_1':0.03,'5_1':0.0,'7_1':0.0},(252,663):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_5':0.0},(252,662):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(252,661):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(252,660):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(252,659):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0},(252,658):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0},(252,657):{'3_1':0.18,'4_1':0.09,'6_1':0.03,'5_2':0.0},(252,656):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(252,655):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(252,654):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(252,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(252,652):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(252,651):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_4':0.0},(252,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(252,649):{'3_1':0.21,'4_1':0.03},(252,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(252,647):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(252,646):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(252,645):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(252,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(252,643):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'6_1':0.0},(252,642):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(252,641):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(252,640):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(252,639):{'3_1':0.18,'4_1':0.06,'5_1':0.03},(252,638):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(252,637):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(252,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(252,635):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(252,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_9':0.0},(252,633):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(252,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(252,631):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(252,630):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(252,629):{'3_1':0.09,'6_2':0.0},(252,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(252,627):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(252,626):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(252,625):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(252,624):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(252,623):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(252,622):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0},(252,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(252,620):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(252,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(252,618):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(252,617):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(252,616):{'3_1':0.15,'4_1':0.0},(252,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(252,614):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(252,613):{'3_1':0.03,'4_1':0.0},(252,612):{'3_1':0.0},(252,611):{'3_1':0.06,'4_1':0.0},(252,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(252,609):{'4_1':0.0,'3_1':0.0},(252,608):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(252,607):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(252,606):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(252,605):{'4_1':0.0},(252,604):{'3_1':0.03,'5_1':0.0},(252,603):{'3_1':0.03,'5_1':0.0},(252,602):{'3_1':0.0},(252,600):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(252,599):{'3_1':0.0},(252,598):{'3_1':0.06,'4_1':0.0},(252,597):{'3_1':0.0},(252,596):{'3_1':0.0,'5_1':0.0},(252,595):{'3_1':0.06},(252,594):{'3_1':0.03,'4_1':0.0},(252,593):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(252,592):{'3_1':0.09},(252,591):{'3_1':0.0,'5_1':0.0},(252,590):{'3_1':0.03,'4_1':0.0},(252,589):{'3_1':0.03},(252,588):{'3_1':0.03,'4_1':0.0},(252,587):{'3_1':0.03},(252,586):{'3_1':0.03},(252,585):{'3_1':0.0,'4_1':0.0},(252,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(252,583):{'3_1':0.03},(252,582):{'3_1':0.03},(252,581):{'3_1':0.06},(252,580):{'3_1':0.03},(252,579):{'3_1':0.0},(252,578):{'3_1':0.0},(252,577):{'3_1':0.03,'4_1':0.0},(252,576):{'3_1':0.03},(252,575):{'4_1':0.0,'3_1':0.0},(252,574):{'3_1':0.0},(252,573):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(252,572):{'3_1':0.03},(252,571):{'3_1':0.06,'5_2':0.0},(252,570):{'3_1':0.0,'4_1':0.0},(252,569):{'3_1':0.03},(252,568):{'3_1':0.0},(252,567):{'3_1':0.03},(252,566):{'3_1':0.03,'4_1':0.0},(252,565):{'3_1':0.0,'4_1':0.0},(252,564):{'3_1':0.03,'4_1':0.0},(252,563):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(252,562):{'3_1':0.03,'4_1':0.0},(252,561):{'3_1':0.0},(252,560):{'3_1':0.0},(252,559):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(252,558):{'3_1':0.0},(252,557):{'3_1':0.03,'6_3':0.0},(252,556):{'3_1':0.0},(252,555):{'3_1':0.0,'5_1':0.0},(252,554):{'3_1':0.0},(252,553):{'3_1':0.0,'4_1':0.0},(252,552):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(252,551):{'3_1':0.03,'5_1':0.0},(252,550):{'3_1':0.06,'4_1':0.0},(252,549):{'3_1':0.0},(252,548):{'3_1':0.0},(252,547):{'3_1':0.03,'4_1':0.0},(252,546):{'3_1':0.0},(252,545):{'5_1':0.0},(252,544):{'3_1':0.0},(252,543):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(252,542):{'3_1':0.06},(252,541):{'3_1':0.03,'6_1':0.0},(252,540):{'3_1':0.0},(252,539):{'3_1':0.0},(252,538):{'3_1':0.0},(252,537):{'3_1':0.03,'5_2':0.0},(252,536):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(252,535):{'3_1':0.03},(252,534):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(252,533):{'3_1':0.03},(252,532):{'3_1':0.03,'4_1':0.0},(252,531):{'3_1':0.0},(252,530):{'3_1':0.06},(252,529):{'3_1':0.03,'4_1':0.0},(252,528):{'3_1':0.0},(252,527):{'3_1':0.03,'4_1':0.0},(252,526):{'3_1':0.06,'4_1':0.0},(252,525):{'3_1':0.03},(252,524):{'3_1':0.0},(252,523):{'3_1':0.0,'6_2':0.0},(252,522):{'3_1':0.0,'4_1':0.0},(252,521):{'3_1':0.0},(252,520):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(252,519):{'3_1':0.0},(252,518):{'3_1':0.0},(252,517):{'3_1':0.0,'5_2':0.0},(252,516):{'3_1':0.0},(252,515):{'3_1':0.0,'4_1':0.0},(252,514):{'3_1':0.0},(252,513):{'3_1':0.03},(252,512):{'3_1':0.0},(252,511):{'3_1':0.0},(252,509):{'3_1':0.0,'5_2':0.0},(252,508):{'3_1':0.0},(252,507):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(252,506):{'3_1':0.0},(252,504):{'3_1':0.0},(252,503):{'3_1':0.0},(252,502):{'3_1':0.03},(252,501):{'3_1':0.0},(252,500):{'3_1':0.03},(252,496):{'4_1':0.0},(252,495):{'3_1':0.0},(252,494):{'3_1':0.0},(252,493):{'3_1':0.0},(252,492):{'3_1':0.0},(252,491):{'3_1':0.0,'5_1':0.0},(252,490):{'3_1':0.0},(252,489):{'3_1':0.03,'4_1':0.0},(252,488):{'3_1':0.03},(252,487):{'3_1':0.0},(252,486):{'3_1':0.0},(252,485):{'3_1':0.0},(252,484):{'3_1':0.03},(252,483):{'3_1':0.0},(252,482):{'3_1':0.0},(252,481):{'3_1':0.06,'5_2':0.0},(252,480):{'3_1':0.0},(252,479):{'3_1':0.0},(252,478):{'3_1':0.06},(252,477):{'3_1':0.03,'4_1':0.0},(252,476):{'3_1':0.03},(252,475):{'3_1':0.03},(252,474):{'3_1':0.03},(252,473):{'3_1':0.0},(252,472):{'3_1':0.0},(252,471):{'3_1':0.03},(252,470):{'3_1':0.0},(252,469):{'3_1':0.0},(252,468):{'3_1':0.0},(252,467):{'3_1':0.0},(252,466):{'3_1':0.0,'4_1':0.0},(252,465):{'3_1':0.03},(252,464):{'3_1':0.0},(252,463):{'3_1':0.0},(252,462):{'3_1':0.0},(252,460):{'3_1':0.0},(252,458):{'3_1':0.0},(252,456):{'3_1':0.0},(252,455):{'4_1':0.0},(252,454):{'3_1':0.0},(252,453):{'3_1':0.03},(252,452):{'3_1':0.03},(252,451):{'3_1':0.0},(252,450):{'3_1':0.0,'4_1':0.0},(252,449):{'3_1':0.0},(252,448):{'3_1':0.03},(252,447):{'3_1':0.0},(252,445):{'3_1':0.0},(252,444):{'3_1':0.0},(252,443):{'3_1':0.0},(252,442):{'3_1':0.0},(252,441):{'3_1':0.0},(252,439):{'4_1':0.0},(252,438):{'3_1':0.0},(252,437):{'3_1':0.0},(252,436):{'3_1':0.0},(252,434):{'3_1':0.0},(252,433):{'3_1':0.0},(252,432):{'4_1':0.0},(252,431):{'3_1':0.0},(252,429):{'3_1':0.0},(252,428):{'3_1':0.0},(252,427):{'3_1':0.0},(252,426):{'3_1':0.0},(252,425):{'3_1':0.0},(252,424):{'3_1':0.0},(252,423):{'3_1':0.0},(252,422):{'3_1':0.0},(252,421):{'3_1':0.0},(252,419):{'3_1':0.0},(252,417):{'3_1':0.0},(252,416):{'3_1':0.0},(252,415):{'3_1':0.0},(252,414):{'3_1':0.0},(252,413):{'3_1':0.0},(252,412):{'3_1':0.0},(252,409):{'3_1':0.0},(252,405):{'3_1':0.0},(252,404):{'3_1':0.0},(252,402):{'3_1':0.0},(252,399):{'3_1':0.0},(252,386):{'3_1':0.0},(252,379):{'3_1':0.0},(252,377):{'3_1':0.0},(252,375):{'4_1':0.0},(252,374):{'3_1':0.0},(252,372):{'3_1':0.0},(252,370):{'3_1':0.0},(252,369):{'3_1':0.0},(252,368):{'3_1':0.0},(252,364):{'3_1':0.0},(252,362):{'3_1':0.0},(252,361):{'3_1':0.0},(252,358):{'4_1':0.0},(252,345):{'3_1':0.0},(252,344):{'3_1':0.0},(252,343):{'3_1':0.0},(252,341):{'3_1':0.0},(252,336):{'3_1':0.0},(252,335):{'3_1':0.0},(252,332):{'3_1':0.0},(252,329):{'3_1':0.0,'4_1':0.0},(252,324):{'3_1':0.0},(252,323):{'4_1':0.0},(252,318):{'3_1':0.0},(252,307):{'3_1':0.0},(252,305):{'3_1':0.0},(252,292):{'3_1':0.0},(252,264):{'3_1':0.0},(252,263):{'3_1':0.0},(253,727):{'6_1':0.12,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_4':0.0,'3_1':0.0},(253,726):{'6_1':0.09,'3_1':0.03,'5_2':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(253,725):{'6_1':0.12,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_5':0.0,'8_4':0.0,'7_2':0.0,'-3':0.0},(253,724):{'5_2':0.09,'6_1':0.09,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(253,723):{'6_1':0.18,'5_2':0.06,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(253,722):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'4_1':0.03,'7_5':0.0,'6_2':0.0,'8_4':0.0,'8_14':0.0},(253,721):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(253,720):{'6_1':0.15,'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0},(253,719):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_5':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0,'-3':0.0},(253,718):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(253,717):{'6_1':0.09,'5_2':0.06,'8_4':0.0,'3_1':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(253,716):{'6_1':0.15,'3_1':0.03,'5_2':0.03,'4_1':0.03,'7_4':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(253,715):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'8_3':0.0,'-3':0.0},(253,714):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'7_6':0.0,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(253,713):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'8_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_11':0.0},(253,712):{'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_2':0.03,'7_2':0.0,'7_5':0.0,'6_2':0.0,'8_9':0.0},(253,711):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'7_3':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(253,710):{'3_1':0.12,'6_1':0.09,'5_2':0.06,'7_5':0.06,'4_1':0.0,'6_2':0.0,'8_4':0.0,'7_2':0.0,'-3':0.0,'7_6':0.0,'8_6':0.0},(253,709):{'6_1':0.09,'7_5':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_6':0.0,'-3':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(253,708):{'6_1':0.06,'3_1':0.06,'5_2':0.03,'7_5':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0,'7_3':0.0,'-3':0.0},(253,707):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'8_14':0.0,'7_2':0.0,'7_4':0.0,'7_7':0.0,'8_3':0.0},(253,706):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'7_2':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(253,705):{'6_1':0.15,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(253,704):{'5_2':0.12,'6_1':0.12,'4_1':0.03,'7_4':0.0,'3_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(253,703):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(253,702):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(253,701):{'6_1':0.12,'5_2':0.09,'4_1':0.09,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(253,700):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(253,699):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.0,'7_2':0.0,'8_4':0.0},(253,698):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'8_6':0.0},(253,697):{'5_2':0.15,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'8_11':0.0},(253,696):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.0,'7_2':0.0,'8_4':0.0},(253,695):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.0,'7_4':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(253,694):{'5_2':0.09,'4_1':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0},(253,693):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'3_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(253,692):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(253,691):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(253,690):{'5_2':0.18,'4_1':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(253,689):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(253,688):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_14':0.0},(253,687):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'8_4':0.0,'7_3':0.0,'7_5':0.0},(253,686):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.06,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_14':0.0},(253,685):{'5_2':0.21,'4_1':0.09,'6_1':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0},(253,684):{'5_2':0.15,'6_1':0.03,'3_1':0.0,'-3':0.0,'4_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(253,683):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0},(253,682):{'5_2':0.21,'4_1':0.06,'3_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(253,681):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'6_1':0.0,'7_4':0.0,'8_12':0.0,'7_2':0.0,'8_6':0.0},(253,680):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'4_1':0.03,'8_4':0.0},(253,679):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0},(253,678):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0},(253,677):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0,'3_1#5_2':0.0},(253,676):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0},(253,675):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0},(253,674):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(253,673):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(253,672):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(253,671):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_2':0.0},(253,670):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(253,669):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(253,668):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'1':-0.03},(253,667):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(253,666):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_3':0.0,'8_4':0.0},(253,665):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(253,664):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(253,663):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(253,662):{'3_1':0.15,'4_1':0.03,'6_1':0.03,'6_2':0.0,'5_2':0.0},(253,661):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(253,660):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0},(253,659):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0},(253,658):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0},(253,657):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0},(253,656):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(253,655):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(253,654):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'6_1':0.0},(253,653):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(253,652):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_2':0.0},(253,651):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(253,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(253,649):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(253,648):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(253,647):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(253,646):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(253,645):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(253,644):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(253,643):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(253,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(253,641):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0},(253,640):{'3_1':0.3,'4_1':0.0},(253,639):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(253,638):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(253,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(253,636):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'7_1':0.0},(253,635):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(253,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(253,633):{'3_1':0.09,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(253,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(253,631):{'3_1':0.12,'4_1':0.03},(253,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(253,629):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(253,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(253,627):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(253,626):{'3_1':0.12,'4_1':0.03},(253,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(253,624):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(253,623):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(253,622):{'3_1':0.06,'5_1':0.03},(253,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(253,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_4':0.0,'8_17':0.0},(253,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(253,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(253,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(253,616):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(253,615):{'3_1':0.06,'4_1':0.03},(253,614):{'3_1':0.06,'4_1':0.03},(253,613):{'3_1':0.03,'4_1':0.0},(253,612):{'3_1':0.03,'4_1':0.0},(253,611):{'3_1':0.06,'4_1':0.03},(253,610):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(253,609):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(253,608):{'3_1':0.03,'4_1':0.03},(253,607):{'3_1':0.06},(253,606):{'3_1':0.03,'4_1':0.0},(253,605):{'3_1':0.06},(253,604):{'3_1':0.03,'5_1':0.0},(253,603):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(253,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(253,601):{'3_1':0.03},(253,600):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(253,599):{'3_1':0.0},(253,598):{'3_1':0.03,'4_1':0.0},(253,597):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(253,596):{'3_1':0.06},(253,595):{'3_1':0.03,'4_1':0.0},(253,594):{'3_1':0.03},(253,593):{'3_1':0.06,'6_2':0.0},(253,592):{'3_1':0.03},(253,591):{'3_1':0.0},(253,590):{'3_1':0.06},(253,589):{'3_1':0.06,'4_1':0.0},(253,588):{'3_1':0.06,'4_1':0.0},(253,587):{'3_1':0.0,'5_1':0.0},(253,586):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(253,585):{'3_1':0.03},(253,584):{'3_1':0.0,'4_1':0.0},(253,583):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(253,582):{'3_1':0.03,'5_2':0.0},(253,581):{'3_1':0.03},(253,580):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(253,579):{'3_1':0.06,'5_1':0.0},(253,578):{'3_1':0.03},(253,577):{'3_1':0.06},(253,576):{'3_1':0.06},(253,575):{'3_1':0.03},(253,574):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(253,573):{'3_1':0.03},(253,572):{'3_1':0.0},(253,571):{'3_1':0.06},(253,570):{'3_1':0.0},(253,569):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(253,568):{'3_1':0.0,'5_1':0.0},(253,567):{'3_1':0.06,'4_1':0.0},(253,566):{'3_1':0.06,'4_1':0.0},(253,565):{'3_1':0.03},(253,564):{'3_1':0.0},(253,563):{'3_1':0.03},(253,562):{'3_1':0.0,'4_1':0.0},(253,561):{'3_1':0.0},(253,560):{'3_1':0.0,'5_2':0.0},(253,559):{'3_1':0.03,'5_2':0.0},(253,558):{'3_1':0.06,'5_1':0.0},(253,557):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(253,556):{'3_1':0.06},(253,555):{'3_1':0.0,'4_1':0.0},(253,554):{'3_1':0.03,'6_2':0.0},(253,553):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_5':0.0},(253,552):{'3_1':0.03,'4_1':0.0},(253,551):{'3_1':0.0},(253,550):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(253,549):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(253,548):{'3_1':0.0,'5_2':0.0},(253,547):{'3_1':0.0},(253,546):{'3_1':0.03,'5_2':0.0},(253,545):{'3_1':0.0,'5_1':0.0},(253,544):{'3_1':0.03},(253,543):{'3_1':0.0,'5_1':0.0},(253,542):{'3_1':0.0,'5_1':0.0},(253,541):{'3_1':0.06,'7_1':0.0},(253,540):{'3_1':0.03,'5_1':0.0},(253,539):{'3_1':0.0,'5_1':0.0},(253,538):{'3_1':0.03},(253,537):{'3_1':0.03},(253,536):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(253,535):{'3_1':0.03},(253,534):{'3_1':0.06},(253,533):{'3_1':0.03},(253,532):{'3_1':0.03},(253,531):{'3_1':0.03},(253,530):{'3_1':0.06},(253,529):{'3_1':0.06},(253,528):{'3_1':0.03,'4_1':0.0},(253,527):{'3_1':0.03},(253,526):{'3_1':0.03},(253,525):{'3_1':0.03,'4_1':0.0},(253,524):{'3_1':0.03},(253,523):{'3_1':0.0},(253,522):{'3_1':0.06},(253,521):{'3_1':0.03},(253,520):{'3_1':0.0},(253,519):{'3_1':0.03},(253,518):{'3_1':0.0,'5_1':0.0},(253,517):{'3_1':0.03},(253,516):{'3_1':0.03},(253,515):{'3_1':0.03},(253,514):{'3_1':0.03},(253,513):{'3_1':0.06},(253,512):{'3_1':0.03},(253,511):{'3_1':0.0,'4_1':0.0},(253,510):{'3_1':0.0},(253,509):{'3_1':0.0},(253,508):{'3_1':0.0},(253,507):{'3_1':0.03},(253,506):{'3_1':0.0},(253,505):{'3_1':0.0},(253,504):{'3_1':0.0},(253,503):{'3_1':0.0,'5_2':0.0},(253,502):{'3_1':0.0},(253,501):{'3_1':0.0,'4_1':0.0},(253,500):{'3_1':0.03},(253,499):{'3_1':0.0},(253,498):{'3_1':0.0},(253,497):{'3_1':0.03},(253,496):{'3_1':0.0},(253,495):{'3_1':0.0},(253,494):{'3_1':0.0,'4_1':0.0},(253,493):{'3_1':0.0,'5_1':0.0},(253,492):{'3_1':0.03,'4_1':0.0},(253,491):{'3_1':0.06},(253,490):{'3_1':0.06,'5_2':0.0},(253,489):{'3_1':0.03},(253,488):{'3_1':0.03},(253,487):{'3_1':0.03},(253,486):{'3_1':0.03},(253,485):{'3_1':0.03},(253,484):{'3_1':0.03,'4_1':0.0},(253,483):{'3_1':0.03,'5_2':0.0},(253,482):{'3_1':0.03},(253,481):{'3_1':0.03},(253,480):{'3_1':0.06},(253,479):{'3_1':0.03},(253,478):{'3_1':0.03},(253,477):{'3_1':0.03},(253,475):{'3_1':0.03},(253,474):{'3_1':0.03},(253,473):{'3_1':0.03,'5_1':0.0},(253,471):{'3_1':0.0},(253,466):{'3_1':0.0},(253,465):{'3_1':0.0,'4_1':0.0},(253,464):{'3_1':0.03},(253,463):{'3_1':0.0},(253,462):{'3_1':0.0},(253,461):{'3_1':0.0},(253,460):{'3_1':0.0},(253,459):{'3_1':0.0},(253,458):{'3_1':0.0},(253,457):{'3_1':0.0},(253,456):{'3_1':0.0},(253,455):{'3_1':0.0},(253,454):{'3_1':0.0},(253,453):{'3_1':0.0},(253,452):{'3_1':0.0},(253,450):{'3_1':0.0},(253,449):{'3_1':0.0},(253,448):{'3_1':0.0},(253,447):{'3_1':0.0},(253,446):{'3_1':0.0},(253,445):{'3_1':0.03},(253,444):{'3_1':0.0},(253,443):{'3_1':0.0},(253,442):{'3_1':0.0},(253,441):{'3_1':0.0},(253,440):{'3_1':0.0,'5_2':0.0},(253,438):{'3_1':0.0},(253,437):{'3_1':0.03},(253,436):{'3_1':0.0},(253,435):{'4_1':0.0},(253,434):{'3_1':0.03},(253,432):{'3_1':0.0},(253,431):{'3_1':0.0,'4_1':0.0},(253,430):{'3_1':0.0},(253,429):{'3_1':0.03},(253,428):{'3_1':0.0},(253,427):{'3_1':0.0},(253,426):{'3_1':0.0},(253,425):{'3_1':0.0,'5_1':0.0},(253,424):{'3_1':0.0},(253,423):{'3_1':0.0},(253,422):{'3_1':0.0},(253,421):{'3_1':0.0},(253,420):{'3_1':0.0},(253,419):{'3_1':0.0},(253,417):{'3_1':0.0,'5_1':0.0},(253,415):{'3_1':0.0},(253,414):{'3_1':0.0,'5_1':0.0},(253,412):{'3_1':0.0},(253,411):{'3_1':0.0},(253,410):{'3_1':0.0,'5_1':0.0},(253,408):{'3_1':0.0},(253,406):{'3_1':0.0},(253,404):{'3_1':0.0},(253,402):{'3_1':0.0},(253,400):{'3_1':0.0,'4_1':0.0},(253,399):{'3_1':0.0},(253,398):{'3_1':0.0},(253,393):{'3_1':0.0},(253,392):{'3_1':0.0},(253,384):{'3_1':0.0},(253,376):{'3_1':0.0,'4_1':0.0},(253,374):{'3_1':0.0},(253,373):{'3_1':0.0},(253,372):{'3_1':0.0},(253,371):{'3_1':0.0},(253,370):{'5_2':0.0},(253,369):{'3_1':0.0,'4_1':0.0},(253,368):{'3_1':0.0},(253,366):{'3_1':0.0},(253,362):{'3_1':0.0},(253,360):{'3_1':0.0},(253,358):{'3_1':0.0},(253,343):{'4_1':0.0},(253,338):{'3_1':0.0},(253,317):{'3_1':0.0},(253,316):{'3_1':0.0},(253,313):{'4_1':0.0},(253,311):{'3_1':0.0},(253,308):{'3_1':0.0},(253,306):{'3_1':0.0},(253,305):{'3_1':0.0},(253,303):{'3_1':0.0},(253,265):{'5_2':0.0},(254,727):{'6_1':0.15,'5_2':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(254,726):{'6_1':0.09,'3_1':0.03,'4_1':0.03,'5_2':0.03,'-3':0.0,'7_4':0.0},(254,725):{'6_1':0.06,'4_1':0.06,'3_1':0.0,'5_2':0.0,'8_4':0.0,'5_1':0.0,'8_3':0.0},(254,724):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_1':0.0,'8_4':0.0},(254,723):{'6_1':0.12,'5_2':0.09,'3_1':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(254,722):{'6_1':0.15,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0},(254,721):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'-3':0.0},(254,720):{'6_1':0.09,'5_2':0.03,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_14':0.0},(254,719):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(254,718):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_5':0.0,'8_1':0.0,'8_6':0.0},(254,717):{'6_1':0.12,'4_1':0.03,'3_1':0.03,'5_2':0.03,'7_2':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0},(254,716):{'6_1':0.15,'5_2':0.06,'3_1':0.0,'4_1':0.0,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(254,715):{'6_1':0.12,'3_1':0.03,'5_2':0.03,'7_4':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0},(254,714):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_3':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(254,713):{'3_1':0.06,'6_1':0.06,'5_2':0.06,'4_1':0.0,'8_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0},(254,712):{'6_1':0.09,'3_1':0.09,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(254,711):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0},(254,710):{'3_1':0.09,'6_1':0.09,'4_1':0.06,'5_2':0.03,'-3':0.0,'7_5':0.0,'8_6':0.0,'7_2':0.0},(254,709):{'3_1':0.15,'6_1':0.06,'7_5':0.06,'4_1':0.03,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(254,708):{'5_2':0.15,'3_1':0.09,'6_1':0.06,'6_2':0.03,'4_1':0.0,'7_5':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(254,707):{'6_1':0.12,'5_2':0.09,'4_1':0.03,'3_1':0.0,'7_2':0.0,'6_2':0.0},(254,706):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(254,705):{'6_1':0.09,'5_2':0.09,'4_1':0.03,'7_4':0.0,'3_1':0.0,'8_4':0.0},(254,704):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0},(254,703):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'-3':0.0},(254,702):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0},(254,701):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(254,700):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(254,699):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.03,'8_4':0.0},(254,698):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(254,697):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0},(254,696):{'5_2':0.09,'6_1':0.09,'7_4':0.03,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(254,695):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'7_4':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(254,694):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(254,693):{'5_2':0.15,'6_1':0.03,'3_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0},(254,692):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'8_3':0.0},(254,691):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.03,'-3':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(254,690):{'5_2':0.12,'6_1':0.12,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(254,689):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_3':0.0},(254,688):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(254,687):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_3':0.0,'8_4':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(254,686):{'5_2':0.21,'4_1':0.06,'6_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0,'8_12':0.0,'-3':0.0},(254,685):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_3':0.0},(254,684):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0},(254,683):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(254,682):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0,'8_3':0.0,'8_4':0.0},(254,681):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0,'8_3':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(254,680):{'4_1':0.15,'5_2':0.09,'6_1':0.06,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(254,679):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0},(254,678):{'5_2':0.24,'4_1':0.03,'3_1':0.03,'6_1':0.0},(254,677):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(254,676):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.0,'7_6':0.0,'8_4':0.0},(254,675):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(254,674):{'5_2':0.09,'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_3':0.0},(254,673):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'-3':0.0},(254,672):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(254,671):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0},(254,670):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0},(254,669):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(254,668):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0},(254,667):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0},(254,666):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'8_3':0.0},(254,665):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0},(254,664):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(254,663):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(254,662):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(254,661):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0},(254,660):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'-3':0.0},(254,659):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_4':0.0},(254,658):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(254,657):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(254,656):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(254,655):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(254,654):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_4':0.0},(254,653):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(254,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(254,651):{'3_1':0.21,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0},(254,650):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'8_1':0.0},(254,649):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0},(254,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(254,647):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(254,646):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(254,645):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(254,644):{'3_1':0.33,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(254,643):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(254,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(254,641):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'7_1':0.0},(254,640):{'3_1':0.24,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_2':0.0},(254,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(254,638):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(254,637):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(254,636):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(254,635):{'3_1':0.06,'5_1':0.0},(254,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(254,633):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(254,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(254,631):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(254,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(254,629):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(254,628):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(254,627):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_1':0.0},(254,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(254,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(254,624):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(254,623):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(254,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_17':0.0},(254,621):{'3_1':0.12,'5_1':0.03},(254,620):{'3_1':0.12,'6_1':0.0,'6_2':0.0},(254,619):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(254,618):{'3_1':0.15,'4_1':0.0},(254,617):{'3_1':0.15,'4_1':0.0},(254,616):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(254,615):{'3_1':0.09,'5_1':0.0},(254,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(254,613):{'3_1':0.06,'5_1':0.0},(254,612):{'3_1':0.06,'4_1':0.0},(254,611):{'3_1':0.03,'4_1':0.0},(254,610):{'3_1':0.06,'4_1':0.06},(254,609):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(254,608):{'3_1':0.06,'4_1':0.0},(254,607):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(254,606):{'3_1':0.09,'4_1':0.0},(254,605):{'3_1':0.0,'6_2':0.0},(254,604):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(254,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(254,602):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(254,601):{'3_1':0.03,'5_2':0.0},(254,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(254,599):{'3_1':0.06,'5_2':0.0},(254,598):{'3_1':0.0},(254,597):{'3_1':0.0,'5_2':0.0},(254,596):{'3_1':0.03},(254,595):{'3_1':0.06},(254,594):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(254,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(254,592):{'3_1':0.03,'5_2':0.0},(254,591):{'3_1':0.09,'4_1':0.0},(254,590):{'3_1':0.03,'4_1':0.0},(254,589):{'3_1':0.06,'5_2':0.0},(254,588):{'3_1':0.06,'6_2':0.0},(254,587):{'3_1':0.03,'5_1':0.0},(254,586):{'3_1':0.03,'4_1':0.0},(254,585):{'3_1':0.03,'5_1':0.0},(254,584):{'3_1':0.09,'8_20|3_1#3_1':0.0},(254,583):{'3_1':0.06},(254,582):{'3_1':0.03},(254,581):{'3_1':0.09,'4_1':0.0},(254,580):{'3_1':0.03},(254,579):{'3_1':0.06,'7_2':0.0},(254,578):{'3_1':0.06},(254,577):{'3_1':0.09,'7_5':0.0},(254,576):{'3_1':0.03},(254,575):{'3_1':0.03,'4_1':0.0},(254,574):{'3_1':0.03},(254,573):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(254,572):{'5_2':0.0},(254,571):{'3_1':0.03},(254,570):{'3_1':0.0},(254,569):{'3_1':0.03},(254,568):{'3_1':0.03,'5_1':0.0},(254,567):{'3_1':0.03,'4_1':0.0},(254,566):{'3_1':0.0,'4_1':0.0},(254,565):{'3_1':0.03,'5_1':0.0},(254,564):{'3_1':0.03,'5_1':0.0},(254,563):{'3_1':0.03},(254,562):{'3_1':0.03,'4_1':0.0},(254,561):{'3_1':0.0},(254,560):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(254,559):{'3_1':0.03},(254,558):{'3_1':0.06},(254,557):{'3_1':0.0},(254,556):{'3_1':0.0,'4_1':0.0},(254,555):{'3_1':0.0},(254,554):{'3_1':0.03,'4_1':0.0},(254,553):{'3_1':0.03},(254,551):{'3_1':0.03},(254,550):{'3_1':0.03,'5_2':0.0},(254,549):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(254,548):{'3_1':0.03},(254,547):{'3_1':0.03},(254,546):{'3_1':0.03},(254,545):{'3_1':0.0,'5_1':0.0},(254,544):{'3_1':0.03},(254,543):{'3_1':0.0},(254,542):{'3_1':0.03},(254,541):{'3_1':0.03},(254,540):{'3_1':0.06},(254,539):{'3_1':0.0},(254,538):{'3_1':0.0},(254,537):{'3_1':0.03,'4_1':0.0},(254,536):{'3_1':0.0,'4_1':0.0},(254,535):{'3_1':0.0},(254,534):{'3_1':0.03},(254,533):{'3_1':0.03},(254,532):{'3_1':0.03,'4_1':0.0},(254,530):{'4_1':0.0,'7_2':0.0},(254,529):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(254,528):{'3_1':0.03},(254,527):{'3_1':0.0},(254,526):{'3_1':0.03,'4_1':0.0},(254,525):{'3_1':0.03},(254,524):{'3_1':0.03},(254,523):{'3_1':0.03,'4_1':0.0},(254,522):{'3_1':0.0,'4_1':0.0},(254,521):{'3_1':0.06,'6_2':0.0},(254,520):{'3_1':0.0},(254,519):{'3_1':0.0},(254,518):{'3_1':0.0,'4_1':0.0},(254,517):{'3_1':0.03},(254,516):{'3_1':0.0,'5_1':0.0},(254,515):{'3_1':0.0},(254,514):{'3_1':0.03},(254,513):{'3_1':0.0,'4_1':0.0},(254,512):{'3_1':0.0,'5_2':0.0},(254,511):{'3_1':0.0},(254,508):{'3_1':0.0},(254,507):{'3_1':0.03,'4_1':0.0},(254,506):{'3_1':0.0},(254,505):{'3_1':0.0},(254,503):{'3_1':0.0},(254,502):{'3_1':0.0},(254,501):{'3_1':0.0},(254,500):{'4_1':0.0},(254,499):{'3_1':0.0,'4_1':0.0},(254,498):{'3_1':0.0},(254,497):{'3_1':0.0},(254,496):{'3_1':0.0},(254,495):{'3_1':0.0,'4_1':0.0},(254,494):{'3_1':0.0},(254,493):{'4_1':0.0},(254,492):{'3_1':0.0,'4_1':0.0},(254,490):{'3_1':0.0},(254,489):{'3_1':0.0},(254,487):{'3_1':0.0},(254,486):{'3_1':0.0},(254,485):{'3_1':0.03},(254,484):{'3_1':0.0},(254,483):{'3_1':0.0},(254,482):{'3_1':0.03},(254,481):{'3_1':0.03},(254,480):{'3_1':0.03,'4_1':0.0},(254,479):{'3_1':0.0},(254,478):{'3_1':0.0},(254,477):{'3_1':0.03},(254,476):{'3_1':0.0},(254,475):{'3_1':0.0},(254,474):{'3_1':0.03},(254,473):{'3_1':0.0},(254,472):{'3_1':0.0},(254,471):{'3_1':0.03},(254,470):{'3_1':0.0},(254,469):{'3_1':0.0},(254,468):{'3_1':0.0},(254,467):{'3_1':0.03},(254,465):{'3_1':0.0},(254,464):{'3_1':0.0,'4_1':0.0},(254,461):{'3_1':0.0},(254,460):{'3_1':0.0},(254,459):{'3_1':0.0},(254,458):{'3_1':0.0},(254,457):{'3_1':0.0},(254,456):{'3_1':0.0},(254,453):{'3_1':0.0},(254,452):{'3_1':0.03,'4_1':0.0},(254,451):{'3_1':0.0},(254,450):{'3_1':0.0},(254,449):{'3_1':0.0},(254,448):{'3_1':0.0},(254,447):{'3_1':0.0},(254,446):{'3_1':0.0,'5_2':0.0},(254,445):{'3_1':0.0},(254,444):{'3_1':0.0},(254,443):{'3_1':0.0,'4_1':0.0},(254,442):{'3_1':0.0},(254,441):{'3_1':0.0},(254,440):{'3_1':0.0},(254,438):{'3_1':0.0},(254,436):{'3_1':0.0},(254,435):{'3_1':0.0},(254,434):{'3_1':0.0},(254,433):{'3_1':0.0},(254,431):{'3_1':0.0},(254,430):{'3_1':0.0},(254,429):{'3_1':0.0},(254,428):{'3_1':0.0},(254,426):{'3_1':0.0},(254,425):{'3_1':0.0},(254,424):{'3_1':0.0},(254,423):{'3_1':0.0},(254,422):{'3_1':0.0},(254,420):{'3_1':0.0},(254,419):{'3_1':0.0},(254,418):{'3_1':0.0},(254,417):{'3_1':0.0},(254,416):{'3_1':0.0},(254,415):{'3_1':0.0},(254,412):{'3_1':0.0},(254,410):{'3_1':0.0},(254,409):{'3_1':0.0},(254,408):{'3_1':0.0},(254,407):{'3_1':0.0},(254,405):{'3_1':0.0},(254,402):{'3_1':0.0},(254,401):{'3_1':0.0},(254,400):{'3_1':0.0},(254,399):{'3_1':0.0},(254,397):{'5_1':0.0},(254,395):{'3_1':0.0},(254,394):{'3_1':0.0},(254,393):{'3_1':0.0},(254,392):{'3_1':0.0},(254,391):{'3_1':0.0},(254,388):{'3_1':0.0},(254,385):{'4_1':0.0},(254,381):{'3_1':0.0},(254,380):{'3_1':0.0},(254,379):{'3_1':0.0},(254,375):{'3_1':0.0},(254,374):{'3_1':0.0},(254,372):{'3_1':0.0},(254,370):{'3_1':0.0},(254,369):{'3_1':0.0},(254,367):{'4_1':0.0},(254,364):{'4_1':0.0},(254,363):{'3_1':0.0},(254,362):{'3_1':0.0},(254,361):{'3_1':0.0},(254,344):{'3_1':0.0},(254,330):{'3_1':0.0},(254,328):{'6_1':0.0},(254,324):{'4_1':0.0},(254,316):{'3_1':0.0},(254,315):{'3_1':0.0},(254,312):{'3_1':0.0},(254,303):{'4_1':0.0},(254,269):{'3_1':0.0},(255,727):{'6_1':0.09,'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(255,726):{'6_1':0.09,'5_2':0.03,'4_1':0.0,'3_1':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(255,725):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.0,'8_4':0.0,'7_3':0.0,'7_5':0.0},(255,724):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(255,723):{'6_1':0.09,'4_1':0.03,'3_1':0.03,'5_2':0.0,'7_5':0.0},(255,722):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_4':0.0,'7_6':0.0},(255,721):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(255,720):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_8':0.0},(255,719):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'4_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_12':0.0,'-3':0.0},(255,718):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(255,717):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.03,'7_4':0.0,'8_4':0.0,'7_2':0.0},(255,716):{'5_2':0.06,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.03,'7_6':0.0},(255,715):{'6_1':0.06,'3_1':0.06,'4_1':0.03,'8_4':0.0,'5_2':0.0,'-3':0.0,'7_2':0.0},(255,714):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_4':0.0,'5_1':0.0,'8_1':0.0,'8_14':0.0},(255,713):{'6_1':0.06,'3_1':0.03,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0},(255,712):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_5':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0},(255,711):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(255,710):{'6_1':0.12,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_14':0.0,'1':-0.03},(255,709):{'3_1':0.12,'6_1':0.06,'7_5':0.06,'4_1':0.03,'7_2':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(255,708):{'3_1':0.15,'6_1':0.06,'5_2':0.03,'7_5':0.03,'4_1':0.0,'8_4':0.0,'6_2':0.0},(255,707):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.0},(255,706):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(255,705):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(255,704):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0},(255,703):{'6_1':0.12,'4_1':0.06,'5_2':0.06,'3_1':0.0,'7_4':0.0,'8_3':0.0},(255,702):{'6_1':0.09,'4_1':0.09,'5_2':0.06,'7_4':0.0,'3_1':0.0,'7_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(255,701):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'4_1':0.0,'7_6':0.0,'3_1':0.0,'5_1':0.0,'8_4':0.0},(255,700):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03},(255,699):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_6':0.0},(255,698):{'5_2':0.15,'6_1':0.12,'4_1':0.03,'7_4':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'8_1':0.0,'-3':0.0},(255,697):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(255,696):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_3':0.0,'7_4':0.0,'6_2':0.0},(255,695):{'6_1':0.12,'5_2':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(255,694):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'6_3':0.0,'7_3':0.0,'7_6':0.0},(255,693):{'5_2':0.12,'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(255,692):{'5_2':0.21,'6_1':0.06,'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(255,691):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0},(255,690):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(255,689):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.06,'8_4':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0,'-3':0.0},(255,688):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.0,'7_6':0.0},(255,687):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'-3':0.0},(255,686):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(255,685):{'5_2':0.15,'6_1':0.09,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_8':0.0,'-3':0.0},(255,684):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(255,683):{'5_2':0.09,'4_1':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0},(255,682):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(255,681):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(255,680):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0},(255,679):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_4':0.0},(255,678):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(255,677):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'8_4':0.0},(255,676):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(255,675):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_4':0.0},(255,674):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0},(255,673):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(255,672):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(255,671):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'8_3':0.0},(255,670):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(255,669):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'8_9':0.0},(255,668):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(255,667):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.03,'8_3':0.0},(255,666):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0},(255,665):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(255,664):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.03,'8_1':0.0,'5_1':0.0},(255,663):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0},(255,662):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(255,661):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0},(255,660):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(255,659):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_2':0.0},(255,658):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.03},(255,657):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(255,656):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(255,655):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(255,654):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(255,653):{'3_1':0.12,'5_1':0.03,'4_1':0.03},(255,652):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(255,651):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(255,650):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(255,649):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'8_1':0.0},(255,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(255,647):{'3_1':0.27,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(255,646):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(255,645):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(255,644):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(255,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(255,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(255,641):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(255,640):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(255,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(255,638):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(255,637):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0},(255,636):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(255,635):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_9':0.0},(255,634):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(255,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(255,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(255,631):{'3_1':0.12,'4_1':0.0},(255,630):{'3_1':0.09,'4_1':0.0},(255,629):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(255,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(255,627):{'3_1':0.06,'4_1':0.03},(255,626):{'3_1':0.15,'4_1':0.03},(255,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(255,624):{'3_1':0.12,'4_1':0.0},(255,623):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(255,622):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(255,621):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(255,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(255,619):{'3_1':0.12},(255,618):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(255,617):{'3_1':0.06,'4_1':0.03},(255,616):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(255,615):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(255,614):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_3':0.0},(255,613):{'3_1':0.12,'4_1':0.0},(255,612):{'3_1':0.06},(255,611):{'3_1':0.12,'4_1':0.0},(255,610):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(255,609):{'3_1':0.06,'4_1':0.0},(255,608):{'3_1':0.03,'4_1':0.0},(255,607):{'3_1':0.06,'5_1':0.0},(255,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(255,605):{'3_1':0.0,'4_1':0.0},(255,604):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(255,603):{'3_1':0.0},(255,602):{'3_1':0.03},(255,601):{'3_1':0.03,'4_1':0.0},(255,600):{'3_1':0.03},(255,599):{'3_1':0.03},(255,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(255,597):{'3_1':0.06},(255,596):{'3_1':0.03,'7_4':0.0},(255,595):{'3_1':0.0,'4_1':0.0},(255,594):{'3_1':0.06},(255,593):{'3_1':0.0,'5_2':0.0},(255,592):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(255,591):{'3_1':0.0},(255,590):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(255,589):{'3_1':0.03,'5_2':0.0},(255,588):{'3_1':0.03,'4_1':0.0},(255,587):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(255,586):{'3_1':0.0,'4_1':0.0},(255,585):{'3_1':0.0,'4_1':0.0},(255,584):{'3_1':0.03},(255,583):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(255,582):{'3_1':0.03,'4_1':0.0},(255,581):{'3_1':0.03,'4_1':0.0},(255,580):{'3_1':0.03,'4_1':0.0},(255,579):{'3_1':0.0},(255,578):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(255,577):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(255,576):{'3_1':0.03},(255,575):{'3_1':0.03,'5_2':0.0},(255,574):{'3_1':0.0,'5_2':0.0},(255,573):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(255,571):{'3_1':0.03},(255,570):{'3_1':0.03},(255,569):{'3_1':0.03},(255,568):{'3_1':0.06},(255,567):{'3_1':0.03,'5_1':0.0},(255,566):{'3_1':0.0},(255,565):{'3_1':0.0,'4_1':0.0},(255,564):{'3_1':0.0},(255,563):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(255,562):{'3_1':0.03},(255,561):{'3_1':0.0,'4_1':0.0},(255,560):{'3_1':0.0,'4_1':0.0},(255,559):{'3_1':0.0,'4_1':0.0},(255,558):{'3_1':0.0},(255,557):{'3_1':0.03},(255,556):{'3_1':0.0,'4_1':0.0},(255,555):{'3_1':0.0},(255,554):{'3_1':0.03,'7_3':0.0},(255,552):{'3_1':0.03,'5_2':0.0},(255,551):{'3_1':0.0},(255,550):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(255,549):{'3_1':0.03,'6_2':0.0},(255,548):{'3_1':0.0},(255,547):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(255,546):{'3_1':0.0},(255,545):{'3_1':0.06},(255,544):{'3_1':0.0},(255,543):{'3_1':0.0},(255,542):{'3_1':0.0,'4_1':0.0},(255,541):{'3_1':0.03},(255,540):{'3_1':0.0,'4_1':0.0},(255,539):{'3_1':0.03},(255,538):{'3_1':0.0},(255,537):{'3_1':0.0},(255,536):{'3_1':0.0},(255,535):{'3_1':0.03},(255,534):{'3_1':0.06},(255,533):{'3_1':0.03},(255,532):{'3_1':0.03,'5_1':0.0},(255,531):{'3_1':0.03},(255,530):{'3_1':0.0},(255,529):{'3_1':0.0,'5_1':0.0},(255,528):{'3_1':0.03,'4_1':0.0},(255,527):{'3_1':0.0},(255,526):{'3_1':0.03},(255,525):{'3_1':0.0},(255,524):{'3_1':0.0,'5_1':0.0},(255,523):{'3_1':0.0},(255,522):{'3_1':0.03},(255,521):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(255,520):{'3_1':0.03},(255,519):{'3_1':0.0},(255,518):{'3_1':0.0,'6_1':0.0},(255,517):{'3_1':0.0},(255,516):{'3_1':0.0},(255,515):{'3_1':0.0},(255,514):{'3_1':0.03,'4_1':0.0},(255,512):{'3_1':0.0},(255,511):{'3_1':0.03},(255,510):{'3_1':0.0,'4_1':0.0},(255,509):{'3_1':0.0,'4_1':0.0},(255,508):{'3_1':0.0},(255,507):{'3_1':0.03},(255,506):{'3_1':0.0},(255,505):{'3_1':0.0},(255,504):{'3_1':0.0},(255,503):{'4_1':0.0},(255,502):{'3_1':0.0},(255,501):{'3_1':0.0},(255,499):{'3_1':0.06,'4_1':0.0},(255,498):{'3_1':0.03,'4_1':0.0},(255,497):{'3_1':0.0,'4_1':0.0},(255,496):{'3_1':0.0},(255,495):{'3_1':0.03},(255,494):{'3_1':0.0},(255,493):{'3_1':0.0},(255,491):{'3_1':0.03},(255,490):{'4_1':0.0},(255,489):{'3_1':0.0},(255,488):{'3_1':0.0},(255,487):{'3_1':0.0},(255,486):{'3_1':0.0},(255,485):{'3_1':0.0,'5_2':0.0},(255,484):{'3_1':0.03},(255,483):{'3_1':0.03},(255,482):{'3_1':0.0,'5_1':0.0},(255,481):{'3_1':0.0,'4_1':0.0},(255,480):{'3_1':0.0},(255,479):{'3_1':0.0},(255,478):{'3_1':0.03},(255,477):{'3_1':0.03,'4_1':0.0},(255,476):{'3_1':0.0},(255,475):{'3_1':0.0},(255,474):{'3_1':0.03},(255,473):{'3_1':0.0},(255,472):{'3_1':0.03},(255,471):{'3_1':0.0},(255,470):{'3_1':0.03},(255,469):{'3_1':0.0},(255,468):{'3_1':0.0},(255,467):{'3_1':0.03},(255,466):{'3_1':0.0},(255,465):{'3_1':0.0},(255,464):{'3_1':0.0},(255,463):{'3_1':0.03},(255,462):{'3_1':0.0},(255,461):{'3_1':0.0},(255,460):{'3_1':0.0},(255,459):{'3_1':0.0},(255,458):{'3_1':0.0},(255,457):{'3_1':0.0},(255,456):{'3_1':0.0},(255,455):{'5_2':0.0},(255,454):{'3_1':0.0},(255,453):{'3_1':0.0},(255,452):{'3_1':0.0},(255,451):{'3_1':0.0},(255,450):{'3_1':0.0},(255,449):{'3_1':0.0},(255,448):{'3_1':0.0},(255,447):{'3_1':0.03},(255,446):{'3_1':0.0},(255,445):{'3_1':0.0},(255,444):{'3_1':0.0},(255,443):{'3_1':0.0,'4_1':0.0},(255,442):{'3_1':0.0},(255,440):{'3_1':0.0},(255,439):{'3_1':0.0},(255,437):{'3_1':0.03},(255,435):{'3_1':0.0},(255,433):{'3_1':0.0},(255,432):{'4_1':0.0},(255,431):{'3_1':0.0},(255,430):{'3_1':0.0},(255,429):{'3_1':0.0},(255,428):{'3_1':0.0},(255,426):{'3_1':0.0},(255,424):{'3_1':0.0,'5_2':0.0},(255,423):{'3_1':0.0,'4_1':0.0},(255,420):{'3_1':0.0},(255,419):{'3_1':0.0},(255,418):{'3_1':0.0},(255,416):{'5_1':0.0},(255,415):{'3_1':0.0},(255,414):{'3_1':0.0},(255,411):{'3_1':0.0},(255,410):{'3_1':0.03},(255,409):{'3_1':0.0},(255,408):{'3_1':0.0},(255,403):{'3_1':0.0},(255,402):{'3_1':0.0},(255,398):{'3_1':0.0},(255,397):{'3_1':0.0},(255,396):{'3_1':0.0},(255,394):{'3_1':0.0},(255,390):{'3_1':0.0},(255,385):{'3_1':0.0},(255,383):{'4_1':0.0},(255,377):{'3_1':0.0},(255,375):{'3_1':0.0},(255,374):{'3_1':0.0},(255,372):{'3_1':0.0},(255,370):{'3_1':0.03},(255,369):{'3_1':0.0},(255,368):{'3_1':0.0},(255,362):{'3_1':0.0},(255,360):{'3_1':0.0},(255,359):{'3_1':0.0},(255,358):{'3_1':0.03},(255,345):{'3_1':0.0},(255,338):{'3_1':0.0},(255,335):{'3_1':0.0},(255,332):{'3_1':0.0},(255,331):{'3_1':0.0,'4_1':0.0},(255,329):{'3_1':0.0},(255,328):{'4_1':0.0,'3_1':0.0},(255,326):{'4_1':0.0},(255,324):{'3_1':0.0},(255,321):{'3_1':0.0},(255,319):{'4_1':0.0},(255,318):{'3_1':0.0},(255,315):{'3_1':0.0},(255,313):{'3_1':0.0},(255,310):{'3_1':0.0},(255,309):{'3_1':0.0},(255,306):{'3_1':0.0},(255,305):{'3_1':0.0},(255,304):{'3_1':0.0},(256,727):{'6_1':0.09,'5_2':0.03,'7_5':0.0,'3_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(256,726):{'6_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0,'8_4':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0,'8_9':0.0},(256,725):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(256,724):{'6_1':0.09,'5_2':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0},(256,723):{'6_1':0.18,'5_2':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(256,722):{'6_1':0.15,'4_1':0.06,'5_2':0.0,'7_5':0.0,'8_4':0.0,'8_12':0.0},(256,721):{'6_1':0.18,'3_1':0.03,'5_2':0.03,'4_1':0.03,'7_5':0.0,'8_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(256,720):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(256,719):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.03,'8_4':0.0,'8_6':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(256,718):{'4_1':0.09,'6_1':0.09,'5_2':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(256,717):{'6_1':0.12,'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(256,716):{'6_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'3_1':0.0,'8_1':0.0,'8_4':0.0,'8_7':0.0,'3_1#5_2':0.0},(256,715):{'6_1':0.06,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(256,714):{'6_1':0.12,'4_1':0.06,'3_1':0.06,'5_2':0.06,'8_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(256,713):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_6':0.0,'8_1':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0,'8_12':0.0,'-3':0.0},(256,712):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(256,711):{'6_1':0.09,'3_1':0.06,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(256,710):{'3_1':0.09,'6_1':0.09,'5_2':0.06,'4_1':0.06,'7_5':0.03,'6_2':0.0,'8_6':0.0,'-3':0.0,'7_2':0.0,'5_1':0.0,'8_1':0.0},(256,709):{'7_5':0.09,'3_1':0.09,'6_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_4':0.0,'-3':0.0},(256,708):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_5':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(256,707):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'6_2':0.03,'3_1':0.03,'7_3':0.0,'7_4':0.0,'-3':0.0,'8_4':0.0},(256,706):{'6_1':0.12,'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_3':0.0},(256,705):{'6_1':0.12,'5_2':0.06,'3_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0},(256,704):{'5_2':0.09,'6_1':0.06,'8_4':0.03,'3_1':0.0,'4_1':0.0,'-3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(256,703):{'5_2':0.09,'6_1':0.09,'7_4':0.03,'4_1':0.0,'3_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(256,702):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.0,'-3':0.0},(256,701):{'5_2':0.12,'6_1':0.12,'3_1':0.06,'4_1':0.03,'7_4':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0},(256,700):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'3_1#5_2':0.0},(256,699):{'6_1':0.12,'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(256,698):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0},(256,697):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0},(256,696):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(256,695):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'7_4':0.03,'8_4':0.0,'3_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(256,694):{'5_2':0.15,'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(256,693):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'7_4':0.0,'3_1':0.0,'6_2':0.0,'8_4':0.0},(256,692):{'6_1':0.12,'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'3_1#5_2':0.0},(256,691):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(256,690):{'5_2':0.09,'6_1':0.09,'4_1':0.06,'3_1':0.0,'8_4':0.0,'5_1':0.0},(256,689):{'5_2':0.15,'4_1':0.12,'6_1':0.06,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(256,688):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_11':0.0},(256,687):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'3_1#5_2':0.0},(256,686):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.06,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(256,685):{'5_2':0.24,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_3':0.0,'7_6':0.0},(256,684):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.03,'7_3':0.0},(256,683):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'3_1':0.0,'8_4':0.0,'7_4':0.0,'7_6':0.0},(256,682):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(256,681):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.03},(256,680):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'8_6':0.0},(256,679):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(256,678):{'4_1':0.15,'5_2':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0},(256,677):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0},(256,676):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0},(256,675):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_3':0.0},(256,674):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(256,673):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'3_1#5_2':0.0},(256,672):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(256,671):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0},(256,670):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(256,669):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0},(256,668):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(256,667):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'8_4':0.0},(256,666):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0},(256,665):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0},(256,664):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_3':0.0,'8_5':0.0},(256,663):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(256,662):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.03},(256,661):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_2':0.0},(256,660):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(256,659):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(256,658):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0},(256,657):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(256,656):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0},(256,655):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0},(256,654):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(256,653):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(256,652):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(256,651):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(256,650):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(256,649):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(256,648):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(256,647):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(256,646):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'8_1':0.0},(256,645):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(256,644):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'6_2':0.0},(256,643):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(256,642):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'7_1':0.0},(256,641):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_1':0.0},(256,640):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(256,639):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_3':0.0},(256,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(256,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(256,636):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(256,635):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(256,634):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(256,633):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(256,632):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(256,631):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(256,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(256,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(256,628):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(256,627):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(256,626):{'3_1':0.09,'4_1':0.06},(256,625):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(256,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(256,623):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(256,622):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0},(256,621):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_3':0.0},(256,620):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(256,619):{'3_1':0.06,'5_1':0.03},(256,618):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(256,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(256,616):{'3_1':0.09,'4_1':0.0},(256,615):{'3_1':0.06,'4_1':0.03},(256,614):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(256,613):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(256,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(256,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(256,610):{'3_1':0.12,'5_2':0.0},(256,609):{'4_1':0.0,'3_1':0.0},(256,608):{'3_1':0.0,'4_1':0.0},(256,607):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(256,606):{'3_1':0.06,'4_1':0.0},(256,605):{'3_1':0.06,'4_1':0.0},(256,604):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(256,603):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(256,602):{'3_1':0.03,'4_1':0.0},(256,601):{'3_1':0.0,'4_1':0.0},(256,600):{'3_1':0.03},(256,599):{'3_1':0.06,'4_1':0.0},(256,598):{'3_1':0.03},(256,597):{'3_1':0.06},(256,596):{'3_1':0.03},(256,595):{'3_1':0.06},(256,594):{'3_1':0.06},(256,593):{'3_1':0.06,'5_2':0.0},(256,592):{'3_1':0.0},(256,591):{'3_1':0.03},(256,590):{'3_1':0.0},(256,589):{'3_1':0.0},(256,588):{'3_1':0.0},(256,587):{'3_1':0.03},(256,586):{'3_1':0.03},(256,585):{'3_1':0.06,'5_2':0.0},(256,584):{'3_1':0.06,'5_1':0.0},(256,583):{'3_1':0.0},(256,582):{'3_1':0.0,'5_1':0.0},(256,581):{'3_1':0.03},(256,580):{'3_1':0.03},(256,579):{'3_1':0.0,'5_1':0.0},(256,578):{'3_1':0.06,'5_2':0.0},(256,577):{'3_1':0.06},(256,576):{'3_1':0.06,'4_1':0.0},(256,575):{'3_1':0.03,'4_1':0.0},(256,574):{'3_1':0.06,'5_1':0.0},(256,573):{'3_1':0.06,'8_1':0.0},(256,572):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(256,571):{'3_1':0.06,'5_1':0.0},(256,570):{'3_1':0.03},(256,569):{'3_1':0.03},(256,568):{'3_1':0.09,'5_2':0.0},(256,567):{'3_1':0.03},(256,566):{'3_1':0.06},(256,565):{'3_1':0.03},(256,564):{'3_1':0.0,'4_1':0.0},(256,563):{'3_1':0.03},(256,562):{'3_1':0.06},(256,561):{'4_1':0.0},(256,560):{'3_1':0.03},(256,559):{'3_1':0.0,'5_2':0.0},(256,558):{'3_1':0.03,'4_1':0.0},(256,557):{'3_1':0.0},(256,556):{'3_1':0.0,'4_1':0.0},(256,555):{'3_1':0.03,'4_1':0.0},(256,554):{'3_1':0.0,'7_5':0.0},(256,553):{'3_1':0.03,'4_1':0.0},(256,552):{'3_1':0.0,'7_5':0.0},(256,551):{'3_1':0.03,'4_1':0.0},(256,550):{'3_1':0.0,'5_1':0.0},(256,549):{'3_1':0.0,'4_1':0.0},(256,548):{'3_1':0.0},(256,547):{'3_1':0.03},(256,546):{'3_1':0.03},(256,545):{'3_1':0.0},(256,544):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(256,543):{'3_1':0.03},(256,542):{'3_1':0.0},(256,541):{'3_1':0.0},(256,540):{'3_1':0.06},(256,539):{'3_1':0.0},(256,538):{'3_1':0.06,'7_1':0.0},(256,537):{'3_1':0.03},(256,536):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(256,535):{'3_1':0.03,'5_2':0.0},(256,534):{'3_1':0.03},(256,533):{'3_1':0.03},(256,532):{'3_1':0.03,'6_2':0.0},(256,531):{'3_1':0.03},(256,530):{'3_1':0.0,'4_1':0.0},(256,529):{'3_1':0.03},(256,528):{'3_1':0.0},(256,527):{'3_1':0.03},(256,526):{'3_1':0.03},(256,525):{'3_1':0.0},(256,524):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(256,523):{'3_1':0.03},(256,522):{'3_1':0.0,'5_1':0.0},(256,521):{'3_1':0.03},(256,520):{'3_1':0.0},(256,519):{'3_1':0.0,'5_1':0.0},(256,518):{'3_1':0.03,'4_1':0.0},(256,517):{'3_1':0.0,'4_1':0.0},(256,516):{'3_1':0.03},(256,515):{'3_1':0.0},(256,514):{'3_1':0.03},(256,513):{'3_1':0.0,'4_1':0.0},(256,512):{'3_1':0.03},(256,511):{'3_1':0.0},(256,510):{'3_1':0.03},(256,509):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(256,508):{'3_1':0.0},(256,507):{'3_1':0.03},(256,506):{'3_1':0.0},(256,505):{'3_1':0.0},(256,504):{'3_1':0.0},(256,503):{'3_1':0.0,'5_2':0.0},(256,502):{'3_1':0.0},(256,501):{'3_1':0.0},(256,500):{'3_1':0.0},(256,498):{'3_1':0.0},(256,497):{'3_1':0.0},(256,496):{'3_1':0.0},(256,495):{'3_1':0.03},(256,494):{'3_1':0.0},(256,493):{'3_1':0.0},(256,492):{'3_1':0.0},(256,491):{'3_1':0.03},(256,490):{'3_1':0.0},(256,489):{'3_1':0.0,'4_1':0.0},(256,488):{'3_1':0.0},(256,487):{'3_1':0.0},(256,486):{'3_1':0.03},(256,484):{'3_1':0.0},(256,483):{'3_1':0.03},(256,482):{'3_1':0.0},(256,481):{'3_1':0.0},(256,480):{'3_1':0.06},(256,479):{'3_1':0.06,'5_2':0.0},(256,478):{'3_1':0.0},(256,477):{'3_1':0.06},(256,476):{'3_1':0.06},(256,475):{'3_1':0.03},(256,474):{'3_1':0.06},(256,473):{'3_1':0.0},(256,472):{'3_1':0.03},(256,471):{'3_1':0.0},(256,470):{'3_1':0.0},(256,469):{'3_1':0.03},(256,468):{'3_1':0.0},(256,467):{'3_1':0.0},(256,466):{'3_1':0.0},(256,464):{'3_1':0.0},(256,463):{'3_1':0.0},(256,462):{'3_1':0.0},(256,461):{'3_1':0.0,'4_1':0.0},(256,459):{'3_1':0.0},(256,458):{'3_1':0.0},(256,457):{'3_1':0.0},(256,454):{'4_1':0.0},(256,453):{'3_1':0.0},(256,452):{'3_1':0.0},(256,450):{'3_1':0.0,'5_2':0.0},(256,449):{'3_1':0.0},(256,448):{'3_1':0.0},(256,447):{'3_1':0.03},(256,446):{'3_1':0.03},(256,444):{'3_1':0.03,'5_2':0.0},(256,443):{'3_1':0.0,'4_1':0.0},(256,441):{'3_1':0.0},(256,439):{'3_1':0.0},(256,438):{'4_1':0.0},(256,437):{'3_1':0.0},(256,436):{'3_1':0.0},(256,435):{'3_1':0.0},(256,434):{'3_1':0.0},(256,433):{'3_1':0.0},(256,431):{'3_1':0.03},(256,430):{'3_1':0.0},(256,429):{'3_1':0.03},(256,428):{'3_1':0.0},(256,427):{'3_1':0.0},(256,426):{'3_1':0.0},(256,425):{'3_1':0.0},(256,424):{'3_1':0.0},(256,423):{'3_1':0.0},(256,422):{'3_1':0.0},(256,419):{'3_1':0.0,'5_1':0.0},(256,417):{'3_1':0.0},(256,416):{'3_1':0.03,'5_1':0.0},(256,415):{'3_1':0.03},(256,414):{'3_1':0.0,'7_1':0.0},(256,413):{'3_1':0.0},(256,412):{'3_1':0.0},(256,411):{'3_1':0.0},(256,410):{'3_1':0.0},(256,409):{'3_1':0.0,'4_1':0.0},(256,408):{'3_1':0.0},(256,406):{'3_1':0.0,'5_2':0.0},(256,405):{'3_1':0.0},(256,404):{'3_1':0.0,'4_1':0.0},(256,403):{'3_1':0.0},(256,402):{'3_1':0.0},(256,401):{'3_1':0.0,'4_1':0.0},(256,400):{'3_1':0.0},(256,397):{'3_1':0.0},(256,396):{'3_1':0.0},(256,395):{'3_1':0.0},(256,394):{'3_1':0.0},(256,392):{'3_1':0.0},(256,390):{'3_1':0.0},(256,388):{'3_1':0.0},(256,379):{'5_1':0.0},(256,378):{'3_1':0.0},(256,377):{'3_1':0.0},(256,374):{'3_1':0.0},(256,372):{'4_1':0.0},(256,370):{'3_1':0.0},(256,369):{'3_1':0.0},(256,368):{'3_1':0.0},(256,367):{'3_1':0.0,'4_1':0.0},(256,365):{'3_1':0.0},(256,364):{'3_1':0.0},(256,342):{'3_1':0.0},(256,328):{'4_1':0.0},(256,327):{'3_1':0.0},(256,325):{'3_1':0.0},(256,318):{'3_1':0.0},(256,317):{'3_1':0.0},(256,316):{'3_1':0.0,'4_1':0.0},(256,312):{'3_1':0.0},(256,311):{'3_1':0.0},(256,310):{'3_1':0.0},(256,307):{'3_1':0.0},(256,305):{'3_1':0.0},(256,304):{'3_1':0.0},(256,303):{'3_1':0.0},(256,292):{'5_2':0.0},(256,267):{'5_2':0.0},(256,264):{'5_2':0.0},(256,263):{'3_1':0.0},(257,727):{'6_1':0.12,'5_2':0.03,'3_1':0.03,'4_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(257,726):{'6_1':0.12,'3_1':0.0,'5_2':0.0,'7_4':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'9_1':0.0},(257,725):{'5_2':0.09,'6_1':0.03,'8_4':0.0,'4_1':0.0,'3_1':0.0,'7_2':0.0,'8_3':0.0},(257,724):{'5_2':0.06,'6_1':0.06,'3_1':0.06,'8_4':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0},(257,723):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(257,722):{'6_1':0.12,'5_2':0.03,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0,'8_14':0.0,'3_1#5_2':0.0},(257,721):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_5':0.0,'8_4':0.0},(257,720):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.0,'8_4':0.0,'8_14':0.0,'7_4':0.0,'8_3':0.0},(257,719):{'6_1':0.12,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(257,718):{'5_2':0.06,'6_1':0.06,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(257,717):{'6_1':0.15,'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(257,716):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0},(257,715):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'8_4':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(257,714):{'5_2':0.06,'3_1':0.06,'6_1':0.03,'4_1':0.03,'8_4':0.0},(257,713):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(257,712):{'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_2':0.03,'6_3':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'8_6':0.0},(257,711):{'6_1':0.09,'3_1':0.09,'5_2':0.06,'4_1':0.03,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(257,710):{'3_1':0.09,'7_5':0.03,'5_2':0.03,'6_1':0.03,'8_6':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(257,709):{'7_5':0.09,'3_1':0.09,'6_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_2':0.0,'8_6':0.0,'-3':0.0},(257,708):{'5_2':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_5':0.03,'6_2':0.0,'4_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(257,707):{'5_2':0.06,'6_1':0.06,'6_2':0.03,'3_1':0.0,'4_1':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(257,706):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0},(257,705):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'3_1':0.0,'7_2':0.0,'8_3':0.0,'8_4':0.0},(257,704):{'5_2':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.03,'8_4':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0},(257,703):{'5_2':0.12,'6_1':0.06,'7_4':0.03,'4_1':0.0,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0},(257,702):{'6_1':0.06,'5_2':0.03,'7_4':0.03,'3_1':0.0,'7_2':0.0,'4_1':0.0,'-3':0.0},(257,701):{'5_2':0.15,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'8_4':0.0,'6_2':0.0,'8_3':0.0,'8_8':0.0},(257,700):{'5_2':0.12,'6_1':0.03,'7_4':0.03,'3_1':0.03,'4_1':0.0,'8_4':0.0,'5_1':0.0,'8_6':0.0},(257,699):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0},(257,698):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0},(257,697):{'5_2':0.12,'6_1':0.09,'4_1':0.03,'3_1':0.03,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(257,696):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0,'7_3':0.0,'8_3':0.0},(257,695):{'6_1':0.12,'3_1':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.03,'6_2':0.0,'-3':0.0},(257,694):{'6_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(257,693):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(257,692):{'5_2':0.18,'6_1':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.03,'8_4':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(257,691):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(257,690):{'5_2':0.18,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_2':0.0,'3_1#5_2':0.0},(257,689):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.03,'8_4':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0},(257,688):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(257,687):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'-3':0.0},(257,686):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.06,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'8_9':0.0},(257,685):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(257,684):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_8':0.0,'-3':0.0},(257,683):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'8_3':0.0},(257,682):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'8_4':0.0},(257,681):{'4_1':0.15,'5_2':0.12,'6_1':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(257,680):{'5_2':0.09,'4_1':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0},(257,679):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(257,678):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(257,677):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0},(257,676):{'5_2':0.09,'4_1':0.09,'6_1':0.03,'3_1':0.03,'8_4':0.0,'8_21|3_1#4_1':0.0,'3_1#5_2':0.0},(257,675):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(257,674):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(257,673):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03},(257,672):{'5_2':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(257,671):{'5_2':0.09,'4_1':0.09,'6_1':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(257,670):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0},(257,669):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0,'6_3':0.0},(257,668):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(257,667):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(257,666):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0},(257,665):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(257,664):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0},(257,663):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_3':0.0,'3_1#5_2':0.0,'8_4':0.0},(257,662):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0},(257,661):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(257,660):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_2':0.0,'-3':0.0},(257,659):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0},(257,658):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(257,657):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(257,656):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(257,655):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0},(257,654):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(257,653):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(257,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(257,651):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(257,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(257,649):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(257,648):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0},(257,647):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'8_3':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(257,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(257,645):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0},(257,644):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'5_2':0.0},(257,643):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(257,642):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(257,641):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0},(257,640):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_19':0.0},(257,639):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'7_1':0.0},(257,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(257,637):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'8_3':0.0},(257,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(257,635):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(257,634):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(257,633):{'3_1':0.12,'4_1':0.0},(257,632):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(257,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(257,630):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'3_1#5_2':0.0},(257,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(257,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(257,627):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(257,626):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(257,625):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0},(257,624):{'3_1':0.12,'4_1':0.0},(257,623):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(257,622):{'3_1':0.12,'5_1':0.0},(257,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0},(257,620):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(257,619):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(257,618):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(257,617):{'3_1':0.06,'4_1':0.0},(257,616):{'3_1':0.06,'4_1':0.0},(257,615):{'3_1':0.09,'4_1':0.0},(257,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(257,613):{'3_1':0.06},(257,612):{'3_1':0.06,'4_1':0.0},(257,611):{'3_1':0.06,'4_1':0.0},(257,610):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(257,609):{'3_1':0.03,'4_1':0.0},(257,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(257,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(257,606):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(257,605):{'3_1':0.03,'4_1':0.03},(257,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(257,603):{'3_1':0.03,'4_1':0.0},(257,602):{'3_1':0.03,'4_1':0.0},(257,601):{'3_1':0.0,'5_2':0.0},(257,600):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(257,599):{'3_1':0.0,'6_2':0.0},(257,598):{'3_1':0.06},(257,597):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(257,596):{'3_1':0.06},(257,595):{'3_1':0.03,'4_1':0.0},(257,594):{'3_1':0.03},(257,593):{'3_1':0.0},(257,592):{'3_1':0.06},(257,591):{'3_1':0.03,'4_1':0.0},(257,590):{'3_1':0.06},(257,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(257,588):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(257,587):{'3_1':0.0},(257,586):{'4_1':0.0,'3_1':0.0},(257,585):{'3_1':0.03,'5_1':0.0},(257,584):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(257,583):{'3_1':0.06,'5_2':0.0},(257,582):{'3_1':0.03},(257,581):{'3_1':0.03},(257,580):{'3_1':0.06},(257,579):{'3_1':0.0},(257,578):{'3_1':0.0,'5_2':0.0},(257,577):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(257,576):{'3_1':0.06},(257,575):{'3_1':0.0,'4_1':0.0},(257,574):{'3_1':0.03,'5_1':0.0},(257,573):{'3_1':0.06},(257,572):{'3_1':0.03,'5_1':0.0},(257,571):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(257,570):{'3_1':0.03,'5_1':0.0},(257,569):{'3_1':0.0},(257,568):{'3_1':0.03},(257,567):{'3_1':0.06},(257,566):{'3_1':0.06,'5_1':0.0},(257,565):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(257,564):{'3_1':0.03,'7_5':0.0},(257,563):{'3_1':0.03},(257,562):{'3_1':0.03,'5_1':0.0},(257,561):{'3_1':0.06,'4_1':0.0},(257,560):{'3_1':0.03,'5_1':0.0},(257,559):{'3_1':0.03},(257,558):{'3_1':0.03},(257,557):{'3_1':0.03,'4_1':0.0},(257,556):{'3_1':0.0},(257,555):{'3_1':0.03},(257,554):{'3_1':0.03},(257,553):{'3_1':0.03,'5_1':0.0},(257,552):{'3_1':0.03,'5_2':0.0},(257,551):{'3_1':0.0,'5_2':0.0},(257,550):{'3_1':0.06,'4_1':0.0},(257,549):{'3_1':0.0,'4_1':0.0},(257,548):{'3_1':0.0,'8_1':0.0},(257,547):{'3_1':0.03,'4_1':0.0},(257,546):{'3_1':0.03,'5_2':0.0,'8_1':0.0},(257,545):{'3_1':0.0},(257,544):{'3_1':0.03},(257,543):{'3_1':0.0},(257,542):{'3_1':0.03},(257,541):{'3_1':0.03},(257,540):{'3_1':0.0},(257,539):{'3_1':0.0},(257,538):{'3_1':0.03},(257,537):{'3_1':0.03,'4_1':0.0},(257,536):{'3_1':0.03,'8_1':0.0},(257,535):{'3_1':0.0},(257,534):{'3_1':0.0},(257,533):{'3_1':0.03},(257,532):{'3_1':0.03},(257,531):{'3_1':0.06},(257,530):{'3_1':0.03},(257,529):{'3_1':0.0},(257,528):{'3_1':0.03},(257,527):{'3_1':0.03},(257,526):{'3_1':0.03,'4_1':0.0},(257,525):{'3_1':0.03},(257,524):{'3_1':0.03},(257,523):{'3_1':0.03,'4_1':0.0},(257,522):{'3_1':0.03,'5_1':0.0},(257,521):{'3_1':0.03,'8_1':0.0},(257,519):{'3_1':0.0},(257,518):{'3_1':0.03},(257,517):{'3_1':0.03},(257,516):{'3_1':0.0},(257,514):{'3_1':0.03},(257,513):{'3_1':0.0},(257,512):{'3_1':0.0,'8_1':0.0},(257,511):{'3_1':0.03,'8_20|3_1#3_1':0.0},(257,509):{'3_1':0.03,'5_1':0.0},(257,508):{'3_1':0.0,'5_2':0.0},(257,507):{'3_1':0.0,'5_2':0.0},(257,506):{'3_1':0.03,'4_1':0.0},(257,505):{'3_1':0.0},(257,504):{'4_1':0.0,'3_1':0.0},(257,502):{'3_1':0.0},(257,501):{'3_1':0.0},(257,500):{'3_1':0.0,'4_1':0.0},(257,499):{'3_1':0.03},(257,498):{'3_1':0.03,'4_1':0.0},(257,497):{'3_1':0.03},(257,496):{'3_1':0.0},(257,495):{'3_1':0.0,'4_1':0.0},(257,494):{'3_1':0.0,'8_20|3_1#3_1':0.0},(257,492):{'3_1':0.0},(257,491):{'3_1':0.03},(257,490):{'3_1':0.03},(257,488):{'3_1':0.0},(257,487):{'3_1':0.0},(257,486):{'3_1':0.0,'5_2':0.0},(257,485):{'3_1':0.03},(257,484):{'3_1':0.03},(257,483):{'3_1':0.03},(257,482):{'3_1':0.06},(257,481):{'3_1':0.03},(257,480):{'3_1':0.09,'5_2':0.0},(257,479):{'3_1':0.0},(257,478):{'3_1':0.03},(257,477):{'3_1':0.03,'4_1':0.0},(257,476):{'3_1':0.0},(257,475):{'3_1':0.03},(257,474):{'3_1':0.03},(257,473):{'3_1':0.0},(257,472):{'3_1':0.0},(257,471):{'3_1':0.0},(257,470):{'3_1':0.03},(257,469):{'3_1':0.0,'5_1':0.0},(257,468):{'3_1':0.0},(257,466):{'3_1':0.03},(257,465):{'3_1':0.0},(257,464):{'3_1':0.03},(257,463):{'3_1':0.0},(257,461):{'3_1':0.0},(257,458):{'3_1':0.0},(257,457):{'3_1':0.0},(257,456):{'3_1':0.0},(257,454):{'3_1':0.0},(257,450):{'3_1':0.0},(257,449):{'3_1':0.0},(257,448):{'3_1':0.0},(257,445):{'3_1':0.0},(257,444):{'3_1':0.0},(257,443):{'3_1':0.0},(257,442):{'3_1':0.0},(257,441):{'3_1':0.0},(257,439):{'3_1':0.0},(257,437):{'3_1':0.0},(257,436):{'3_1':0.0},(257,435):{'3_1':0.0},(257,434):{'3_1':0.0},(257,433):{'3_1':0.0},(257,432):{'3_1':0.0},(257,430):{'3_1':0.0},(257,429):{'3_1':0.0},(257,428):{'3_1':0.0},(257,426):{'3_1':0.0},(257,425):{'3_1':0.03},(257,424):{'3_1':0.0,'5_2':0.0},(257,422):{'3_1':0.0},(257,421):{'3_1':0.0},(257,420):{'3_1':0.03},(257,419):{'3_1':0.0},(257,418):{'3_1':0.0},(257,414):{'3_1':0.03},(257,412):{'3_1':0.0},(257,411):{'4_1':0.0,'5_1':0.0},(257,410):{'4_1':0.0},(257,408):{'3_1':0.0},(257,406):{'3_1':0.0},(257,405):{'3_1':0.0,'4_1':0.0},(257,403):{'3_1':0.0},(257,402):{'3_1':0.0},(257,401):{'4_1':0.0},(257,400):{'3_1':0.0},(257,398):{'3_1':0.0},(257,397):{'3_1':0.0},(257,396):{'3_1':0.0},(257,395):{'3_1':0.0},(257,392):{'3_1':0.0},(257,389):{'3_1':0.0},(257,386):{'3_1':0.0},(257,382):{'3_1':0.0},(257,380):{'3_1':0.0},(257,377):{'3_1':0.0},(257,376):{'3_1':0.0},(257,375):{'4_1':0.0},(257,374):{'3_1':0.0},(257,373):{'4_1':0.0},(257,370):{'3_1':0.0,'4_1':0.0},(257,369):{'3_1':0.0},(257,368):{'3_1':0.0,'4_1':0.0},(257,367):{'3_1':0.0,'7_2':0.0},(257,366):{'3_1':0.0},(257,363):{'3_1':0.0},(257,359):{'3_1':0.0},(257,358):{'3_1':0.0,'4_1':0.0},(257,351):{'3_1':0.0},(257,349):{'3_1':0.0,'4_1':0.0},(257,347):{'4_1':0.0},(257,346):{'3_1':0.0},(257,344):{'3_1':0.0},(257,340):{'3_1':0.0},(257,339):{'3_1':0.0},(257,334):{'3_1':0.0},(257,329):{'4_1':0.0},(257,326):{'3_1':0.0,'4_1':0.0},(257,324):{'3_1':0.0},(257,320):{'3_1':0.0},(257,316):{'3_1':0.0},(257,315):{'3_1':0.0},(257,305):{'3_1':0.0},(257,304):{'3_1':0.0},(257,303):{'3_1':0.0},(257,293):{'3_1':0.0},(257,263):{'3_1':0.0},(258,727):{'6_1':0.06,'5_2':0.03,'3_1':0.03,'4_1':0.0,'8_4':0.0,'7_4':0.0,'7_5':0.0},(258,726):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_5':0.0,'8_4':0.0,'8_14':0.0},(258,725):{'4_1':0.03,'5_2':0.03,'6_1':0.03,'7_5':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'8_4':0.0},(258,724):{'6_1':0.12,'5_2':0.09,'4_1':0.06,'3_1':0.03},(258,723):{'5_2':0.09,'6_1':0.03,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(258,722):{'4_1':0.12,'6_1':0.06,'5_2':0.03,'3_1':0.0,'7_2':0.0,'7_5':0.0,'8_4':0.0},(258,721):{'6_1':0.09,'4_1':0.03,'5_2':0.03,'7_4':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'8_19':0.0},(258,720):{'6_1':0.06,'3_1':0.06,'5_2':0.03,'7_4':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(258,719):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0},(258,718):{'6_1':0.06,'5_2':0.06,'3_1':0.03,'8_4':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(258,717):{'5_2':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.03,'7_4':0.03,'7_6':0.0,'8_4':0.0},(258,716):{'6_1':0.09,'5_2':0.06,'8_4':0.0,'3_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(258,715):{'6_1':0.09,'4_1':0.06,'5_2':0.03,'3_1':0.0,'6_2':0.0,'8_6':0.0,'8_8':0.0},(258,714):{'5_2':0.09,'6_1':0.09,'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_8':0.0},(258,713):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'8_1':0.0,'8_4':0.0},(258,712):{'3_1':0.09,'5_2':0.09,'6_1':0.06,'4_1':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(258,711):{'3_1':0.09,'6_1':0.09,'4_1':0.06,'5_2':0.06,'7_5':0.03,'7_4':0.0,'8_4':0.0,'8_6':0.0},(258,710):{'3_1':0.12,'6_1':0.09,'4_1':0.06,'5_2':0.03,'8_6':0.03,'-3':0.0,'6_2':0.0,'8_4':0.0},(258,709):{'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.03,'5_2':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0},(258,708):{'3_1':0.09,'6_1':0.06,'4_1':0.03,'5_2':0.03,'8_4':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(258,707):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(258,706):{'6_1':0.12,'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(258,705):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'7_4':0.03,'4_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(258,704):{'6_1':0.09,'5_2':0.06,'8_4':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(258,703):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'6_3':0.0,'7_2':0.0,'7_6':0.0},(258,702):{'6_1':0.09,'5_2':0.03,'4_1':0.03,'3_1':0.03,'6_3':0.0,'7_4':0.0,'8_4':0.0},(258,701):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0,'3_1#5_2':0.0},(258,700):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(258,699):{'6_1':0.12,'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_2':0.0,'-3':0.0},(258,698):{'5_2':0.09,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.0,'8_4':0.0,'-3':0.0},(258,697):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(258,696):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0},(258,695):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.03,'7_4':0.0,'7_2':0.0,'8_4':0.0},(258,694):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0},(258,693):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(258,692):{'5_2':0.12,'4_1':0.03,'6_1':0.03,'3_1':0.0,'7_4':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0},(258,691):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.06,'5_1':0.0,'8_6':0.0},(258,690):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'-3':0.0},(258,689):{'5_2':0.12,'6_1':0.09,'4_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(258,688):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03},(258,687):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_13':0.0},(258,686):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'8_4':0.0,'7_4':0.0},(258,685):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_3':0.0,'6_1':0.0,'6_2':0.0},(258,684):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(258,683):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(258,682):{'5_2':0.18,'4_1':0.12,'6_1':0.03,'3_1':0.03,'6_2':0.0,'8_6':0.0},(258,681):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'8_13':0.0},(258,680):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_3':0.0},(258,679):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(258,678):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'6_1':0.06},(258,677):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(258,676):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_6':0.0},(258,675):{'5_2':0.12,'4_1':0.06,'6_1':0.0,'3_1':0.0,'7_1':0.0},(258,674):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'8_3':0.0},(258,673):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'3_1':0.0,'7_4':0.0,'8_6':0.0},(258,672):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(258,671):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(258,670):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0},(258,669):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0},(258,668):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0},(258,667):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0},(258,666):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(258,665):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(258,664):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0,'8_1':0.0},(258,663):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0},(258,662):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(258,661):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'6_1':0.0},(258,660):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(258,659):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(258,658):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(258,657):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(258,656):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0},(258,655):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(258,654):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(258,653):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(258,652):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(258,651):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(258,650):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(258,649):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(258,648):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(258,647):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(258,646):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(258,645):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.03,'8_20|3_1#3_1':0.0},(258,644):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(258,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(258,642):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0},(258,641):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(258,640):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(258,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(258,638):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(258,637):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(258,636):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(258,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(258,634):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(258,633):{'3_1':0.12,'4_1':0.0},(258,632):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(258,631):{'3_1':0.09,'4_1':0.03},(258,630):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(258,629):{'3_1':0.15,'4_1':0.0},(258,628):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0},(258,627):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(258,626):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(258,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(258,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(258,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(258,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(258,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(258,620):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(258,619):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(258,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(258,617):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(258,616):{'3_1':0.03,'4_1':0.0},(258,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(258,614):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(258,613):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(258,612):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(258,611):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(258,610):{'3_1':0.09,'4_1':0.03},(258,609):{'3_1':0.03},(258,608):{'3_1':0.0,'4_1':0.0},(258,607):{'3_1':0.0,'5_1':0.0},(258,606):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(258,605):{'3_1':0.03,'4_1':0.0},(258,604):{'4_1':0.03,'3_1':0.0},(258,603):{'3_1':0.03,'4_1':0.0},(258,602):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(258,601):{'4_1':0.0,'3_1':0.0},(258,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(258,599):{'3_1':0.03},(258,598):{'3_1':0.03,'4_1':0.0},(258,597):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(258,596):{'3_1':0.0,'4_1':0.0},(258,595):{'3_1':0.0},(258,594):{'3_1':0.03},(258,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(258,592):{'3_1':0.06},(258,591):{'3_1':0.03,'4_1':0.0},(258,590):{'3_1':0.03},(258,589):{'3_1':0.03,'5_2':0.0},(258,588):{'3_1':0.03},(258,587):{'3_1':0.0},(258,586):{'3_1':0.03,'4_1':0.0},(258,585):{'3_1':0.0},(258,584):{'3_1':0.03,'4_1':0.0},(258,583):{'3_1':0.06,'4_1':0.0},(258,582):{'3_1':0.03,'4_1':0.0},(258,581):{'3_1':0.0},(258,580):{'3_1':0.03,'4_1':0.0},(258,579):{'3_1':0.03},(258,578):{'3_1':0.03},(258,577):{'3_1':0.06},(258,576):{'3_1':0.03,'5_1':0.0},(258,575):{'3_1':0.0,'4_1':0.0},(258,574):{'3_1':0.03,'5_1':0.0},(258,573):{'3_1':0.0},(258,572):{'3_1':0.0,'4_1':0.0},(258,571):{'3_1':0.03,'4_1':0.0},(258,570):{'3_1':0.03,'5_1':0.0},(258,569):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(258,568):{'3_1':0.0},(258,567):{'3_1':0.03},(258,566):{'3_1':0.03,'5_1':0.0},(258,565):{'3_1':0.0,'4_1':0.0},(258,564):{'3_1':0.03},(258,563):{'3_1':0.03,'4_1':0.0},(258,562):{'3_1':0.03,'4_1':0.0},(258,561):{'3_1':0.0},(258,560):{'3_1':0.03,'4_1':0.0},(258,559):{'3_1':0.0},(258,558):{'3_1':0.0,'5_2':0.0},(258,557):{'3_1':0.0},(258,556):{'3_1':0.03},(258,555):{'3_1':0.0,'5_2':0.0},(258,554):{'3_1':0.06},(258,553):{'3_1':0.03,'4_1':0.0},(258,552):{'3_1':0.03},(258,551):{'3_1':0.0},(258,550):{'3_1':0.0,'6_2':0.0},(258,549):{'4_1':0.0},(258,548):{'3_1':0.03},(258,547):{'3_1':0.03,'4_1':0.0},(258,546):{'3_1':0.03},(258,545):{'3_1':0.0,'5_1':0.0},(258,544):{'3_1':0.0,'4_1':0.0},(258,543):{'3_1':0.0},(258,542):{'3_1':0.03},(258,541):{'3_1':0.0,'8_1':0.0},(258,539):{'3_1':0.0},(258,538):{'3_1':0.03},(258,537):{'3_1':0.03},(258,536):{'3_1':0.0},(258,535):{'3_1':0.03},(258,534):{'3_1':0.03,'6_1':0.0},(258,533):{'3_1':0.03},(258,532):{'3_1':0.03,'8_20|3_1#3_1':0.0},(258,531):{'3_1':0.06},(258,530):{'3_1':0.0},(258,529):{'3_1':0.03},(258,528):{'3_1':0.03},(258,527):{'3_1':0.0},(258,526):{'3_1':0.0,'5_1':0.0},(258,525):{'3_1':0.0,'4_1':0.0},(258,524):{'3_1':0.0},(258,523):{'3_1':0.03},(258,522):{'3_1':0.0},(258,521):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(258,520):{'3_1':0.03},(258,519):{'3_1':0.0},(258,518):{'3_1':0.0,'4_1':0.0},(258,517):{'3_1':0.03},(258,516):{'3_1':0.0},(258,515):{'3_1':0.0},(258,513):{'3_1':0.03,'5_2':0.0},(258,512):{'3_1':0.03},(258,511):{'3_1':0.0},(258,510):{'3_1':0.0},(258,509):{'4_1':0.0},(258,508):{'3_1':0.0},(258,507):{'3_1':0.0},(258,506):{'3_1':0.03},(258,504):{'4_1':0.0},(258,503):{'3_1':0.0},(258,502):{'3_1':0.03},(258,501):{'3_1':0.0},(258,500):{'3_1':0.0},(258,499):{'3_1':0.03},(258,498):{'3_1':0.0},(258,497):{'3_1':0.0},(258,496):{'3_1':0.0,'8_20|3_1#3_1':0.0},(258,495):{'3_1':0.0},(258,494):{'3_1':0.03},(258,493):{'3_1':0.03},(258,492):{'3_1':0.0},(258,491):{'3_1':0.0},(258,490):{'3_1':0.0},(258,489):{'3_1':0.0},(258,488):{'3_1':0.03},(258,487):{'3_1':0.0},(258,486):{'3_1':0.06},(258,485):{'3_1':0.0},(258,484):{'3_1':0.03},(258,483):{'3_1':0.0},(258,482):{'3_1':0.06},(258,481):{'3_1':0.0},(258,480):{'3_1':0.03},(258,479):{'3_1':0.03},(258,478):{'3_1':0.06},(258,477):{'3_1':0.03},(258,476):{'3_1':0.03},(258,475):{'3_1':0.0},(258,474):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(258,473):{'3_1':0.03,'5_2':0.0},(258,472):{'3_1':0.0},(258,471):{'3_1':0.0},(258,470):{'3_1':0.03},(258,469):{'3_1':0.0},(258,468):{'3_1':0.0,'4_1':0.0},(258,467):{'3_1':0.0},(258,466):{'3_1':0.0},(258,465):{'3_1':0.0},(258,464):{'3_1':0.0,'4_1':0.0},(258,462):{'3_1':0.0},(258,461):{'3_1':0.0},(258,460):{'3_1':0.0},(258,459):{'3_1':0.03},(258,458):{'3_1':0.0},(258,457):{'3_1':0.03},(258,456):{'3_1':0.0,'5_2':0.0},(258,455):{'3_1':0.0},(258,454):{'3_1':0.0},(258,453):{'3_1':0.0},(258,451):{'3_1':0.0},(258,449):{'3_1':0.0,'4_1':0.0},(258,448):{'3_1':0.0},(258,447):{'3_1':0.0},(258,446):{'3_1':0.0},(258,444):{'3_1':0.03},(258,443):{'3_1':0.0},(258,442):{'3_1':0.0},(258,440):{'3_1':0.0},(258,436):{'3_1':0.0},(258,435):{'3_1':0.0},(258,434):{'4_1':0.0},(258,433):{'3_1':0.0},(258,432):{'3_1':0.0},(258,430):{'3_1':0.0},(258,429):{'3_1':0.0},(258,428):{'3_1':0.03,'4_1':0.0},(258,427):{'3_1':0.0},(258,426):{'3_1':0.03},(258,420):{'3_1':0.0},(258,419):{'3_1':0.0,'5_1':0.0},(258,418):{'3_1':0.0},(258,416):{'3_1':0.0},(258,415):{'3_1':0.0},(258,414):{'3_1':0.0},(258,410):{'6_1':0.0},(258,408):{'3_1':0.0},(258,405):{'5_1':0.0},(258,402):{'3_1':0.0},(258,398):{'3_1':0.0},(258,397):{'3_1':0.0},(258,391):{'3_1':0.0},(258,383):{'3_1':0.0},(258,377):{'3_1':0.0,'4_1':0.0},(258,376):{'3_1':0.0},(258,375):{'3_1':0.0},(258,373):{'3_1':0.0},(258,372):{'3_1':0.0},(258,371):{'3_1':0.0},(258,370):{'3_1':0.0},(258,369):{'3_1':0.0},(258,368):{'3_1':0.0},(258,367):{'3_1':0.0},(258,365):{'3_1':0.0},(258,363):{'3_1':0.0},(258,362):{'3_1':0.0},(258,359):{'4_1':0.0},(258,358):{'3_1':0.0},(258,357):{'3_1':0.0,'4_1':0.0},(258,353):{'3_1':0.0,'4_1':0.0},(258,350):{'3_1':0.0},(258,344):{'3_1':0.0},(258,341):{'3_1':0.0},(258,339):{'3_1':0.0},(258,334):{'4_1':0.0},(258,332):{'5_2':0.0},(258,331):{'4_1':0.0},(258,326):{'3_1':0.0},(258,324):{'3_1':0.0},(258,316):{'3_1':0.0},(258,315):{'3_1':0.0,'4_1':0.0},(258,314):{'3_1':0.0},(258,306):{'3_1':0.03},(258,305):{'3_1':0.0},(258,304):{'3_1':0.0},(258,269):{'3_1':0.0},(258,267):{'3_1':0.0},(258,265):{'3_1':0.0},(258,263):{'3_1':0.0},(259,727):{'4_1':0.12,'6_1':0.03,'3_1':0.03,'5_2':0.03,'7_3':0.0,'7_5':0.0},(259,726):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0},(259,725):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_5':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(259,724):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_1':0.0,'8_4':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(259,723):{'6_1':0.09,'4_1':0.06,'5_2':0.06,'3_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(259,722):{'4_1':0.06,'6_1':0.06,'5_2':0.03,'3_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(259,721):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'8_12':0.0},(259,720):{'6_1':0.09,'4_1':0.06,'5_2':0.03,'8_4':0.0,'3_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(259,719):{'6_1':0.09,'4_1':0.06,'3_1':0.03,'5_2':0.03,'8_4':0.0,'6_2':0.0,'7_5':0.0},(259,718):{'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_4':0.0,'7_6':0.0},(259,717):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'8_4':0.0,'5_1':0.0,'7_5':0.0},(259,716):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'6_3':0.0,'7_2':0.0,'8_4':0.0},(259,715):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(259,714):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.03,'8_4':0.0,'8_12':0.0,'-3':0.0},(259,713):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_6':0.0,'8_6':0.0},(259,712):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0,'7_5':0.0,'-3':0.0},(259,711):{'5_2':0.09,'6_1':0.09,'3_1':0.06,'4_1':0.06,'7_5':0.03,'8_4':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(259,710):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_5':0.03,'6_1':0.03,'7_2':0.0,'7_3':0.0,'-3':0.0},(259,709):{'7_5':0.12,'4_1':0.06,'6_1':0.06,'5_2':0.03,'3_1':0.0,'8_6':0.0,'-3':0.0,'8_4':0.0},(259,708):{'3_1':0.06,'5_2':0.06,'6_1':0.06,'4_1':0.03,'6_2':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(259,707):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(259,706):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.03,'7_2':0.0,'8_4':0.0},(259,705):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'6_3':0.0,'7_2':0.0,'8_4':0.0},(259,704):{'4_1':0.09,'6_1':0.06,'5_2':0.03,'3_1':0.0,'7_4':0.0,'7_1':0.0,'8_4':0.0,'3_1#5_2':0.0},(259,703):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0},(259,702):{'5_2':0.09,'3_1':0.06,'6_1':0.06,'4_1':0.06,'7_4':0.0},(259,701):{'6_1':0.06,'5_2':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_6':0.0,'7_3':0.0,'8_8':0.0},(259,700):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_1':0.0,'-3':0.0},(259,699):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.03,'7_6':0.0,'7_4':0.0,'3_1#5_2':0.0},(259,698):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(259,697):{'3_1':0.09,'5_2':0.09,'6_1':0.03,'4_1':0.03,'5_1':0.0,'7_2':0.0,'8_4':0.0,'8_6':0.0,'8_9':0.0},(259,696):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.03,'6_3':0.0,'5_1':0.0,'8_3':0.0},(259,695):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0,'-3':0.0},(259,694):{'5_2':0.12,'6_1':0.06,'4_1':0.03,'7_4':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(259,693):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(259,692):{'5_2':0.15,'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0},(259,691):{'3_1':0.06,'6_1':0.06,'4_1':0.06,'5_2':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(259,690):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(259,689):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_4':0.0,'7_2':0.0,'8_4':0.0},(259,688):{'4_1':0.06,'6_1':0.06,'5_2':0.06,'3_1':0.03,'7_4':0.0},(259,687):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(259,686):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(259,685):{'4_1':0.12,'5_2':0.12,'6_1':0.06,'3_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(259,684):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(259,683):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.06,'8_4':0.0},(259,682):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.03},(259,681):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.03,'5_1':0.0,'7_4':0.0},(259,680):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0,'7_7':0.0,'3_1#5_2':0.0},(259,679):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(259,678):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(259,677):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(259,676):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'7_3':0.0,'3_1#5_2':0.0},(259,675):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'8_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(259,674):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(259,673):{'4_1':0.12,'5_2':0.09,'6_2':0.03,'6_1':0.0,'3_1':0.0,'7_5':0.0},(259,672):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(259,671):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(259,670):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'3_1#5_2':0.0},(259,669):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0},(259,668):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(259,667):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_3':0.0,'8_1':0.0,'8_4':0.0},(259,666):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_1':0.0},(259,665):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_6':0.0},(259,664):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'3_1#5_2':0.0},(259,663):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_6':0.0},(259,662):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0},(259,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(259,660):{'4_1':0.15,'3_1':0.06,'7_1':0.0,'6_3':0.0},(259,659):{'3_1':0.24,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0},(259,658):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_1':0.0,'8_2':0.0},(259,657):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(259,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(259,655):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'7_3':0.0},(259,654):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(259,653):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0},(259,652):{'3_1':0.18,'4_1':0.09},(259,651):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0},(259,650):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(259,649):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(259,648):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(259,647):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0},(259,646):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(259,645):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(259,644):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_2':0.0,'8_17':0.0},(259,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.03},(259,642):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0},(259,641):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(259,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(259,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_3':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(259,638):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0},(259,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(259,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(259,635):{'3_1':0.06,'4_1':0.0},(259,634):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(259,633):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(259,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(259,631):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0},(259,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(259,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(259,628):{'3_1':0.15,'5_1':0.0},(259,627):{'3_1':0.06},(259,626):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(259,625):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(259,624):{'3_1':0.15,'4_1':0.0},(259,623):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(259,622):{'3_1':0.09,'4_1':0.03},(259,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(259,620):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(259,619):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(259,618):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(259,617):{'3_1':0.09,'4_1':0.03,'8_20|3_1#3_1':0.0},(259,616):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(259,615):{'3_1':0.06,'5_2':0.0},(259,614):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(259,613):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(259,612):{'3_1':0.09,'5_1':0.0},(259,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(259,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(259,609):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(259,608):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(259,607):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(259,606):{'3_1':0.03,'4_1':0.0},(259,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(259,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(259,603):{'3_1':0.03,'4_1':0.0},(259,602):{'3_1':0.03,'5_1':0.0},(259,601):{'3_1':0.06,'4_1':0.0},(259,600):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(259,599):{'3_1':0.06},(259,598):{'3_1':0.03},(259,597):{'3_1':0.03,'4_1':0.0},(259,596):{'3_1':0.06,'4_1':0.0},(259,595):{'3_1':0.0},(259,594):{'3_1':0.03},(259,593):{'3_1':0.0},(259,592):{'3_1':0.06},(259,591):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(259,590):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(259,589):{'3_1':0.03},(259,588):{'3_1':0.03,'4_1':0.0},(259,587):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(259,586):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(259,585):{'3_1':0.06,'5_1':0.0},(259,584):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(259,583):{'3_1':0.03},(259,582):{'3_1':0.03,'5_2':0.0},(259,581):{'3_1':0.03,'4_1':0.0},(259,580):{'3_1':0.03},(259,579):{'3_1':0.0,'4_1':0.0},(259,578):{'3_1':0.0,'5_2':0.0},(259,577):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(259,576):{'3_1':0.03,'4_1':0.0},(259,575):{'3_1':0.06,'5_1':0.0},(259,574):{'3_1':0.03,'4_1':0.0},(259,573):{'3_1':0.03,'4_1':0.0},(259,572):{'3_1':0.03},(259,571):{'3_1':0.03},(259,570):{'3_1':0.03},(259,569):{'3_1':0.0},(259,568):{'3_1':0.03,'4_1':0.0},(259,567):{'3_1':0.03,'5_2':0.0},(259,566):{'3_1':0.0,'5_2':0.0},(259,565):{'3_1':0.03,'4_1':0.0},(259,564):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(259,563):{'3_1':0.03,'4_1':0.0},(259,562):{'3_1':0.03},(259,561):{'3_1':0.0,'4_1':0.0},(259,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(259,559):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(259,558):{'3_1':0.0,'4_1':0.0},(259,557):{'3_1':0.0,'5_2':0.0},(259,556):{'3_1':0.0,'4_1':0.0},(259,555):{'3_1':0.0,'4_1':0.0},(259,554):{'3_1':0.03},(259,553):{'3_1':0.0,'4_1':0.0},(259,552):{'3_1':0.03,'4_1':0.0},(259,551):{'3_1':0.0,'4_1':0.0},(259,550):{'3_1':0.0,'4_1':0.0},(259,549):{'3_1':0.03},(259,548):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(259,547):{'3_1':0.03},(259,546):{'3_1':0.0,'5_2':0.0},(259,545):{'3_1':0.0},(259,544):{'3_1':0.0,'4_1':0.0},(259,543):{'3_1':0.03,'4_1':0.0},(259,542):{'3_1':0.0,'4_1':0.0},(259,541):{'3_1':0.03},(259,540):{'3_1':0.03},(259,539):{'3_1':0.0},(259,538):{'3_1':0.06,'4_1':0.0},(259,537):{'3_1':0.0},(259,536):{'3_1':0.06,'5_1':0.0},(259,534):{'3_1':0.03},(259,533):{'3_1':0.0,'4_1':0.0},(259,532):{'3_1':0.03},(259,531):{'3_1':0.0},(259,530):{'3_1':0.03,'4_1':0.0},(259,529):{'3_1':0.0},(259,528):{'3_1':0.03},(259,527):{'3_1':0.03},(259,526):{'3_1':0.0},(259,525):{'3_1':0.03,'5_1':0.0},(259,524):{'3_1':0.03,'5_1':0.0},(259,523):{'3_1':0.03},(259,522):{'3_1':0.0},(259,521):{'3_1':0.03},(259,520):{'3_1':0.06,'4_1':0.0},(259,519):{'3_1':0.0},(259,518):{'3_1':0.0},(259,517):{'3_1':0.0,'4_1':0.0},(259,515):{'3_1':0.03},(259,514):{'3_1':0.0},(259,513):{'3_1':0.0},(259,512):{'3_1':0.0},(259,511):{'3_1':0.03,'4_1':0.0},(259,510):{'3_1':0.0},(259,509):{'3_1':0.0},(259,507):{'3_1':0.0},(259,505):{'3_1':0.0},(259,504):{'3_1':0.0},(259,503):{'3_1':0.03},(259,502):{'3_1':0.0},(259,500):{'3_1':0.0},(259,498):{'4_1':0.0,'3_1':0.0},(259,497):{'3_1':0.0},(259,496):{'3_1':0.0},(259,495):{'4_1':0.0},(259,494):{'3_1':0.0},(259,493):{'3_1':0.0},(259,492):{'3_1':0.0},(259,491):{'3_1':0.06},(259,490):{'3_1':0.0},(259,489):{'3_1':0.03},(259,488):{'3_1':0.03},(259,487):{'3_1':0.0},(259,486):{'3_1':0.03,'4_1':0.0},(259,485):{'3_1':0.03,'4_1':0.0},(259,484):{'3_1':0.0},(259,483):{'3_1':0.03},(259,482):{'3_1':0.06},(259,481):{'3_1':0.0},(259,480):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(259,479):{'3_1':0.06,'4_1':0.0},(259,478):{'3_1':0.03},(259,477):{'3_1':0.0},(259,476):{'3_1':0.03},(259,475):{'3_1':0.0},(259,474):{'3_1':0.03},(259,473):{'3_1':0.0,'5_2':0.0},(259,472):{'3_1':0.03},(259,471):{'3_1':0.0,'4_1':0.0},(259,469):{'5_2':0.0},(259,468):{'3_1':0.06},(259,467):{'3_1':0.0},(259,466):{'3_1':0.0},(259,464):{'3_1':0.0},(259,463):{'3_1':0.0},(259,462):{'3_1':0.0},(259,461):{'3_1':0.0,'5_2':0.0},(259,460):{'3_1':0.0},(259,458):{'3_1':0.0},(259,457):{'3_1':0.0,'5_2':0.0},(259,455):{'3_1':0.0},(259,454):{'3_1':0.0},(259,453):{'4_1':0.0},(259,452):{'3_1':0.0},(259,451):{'3_1':0.0},(259,450):{'3_1':0.0},(259,449):{'3_1':0.0},(259,447):{'3_1':0.03},(259,446):{'3_1':0.0},(259,445):{'3_1':0.03},(259,444):{'3_1':0.0},(259,443):{'3_1':0.0},(259,442):{'3_1':0.0},(259,441):{'3_1':0.03},(259,440):{'3_1':0.0},(259,438):{'3_1':0.0},(259,437):{'3_1':0.0},(259,436):{'3_1':0.0},(259,435):{'3_1':0.0},(259,434):{'3_1':0.0},(259,433):{'3_1':0.0,'4_1':0.0},(259,431):{'3_1':0.0},(259,430):{'3_1':0.0},(259,429):{'3_1':0.0},(259,428):{'3_1':0.0},(259,427):{'3_1':0.0},(259,426):{'3_1':0.0},(259,425):{'3_1':0.0},(259,424):{'3_1':0.0},(259,423):{'3_1':0.0},(259,422):{'3_1':0.0},(259,421):{'3_1':0.03},(259,420):{'3_1':0.0},(259,419):{'3_1':0.0},(259,417):{'3_1':0.0},(259,415):{'3_1':0.0},(259,414):{'3_1':0.0,'4_1':0.0},(259,413):{'3_1':0.0},(259,409):{'3_1':0.0},(259,408):{'3_1':0.0,'6_1':0.0},(259,403):{'3_1':0.0},(259,399):{'3_1':0.0},(259,395):{'3_1':0.0},(259,393):{'3_1':0.0,'6_2':0.0},(259,390):{'3_1':0.0},(259,389):{'3_1':0.0},(259,388):{'3_1':0.0},(259,387):{'3_1':0.0},(259,386):{'3_1':0.0},(259,383):{'3_1':0.0},(259,382):{'3_1':0.0},(259,381):{'3_1':0.0},(259,379):{'3_1':0.0},(259,377):{'3_1':0.0},(259,376):{'3_1':0.0},(259,375):{'3_1':0.0},(259,372):{'3_1':0.0},(259,370):{'3_1':0.0},(259,367):{'3_1':0.0},(259,366):{'3_1':0.0},(259,365):{'3_1':0.0},(259,364):{'3_1':0.0},(259,363):{'3_1':0.0},(259,362):{'4_1':0.0,'3_1':0.0},(259,357):{'4_1':0.0},(259,353):{'3_1':0.0},(259,351):{'3_1':0.0},(259,350):{'3_1':0.0},(259,349):{'3_1':0.0},(259,348):{'3_1':0.0},(259,336):{'3_1':0.0},(259,335):{'3_1':0.0},(259,333):{'4_1':0.0},(259,332):{'3_1':0.0},(259,330):{'3_1':0.0},(259,328):{'3_1':0.0},(259,326):{'3_1':0.0},(259,324):{'3_1':0.0},(259,321):{'3_1':0.0},(259,319):{'3_1':0.0},(259,318):{'4_1':0.0},(259,317):{'3_1':0.0},(259,316):{'3_1':0.0},(259,315):{'3_1':0.0},(259,310):{'3_1':0.0},(259,309):{'3_1':0.0},(259,307):{'3_1':0.0},(259,306):{'3_1':0.0},(259,305):{'3_1':0.0},(259,303):{'3_1':0.0},(259,295):{'5_2':0.0},(259,291):{'3_1':0.0},(259,281):{'5_2':0.0},(259,278):{'3_1':0.0},(259,270):{'3_1':0.0},(259,266):{'3_1':0.0},(259,264):{'3_1':0.0},(259,263):{'3_1':0.0},(260,727):{'6_1':0.06,'4_1':0.03,'5_2':0.03,'3_1':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0,'7_6':0.0},(260,726):{'6_1':0.06,'5_2':0.06,'4_1':0.0,'3_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(260,725):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0},(260,724):{'6_1':0.12,'4_1':0.03,'5_2':0.03,'3_1':0.0,'8_4':0.0,'7_2':0.0,'7_5':0.0},(260,723):{'3_1':0.06,'5_2':0.06,'6_1':0.03,'4_1':0.03,'7_5':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(260,722):{'5_2':0.09,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_5':0.0,'8_4':0.0,'-3':0.0},(260,721):{'6_1':0.09,'4_1':0.06,'5_2':0.03,'3_1':0.0,'8_4':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0},(260,720):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(260,719):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_6':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(260,718):{'6_1':0.09,'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_5':0.0},(260,717):{'5_2':0.06,'6_1':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'8_6':0.0},(260,716):{'3_1':0.09,'4_1':0.06,'6_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(260,715):{'3_1':0.09,'6_1':0.09,'5_2':0.03,'4_1':0.03},(260,714):{'6_1':0.12,'4_1':0.03,'3_1':0.03,'5_2':0.03,'7_4':0.0,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(260,713):{'6_1':0.09,'5_2':0.06,'3_1':0.03,'4_1':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(260,712):{'6_1':0.12,'3_1':0.06,'5_2':0.06,'4_1':0.03,'8_4':0.0,'5_1':0.0,'7_5':0.0},(260,711):{'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_5':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'8_3':0.0},(260,710):{'6_1':0.09,'3_1':0.06,'4_1':0.03,'7_5':0.03,'-3':0.0,'5_2':0.0,'8_4':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_9':0.0},(260,709):{'3_1':0.12,'7_5':0.06,'6_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(260,708):{'6_1':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(260,707):{'5_2':0.09,'6_1':0.09,'4_1':0.03,'3_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_9':0.0},(260,706):{'5_2':0.09,'6_1':0.06,'7_4':0.03,'3_1':0.03,'4_1':0.03,'8_4':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'8_13':0.0,'-3':0.0},(260,705):{'4_1':0.06,'5_2':0.03,'6_1':0.03,'3_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(260,704):{'6_1':0.09,'4_1':0.06,'5_2':0.03,'3_1':0.0,'7_3':0.0,'7_4':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(260,703):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.03,'7_4':0.03,'8_4':0.0,'7_6':0.0},(260,702):{'5_2':0.06,'6_1':0.06,'7_4':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(260,701):{'5_2':0.09,'4_1':0.06,'7_4':0.06,'6_1':0.06,'3_1':0.03,'7_3':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(260,700):{'5_2':0.09,'4_1':0.09,'6_1':0.09,'8_4':0.03,'3_1':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'7_5':0.0},(260,699):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.0,'7_4':0.0,'-3':0.0},(260,698):{'5_2':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0},(260,697):{'5_2':0.18,'6_1':0.03,'4_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(260,696):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0},(260,695):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(260,694):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.06,'7_3':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(260,693):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(260,692):{'5_2':0.15,'6_1':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(260,691):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(260,690):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_9':0.0},(260,689):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(260,688):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_2':0.0,'8_4':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(260,687):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.06,'-3':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(260,686):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_3':0.0},(260,685):{'5_2':0.12,'4_1':0.12,'3_1':0.0,'6_1':0.0,'7_6':0.0},(260,684):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(260,683):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.03,'7_3':0.0,'8_4':0.0,'8_3':0.0},(260,682):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_4':0.0},(260,681):{'4_1':0.18,'5_2':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(260,680):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(260,679):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0,'8_2':0.0},(260,678):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(260,677):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0},(260,676):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(260,675):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0},(260,674):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(260,673):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0},(260,672):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(260,671):{'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'3_1':0.0,'5_1':0.0,'7_2':0.0},(260,670):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0},(260,669):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(260,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_3':0.0},(260,667):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(260,666):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_3':0.0},(260,665):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'5_1':0.03,'6_1':0.0},(260,664):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(260,663):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0},(260,662):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(260,661):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0},(260,660):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(260,659):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0},(260,658):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(260,657):{'3_1':0.15,'4_1':0.15,'6_2':0.0,'5_1':0.0},(260,656):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(260,655):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(260,654):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0},(260,653):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(260,652):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_2':0.0},(260,651):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_2':0.0},(260,650):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(260,649):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_2':0.03,'5_1':0.0},(260,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(260,647):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(260,646):{'3_1':0.21,'4_1':0.06,'5_1':0.0},(260,645):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(260,644):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(260,643):{'3_1':0.24,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(260,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(260,641):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'6_3':0.0},(260,640):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(260,639):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_17':0.0},(260,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(260,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(260,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(260,635):{'3_1':0.12,'6_2':0.0},(260,634):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(260,633):{'3_1':0.12,'4_1':0.06,'6_2':0.0},(260,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0},(260,631):{'3_1':0.18,'5_2':0.0,'6_2':0.0},(260,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(260,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(260,628):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(260,627):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(260,626):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(260,625):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(260,624):{'3_1':0.09,'4_1':0.0},(260,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(260,622):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(260,621):{'3_1':0.09,'4_1':0.03},(260,620):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(260,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(260,617):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(260,616):{'3_1':0.06,'4_1':0.0},(260,615):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(260,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(260,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(260,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(260,610):{'3_1':0.03,'6_1':0.0,'6_2':0.0},(260,609):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(260,608):{'3_1':0.03,'4_1':0.0},(260,607):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(260,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(260,605):{'3_1':0.09,'4_1':0.0},(260,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(260,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(260,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(260,601):{'3_1':0.03,'4_1':0.0},(260,600):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,598):{'3_1':0.03},(260,597):{'3_1':0.03,'4_1':0.0},(260,596):{'3_1':0.03},(260,595):{'3_1':0.06,'4_1':0.0},(260,594):{'3_1':0.03,'5_2':0.0},(260,593):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(260,592):{'3_1':0.06,'4_1':0.0},(260,591):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(260,590):{'3_1':0.06,'5_2':0.0},(260,589):{'3_1':0.03,'5_2':0.0},(260,588):{'3_1':0.03},(260,587):{'3_1':0.06,'5_1':0.0},(260,586):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(260,585):{'3_1':0.03,'4_1':0.0},(260,584):{'3_1':0.03},(260,583):{'3_1':0.03},(260,582):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(260,581):{'3_1':0.06,'4_1':0.0},(260,580):{'3_1':0.06,'5_1':0.0},(260,579):{'3_1':0.06,'5_1':0.0},(260,578):{'3_1':0.06},(260,577):{'3_1':0.0,'5_1':0.0},(260,576):{'3_1':0.03,'5_1':0.0},(260,575):{'3_1':0.0,'5_2':0.0},(260,574):{'3_1':0.03,'4_1':0.0},(260,573):{'3_1':0.0,'5_2':0.0},(260,572):{'3_1':0.06,'4_1':0.0},(260,571):{'3_1':0.03,'5_1':0.0},(260,570):{'3_1':0.0},(260,569):{'3_1':0.03},(260,568):{'3_1':0.03},(260,567):{'3_1':0.03},(260,566):{'3_1':0.03,'4_1':0.0},(260,565):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,564):{'3_1':0.03,'4_1':0.0},(260,563):{'3_1':0.03,'4_1':0.0},(260,562):{'3_1':0.03},(260,561):{'3_1':0.03,'5_2':0.0},(260,560):{'3_1':0.0,'5_1':0.0},(260,559):{'3_1':0.06},(260,558):{'3_1':0.03},(260,557):{'3_1':0.03},(260,556):{'3_1':0.0,'4_1':0.0},(260,555):{'3_1':0.0,'4_1':0.0},(260,554):{'3_1':0.0,'4_1':0.0},(260,553):{'3_1':0.0,'4_1':0.0},(260,552):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(260,551):{'3_1':0.0},(260,550):{'3_1':0.03},(260,549):{'3_1':0.03},(260,548):{'3_1':0.03,'4_1':0.0},(260,547):{'3_1':0.0,'5_1':0.0},(260,546):{'3_1':0.03,'4_1':0.0},(260,545):{'3_1':0.03,'8_1':0.0},(260,544):{'3_1':0.0,'5_2':0.0},(260,543):{'3_1':0.03},(260,542):{'3_1':0.03},(260,541):{'3_1':0.03,'4_1':0.0},(260,540):{'3_1':0.03,'4_1':0.0},(260,539):{'3_1':0.03},(260,538):{'3_1':0.03},(260,537):{'3_1':0.0},(260,536):{'3_1':0.0},(260,535):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(260,534):{'3_1':0.0,'6_1':0.0},(260,533):{'3_1':0.09},(260,532):{'3_1':0.06},(260,531):{'3_1':0.06,'4_1':0.0},(260,530):{'3_1':0.06},(260,529):{'3_1':0.03},(260,528):{'3_1':0.03},(260,527):{'3_1':0.06,'4_1':0.0},(260,526):{'3_1':0.03,'4_1':0.0},(260,525):{'3_1':0.0},(260,524):{'3_1':0.03,'4_1':0.0},(260,523):{'3_1':0.03},(260,522):{'3_1':0.0},(260,521):{'3_1':0.03},(260,520):{'3_1':0.03},(260,519):{'3_1':0.09},(260,518):{'3_1':0.03,'5_2':0.0},(260,517):{'3_1':0.03,'5_2':0.0},(260,516):{'3_1':0.0},(260,515):{'3_1':0.09},(260,514):{'3_1':0.0},(260,513):{'3_1':0.03,'5_1':0.0},(260,512):{'3_1':0.0},(260,511):{'3_1':0.03},(260,510):{'3_1':0.03},(260,509):{'3_1':0.0},(260,508):{'3_1':0.0,'7_2':0.0},(260,507):{'3_1':0.03},(260,506):{'3_1':0.03},(260,505):{'3_1':0.0},(260,504):{'3_1':0.03},(260,503):{'3_1':0.0},(260,502):{'3_1':0.0,'4_1':0.0},(260,501):{'4_1':0.0},(260,500):{'3_1':0.03},(260,499):{'4_1':0.0},(260,498):{'3_1':0.0},(260,497):{'3_1':0.0},(260,496):{'3_1':0.0},(260,495):{'3_1':0.03,'5_1':0.0},(260,494):{'3_1':0.0},(260,493):{'3_1':0.0},(260,491):{'3_1':0.0,'5_2':0.0},(260,490):{'3_1':0.06},(260,489):{'3_1':0.0,'4_1':0.0},(260,488):{'3_1':0.03},(260,487):{'3_1':0.0},(260,486):{'3_1':0.0},(260,485):{'3_1':0.0},(260,484):{'3_1':0.03,'5_1':0.0},(260,483):{'3_1':0.0},(260,482):{'3_1':0.0},(260,481):{'3_1':0.03},(260,480):{'3_1':0.03},(260,479):{'3_1':0.03},(260,478):{'3_1':0.03},(260,477):{'3_1':0.03,'4_1':0.0},(260,476):{'3_1':0.03},(260,475):{'3_1':0.0},(260,474):{'3_1':0.03},(260,473):{'3_1':0.03,'5_2':0.0},(260,472):{'3_1':0.06,'4_1':0.0},(260,471):{'3_1':0.0},(260,470):{'3_1':0.0},(260,469):{'3_1':0.0},(260,468):{'3_1':0.0},(260,467):{'3_1':0.03},(260,466):{'3_1':0.0},(260,465):{'3_1':0.03},(260,464):{'4_1':0.0},(260,463):{'3_1':0.0},(260,462):{'3_1':0.0},(260,461):{'3_1':0.0,'5_2':0.0},(260,460):{'3_1':0.03},(260,459):{'3_1':0.0,'4_1':0.0},(260,458):{'3_1':0.0},(260,457):{'3_1':0.03},(260,456):{'3_1':0.0},(260,455):{'3_1':0.0},(260,453):{'3_1':0.0},(260,452):{'3_1':0.0},(260,451):{'3_1':0.0},(260,450):{'3_1':0.0},(260,449):{'3_1':0.0},(260,448):{'3_1':0.0,'4_1':0.0},(260,446):{'3_1':0.0},(260,445):{'3_1':0.03},(260,444):{'3_1':0.0},(260,443):{'3_1':0.0},(260,439):{'3_1':0.0},(260,438):{'3_1':0.0},(260,437):{'3_1':0.0},(260,436):{'3_1':0.0},(260,435):{'3_1':0.0},(260,434):{'3_1':0.03},(260,432):{'3_1':0.0},(260,431):{'3_1':0.0},(260,429):{'3_1':0.0},(260,428):{'3_1':0.0},(260,427):{'3_1':0.03},(260,425):{'3_1':0.0},(260,424):{'3_1':0.0},(260,423):{'3_1':0.0},(260,421):{'3_1':0.0},(260,420):{'3_1':0.0},(260,418):{'3_1':0.0},(260,416):{'3_1':0.0,'5_1':0.0},(260,415):{'3_1':0.0},(260,412):{'3_1':0.0},(260,411):{'3_1':0.0},(260,410):{'3_1':0.0},(260,409):{'3_1':0.0,'5_1':0.0},(260,408):{'3_1':0.0},(260,406):{'3_1':0.0},(260,405):{'3_1':0.0},(260,404):{'3_1':0.0},(260,399):{'3_1':0.0},(260,398):{'3_1':0.0},(260,396):{'3_1':0.0},(260,393):{'3_1':0.0},(260,390):{'3_1':0.0},(260,386):{'3_1':0.0},(260,383):{'3_1':0.0},(260,380):{'3_1':0.0,'5_2':0.0},(260,379):{'3_1':0.0},(260,378):{'3_1':0.0},(260,377):{'3_1':0.0},(260,376):{'3_1':0.0},(260,374):{'3_1':0.0},(260,373):{'3_1':0.0},(260,371):{'3_1':0.0},(260,370):{'3_1':0.0},(260,367):{'3_1':0.0,'4_1':0.0},(260,366):{'3_1':0.0},(260,365):{'3_1':0.0},(260,364):{'3_1':0.0,'5_2':0.0},(260,363):{'3_1':0.0},(260,361):{'3_1':0.0},(260,360):{'3_1':0.0},(260,359):{'3_1':0.0},(260,358):{'3_1':0.0},(260,357):{'3_1':0.0},(260,356):{'3_1':0.0},(260,354):{'3_1':0.0},(260,353):{'3_1':0.0},(260,351):{'3_1':0.0},(260,349):{'3_1':0.0},(260,348):{'3_1':0.0},(260,345):{'3_1':0.0},(260,341):{'3_1':0.0},(260,340):{'3_1':0.0},(260,339):{'3_1':0.0},(260,330):{'3_1':0.0},(260,326):{'3_1':0.0},(260,323):{'3_1':0.0},(260,321):{'4_1':0.0},(260,319):{'3_1':0.0},(260,316):{'3_1':0.0,'4_1':0.0},(260,315):{'3_1':0.0},(260,314):{'3_1':0.0},(260,313):{'3_1':0.0},(260,312):{'3_1':0.0},(260,309):{'3_1':0.0},(260,306):{'3_1':0.0},(260,305):{'3_1':0.0},(260,304):{'3_1':0.0},(260,303):{'3_1':0.0},(260,286):{'3_1':0.0},(260,270):{'3_1':0.0},(260,268):{'5_1':0.0},(261,727):{'6_1':0.09,'5_2':0.06,'4_1':0.03,'3_1':0.0,'8_4':0.0,'7_5':0.0,'-3':0.0},(261,726):{'4_1':0.06,'6_1':0.06,'5_2':0.03,'3_1':0.03,'7_5':0.0,'8_4':0.0,'5_1':0.0},(261,725):{'5_2':0.03,'4_1':0.03,'6_1':0.03,'3_1':0.03,'8_4':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0,'8_9':0.0,'8_14':0.0},(261,724):{'6_1':0.12,'4_1':0.06,'5_2':0.03,'8_4':0.0,'3_1':0.0},(261,723):{'5_2':0.06,'6_1':0.06,'4_1':0.03,'3_1':0.03,'7_5':0.0,'8_4':0.0},(261,722):{'3_1':0.09,'6_1':0.06,'5_2':0.03,'4_1':0.03,'7_5':0.0,'8_4':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(261,721):{'6_1':0.09,'4_1':0.09,'5_2':0.06,'3_1':0.03,'8_4':0.0},(261,720):{'4_1':0.09,'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(261,719):{'4_1':0.06,'5_2':0.03,'6_1':0.03,'3_1':0.03,'7_5':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(261,718):{'5_2':0.03,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(261,717):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.03,'8_4':0.03,'7_4':0.0,'5_1':0.0},(261,716):{'6_1':0.12,'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_2':0.0,'8_4':0.0},(261,715):{'4_1':0.06,'6_1':0.06,'5_2':0.03,'3_1':0.03,'7_6':0.0,'8_4':0.0},(261,714):{'4_1':0.06,'3_1':0.06,'6_1':0.03,'5_2':0.0,'8_4':0.0,'8_12':0.0},(261,713):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(261,712):{'6_1':0.06,'3_1':0.06,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(261,711):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_5':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(261,710):{'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_5':0.0,'-3':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'8_8':0.0},(261,709):{'3_1':0.06,'6_1':0.06,'7_5':0.06,'4_1':0.03,'-3':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'8_3':0.0,'8_4':0.0},(261,708):{'6_1':0.09,'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0},(261,707):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(261,706):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'8_3':0.0,'8_4':0.0},(261,705):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(261,704):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(261,703):{'4_1':0.09,'5_2':0.06,'6_1':0.06,'3_1':0.03,'8_4':0.0,'7_4':0.0},(261,702):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03,'7_4':0.03,'7_6':0.0,'8_4':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_3':0.0},(261,701):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0,'8_8':0.0},(261,700):{'5_2':0.12,'6_1':0.06,'4_1':0.06,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(261,699):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'8_4':0.0,'6_2':0.0,'7_4':0.0},(261,698):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'8_4':0.03},(261,697):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'7_5':0.0},(261,696):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(261,695):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'8_4':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(261,694):{'5_2':0.18,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(261,693):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'6_2':0.0,'8_2':0.0},(261,692):{'5_2':0.15,'4_1':0.03,'6_1':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0,'7_6':0.0,'8_6':0.0,'8_8':0.0},(261,691):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_6':0.0,'8_4':0.0,'7_4':0.0,'-3':0.0},(261,690):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(261,689):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(261,688):{'5_2':0.15,'6_1':0.06,'4_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(261,687):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.03,'6_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0,'-3':0.0},(261,686):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.06,'6_2':0.0,'6_3':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(261,685):{'5_2':0.06,'4_1':0.03,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0},(261,684):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_4':0.0},(261,683):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0,'6_2':0.0,'8_3':0.0},(261,682):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(261,681):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.03,'6_2':0.0,'7_4':0.0},(261,680):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0},(261,679):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0},(261,678):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(261,677):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'-3':0.0},(261,676):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_2':0.0,'6_1':0.0},(261,675):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0},(261,674):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0},(261,673):{'5_2':0.12,'4_1':0.12,'6_1':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0},(261,672):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(261,671):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(261,670):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(261,669):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(261,668):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.03,'6_3':0.0},(261,667):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(261,666):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0},(261,665):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0},(261,664):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0,'8_9':0.0},(261,663):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0},(261,662):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_2':0.0,'8_6':0.0},(261,661):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(261,660):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.03},(261,659):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(261,658):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'8_3':0.0},(261,657):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0},(261,656):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0,'8_1':0.0},(261,655):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(261,654):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(261,653):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0},(261,652):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(261,651):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(261,650):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0},(261,649):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(261,648):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_1':0.0},(261,647):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(261,646):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'9_1':0.0},(261,645):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(261,644):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(261,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(261,642):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0},(261,641):{'3_1':0.27,'4_1':0.03,'-3':0.0},(261,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'9_1':0.0},(261,639):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,638):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(261,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(261,636):{'3_1':0.15,'5_1':0.0},(261,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(261,634):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(261,633):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(261,632):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,631):{'3_1':0.09,'4_1':0.0},(261,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(261,629):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(261,628):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'6_2':0.0},(261,627):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(261,626):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(261,625):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(261,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,622):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(261,621):{'3_1':0.12,'6_2':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(261,620):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(261,619):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_19':0.0},(261,618):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,617):{'3_1':0.09,'4_1':0.0},(261,616):{'3_1':0.09,'4_1':0.03},(261,615):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(261,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(261,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(261,612):{'3_1':0.09,'4_1':0.0},(261,611):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(261,610):{'3_1':0.09,'4_1':0.03,'8_17':0.0},(261,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(261,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(261,607):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(261,606):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(261,605):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(261,604):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(261,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(261,602):{'3_1':0.0,'4_1':0.0},(261,601):{'3_1':0.03},(261,600):{'3_1':0.03,'4_1':0.0},(261,599):{'3_1':0.03,'4_1':0.0},(261,598):{'3_1':0.0,'4_1':0.0},(261,597):{'3_1':0.06,'4_1':0.0},(261,596):{'3_1':0.03,'5_1':0.0},(261,595):{'3_1':0.03,'4_1':0.0},(261,594):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(261,593):{'3_1':0.03,'6_2':0.0},(261,592):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(261,591):{'3_1':0.0},(261,590):{'3_1':0.09,'5_1':0.0},(261,589):{'3_1':0.03,'5_2':0.0},(261,588):{'3_1':0.06,'5_1':0.0},(261,587):{'3_1':0.0,'5_2':0.0},(261,586):{'3_1':0.06,'5_1':0.0},(261,585):{'3_1':0.03,'4_1':0.0},(261,584):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(261,583):{'3_1':0.03,'5_1':0.0},(261,582):{'3_1':0.0,'5_1':0.0},(261,581):{'3_1':0.0,'4_1':0.0},(261,580):{'3_1':0.09,'4_1':0.0},(261,579):{'3_1':0.03,'4_1':0.0},(261,578):{'3_1':0.03},(261,577):{'3_1':0.06},(261,576):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(261,575):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(261,574):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(261,573):{'3_1':0.06,'4_1':0.03},(261,572):{'3_1':0.0},(261,571):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(261,570):{'3_1':0.03},(261,569):{'3_1':0.0,'5_1':0.0},(261,568):{'3_1':0.0},(261,567):{'3_1':0.03},(261,566):{'3_1':0.06,'4_1':0.0},(261,565):{'3_1':0.0},(261,564):{'3_1':0.0,'5_2':0.0},(261,563):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(261,562):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(261,561):{'3_1':0.03},(261,560):{'3_1':0.03,'5_2':0.0},(261,559):{'3_1':0.03},(261,558):{'3_1':0.0,'5_2':0.0},(261,557):{'3_1':0.03},(261,556):{'3_1':0.0},(261,555):{'3_1':0.03,'5_2':0.0},(261,554):{'3_1':0.0,'4_1':0.0},(261,553):{'3_1':0.0},(261,552):{'3_1':0.0,'4_1':0.0},(261,551):{'3_1':0.0},(261,550):{'3_1':0.0,'5_2':0.0},(261,549):{'3_1':0.06},(261,548):{'3_1':0.0,'7_5':0.0},(261,547):{'3_1':0.03},(261,546):{'3_1':0.03,'4_1':0.0},(261,545):{'3_1':0.03,'5_1':0.0},(261,544):{'3_1':0.03},(261,543):{'3_1':0.0},(261,542):{'3_1':0.0},(261,541):{'3_1':0.0},(261,540):{'3_1':0.06},(261,539):{'3_1':0.0},(261,538):{'3_1':0.03,'4_1':0.0},(261,537):{'3_1':0.03},(261,536):{'3_1':0.0},(261,535):{'3_1':0.03},(261,534):{'3_1':0.03},(261,533):{'3_1':0.03},(261,532):{'3_1':0.03,'5_2':0.0},(261,531):{'3_1':0.03},(261,530):{'3_1':0.03},(261,529):{'3_1':0.03},(261,528):{'3_1':0.0,'4_1':0.0},(261,527):{'3_1':0.03},(261,526):{'3_1':0.03},(261,525):{'3_1':0.0},(261,524):{'3_1':0.0},(261,523):{'3_1':0.0},(261,522):{'3_1':0.0},(261,521):{'3_1':0.0},(261,520):{'3_1':0.03},(261,519):{'3_1':0.0},(261,518):{'3_1':0.0},(261,517):{'3_1':0.03},(261,516):{'3_1':0.03},(261,515):{'3_1':0.0,'5_1':0.0},(261,514):{'3_1':0.0},(261,513):{'3_1':0.0},(261,512):{'3_1':0.0},(261,510):{'3_1':0.03},(261,509):{'3_1':0.0},(261,508):{'3_1':0.0},(261,507):{'3_1':0.0},(261,506):{'3_1':0.0,'5_1':0.0},(261,505):{'3_1':0.0},(261,504):{'3_1':0.03},(261,503):{'3_1':0.0},(261,502):{'3_1':0.0},(261,501):{'3_1':0.0},(261,500):{'3_1':0.0},(261,499):{'3_1':0.0},(261,498):{'3_1':0.0,'4_1':0.0},(261,497):{'4_1':0.0},(261,495):{'3_1':0.0,'4_1':0.0},(261,493):{'3_1':0.0},(261,492):{'3_1':0.0},(261,491):{'3_1':0.0},(261,490):{'3_1':0.0},(261,489):{'3_1':0.0},(261,488):{'3_1':0.0},(261,487):{'3_1':0.0},(261,486):{'3_1':0.0},(261,485):{'3_1':0.03},(261,484):{'3_1':0.03},(261,483):{'3_1':0.0},(261,482):{'3_1':0.03},(261,481):{'3_1':0.0},(261,480):{'3_1':0.03},(261,479):{'3_1':0.03},(261,478):{'3_1':0.03},(261,477):{'3_1':0.03},(261,476):{'3_1':0.03},(261,475):{'3_1':0.06},(261,474):{'3_1':0.06},(261,473):{'3_1':0.0},(261,472):{'3_1':0.03},(261,471):{'3_1':0.0},(261,470):{'3_1':0.0},(261,469):{'3_1':0.0},(261,468):{'3_1':0.0},(261,467):{'3_1':0.0},(261,466):{'3_1':0.03},(261,465):{'3_1':0.0},(261,464):{'3_1':0.0},(261,463):{'3_1':0.0},(261,462):{'3_1':0.0},(261,461):{'3_1':0.0},(261,459):{'3_1':0.03},(261,457):{'4_1':0.0},(261,455):{'3_1':0.0},(261,454):{'3_1':0.0},(261,453):{'3_1':0.0},(261,446):{'3_1':0.0},(261,445):{'3_1':0.0},(261,444):{'3_1':0.0},(261,443):{'3_1':0.0},(261,442):{'3_1':0.0,'4_1':0.0},(261,441):{'3_1':0.0},(261,440):{'3_1':0.0},(261,439):{'3_1':0.0,'5_2':0.0},(261,437):{'3_1':0.0},(261,436):{'3_1':0.0,'4_1':0.0},(261,434):{'3_1':0.0},(261,433):{'3_1':0.0},(261,432):{'3_1':0.0,'4_1':0.0},(261,431):{'3_1':0.03},(261,430):{'3_1':0.0},(261,429):{'4_1':0.0},(261,427):{'3_1':0.0},(261,426):{'3_1':0.0},(261,424):{'3_1':0.0},(261,423):{'3_1':0.0},(261,421):{'3_1':0.0},(261,420):{'3_1':0.0},(261,419):{'3_1':0.0},(261,417):{'6_2':0.0},(261,416):{'3_1':0.0},(261,415):{'3_1':0.0},(261,414):{'3_1':0.0},(261,412):{'3_1':0.0},(261,411):{'3_1':0.0},(261,410):{'3_1':0.0},(261,408):{'3_1':0.0},(261,387):{'3_1':0.0},(261,386):{'3_1':0.0},(261,382):{'3_1':0.0},(261,377):{'3_1':0.0},(261,375):{'3_1':0.0},(261,374):{'3_1':0.0},(261,373):{'3_1':0.0},(261,372):{'3_1':0.0,'4_1':0.0},(261,370):{'3_1':0.0},(261,369):{'3_1':0.0},(261,366):{'3_1':0.0},(261,364):{'3_1':0.0},(261,362):{'3_1':0.0},(261,361):{'3_1':0.0},(261,360):{'5_2':0.0},(261,359):{'3_1':0.0},(261,357):{'3_1':0.0},(261,356):{'3_1':0.0},(261,354):{'3_1':0.0},(261,351):{'3_1':0.0},(261,348):{'3_1':0.0},(261,347):{'3_1':0.0},(261,334):{'3_1':0.0},(261,332):{'3_1':0.0},(261,331):{'3_1':0.0},(261,328):{'5_2':0.0},(261,282):{'3_1':0.0},(261,278):{'3_1':0.0},(262,727):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0,'5_2':0.0,'7_3':0.0,'8_6':0.0},(262,726):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_6':0.0},(262,725):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(262,724):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_6':0.0},(262,723):{'5_2':0.06,'4_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(262,722):{'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_2':0.03,'7_5':0.0,'7_6':0.0},(262,721):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(262,720):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(262,719):{'6_1':0.12,'4_1':0.09,'7_4':0.0,'5_2':0.0,'3_1':0.0,'7_5':0.0,'8_4':0.0},(262,718):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(262,717):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(262,716):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.03,'8_1':0.0,'8_4':0.0,'8_6':0.0},(262,715):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.06,'8_4':0.0},(262,714):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0},(262,713):{'4_1':0.06,'5_2':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0},(262,712):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(262,711):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_5':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(262,710):{'3_1':0.06,'4_1':0.06,'7_5':0.03,'-3':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(262,709):{'7_5':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0,'-3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0,'8_14':0.0},(262,708):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_1':0.0,'8_9':0.0,'-3':0.0},(262,707):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_6':0.0,'8_6':0.0},(262,706):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(262,705):{'5_2':0.12,'3_1':0.03,'6_1':0.03,'6_2':0.03,'4_1':0.03,'7_4':0.0,'8_1':0.0,'8_4':0.0},(262,704):{'5_2':0.06,'3_1':0.06,'6_1':0.06,'4_1':0.06,'7_4':0.03,'6_3':0.0,'7_6':0.0},(262,703):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'7_4':0.0,'8_8':0.0,'-3':0.0},(262,702):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_6':0.0,'8_3':0.0},(262,701):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0},(262,700):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0},(262,699):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(262,698):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(262,697):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0},(262,696):{'5_2':0.09,'4_1':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(262,695):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0},(262,694):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0,'8_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(262,693):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(262,692):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'6_2':0.0,'-3':0.0},(262,691):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(262,690):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(262,689):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(262,688):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_8':0.0,'8_12':0.0},(262,687):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'8_4':0.0,'6_2':0.0,'8_3':0.0},(262,686):{'5_2':0.15,'3_1':0.09,'6_1':0.09,'4_1':0.03,'7_6':0.0,'8_4':0.0},(262,685):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'8_1':0.0},(262,684):{'4_1':0.15,'5_2':0.12,'6_1':0.03,'3_1':0.03,'6_2':0.0,'8_4':0.0},(262,683):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_19':0.0},(262,682):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.03,'7_4':0.0},(262,681):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(262,680):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'8_19':0.0},(262,679):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(262,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(262,677):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0},(262,676):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'6_2':0.0,'3_1':0.0,'7_3':0.0},(262,675):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(262,674):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'9_1':0.0},(262,673):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_1':0.0,'8_3':0.0},(262,672):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_3':0.0},(262,671):{'4_1':0.18,'5_2':0.09,'6_1':0.0,'3_1':0.0,'6_2':0.0,'8_1':0.0},(262,670):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0},(262,669):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(262,668):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0},(262,667):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(262,666):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_3':0.0,'6_1':0.0},(262,665):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0},(262,664):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(262,663):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0,'8_6':0.0},(262,662):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(262,661):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_1':0.0},(262,660):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_1':0.0,'8_4':0.0},(262,659):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(262,658):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(262,657):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(262,656):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(262,655):{'4_1':0.18,'3_1':0.09,'6_2':0.0,'5_2':0.0},(262,654):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(262,653):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(262,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(262,651):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(262,650):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(262,649):{'3_1':0.24,'4_1':0.06,'5_1':0.0},(262,648):{'3_1':0.12,'4_1':0.03,'8_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(262,647):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(262,646):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(262,645):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(262,644):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(262,643):{'3_1':0.24,'4_1':0.06,'5_1':0.0},(262,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(262,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(262,640):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0},(262,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(262,638):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(262,637):{'3_1':0.12,'5_1':0.03,'7_3':0.0,'7_6':0.0},(262,636):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(262,635):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(262,634):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(262,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(262,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(262,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(262,630):{'3_1':0.09,'4_1':0.0},(262,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(262,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(262,627):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(262,626):{'3_1':0.09,'6_2':0.0,'5_1':0.0,'4_1':0.0},(262,625):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0},(262,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(262,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(262,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(262,621):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(262,620):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(262,619):{'3_1':0.09,'8_1':0.0},(262,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(262,617):{'3_1':0.09,'5_1':0.0,'8_3':0.0},(262,616):{'3_1':0.06,'4_1':0.0},(262,615):{'3_1':0.06,'4_1':0.0},(262,614):{'3_1':0.06,'4_1':0.0},(262,613):{'3_1':0.06,'4_1':0.0},(262,612):{'3_1':0.03,'5_1':0.0},(262,611):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(262,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(262,609):{'3_1':0.03,'4_1':0.0},(262,608):{'3_1':0.09,'4_1':0.0},(262,607):{'4_1':0.03,'3_1':0.0},(262,606):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(262,605):{'3_1':0.06},(262,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(262,603):{'3_1':0.03,'5_1':0.0},(262,602):{'3_1':0.03},(262,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(262,600):{'3_1':0.03,'4_1':0.0},(262,599):{'3_1':0.0,'4_1':0.0},(262,598):{'3_1':0.03,'4_1':0.0},(262,597):{'3_1':0.03},(262,596):{'3_1':0.03,'4_1':0.0},(262,595):{'3_1':0.0,'4_1':0.0},(262,594):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(262,593):{'3_1':0.03},(262,592):{'3_1':0.03},(262,591):{'3_1':0.0},(262,590):{'3_1':0.06,'5_2':0.0},(262,589):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(262,588):{'3_1':0.03,'4_1':0.0},(262,587):{'3_1':0.0,'4_1':0.0},(262,586):{'3_1':0.0},(262,585):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(262,584):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(262,583):{'3_1':0.03},(262,582):{'3_1':0.0},(262,581):{'3_1':0.03,'5_1':0.0},(262,580):{'3_1':0.03},(262,579):{'3_1':0.03,'6_3':0.0},(262,578):{'3_1':0.03,'5_1':0.0},(262,577):{'3_1':0.06,'4_1':0.0},(262,576):{'3_1':0.03},(262,575):{'3_1':0.06},(262,574):{'3_1':0.03},(262,573):{'3_1':0.0,'4_1':0.0},(262,572):{'3_1':0.0,'4_1':0.0},(262,571):{'3_1':0.03,'4_1':0.0},(262,570):{'3_1':0.06,'5_2':0.0},(262,569):{'3_1':0.03},(262,568):{'3_1':0.0,'4_1':0.0},(262,567):{'3_1':0.03,'4_1':0.0},(262,566):{'3_1':0.03,'7_5':0.0},(262,565):{'3_1':0.0},(262,564):{'3_1':0.0},(262,563):{'3_1':0.03},(262,562):{'3_1':0.03},(262,561):{'3_1':0.03,'4_1':0.0},(262,560):{'3_1':0.0},(262,559):{'3_1':0.03},(262,558):{'3_1':0.03,'4_1':0.0},(262,557):{'3_1':0.03},(262,556):{'3_1':0.03},(262,555):{'3_1':0.0},(262,554):{'3_1':0.03},(262,553):{'3_1':0.0},(262,552):{'3_1':0.0,'4_1':0.0},(262,551):{'3_1':0.03},(262,550):{'3_1':0.06,'4_1':0.0},(262,549):{'3_1':0.0},(262,548):{'3_1':0.03},(262,547):{'3_1':0.0,'5_1':0.0},(262,546):{'3_1':0.0},(262,545):{'3_1':0.03,'4_1':0.0},(262,544):{'3_1':0.03},(262,543):{'3_1':0.0,'4_1':0.0},(262,542):{'3_1':0.06},(262,541):{'3_1':0.03},(262,540):{'3_1':0.03},(262,539):{'3_1':0.03},(262,538):{'3_1':0.03},(262,537):{'5_1':0.0},(262,536):{'3_1':0.0},(262,535):{'3_1':0.0},(262,534):{'3_1':0.0},(262,533):{'3_1':0.0},(262,532):{'3_1':0.0},(262,531):{'3_1':0.03,'6_2':0.0},(262,530):{'3_1':0.03},(262,529):{'3_1':0.0},(262,528):{'3_1':0.03,'5_1':0.0},(262,527):{'3_1':0.03},(262,526):{'3_1':0.03,'4_1':0.0},(262,525):{'3_1':0.0},(262,524):{'3_1':0.0,'4_1':0.0},(262,523):{'3_1':0.0},(262,522):{'3_1':0.0},(262,521):{'3_1':0.0,'4_1':0.0},(262,520):{'3_1':0.0},(262,519):{'3_1':0.03},(262,518):{'3_1':0.0},(262,517):{'3_1':0.06},(262,516):{'3_1':0.03},(262,515):{'3_1':0.0},(262,514):{'3_1':0.0},(262,513):{'3_1':0.0},(262,512):{'3_1':0.0},(262,511):{'3_1':0.0},(262,510):{'3_1':0.0},(262,509):{'3_1':0.0},(262,508):{'3_1':0.0},(262,507):{'4_1':0.0},(262,506):{'3_1':0.0},(262,505):{'3_1':0.0},(262,504):{'3_1':0.0},(262,503):{'3_1':0.0},(262,502):{'3_1':0.0},(262,501):{'3_1':0.0},(262,500):{'3_1':0.0},(262,499):{'3_1':0.0},(262,498):{'3_1':0.0},(262,497):{'3_1':0.0,'4_1':0.0},(262,496):{'3_1':0.0},(262,495):{'3_1':0.0},(262,494):{'3_1':0.0},(262,493):{'3_1':0.0,'5_2':0.0},(262,492):{'3_1':0.0},(262,491):{'3_1':0.0},(262,490):{'3_1':0.0,'5_2':0.0},(262,489):{'3_1':0.03},(262,488):{'3_1':0.0},(262,485):{'3_1':0.0},(262,484):{'3_1':0.0},(262,483):{'3_1':0.06},(262,482):{'3_1':0.0},(262,481):{'3_1':0.03,'4_1':0.0},(262,480):{'3_1':0.03,'4_1':0.0},(262,479):{'3_1':0.03},(262,478):{'3_1':0.03},(262,477):{'3_1':0.03,'4_1':0.0},(262,476):{'3_1':0.03},(262,475):{'3_1':0.0,'4_1':0.0},(262,474):{'3_1':0.03},(262,473):{'3_1':0.0},(262,472):{'3_1':0.0},(262,471):{'3_1':0.0},(262,470):{'3_1':0.0},(262,469):{'3_1':0.0},(262,468):{'3_1':0.03},(262,467):{'3_1':0.03},(262,466):{'3_1':0.0},(262,465):{'3_1':0.0},(262,463):{'3_1':0.0,'4_1':0.0},(262,462):{'3_1':0.0},(262,461):{'3_1':0.0,'5_2':0.0},(262,460):{'3_1':0.0},(262,459):{'3_1':0.0},(262,458):{'3_1':0.0},(262,457):{'3_1':0.0},(262,455):{'3_1':0.0},(262,454):{'3_1':0.0},(262,453):{'3_1':0.0},(262,451):{'3_1':0.0},(262,450):{'3_1':0.0},(262,449):{'3_1':0.0},(262,448):{'3_1':0.0},(262,446):{'3_1':0.0},(262,445):{'3_1':0.0},(262,443):{'3_1':0.0},(262,441):{'3_1':0.0},(262,440):{'3_1':0.0},(262,437):{'3_1':0.0,'5_2':0.0},(262,436):{'3_1':0.0},(262,435):{'3_1':0.0},(262,434):{'3_1':0.0},(262,432):{'3_1':0.0},(262,431):{'3_1':0.0},(262,430):{'3_1':0.0},(262,429):{'3_1':0.0},(262,428):{'3_1':0.0},(262,427):{'3_1':0.0},(262,426):{'3_1':0.0},(262,424):{'3_1':0.0,'5_2':0.0},(262,422):{'3_1':0.0},(262,421):{'3_1':0.03},(262,420):{'3_1':0.0},(262,419):{'3_1':0.0},(262,418):{'3_1':0.0},(262,416):{'3_1':0.0},(262,415):{'3_1':0.0},(262,414):{'7_1':0.0},(262,413):{'7_1':0.0,'9_1':0.0},(262,412):{'3_1':0.0},(262,409):{'3_1':0.0},(262,407):{'3_1':0.0},(262,404):{'3_1':0.0},(262,403):{'3_1':0.0},(262,386):{'3_1':0.0},(262,383):{'3_1':0.0},(262,382):{'3_1':0.0},(262,374):{'3_1':0.0},(262,372):{'3_1':0.0},(262,371):{'3_1':0.0},(262,370):{'3_1':0.0},(262,368):{'3_1':0.0},(262,366):{'3_1':0.0},(262,365):{'3_1':0.0},(262,364):{'3_1':0.0},(262,361):{'3_1':0.0},(262,360):{'3_1':0.0},(262,358):{'3_1':0.0},(262,351):{'3_1':0.0},(262,348):{'4_1':0.0},(262,347):{'3_1':0.0},(262,342):{'3_1':0.0,'4_1':0.0},(262,331):{'3_1':0.0},(262,330):{'3_1':0.0},(262,324):{'3_1':0.0},(262,323):{'3_1':0.0},(262,321):{'3_1':0.0},(262,320):{'3_1':0.0},(262,319):{'3_1':0.0},(262,318):{'4_1':0.0},(262,316):{'3_1':0.0},(262,313):{'3_1':0.0},(262,310):{'3_1':0.0},(262,307):{'3_1':0.0},(262,306):{'3_1':0.0},(262,305):{'3_1':0.0},(262,304):{'3_1':0.0},(262,302):{'3_1':0.0},(262,301):{'3_1':0.0},(262,300):{'3_1':0.0},(262,299):{'3_1':0.0},(262,278):{'3_1':0.0,'5_2':0.0},(263,727):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(263,726):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(263,725):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_5':0.0},(263,724):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_2':0.0,'8_4':0.0},(263,723):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.03,'7_3':0.0,'8_3':0.0,'8_4':0.0,'8_6':0.0,'3_1#5_2':0.0},(263,722):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(263,721):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(263,720):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.03,'8_4':0.0,'6_3':0.0},(263,719):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(263,718):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(263,717):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_12':0.0},(263,716):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(263,715):{'4_1':0.06,'6_1':0.06,'5_2':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(263,714):{'4_1':0.12,'6_1':0.03,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(263,713):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(263,712):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_5':0.0,'-3':0.0},(263,711):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_5':0.0,'6_1':0.0,'8_4':0.0,'8_12':0.0,'8_14':0.0,'-3':0.0},(263,710):{'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_5':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'8_6':0.0},(263,709):{'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_5':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(263,708):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0,'8_6':0.0},(263,707):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'7_6':0.0,'-3':0.0},(263,706):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'8_11':0.0},(263,705):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(263,704):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_6':0.0},(263,703):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_8':0.0},(263,702):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(263,701):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(263,700):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'6_2':0.0},(263,699):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0},(263,698):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_6':0.0,'7_3':0.0,'7_4':0.0},(263,697):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_3':0.0,'3_1#5_2':0.0},(263,696):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(263,695):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_6':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(263,694):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_6':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(263,693):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(263,692):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'8_8':0.0,'3_1#5_2':0.0},(263,691):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_6':0.0},(263,690):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'6_2':0.0},(263,689):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'3_1':0.03,'6_2':0.0},(263,688):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'8_1':0.0,'6_2':0.0,'8_6':0.0},(263,687):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(263,686):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(263,685):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_6':0.0},(263,684):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0,'8_11':0.0},(263,683):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(263,682):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0},(263,681):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0},(263,680):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'3_1':0.03,'7_3':0.0,'5_1':0.0},(263,679):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_6':0.0},(263,678):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'3_1#5_2':0.0},(263,677):{'5_2':0.12,'4_1':0.12,'3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(263,676):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(263,675):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0},(263,674):{'4_1':0.21,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(263,673):{'4_1':0.18,'5_2':0.09,'7_3':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0},(263,672):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(263,671):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0},(263,670):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(263,669):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0},(263,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_1':0.0},(263,667):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_3':0.0},(263,666):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0,'7_2':0.0},(263,665):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(263,664):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0},(263,663):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(263,662):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0},(263,661):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(263,660):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(263,659):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_13':0.0},(263,658):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(263,657):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0},(263,656):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(263,655):{'4_1':0.09,'3_1':0.06,'7_5':0.0,'8_2':0.0},(263,654):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(263,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(263,652):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(263,651):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(263,650):{'3_1':0.24,'4_1':0.06,'5_2':0.0},(263,649):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(263,648):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_3':0.0,'8_1':0.0},(263,647):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(263,646):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(263,645):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(263,644):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(263,643):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(263,642):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(263,641):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(263,640):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'8_9':0.0},(263,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(263,638):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(263,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(263,636):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(263,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(263,634):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(263,633):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(263,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_1':0.0},(263,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(263,630):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(263,629):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(263,628):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(263,627):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(263,626):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(263,625):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(263,624):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(263,623):{'3_1':0.09,'5_1':0.0},(263,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_1':0.0},(263,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(263,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(263,619):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0},(263,618):{'3_1':0.15,'4_1':0.0},(263,617):{'3_1':0.12,'4_1':0.06},(263,616):{'3_1':0.09,'4_1':0.0},(263,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(263,614):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(263,613):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'6_1':0.0},(263,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(263,611):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(263,610):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(263,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(263,608):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(263,607):{'3_1':0.09,'4_1':0.0},(263,606):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(263,605):{'3_1':0.0,'4_1':0.0},(263,604):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(263,603):{'3_1':0.03,'4_1':0.0},(263,602):{'3_1':0.0},(263,601):{'3_1':0.03},(263,600):{'3_1':0.03,'5_2':0.0},(263,599):{'3_1':0.06,'4_1':0.0},(263,598):{'3_1':0.06,'4_1':0.0},(263,597):{'3_1':0.03,'5_2':0.0},(263,596):{'3_1':0.06},(263,595):{'3_1':0.0,'6_3':0.0},(263,594):{'3_1':0.0,'4_1':0.0},(263,593):{'3_1':0.03,'4_1':0.0},(263,592):{'3_1':0.03},(263,591):{'3_1':0.03},(263,590):{'3_1':0.03,'4_1':0.0},(263,589):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(263,588):{'3_1':0.03,'5_1':0.0,'7_4':0.0},(263,587):{'3_1':0.03,'5_2':0.0},(263,586):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(263,585):{'3_1':0.03},(263,584):{'3_1':0.06,'4_1':0.0},(263,583):{'3_1':0.03},(263,582):{'3_1':0.03,'4_1':0.0},(263,581):{'3_1':0.0},(263,580):{'3_1':0.03},(263,579):{'3_1':0.06,'4_1':0.0},(263,578):{'3_1':0.06},(263,577):{'3_1':0.03},(263,576):{'3_1':0.0},(263,575):{'3_1':0.03},(263,574):{'3_1':0.03,'6_3':0.0},(263,573):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(263,572):{'3_1':0.0},(263,571):{'3_1':0.0},(263,570):{'3_1':0.06},(263,569):{'3_1':0.0},(263,568):{'3_1':0.06},(263,567):{'3_1':0.03},(263,566):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(263,565):{'3_1':0.0,'4_1':0.0},(263,564):{'3_1':0.03,'7_5':0.0},(263,563):{'3_1':0.0,'5_2':0.0},(263,562):{'3_1':0.0,'4_1':0.0},(263,561):{'3_1':0.0,'5_1':0.0},(263,560):{'3_1':0.0},(263,559):{'3_1':0.0},(263,558):{'3_1':0.03},(263,557):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(263,556):{'3_1':0.0,'4_1':0.0},(263,555):{'3_1':0.0},(263,554):{'3_1':0.0},(263,553):{'3_1':0.03,'4_1':0.0},(263,552):{'3_1':0.03,'4_1':0.0},(263,551):{'3_1':0.03,'4_1':0.0},(263,550):{'3_1':0.03},(263,549):{'3_1':0.03},(263,548):{'3_1':0.03},(263,547):{'3_1':0.03,'4_1':0.0},(263,546):{'3_1':0.03,'4_1':0.0},(263,545):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(263,544):{'3_1':0.0},(263,543):{'3_1':0.03},(263,542):{'3_1':0.03},(263,541):{'3_1':0.03},(263,540):{'3_1':0.03},(263,539):{'3_1':0.03},(263,538):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(263,537):{'3_1':0.0,'-3':0.0},(263,536):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(263,535):{'3_1':0.03},(263,534):{'3_1':0.0},(263,533):{'3_1':0.06},(263,532):{'3_1':0.03,'4_1':0.0},(263,531):{'3_1':0.03},(263,530):{'3_1':0.0,'5_2':0.0},(263,529):{'3_1':0.0},(263,528):{'3_1':0.03},(263,527):{'3_1':0.0},(263,526):{'3_1':0.03},(263,525):{'3_1':0.03},(263,524):{'3_1':0.03},(263,523):{'3_1':0.03,'8_20|3_1#3_1':0.0},(263,522):{'3_1':0.03,'4_1':0.0},(263,521):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(263,520):{'3_1':0.03,'4_1':0.0},(263,519):{'3_1':0.03,'8_7':0.0},(263,518):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(263,517):{'3_1':0.0,'5_2':0.0},(263,516):{'3_1':0.0},(263,515):{'3_1':0.03},(263,514):{'3_1':0.0},(263,513):{'5_1':0.0},(263,512):{'3_1':0.0},(263,511):{'3_1':0.0,'4_1':0.0},(263,510):{'3_1':0.06,'4_1':0.0},(263,509):{'3_1':0.0},(263,508):{'3_1':0.0,'5_2':0.0},(263,507):{'3_1':0.03},(263,506):{'3_1':0.0},(263,505):{'3_1':0.0,'4_1':0.0},(263,504):{'3_1':0.06},(263,503):{'3_1':0.0},(263,502):{'3_1':0.0},(263,501):{'3_1':0.0},(263,500):{'3_1':0.03},(263,499):{'3_1':0.03,'4_1':0.0},(263,498):{'3_1':0.0,'4_1':0.0},(263,497):{'3_1':0.0},(263,496):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(263,495):{'3_1':0.03,'5_1':0.0},(263,493):{'3_1':0.0},(263,491):{'3_1':0.0},(263,490):{'3_1':0.0},(263,489):{'3_1':0.0},(263,488):{'3_1':0.03,'4_1':0.0},(263,487):{'3_1':0.0},(263,486):{'3_1':0.03},(263,485):{'3_1':0.03},(263,484):{'3_1':0.0},(263,483):{'3_1':0.03,'4_1':0.0},(263,482):{'3_1':0.0,'4_1':0.0},(263,481):{'3_1':0.03},(263,480):{'3_1':0.06},(263,479):{'3_1':0.03},(263,478):{'3_1':0.0},(263,477):{'3_1':0.0},(263,476):{'3_1':0.06},(263,475):{'3_1':0.06,'4_1':0.0},(263,474):{'3_1':0.03},(263,473):{'3_1':0.0},(263,472):{'3_1':0.0},(263,471):{'3_1':0.0},(263,470):{'3_1':0.03},(263,469):{'3_1':0.0},(263,468):{'3_1':0.0},(263,467):{'3_1':0.0},(263,466):{'3_1':0.0},(263,465):{'3_1':0.0},(263,464):{'3_1':0.03},(263,463):{'3_1':0.0},(263,462):{'3_1':0.0},(263,461):{'3_1':0.0,'4_1':0.0},(263,460):{'3_1':0.0},(263,458):{'3_1':0.0},(263,457):{'3_1':0.0},(263,456):{'3_1':0.0},(263,455):{'3_1':0.0},(263,453):{'3_1':0.0,'4_1':0.0},(263,450):{'3_1':0.0},(263,449):{'3_1':0.0},(263,448):{'3_1':0.03},(263,446):{'3_1':0.0},(263,445):{'3_1':0.03},(263,444):{'3_1':0.03},(263,442):{'3_1':0.0},(263,440):{'3_1':0.0},(263,439):{'3_1':0.0,'4_1':0.0},(263,438):{'3_1':0.0},(263,437):{'3_1':0.0},(263,436):{'3_1':0.0},(263,435):{'3_1':0.0},(263,434):{'3_1':0.0},(263,433):{'3_1':0.0},(263,432):{'3_1':0.0},(263,431):{'3_1':0.0},(263,430):{'3_1':0.0},(263,423):{'3_1':0.0},(263,419):{'3_1':0.0},(263,418):{'3_1':0.0},(263,417):{'3_1':0.0},(263,413):{'3_1':0.0},(263,411):{'-3':0.0},(263,409):{'3_1':0.0},(263,408):{'3_1':0.0},(263,407):{'3_1':0.0},(263,406):{'3_1':0.0},(263,403):{'3_1':0.0},(263,391):{'3_1':0.0},(263,388):{'3_1':0.0},(263,386):{'3_1':0.0},(263,383):{'3_1':0.0},(263,381):{'5_2':0.0},(263,380):{'3_1':0.0},(263,379):{'3_1':0.0},(263,377):{'3_1':0.0},(263,376):{'3_1':0.0},(263,375):{'5_2':0.0},(263,370):{'3_1':0.0},(263,366):{'3_1':0.0},(263,364):{'3_1':0.0},(263,357):{'4_1':0.0},(263,356):{'3_1':0.0},(263,351):{'3_1':0.0},(263,346):{'3_1':0.0},(263,338):{'3_1':0.0},(263,335):{'3_1':0.0},(263,334):{'3_1':0.0},(263,327):{'3_1':0.0,'4_1':0.0},(263,325):{'3_1':0.0},(263,324):{'3_1':0.0},(263,323):{'3_1':0.0},(263,321):{'4_1':0.0},(263,315):{'3_1':0.0},(263,311):{'3_1':0.0},(263,310):{'3_1':0.0},(263,305):{'3_1':0.0,'5_1':0.0},(263,302):{'3_1':0.0},(263,279):{'5_2':0.0},(264,727):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_4':0.0,'8_6':0.0,'7_3':0.0,'7_5':0.0},(264,726):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.03,'7_5':0.0,'8_6':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(264,725):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_2':0.0,'8_8':0.0},(264,724):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(264,723):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(264,722):{'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_2':0.03,'7_5':0.0,'7_6':0.0},(264,721):{'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_2':0.0,'7_5':0.0},(264,720):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0},(264,719):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'8_4':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(264,718):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(264,717):{'4_1':0.12,'6_1':0.06,'5_2':0.03,'3_1':0.03,'8_4':0.0,'7_2':0.0,'7_3':0.0},(264,716):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_6':0.0,'8_4':0.0},(264,715):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_12':0.0},(264,714):{'4_1':0.09,'5_2':0.06,'6_1':0.03,'3_1':0.03,'8_3':0.0,'8_4':0.0,'7_3':0.0,'8_14':0.0,'-3':0.0},(264,713):{'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(264,712):{'5_2':0.06,'4_1':0.06,'6_1':0.06,'3_1':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0},(264,711):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'7_5':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0,'7_4':0.0,'7_6':0.0},(264,710):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'-3':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_6':0.0,'8_10':0.0,'8_12':0.0},(264,709):{'4_1':0.06,'3_1':0.06,'7_5':0.06,'5_2':0.0,'-3':0.0,'6_1':0.0,'8_4':0.0,'8_6':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(264,708):{'4_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.03,'3_1':0.03,'7_5':0.03,'5_1':0.0,'8_6':0.0},(264,707):{'6_1':0.09,'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0},(264,706):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'8_1':0.0},(264,705):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(264,704):{'4_1':0.09,'5_2':0.09,'6_1':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0},(264,703):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(264,702):{'5_2':0.09,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_4':0.0,'8_6':0.0},(264,701):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(264,700):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_6':0.0,'8_4':0.0},(264,699):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0},(264,698):{'5_2':0.06,'4_1':0.06,'3_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0,'8_8':0.0},(264,697):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_2':0.0,'8_4':0.0},(264,696):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(264,695):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_8':0.0,'3_1#5_2':0.0},(264,694):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.03,'8_4':0.0,'7_6':0.0,'7_2':0.0},(264,693):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_2':0.0,'8_6':0.0,'8_9':0.0},(264,692):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(264,691):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0},(264,690):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0,'8_6':0.0,'3_1#5_2':0.0},(264,689):{'5_2':0.09,'3_1':0.06,'6_1':0.03,'4_1':0.03,'5_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(264,688):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(264,687):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'7_5':0.0,'7_6':0.0,'8_1':0.0},(264,686):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(264,685):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'5_1':0.0,'-3':0.0},(264,684):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_6':0.0},(264,683):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(264,682):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(264,681):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(264,680):{'4_1':0.15,'5_2':0.15,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0},(264,679):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0},(264,678):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'6_2':0.0},(264,677):{'4_1':0.09,'5_2':0.06,'6_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(264,676):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_4':0.0},(264,675):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0,'8_1':0.0},(264,674):{'4_1':0.18,'5_2':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_3':0.0},(264,673):{'4_1':0.15,'5_2':0.06,'6_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(264,672):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0},(264,671):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(264,670):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(264,669):{'4_1':0.12,'5_2':0.09,'6_1':0.0,'5_1':0.0},(264,668):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'5_1':0.0,'8_3':0.0},(264,667):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_2':0.0,'-3':0.0},(264,666):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(264,665):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_2':0.0},(264,664):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_6':0.0,'3_1#5_2':0.0},(264,663):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_1':0.0},(264,662):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_3':0.0,'8_1':0.0},(264,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_2':0.0},(264,660):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'7_1':0.0,'-3':0.0},(264,659):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'8_6':0.0},(264,658):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(264,657):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0},(264,656):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.03},(264,655):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'8_1':0.0},(264,654):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0},(264,653):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0},(264,652):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'9_1':0.0},(264,651):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'8_1':0.0},(264,650):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(264,649):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(264,648):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'6_2':0.0},(264,647):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(264,646):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_1':0.0,'9_1':0.0},(264,645):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(264,644):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_3':0.0},(264,643):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0},(264,642):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(264,641):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(264,640):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_19':0.0},(264,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(264,638):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(264,637):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(264,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(264,635):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(264,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(264,633):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(264,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(264,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(264,630):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(264,629):{'3_1':0.06,'5_1':0.0},(264,628):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(264,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(264,626):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(264,625):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(264,624):{'3_1':0.09,'4_1':0.03},(264,623):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(264,622):{'3_1':0.12,'4_1':0.0},(264,621):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(264,620):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(264,619):{'3_1':0.06,'4_1':0.0},(264,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(264,617):{'3_1':0.09,'4_1':0.0},(264,616):{'3_1':0.06,'4_1':0.0},(264,615):{'3_1':0.06,'4_1':0.0},(264,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(264,613):{'3_1':0.06,'5_1':0.0},(264,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(264,611):{'3_1':0.09,'4_1':0.0},(264,610):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(264,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(264,608):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(264,607):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(264,606):{'3_1':0.03,'5_1':0.0},(264,605):{'3_1':0.03,'4_1':0.03},(264,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(264,603):{'3_1':0.03,'5_2':0.0},(264,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(264,601):{'3_1':0.0,'4_1':0.0},(264,600):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(264,599):{'3_1':0.06,'4_1':0.0},(264,598):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(264,597):{'3_1':0.03,'4_1':0.0},(264,596):{'3_1':0.03,'4_1':0.0},(264,595):{'3_1':0.03,'4_1':0.0},(264,594):{'3_1':0.03},(264,593):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,592):{'3_1':0.03},(264,591):{'3_1':0.03},(264,590):{'3_1':0.03},(264,589):{'3_1':0.03},(264,588):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(264,587):{'3_1':0.03},(264,586):{'3_1':0.03,'5_1':0.0},(264,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,584):{'3_1':0.06},(264,583):{'3_1':0.0},(264,582):{'3_1':0.06,'5_2':0.0},(264,581):{'3_1':0.03,'4_1':0.0},(264,580):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(264,579):{'3_1':0.03},(264,578):{'3_1':0.0},(264,577):{'3_1':0.03,'7_5':0.0},(264,576):{'3_1':0.06,'5_1':0.0},(264,575):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(264,574):{'3_1':0.06},(264,573):{'3_1':0.03},(264,572):{'3_1':0.0,'5_2':0.0},(264,571):{'3_1':0.03,'4_1':0.0},(264,570):{'3_1':0.03},(264,569):{'3_1':0.03},(264,568):{'3_1':0.0},(264,567):{'3_1':0.03,'5_1':0.0},(264,566):{'3_1':0.06,'4_1':0.0},(264,565):{'3_1':0.03,'5_2':0.0},(264,564):{'3_1':0.0},(264,563):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(264,562):{'3_1':0.06,'4_1':0.0},(264,561):{'3_1':0.03},(264,560):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(264,559):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(264,558):{'3_1':0.03},(264,557):{'3_1':0.03,'4_1':0.0},(264,556):{'3_1':0.0,'4_1':0.0},(264,555):{'3_1':0.03},(264,554):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(264,553):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(264,552):{'3_1':0.0,'5_2':0.0},(264,551):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(264,550):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(264,549):{'3_1':0.03},(264,548):{'3_1':0.0},(264,547):{'3_1':0.0,'4_1':0.0},(264,546):{'3_1':0.0},(264,545):{'3_1':0.03,'6_1':0.0},(264,544):{'3_1':0.03},(264,543):{'3_1':0.03,'5_1':0.0},(264,542):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(264,541):{'3_1':0.0},(264,540):{'3_1':0.0,'5_1':0.0},(264,539):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(264,538):{'3_1':0.03},(264,537):{'3_1':0.03,'6_2':0.0},(264,536):{'3_1':0.0,'4_1':0.0},(264,535):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(264,534):{'3_1':0.03,'6_2':0.0},(264,533):{'3_1':0.03,'6_1':0.0},(264,532):{'3_1':0.03},(264,531):{'3_1':0.09},(264,530):{'3_1':0.0},(264,529):{'3_1':0.03},(264,528):{'3_1':0.0},(264,527):{'3_1':0.0,'4_1':0.0},(264,526):{'3_1':0.03,'4_1':0.0},(264,525):{'3_1':0.0},(264,524):{'3_1':0.03},(264,523):{'3_1':0.03},(264,522):{'3_1':0.03},(264,521):{'3_1':0.03},(264,520):{'3_1':0.03},(264,519):{'3_1':0.0},(264,518):{'3_1':0.03},(264,517):{'3_1':0.03},(264,516):{'3_1':0.0},(264,515):{'3_1':0.03},(264,514):{'3_1':0.03},(264,513):{'3_1':0.03},(264,512):{'3_1':0.0},(264,511):{'3_1':0.03,'6_1':0.0},(264,510):{'3_1':0.0,'5_2':0.0},(264,509):{'3_1':0.0},(264,508):{'3_1':0.03},(264,507):{'3_1':0.0},(264,506):{'3_1':0.0},(264,505):{'3_1':0.03},(264,504):{'3_1':0.03},(264,503):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(264,502):{'3_1':0.0},(264,500):{'3_1':0.03},(264,498):{'3_1':0.0},(264,497):{'3_1':0.03},(264,496):{'3_1':0.0},(264,495):{'3_1':0.0,'4_1':0.0},(264,494):{'3_1':0.0},(264,493):{'3_1':0.0},(264,492):{'3_1':0.0},(264,491):{'3_1':0.0,'5_2':0.0},(264,489):{'3_1':0.06},(264,488):{'3_1':0.03},(264,487):{'3_1':0.0},(264,486):{'3_1':0.0,'4_1':0.0},(264,485):{'3_1':0.0},(264,484):{'3_1':0.0},(264,483):{'3_1':0.06},(264,482):{'3_1':0.03},(264,481):{'3_1':0.03},(264,480):{'3_1':0.06},(264,479):{'3_1':0.0},(264,478):{'3_1':0.06},(264,477):{'3_1':0.03},(264,476):{'3_1':0.03},(264,475):{'3_1':0.0,'5_2':0.0},(264,474):{'3_1':0.03},(264,473):{'3_1':0.0},(264,472):{'3_1':0.0},(264,471):{'3_1':0.0},(264,470):{'3_1':0.0},(264,469):{'3_1':0.0},(264,468):{'3_1':0.0},(264,467):{'3_1':0.0},(264,466):{'3_1':0.0},(264,464):{'3_1':0.0},(264,463):{'3_1':0.0},(264,462):{'3_1':0.03},(264,461):{'3_1':0.0},(264,460):{'3_1':0.0},(264,458):{'3_1':0.0},(264,457):{'3_1':0.0},(264,456):{'3_1':0.0},(264,454):{'3_1':0.0},(264,453):{'3_1':0.0},(264,452):{'3_1':0.0},(264,451):{'3_1':0.0},(264,450):{'3_1':0.0},(264,449):{'3_1':0.0},(264,448):{'3_1':0.0},(264,446):{'3_1':0.0},(264,445):{'3_1':0.0},(264,444):{'3_1':0.0},(264,442):{'3_1':0.0},(264,441):{'3_1':0.0},(264,440):{'3_1':0.0,'4_1':0.0},(264,439):{'3_1':0.0},(264,438):{'3_1':0.0},(264,437):{'3_1':0.0},(264,436):{'3_1':0.0},(264,435):{'3_1':0.0},(264,434):{'3_1':0.0},(264,433):{'3_1':0.0},(264,431):{'3_1':0.0},(264,430):{'3_1':0.0},(264,429):{'3_1':0.0},(264,428):{'3_1':0.0},(264,426):{'3_1':0.0},(264,425):{'3_1':0.0},(264,423):{'3_1':0.0},(264,419):{'3_1':0.03},(264,418):{'3_1':0.0},(264,417):{'3_1':0.0},(264,415):{'3_1':0.0},(264,414):{'3_1':0.0},(264,413):{'7_1':0.0},(264,408):{'3_1':0.0},(264,407):{'3_1':0.0},(264,406):{'3_1':0.0},(264,405):{'3_1':0.0},(264,389):{'3_1':0.0},(264,383):{'3_1':0.0},(264,382):{'3_1':0.0},(264,381):{'3_1':0.0},(264,380):{'3_1':0.0},(264,376):{'4_1':0.0},(264,374):{'3_1':0.0},(264,373):{'3_1':0.0},(264,371):{'3_1':0.0},(264,370):{'3_1':0.0},(264,369):{'3_1':0.0},(264,368):{'3_1':0.0},(264,366):{'3_1':0.0},(264,364):{'3_1':0.0},(264,362):{'3_1':0.0},(264,360):{'3_1':0.03},(264,359):{'3_1':0.0},(264,358):{'4_1':0.0},(264,357):{'3_1':0.0},(264,351):{'3_1':0.0},(264,342):{'3_1':0.0},(264,340):{'3_1':0.0},(264,338):{'3_1':0.0},(264,328):{'3_1':0.0},(264,324):{'4_1':0.0},(264,311):{'3_1':0.0},(264,307):{'3_1':0.0},(264,303):{'3_1':0.0},(264,301):{'3_1':0.0},(264,300):{'3_1':0.0},(264,284):{'3_1':0.0},(265,727):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_5':0.0,'5_1':0.0},(265,726):{'4_1':0.09,'6_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(265,725):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(265,724):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_5':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0},(265,723):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_5':0.0},(265,722):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_6':0.0},(265,721):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.06,'6_2':0.0,'8_4':0.0,'7_2':0.0,'7_3':0.0},(265,720):{'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_2':0.03,'6_2':0.03,'7_4':0.0,'7_6':0.0},(265,719):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(265,718):{'4_1':0.09,'3_1':0.06,'6_1':0.06,'5_2':0.03,'6_2':0.0,'8_4':0.0,'8_12':0.0},(265,717):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.03,'8_4':0.0,'6_2':0.0,'7_4':0.0},(265,716):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_4':0.0},(265,715):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_3':0.0,'8_8':0.0},(265,714):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_1':0.03,'7_6':0.0,'7_4':0.0},(265,713):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0},(265,712):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(265,711):{'3_1':0.09,'5_2':0.06,'6_1':0.06,'4_1':0.03,'6_2':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_12':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(265,710):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_5':0.03,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_6':0.0,'8_12':0.0,'-3':0.0},(265,709):{'4_1':0.09,'7_5':0.09,'6_1':0.03,'5_2':0.03,'3_1':0.03,'-3':0.0,'7_2':0.0,'7_6':0.0,'8_3':0.0},(265,708):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(265,707):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'5_1':0.0,'8_4':0.0,'6_2':0.0},(265,706):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'8_1':0.0,'8_4':0.0},(265,705):{'5_2':0.15,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(265,704):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(265,703):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(265,702):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'8_4':0.0,'6_2':0.0},(265,701):{'5_2':0.12,'6_1':0.06,'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(265,700):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(265,699):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0},(265,698):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(265,697):{'5_2':0.24,'4_1':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(265,696):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0},(265,695):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(265,694):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0},(265,693):{'5_2':0.09,'6_1':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'8_4':0.0,'-3':0.0},(265,692):{'4_1':0.12,'5_2':0.12,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0,'-3':0.0},(265,691):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(265,690):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_1':0.0,'8_4':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(265,689):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'8_3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_2':0.0},(265,688):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_5':0.0},(265,687):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(265,686):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_6':0.0},(265,685):{'5_2':0.21,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(265,684):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.03,'8_4':0.0},(265,683):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0},(265,682):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0},(265,681):{'5_2':0.21,'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_4':0.0},(265,680):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_1':0.0},(265,679):{'5_2':0.15,'4_1':0.09,'3_1':0.03},(265,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03},(265,677):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0},(265,676):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0},(265,675):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(265,674):{'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(265,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0},(265,672):{'4_1':0.18,'5_2':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0},(265,671):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(265,670):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0},(265,669):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0},(265,668):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(265,667):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(265,666):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0},(265,665):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(265,664):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0},(265,663):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_6':0.0},(265,662):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0},(265,661):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(265,660):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(265,659):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(265,658):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(265,657):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(265,656):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(265,655):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(265,654):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(265,653):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(265,652):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(265,651):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0},(265,650):{'3_1':0.3,'4_1':0.06,'5_2':0.0,'6_2':0.0},(265,649):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(265,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(265,647):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0},(265,646):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(265,645):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(265,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(265,643):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(265,642):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(265,641):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(265,640):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(265,639):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0},(265,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(265,637):{'3_1':0.15,'5_2':0.0,'7_5':0.0},(265,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0},(265,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(265,634):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(265,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(265,632):{'3_1':0.12},(265,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(265,630):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(265,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(265,628):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(265,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(265,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(265,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(265,624):{'3_1':0.12,'4_1':0.0},(265,623):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(265,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(265,621):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(265,620):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(265,619):{'3_1':0.06,'6_1':0.0,'7_3':0.0},(265,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(265,617):{'3_1':0.09,'4_1':0.0},(265,616):{'3_1':0.09,'4_1':0.0},(265,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(265,614):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(265,613):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(265,612):{'3_1':0.09,'6_2':0.0},(265,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(265,610):{'3_1':0.09},(265,609):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(265,608):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(265,607):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(265,606):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(265,605):{'3_1':0.06,'4_1':0.0},(265,604):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(265,603):{'3_1':0.06},(265,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(265,601):{'3_1':0.03,'5_1':0.0},(265,600):{'3_1':0.03,'5_2':0.0},(265,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(265,598):{'3_1':0.03,'4_1':0.0},(265,597):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(265,596):{'3_1':0.03,'4_1':0.0},(265,595):{'3_1':0.0},(265,594):{'3_1':0.0,'5_1':0.0},(265,593):{'3_1':0.06,'4_1':0.0},(265,592):{'3_1':0.09,'5_2':0.0},(265,591):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(265,590):{'3_1':0.03,'5_2':0.0},(265,589):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(265,588):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(265,587):{'3_1':0.03,'5_2':0.0},(265,586):{'3_1':0.0},(265,585):{'3_1':0.03,'5_1':0.0},(265,584):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(265,583):{'3_1':0.0,'5_1':0.0},(265,582):{'3_1':0.06},(265,581):{'3_1':0.03,'4_1':0.0},(265,580):{'3_1':0.03},(265,579):{'3_1':0.03,'4_1':0.0},(265,578):{'3_1':0.06},(265,577):{'3_1':0.03},(265,576):{'3_1':0.03,'5_1':0.0},(265,575):{'3_1':0.03,'4_1':0.0},(265,574):{'3_1':0.03,'5_2':0.0},(265,573):{'3_1':0.06,'4_1':0.0},(265,572):{'3_1':0.03},(265,571):{'3_1':0.03,'5_1':0.0},(265,570):{'3_1':0.03},(265,569):{'3_1':0.03},(265,568):{'3_1':0.03,'4_1':0.0},(265,567):{'3_1':0.03,'5_2':0.0},(265,566):{'3_1':0.06},(265,565):{'3_1':0.09,'5_1':0.0},(265,564):{'3_1':0.03,'5_2':0.0},(265,563):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(265,562):{'3_1':0.0},(265,561):{'3_1':0.0},(265,560):{'3_1':0.03,'5_1':0.0},(265,559):{'3_1':0.03},(265,558):{'3_1':0.0},(265,557):{'3_1':0.03},(265,556):{'3_1':0.03,'7_5':0.0},(265,555):{'3_1':0.0,'5_2':0.0},(265,554):{'3_1':0.0,'6_1':0.0},(265,553):{'3_1':0.0},(265,552):{'3_1':0.03},(265,551):{'3_1':0.03,'4_1':0.0},(265,550):{'3_1':0.0},(265,549):{'3_1':0.0,'4_1':0.0},(265,548):{'3_1':0.03},(265,547):{'3_1':0.06,'5_1':0.0},(265,546):{'3_1':0.0},(265,545):{'3_1':0.0},(265,544):{'3_1':0.06,'4_1':0.0},(265,543):{'3_1':0.03,'4_1':0.0},(265,542):{'3_1':0.0},(265,541):{'3_1':0.03},(265,540):{'3_1':0.0},(265,539):{'3_1':0.03},(265,538):{'3_1':0.0,'4_1':0.0},(265,537):{'3_1':0.06},(265,536):{'3_1':0.0,'6_1':0.0},(265,535):{'3_1':0.03},(265,534):{'3_1':0.03},(265,533):{'3_1':0.06,'4_1':0.0},(265,532):{'3_1':0.03},(265,531):{'3_1':0.03,'6_1':0.0},(265,530):{'3_1':0.06},(265,529):{'3_1':0.03,'5_1':0.0},(265,528):{'3_1':0.03},(265,526):{'3_1':0.0},(265,525):{'3_1':0.0,'5_1':0.0},(265,524):{'3_1':0.0},(265,523):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(265,522):{'3_1':0.0,'4_1':0.0},(265,521):{'3_1':0.0},(265,520):{'3_1':0.03},(265,519):{'3_1':0.0},(265,518):{'3_1':0.0},(265,517):{'3_1':0.0,'5_2':0.0},(265,516):{'3_1':0.0,'6_1':0.0},(265,515):{'3_1':0.0},(265,514):{'3_1':0.0},(265,513):{'3_1':0.0,'7_2':0.0},(265,512):{'3_1':0.03},(265,510):{'3_1':0.0},(265,509):{'3_1':0.0},(265,508):{'3_1':0.0},(265,507):{'3_1':0.0},(265,506):{'5_1':0.0},(265,505):{'3_1':0.0,'4_1':0.0},(265,504):{'3_1':0.0,'4_1':0.0},(265,500):{'3_1':0.0},(265,499):{'3_1':0.0},(265,498):{'3_1':0.0},(265,496):{'3_1':0.03},(265,495):{'3_1':0.0},(265,494):{'3_1':0.0,'4_1':0.0},(265,493):{'3_1':0.03,'4_1':0.0},(265,492):{'3_1':0.0,'4_1':0.0},(265,491):{'3_1':0.03},(265,490):{'3_1':0.0},(265,489):{'3_1':0.0},(265,488):{'3_1':0.0},(265,487):{'3_1':0.0},(265,486):{'3_1':0.03},(265,485):{'3_1':0.06},(265,484):{'3_1':0.03},(265,483):{'3_1':0.03},(265,481):{'3_1':0.03},(265,480):{'3_1':0.03},(265,479):{'3_1':0.0,'4_1':0.0},(265,478):{'3_1':0.06},(265,477):{'3_1':0.03},(265,476):{'3_1':0.03},(265,475):{'3_1':0.0,'4_1':0.0},(265,474):{'3_1':0.03},(265,473):{'3_1':0.03},(265,472):{'3_1':0.0},(265,470):{'3_1':0.0},(265,469):{'3_1':0.0},(265,468):{'3_1':0.0},(265,467):{'3_1':0.0},(265,466):{'3_1':0.0},(265,465):{'3_1':0.0,'4_1':0.0},(265,464):{'3_1':0.0},(265,463):{'3_1':0.0},(265,462):{'3_1':0.0},(265,461):{'3_1':0.0},(265,460):{'3_1':0.0},(265,459):{'3_1':0.0},(265,457):{'3_1':0.0},(265,456):{'4_1':0.0},(265,455):{'3_1':0.0},(265,453):{'3_1':0.0},(265,452):{'3_1':0.0},(265,451):{'3_1':0.0},(265,450):{'3_1':0.0},(265,449):{'3_1':0.0},(265,448):{'3_1':0.0},(265,445):{'3_1':0.0},(265,443):{'3_1':0.0},(265,442):{'3_1':0.0},(265,441):{'3_1':0.0},(265,440):{'3_1':0.0},(265,439):{'3_1':0.0},(265,438):{'3_1':0.0},(265,437):{'3_1':0.0},(265,436):{'3_1':0.0},(265,434):{'3_1':0.03},(265,433):{'3_1':0.0},(265,432):{'3_1':0.0},(265,431):{'3_1':0.0},(265,429):{'3_1':0.0},(265,428):{'3_1':0.0},(265,427):{'3_1':0.0},(265,424):{'3_1':0.0},(265,420):{'3_1':0.0},(265,419):{'5_1':0.0},(265,418):{'3_1':0.0},(265,417):{'3_1':0.0},(265,416):{'3_1':0.0},(265,415):{'3_1':0.0},(265,413):{'3_1':0.0},(265,412):{'5_1':0.0},(265,410):{'3_1':0.0},(265,409):{'3_1':0.0},(265,408):{'3_1':0.0},(265,407):{'3_1':0.03},(265,406):{'3_1':0.0},(265,405):{'3_1':0.0},(265,403):{'5_1':0.0},(265,386):{'3_1':0.0},(265,383):{'3_1':0.0},(265,382):{'3_1':0.0},(265,380):{'3_1':0.0},(265,379):{'3_1':0.0},(265,377):{'3_1':0.0},(265,376):{'3_1':0.0},(265,375):{'3_1':0.0},(265,374):{'3_1':0.0},(265,373):{'3_1':0.0},(265,372):{'3_1':0.0},(265,371):{'3_1':0.0},(265,370):{'3_1':0.0},(265,369):{'3_1':0.0,'5_1':0.0},(265,366):{'3_1':0.03},(265,365):{'3_1':0.0},(265,364):{'3_1':0.0},(265,363):{'3_1':0.0},(265,361):{'3_1':0.0},(265,360):{'3_1':0.0},(265,359):{'3_1':0.0},(265,358):{'3_1':0.0},(265,353):{'3_1':0.0},(265,345):{'3_1':0.0},(265,340):{'3_1':0.0},(265,335):{'3_1':0.0},(265,326):{'3_1':0.0},(265,314):{'4_1':0.0},(265,313):{'3_1':0.0},(265,309):{'3_1':0.0},(265,308):{'3_1':0.0},(265,305):{'3_1':0.0},(265,303):{'3_1':0.0},(265,298):{'3_1':0.0},(265,284):{'3_1':0.0},(266,727):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(266,726):{'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_6':0.0},(266,725):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'7_5':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(266,724):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0,'8_4':0.0},(266,723):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'7_4':0.0,'8_12':0.0},(266,722):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(266,721):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(266,720):{'4_1':0.18,'5_2':0.0,'3_1':0.0,'5_1':0.0,'7_6':0.0},(266,719):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(266,718):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(266,717):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_4':0.0,'8_8':0.0},(266,716):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(266,715):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(266,714):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_7':0.0},(266,713):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(266,712):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(266,711):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(266,710):{'4_1':0.09,'3_1':0.06,'7_5':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0},(266,709):{'3_1':0.09,'4_1':0.09,'7_5':0.09,'5_2':0.0,'6_2':0.0,'7_6':0.0},(266,708):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'7_4':0.0,'7_5':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(266,707):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(266,706):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(266,705):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0},(266,704):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(266,703):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(266,702):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.0,'6_1':0.0},(266,701):{'5_2':0.12,'4_1':0.09,'7_4':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0},(266,700):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(266,699):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'5_1':0.0},(266,698):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(266,697):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(266,696):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0},(266,695):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.03,'7_4':0.03},(266,694):{'5_2':0.09,'3_1':0.09,'7_4':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(266,693):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(266,692):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(266,691):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0},(266,690):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0},(266,689):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'-3':0.0},(266,688):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(266,687):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(266,686):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(266,685):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0},(266,684):{'4_1':0.15,'5_2':0.09,'6_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(266,683):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(266,682):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(266,681):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(266,680):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(266,679):{'5_2':0.15,'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0},(266,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(266,677):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(266,676):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(266,675):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'6_2':0.0,'7_3':0.0,'3_1':0.0,'7_1':0.0},(266,674):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(266,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(266,672):{'4_1':0.18,'5_2':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(266,671):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(266,670):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0},(266,669):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(266,668):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'8_6':0.0},(266,667):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(266,666):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(266,665):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.0,'6_1':0.0,'7_6':0.0},(266,664):{'3_1':0.06,'4_1':0.06,'5_2':0.06},(266,663):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0},(266,662):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_3':0.0,'7_3':0.0},(266,661):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_1':0.0},(266,660):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_4':0.0},(266,659):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_9':0.0},(266,658):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_2':0.0},(266,657):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(266,656):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0},(266,655):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(266,654):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(266,653):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(266,652):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(266,651):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(266,650):{'3_1':0.24,'4_1':0.09,'6_1':0.0},(266,649):{'3_1':0.18,'4_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0},(266,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(266,647):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(266,646):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(266,645):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0},(266,644):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(266,643):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(266,642):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(266,641):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(266,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(266,639):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(266,638):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(266,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(266,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(266,635):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(266,634):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(266,633):{'3_1':0.12,'4_1':0.0,'8_4':0.0},(266,632):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(266,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(266,630):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(266,629):{'3_1':0.15,'4_1':0.0},(266,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(266,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(266,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(266,625):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(266,624):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(266,623):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(266,622):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_2':0.0},(266,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(266,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(266,619):{'3_1':0.09},(266,618):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(266,617):{'3_1':0.06,'4_1':0.0},(266,616):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(266,615):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(266,614):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(266,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(266,612):{'3_1':0.06,'4_1':0.0},(266,611):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(266,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(266,609):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(266,608):{'3_1':0.03,'6_2':0.0},(266,607):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(266,606):{'3_1':0.03,'4_1':0.03},(266,605):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(266,604):{'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(266,603):{'3_1':0.03},(266,602):{'3_1':0.03,'5_1':0.0},(266,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(266,600):{'3_1':0.03},(266,599):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(266,598):{'3_1':0.03,'4_1':0.0},(266,597):{'3_1':0.06},(266,596):{'3_1':0.0,'4_1':0.0},(266,595):{'3_1':0.03},(266,594):{'3_1':0.03},(266,593):{'3_1':0.03,'5_2':0.0},(266,592):{'3_1':0.0,'5_1':0.0},(266,591):{'3_1':0.0},(266,590):{'3_1':0.03,'8_9':0.0},(266,589):{'3_1':0.03,'5_2':0.0},(266,588):{'3_1':0.06},(266,587):{'3_1':0.0},(266,586):{'3_1':0.0},(266,585):{'3_1':0.03},(266,584):{'3_1':0.06},(266,583):{'3_1':0.03},(266,582):{'3_1':0.0},(266,581):{'3_1':0.03,'4_1':0.0},(266,580):{'3_1':0.0},(266,579):{'3_1':0.03},(266,578):{'3_1':0.03},(266,577):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(266,576):{'3_1':0.06,'5_1':0.0},(266,575):{'3_1':0.0,'5_2':0.0},(266,574):{'3_1':0.06},(266,573):{'3_1':0.0,'5_2':0.0},(266,572):{'3_1':0.03},(266,571):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(266,570):{'3_1':0.0},(266,569):{'3_1':0.0,'5_2':0.0},(266,568):{'3_1':0.03},(266,567):{'3_1':0.0},(266,566):{'3_1':0.0},(266,565):{'3_1':0.03},(266,564):{'3_1':0.03},(266,563):{'3_1':0.0,'6_2':0.0},(266,562):{'5_2':0.0},(266,561):{'3_1':0.0,'5_1':0.0},(266,560):{'3_1':0.03,'5_2':0.0},(266,559):{'3_1':0.0,'5_2':0.0},(266,558):{'3_1':0.0},(266,557):{'3_1':0.0},(266,556):{'3_1':0.0},(266,555):{'3_1':0.03},(266,554):{'3_1':0.0},(266,553):{'3_1':0.03},(266,552):{'3_1':0.0},(266,551):{'3_1':0.0,'4_1':0.0},(266,550):{'3_1':0.0},(266,549):{'3_1':0.0,'5_2':0.0},(266,548):{'3_1':0.0},(266,547):{'3_1':0.03,'4_1':0.0},(266,546):{'3_1':0.0},(266,545):{'3_1':0.03},(266,544):{'3_1':0.0},(266,543):{'3_1':0.06,'4_1':0.0},(266,542):{'3_1':0.0},(266,541):{'3_1':0.0},(266,540):{'3_1':0.0},(266,539):{'3_1':0.03},(266,538):{'3_1':0.03,'4_1':0.0},(266,537):{'3_1':0.0},(266,536):{'3_1':0.0,'5_1':0.0},(266,535):{'3_1':0.03,'6_2':0.0},(266,534):{'3_1':0.0,'5_2':0.0},(266,533):{'3_1':0.03},(266,532):{'4_1':0.0,'3_1':0.0},(266,531):{'3_1':0.03},(266,530):{'3_1':0.03},(266,529):{'3_1':0.03,'5_2':0.0},(266,528):{'3_1':0.0,'5_1':0.0},(266,527):{'3_1':0.03},(266,526):{'3_1':0.0},(266,525):{'3_1':0.03},(266,524):{'3_1':0.03},(266,523):{'3_1':0.0},(266,522):{'3_1':0.03,'4_1':0.0},(266,521):{'3_1':0.03},(266,520):{'3_1':0.0},(266,519):{'3_1':0.0},(266,518):{'3_1':0.0},(266,517):{'3_1':0.0},(266,516):{'3_1':0.03},(266,515):{'3_1':0.0},(266,514):{'3_1':0.0},(266,512):{'3_1':0.03},(266,511):{'3_1':0.0},(266,509):{'3_1':0.0},(266,508):{'3_1':0.0,'4_1':0.0},(266,507):{'3_1':0.0,'4_1':0.0},(266,506):{'3_1':0.0},(266,504):{'3_1':0.0},(266,503):{'3_1':0.0},(266,502):{'3_1':0.0},(266,501):{'3_1':0.0,'4_1':0.0},(266,500):{'3_1':0.0},(266,499):{'3_1':0.03,'4_1':0.0},(266,498):{'3_1':0.0},(266,497):{'3_1':0.0},(266,496):{'3_1':0.0},(266,495):{'3_1':0.0,'5_1':0.0},(266,494):{'3_1':0.0},(266,493):{'3_1':0.0},(266,492):{'3_1':0.0},(266,491):{'3_1':0.03},(266,490):{'3_1':0.0},(266,489):{'3_1':0.03},(266,488):{'3_1':0.0},(266,486):{'3_1':0.0},(266,485):{'3_1':0.03},(266,484):{'3_1':0.0},(266,483):{'3_1':0.03,'4_1':0.0},(266,482):{'3_1':0.03},(266,481):{'3_1':0.0},(266,480):{'3_1':0.03},(266,479):{'3_1':0.0},(266,478):{'3_1':0.03},(266,477):{'3_1':0.0},(266,476):{'3_1':0.03},(266,475):{'3_1':0.03},(266,474):{'3_1':0.0},(266,473):{'3_1':0.0},(266,470):{'3_1':0.03},(266,469):{'3_1':0.03},(266,468):{'3_1':0.03},(266,467):{'3_1':0.03},(266,466):{'3_1':0.0},(266,465):{'3_1':0.03},(266,464):{'3_1':0.0},(266,461):{'3_1':0.0},(266,460):{'3_1':0.0},(266,459):{'3_1':0.03},(266,457):{'3_1':0.03},(266,456):{'3_1':0.0},(266,455):{'3_1':0.0},(266,454):{'3_1':0.0},(266,453):{'3_1':0.0},(266,452):{'3_1':0.0,'4_1':0.0},(266,451):{'3_1':0.0},(266,449):{'3_1':0.0},(266,448):{'3_1':0.0},(266,446):{'3_1':0.0},(266,445):{'3_1':0.0},(266,444):{'3_1':0.0},(266,443):{'3_1':0.03},(266,442):{'3_1':0.0},(266,441):{'3_1':0.0,'4_1':0.0},(266,440):{'3_1':0.0},(266,437):{'3_1':0.03},(266,436):{'3_1':0.0},(266,435):{'3_1':0.0},(266,432):{'3_1':0.0},(266,431):{'3_1':0.0},(266,430):{'3_1':0.0},(266,429):{'3_1':0.0},(266,427):{'3_1':0.0},(266,426):{'3_1':0.0},(266,424):{'3_1':0.0},(266,423):{'3_1':0.0},(266,422):{'3_1':0.0},(266,421):{'3_1':0.0},(266,420):{'3_1':0.0,'4_1':0.0},(266,419):{'3_1':0.0},(266,418):{'3_1':0.0},(266,416):{'3_1':0.0,'4_1':0.0},(266,415):{'3_1':0.0,'5_2':0.0},(266,413):{'4_1':0.0},(266,412):{'3_1':0.0},(266,410):{'5_1':0.0},(266,409):{'3_1':0.0},(266,408):{'3_1':0.0},(266,407):{'3_1':0.0},(266,406):{'5_1':0.0,'5_2':0.0},(266,405):{'3_1':0.0},(266,404):{'3_1':0.0},(266,403):{'3_1':0.0},(266,391):{'3_1':0.0},(266,389):{'3_1':0.0},(266,388):{'3_1':0.0},(266,387):{'3_1':0.0},(266,386):{'4_1':0.0,'5_1':0.0},(266,381):{'3_1':0.0},(266,379):{'3_1':0.0},(266,377):{'3_1':0.0,'5_1':0.0},(266,376):{'3_1':0.0},(266,374):{'3_1':0.0},(266,372):{'3_1':0.0},(266,371):{'3_1':0.0},(266,369):{'3_1':0.0},(266,368):{'3_1':0.0},(266,361):{'3_1':0.0},(266,360):{'3_1':0.0},(266,359):{'3_1':0.0},(266,357):{'3_1':0.0},(266,356):{'3_1':0.0},(266,355):{'3_1':0.0},(266,354):{'3_1':0.0},(266,342):{'3_1':0.0},(266,330):{'3_1':0.0,'5_2':0.0},(266,327):{'4_1':0.0},(266,317):{'4_1':0.0},(266,316):{'3_1':0.0},(266,311):{'3_1':0.0},(266,309):{'3_1':0.0,'5_2':0.0},(266,308):{'3_1':0.0},(266,307):{'3_1':0.0},(266,305):{'3_1':0.0},(266,304):{'3_1':0.0},(266,302):{'3_1':0.0},(266,299):{'3_1':0.0},(267,727):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0},(267,726):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(267,725):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_4':0.0,'7_5':0.0},(267,724):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0},(267,723):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_12':0.0},(267,722):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_5':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0},(267,721):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(267,720):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_3':0.0,'7_6':0.0},(267,719):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_14':0.0},(267,718):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'8_12':0.0},(267,717):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_6':0.0},(267,716):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0},(267,715):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(267,714):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(267,713):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(267,712):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(267,711):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_6':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_8':0.0},(267,710):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_2':0.0},(267,709):{'4_1':0.12,'7_5':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0,'6_2':0.0,'6_3':0.0,'7_2':0.0},(267,708):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_2':0.0},(267,707):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(267,706):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'6_3':0.0},(267,705):{'4_1':0.12,'5_2':0.03,'7_4':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0},(267,704):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(267,703):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(267,702):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(267,701):{'5_2':0.15,'3_1':0.12,'4_1':0.12,'7_4':0.03,'7_6':0.0,'6_1':0.0,'8_2':0.0},(267,700):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.03,'7_6':0.0},(267,699):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(267,698):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0},(267,697):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'-3':0.0},(267,696):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(267,695):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'7_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(267,694):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0},(267,693):{'3_1':0.15,'4_1':0.15,'5_2':0.09,'7_4':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(267,692):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_3':0.0},(267,691):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'8_9':0.0},(267,690):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(267,689):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_6':0.0},(267,688):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0},(267,687):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(267,686):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_1':0.0,'8_6':0.0,'5_1':0.0,'6_2':0.0},(267,685):{'4_1':0.18,'5_2':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(267,684):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(267,683):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(267,682):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(267,681):{'5_2':0.18,'4_1':0.12,'3_1':0.03,'6_1':0.0,'7_4':0.0},(267,680):{'5_2':0.06,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(267,679):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(267,678):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0},(267,677):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_3':0.0,'5_1':0.0},(267,676):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(267,675):{'5_2':0.12,'4_1':0.06,'6_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(267,674):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(267,673):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0},(267,672):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0},(267,671):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(267,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0},(267,669):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_6':0.0},(267,668):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0},(267,667):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0},(267,666):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_2':0.0,'6_1':0.0},(267,665):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0},(267,664):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_3':0.0},(267,663):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(267,662):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(267,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(267,660):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(267,659):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(267,658):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(267,657):{'3_1':0.24,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(267,656):{'3_1':0.21,'4_1':0.06},(267,655):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0,'7_5':0.0},(267,654):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_3':0.0},(267,653):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(267,652):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'7_5':0.0},(267,651):{'3_1':0.21,'4_1':0.09,'6_2':0.03,'6_1':0.0},(267,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(267,649):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0},(267,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(267,647):{'3_1':0.24,'4_1':0.03,'6_2':0.0,'6_1':0.0},(267,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(267,645):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(267,644):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(267,643):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0},(267,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(267,641):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(267,640):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_2':0.0},(267,639):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(267,638):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(267,637):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(267,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(267,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,634):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(267,633):{'3_1':0.09,'6_2':0.0},(267,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(267,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(267,630):{'3_1':0.09,'4_1':0.0},(267,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(267,628):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(267,627):{'3_1':0.09,'6_2':0.03,'5_1':0.0},(267,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(267,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(267,624):{'3_1':0.12,'4_1':0.0},(267,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(267,622):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(267,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(267,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,619):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(267,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,617):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(267,616):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(267,615):{'3_1':0.06,'4_1':0.0},(267,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(267,613):{'3_1':0.09,'4_1':0.0},(267,612):{'3_1':0.09,'5_1':0.0},(267,611):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,610):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(267,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(267,607):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(267,606):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(267,605):{'3_1':0.06,'4_1':0.0},(267,604):{'3_1':0.06,'4_1':0.0},(267,603):{'3_1':0.03},(267,602):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(267,601):{'3_1':0.06},(267,600):{'3_1':0.03,'5_2':0.0},(267,599):{'3_1':0.03,'5_2':0.0},(267,598):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(267,597):{'3_1':0.06,'5_2':0.0},(267,596):{'3_1':0.06,'4_1':0.0},(267,595):{'3_1':0.0,'5_2':0.0},(267,594):{'3_1':0.06},(267,593):{'3_1':0.09},(267,592):{'3_1':0.03},(267,591):{'3_1':0.06,'4_1':0.0},(267,590):{'3_1':0.0,'4_1':0.0},(267,589):{'3_1':0.03,'5_2':0.0},(267,588):{'3_1':0.03},(267,587):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(267,586):{'3_1':0.03},(267,585):{'3_1':0.06},(267,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(267,583):{'3_1':0.06,'5_2':0.0},(267,582):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(267,581):{'3_1':0.03},(267,580):{'3_1':0.06},(267,579):{'3_1':0.0,'5_1':0.0},(267,578):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(267,577):{'3_1':0.03,'4_1':0.0},(267,576):{'3_1':0.03},(267,575):{'3_1':0.06,'5_1':0.0},(267,574):{'3_1':0.03,'4_1':0.0},(267,573):{'3_1':0.03},(267,572):{'3_1':0.03},(267,571):{'3_1':0.0,'5_2':0.0},(267,570):{'3_1':0.03},(267,569):{'3_1':0.03},(267,568):{'3_1':0.06},(267,567):{'3_1':0.03,'5_2':0.0},(267,566):{'3_1':0.03,'4_1':0.0},(267,565):{'3_1':0.03,'6_1':0.0},(267,564):{'3_1':0.03},(267,563):{'3_1':0.03},(267,562):{'3_1':0.0},(267,561):{'3_1':0.06,'5_1':0.0},(267,560):{'3_1':0.0},(267,559):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(267,558):{'3_1':0.0},(267,557):{'3_1':0.03},(267,556):{'3_1':0.0},(267,555):{'3_1':0.06,'4_1':0.0},(267,554):{'3_1':0.03,'4_1':0.0},(267,553):{'3_1':0.0,'4_1':0.0},(267,552):{'3_1':0.03,'4_1':0.0},(267,551):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(267,550):{'3_1':0.0},(267,549):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(267,548):{'3_1':0.0,'5_2':0.0},(267,547):{'3_1':0.03},(267,546):{'3_1':0.03,'4_1':0.0},(267,545):{'3_1':0.03},(267,544):{'5_2':0.0},(267,543):{'3_1':0.0},(267,542):{'3_1':0.0,'6_2':0.0},(267,541):{'3_1':0.03},(267,539):{'3_1':0.03},(267,538):{'3_1':0.0,'7_2':0.0},(267,537):{'3_1':0.0,'5_2':0.0},(267,536):{'3_1':0.03},(267,535):{'3_1':0.0,'5_2':0.0},(267,534):{'3_1':0.03},(267,533):{'3_1':0.06,'4_1':0.0},(267,532):{'3_1':0.03,'4_1':0.0},(267,531):{'3_1':0.03,'4_1':0.0},(267,530):{'3_1':0.03},(267,529):{'3_1':0.03},(267,528):{'3_1':0.0},(267,527):{'3_1':0.0},(267,526):{'3_1':0.03},(267,525):{'3_1':0.0,'4_1':0.0},(267,524):{'3_1':0.0},(267,523):{'3_1':0.0},(267,522):{'3_1':0.03},(267,521):{'3_1':0.03},(267,519):{'3_1':0.0},(267,518):{'3_1':0.03},(267,517):{'3_1':0.0},(267,516):{'3_1':0.0},(267,515):{'3_1':0.0,'4_1':0.0},(267,514):{'3_1':0.03,'4_1':0.0},(267,513):{'3_1':0.0},(267,512):{'3_1':0.0},(267,511):{'3_1':0.0},(267,509):{'3_1':0.0,'4_1':0.0},(267,508):{'3_1':0.03},(267,507):{'3_1':0.0,'4_1':0.0},(267,506):{'3_1':0.0},(267,504):{'3_1':0.0},(267,503):{'5_2':0.0},(267,502):{'3_1':0.0,'4_1':0.0},(267,500):{'3_1':0.0},(267,498):{'4_1':0.0},(267,497):{'3_1':0.0},(267,496):{'3_1':0.0},(267,494):{'3_1':0.0,'4_1':0.0},(267,493):{'3_1':0.0},(267,492):{'3_1':0.0},(267,491):{'3_1':0.0,'5_2':0.0},(267,490):{'3_1':0.03,'8_20|3_1#3_1':0.0},(267,489):{'3_1':0.0},(267,488):{'3_1':0.03},(267,487):{'3_1':0.06},(267,486):{'3_1':0.0},(267,485):{'3_1':0.0},(267,484):{'3_1':0.0},(267,483):{'3_1':0.0},(267,482):{'3_1':0.03},(267,481):{'3_1':0.09},(267,480):{'3_1':0.03},(267,479):{'3_1':0.09},(267,478):{'3_1':0.0},(267,477):{'3_1':0.0},(267,476):{'3_1':0.0},(267,475):{'3_1':0.03},(267,474):{'3_1':0.03},(267,473):{'3_1':0.03},(267,471):{'3_1':0.03},(267,470):{'3_1':0.0},(267,469):{'3_1':0.0},(267,468):{'3_1':0.0},(267,466):{'3_1':0.0},(267,465):{'3_1':0.0},(267,464):{'3_1':0.0},(267,463):{'3_1':0.0},(267,462):{'3_1':0.0},(267,461):{'3_1':0.0},(267,460):{'3_1':0.0},(267,459):{'3_1':0.0,'4_1':0.0},(267,458):{'3_1':0.0},(267,457):{'3_1':0.0},(267,456):{'3_1':0.0,'5_2':0.0},(267,455):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(267,454):{'3_1':0.0},(267,453):{'3_1':0.0},(267,452):{'3_1':0.0},(267,451):{'3_1':0.03},(267,450):{'3_1':0.0},(267,449):{'3_1':0.0},(267,448):{'3_1':0.03},(267,447):{'3_1':0.0},(267,444):{'3_1':0.0,'4_1':0.0},(267,443):{'3_1':0.0},(267,440):{'3_1':0.0},(267,439):{'3_1':0.0,'5_2':0.0},(267,437):{'3_1':0.0},(267,435):{'3_1':0.0},(267,434):{'3_1':0.0},(267,432):{'3_1':0.0},(267,431):{'3_1':0.0,'5_1':0.0},(267,427):{'3_1':0.0},(267,426):{'3_1':0.0},(267,424):{'3_1':0.0},(267,422):{'3_1':0.0},(267,419):{'3_1':0.0},(267,416):{'3_1':0.0},(267,415):{'3_1':0.0},(267,412):{'3_1':0.0},(267,411):{'3_1':0.0},(267,408):{'3_1':0.0,'4_1':0.0},(267,407):{'3_1':0.0,'5_1':0.0},(267,404):{'3_1':0.0},(267,403):{'3_1':0.0},(267,387):{'3_1':0.0},(267,386):{'3_1':0.0},(267,380):{'5_2':0.0},(267,379):{'3_1':0.0},(267,372):{'3_1':0.0},(267,371):{'3_1':0.0},(267,370):{'3_1':0.0},(267,369):{'3_1':0.0},(267,365):{'3_1':0.0},(267,362):{'3_1':0.0},(267,361):{'3_1':0.0,'4_1':0.0},(267,358):{'3_1':0.0},(267,357):{'3_1':0.0},(267,356):{'3_1':0.0},(267,346):{'3_1':0.0},(267,345):{'3_1':0.0},(267,344):{'3_1':0.0},(267,343):{'3_1':0.0},(267,338):{'3_1':0.0},(267,335):{'3_1':0.0},(267,333):{'4_1':0.0},(267,332):{'4_1':0.0},(267,329):{'3_1':0.0},(267,323):{'4_1':0.0},(267,320):{'3_1':0.0},(267,319):{'3_1':0.0},(267,318):{'3_1':0.0},(267,316):{'3_1':0.0},(267,309):{'3_1':0.0},(267,307):{'5_1':0.0},(267,305):{'3_1':0.0},(267,302):{'3_1':0.0},(267,301):{'3_1':0.0},(267,286):{'3_1':0.0},(267,282):{'3_1':0.0},(267,280):{'3_1':0.0},(268,727):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(268,726):{'4_1':0.09,'3_1':0.06,'7_5':0.03,'6_1':0.0,'6_2':0.0,'5_2':0.0},(268,725):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(268,724):{'4_1':0.06,'5_2':0.03,'3_1':0.0,'6_1':0.0,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(268,723):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(268,722):{'4_1':0.12,'6_1':0.03,'5_2':0.0,'7_4':0.0,'3_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(268,721):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(268,720):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(268,719):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'6_2':0.03,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(268,718):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(268,717):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_4':0.03,'8_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(268,716):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'6_2':0.0,'3_1':0.0,'7_4':0.0,'7_6':0.0},(268,715):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(268,714):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0},(268,713):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0,'8_8':0.0},(268,712):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_5':0.0,'5_1':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(268,711):{'3_1':0.09,'4_1':0.09,'7_5':0.03,'6_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(268,710):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_2':0.0,'7_5':0.0,'7_6':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'8_12':0.0,'-3':0.0},(268,709):{'3_1':0.09,'4_1':0.09,'7_5':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0},(268,708):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_13':0.0,'-3':0.0},(268,707):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'-3':0.0},(268,706):{'5_2':0.15,'4_1':0.06,'3_1':0.06,'7_4':0.03,'6_1':0.0,'8_4':0.0,'6_2':0.0,'7_6':0.0},(268,705):{'4_1':0.06,'5_2':0.06,'6_1':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0},(268,704):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_1':0.0,'7_6':0.0},(268,703):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0},(268,702):{'4_1':0.12,'3_1':0.06,'7_4':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_4':0.0},(268,701):{'5_2':0.09,'4_1':0.09,'3_1':0.09,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_3':0.0},(268,700):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(268,699):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0},(268,698):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(268,697):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(268,696):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(268,695):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(268,694):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0,'8_4':0.0},(268,693):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'7_4':0.03,'6_1':0.03,'6_2':0.03,'7_3':0.0},(268,692):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'7_3':0.0},(268,691):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'7_4':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0},(268,690):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(268,689):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_6':0.0,'8_6':0.0,'8_9':0.0},(268,688):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'6_3':0.0,'7_3':0.0},(268,687):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0},(268,686):{'4_1':0.15,'5_2':0.06,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'8_1':0.0},(268,685):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_3':0.0,'7_4':0.0,'8_4':0.0},(268,684):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(268,683):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(268,682):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(268,681):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(268,680):{'4_1':0.15,'5_2':0.06,'6_2':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(268,679):{'4_1':0.15,'5_2':0.12,'3_1':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0},(268,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(268,677):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(268,676):{'5_2':0.18,'4_1':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0},(268,675):{'4_1':0.15,'5_2':0.15,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(268,674):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'5_1':0.0},(268,673):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0},(268,672):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(268,671):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(268,670):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(268,669):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(268,668):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.03},(268,667):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(268,666):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(268,665):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0},(268,664):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_1':0.0},(268,663):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0},(268,662):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(268,661):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(268,660):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(268,659):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'6_1':0.0},(268,658):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(268,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(268,656):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0,'-3':0.0},(268,655):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(268,654):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(268,653):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0},(268,652):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(268,651):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(268,650):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_9':0.0},(268,649):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(268,648):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0},(268,647):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(268,646):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(268,645):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(268,644):{'3_1':0.18,'6_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(268,643):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(268,642):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_3':0.0},(268,641):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_2':0.0},(268,640):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(268,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(268,638):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(268,637):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(268,636):{'3_1':0.12,'5_1':0.0},(268,635):{'3_1':0.18},(268,634):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(268,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(268,632):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(268,631):{'3_1':0.15,'4_1':0.0},(268,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(268,629):{'3_1':0.12,'5_1':0.0},(268,628):{'3_1':0.06,'4_1':0.0},(268,627):{'3_1':0.12,'4_1':0.0},(268,626):{'3_1':0.12,'4_1':0.0},(268,625):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_2':0.0},(268,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(268,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(268,622):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(268,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(268,620):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(268,619):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(268,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(268,617):{'3_1':0.06,'7_1':0.0,'4_1':0.0},(268,616):{'3_1':0.06,'4_1':0.0},(268,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(268,614):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(268,613):{'3_1':0.12,'4_1':0.0},(268,612):{'3_1':0.06,'4_1':0.0},(268,611):{'3_1':0.06,'5_1':0.0},(268,610):{'3_1':0.06,'4_1':0.0},(268,609):{'3_1':0.03,'4_1':0.0},(268,608):{'3_1':0.06},(268,607):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(268,606):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(268,605):{'3_1':0.03,'4_1':0.0},(268,604):{'4_1':0.03,'3_1':0.0},(268,603):{'4_1':0.0,'3_1':0.0},(268,602):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(268,601):{'3_1':0.06,'5_1':0.0},(268,600):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(268,599):{'3_1':0.03,'4_1':0.0},(268,598):{'3_1':0.06,'4_1':0.0},(268,597):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_5':0.0},(268,596):{'3_1':0.0,'5_2':0.0},(268,595):{'3_1':0.06,'5_1':0.0},(268,594):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,593):{'3_1':0.03,'5_2':0.0},(268,592):{'3_1':0.0},(268,591):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(268,590):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(268,589):{'3_1':0.06},(268,588):{'3_1':0.06},(268,587):{'3_1':0.03},(268,586):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(268,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(268,584):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(268,583):{'3_1':0.0,'5_2':0.0},(268,582):{'3_1':0.0},(268,581):{'3_1':0.03},(268,580):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(268,579):{'3_1':0.03,'4_1':0.0},(268,578):{'3_1':0.06,'4_1':0.0},(268,577):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(268,576):{'3_1':0.06},(268,575):{'3_1':0.03,'4_1':0.0},(268,574):{'3_1':0.03,'5_1':0.0},(268,573):{'3_1':0.0},(268,572):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(268,571):{'3_1':0.0},(268,570):{'3_1':0.06,'7_5':0.0},(268,569):{'3_1':0.0,'4_1':0.0},(268,568):{'3_1':0.03,'7_5':0.0},(268,567):{'3_1':0.03,'5_1':0.0},(268,566):{'3_1':0.03},(268,565):{'3_1':0.0},(268,564):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(268,563):{'3_1':0.06},(268,562):{'3_1':0.0},(268,561):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(268,560):{'3_1':0.03},(268,559):{'3_1':0.0},(268,558):{'3_1':0.0},(268,557):{'3_1':0.0},(268,556):{'3_1':0.03},(268,555):{'3_1':0.0,'5_1':0.0},(268,554):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,553):{'3_1':0.03},(268,552):{'3_1':0.0,'5_2':0.0},(268,551):{'3_1':0.0,'4_1':0.0},(268,550):{'3_1':0.0,'5_2':0.0},(268,549):{'3_1':0.09},(268,548):{'3_1':0.06,'4_1':0.0},(268,547):{'3_1':0.0},(268,546):{'3_1':0.03,'4_1':0.0},(268,545):{'3_1':0.0},(268,544):{'3_1':0.0,'4_1':0.0},(268,543):{'3_1':0.03},(268,542):{'3_1':0.06,'4_1':0.0},(268,541):{'3_1':0.03,'5_2':0.0},(268,540):{'3_1':0.03},(268,539):{'3_1':0.0},(268,538):{'3_1':0.03},(268,536):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(268,535):{'3_1':0.03},(268,534):{'3_1':0.0},(268,533):{'4_1':0.0,'3_1':0.0,'-3':0.0},(268,532):{'3_1':0.03,'6_2':0.0},(268,531):{'3_1':0.0},(268,530):{'3_1':0.06},(268,529):{'3_1':0.0},(268,528):{'3_1':0.03},(268,527):{'3_1':0.03,'5_2':0.0},(268,526):{'3_1':0.03},(268,525):{'3_1':0.0,'5_1':0.0},(268,524):{'3_1':0.03},(268,523):{'3_1':0.0},(268,522):{'3_1':0.03},(268,521):{'3_1':0.0,'4_1':0.0},(268,520):{'3_1':0.0,'5_2':0.0},(268,519):{'3_1':0.0,'4_1':0.0},(268,518):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(268,517):{'3_1':0.0},(268,516):{'3_1':0.03},(268,515):{'3_1':0.0},(268,514):{'3_1':0.03,'5_2':0.0},(268,513):{'3_1':0.0},(268,512):{'3_1':0.0,'5_2':0.0},(268,511):{'3_1':0.0,'8_20|3_1#3_1':0.0},(268,508):{'3_1':0.0},(268,507):{'3_1':0.0},(268,506):{'8_20|3_1#3_1':0.0},(268,503):{'3_1':0.0},(268,502):{'3_1':0.0},(268,501):{'3_1':0.0},(268,500):{'4_1':0.0,'3_1':0.0},(268,499):{'3_1':0.0},(268,498):{'3_1':0.03,'4_1':0.0},(268,497):{'3_1':0.0},(268,496):{'3_1':0.03},(268,495):{'3_1':0.0,'4_1':0.0},(268,494):{'3_1':0.0},(268,493):{'3_1':0.0},(268,492):{'3_1':0.0},(268,491):{'3_1':0.0,'5_1':0.0},(268,490):{'3_1':0.0},(268,489):{'3_1':0.03},(268,488):{'3_1':0.0},(268,487):{'3_1':0.03},(268,486):{'3_1':0.0},(268,485):{'3_1':0.03},(268,484):{'3_1':0.03},(268,483):{'3_1':0.03},(268,482):{'3_1':0.03},(268,481):{'3_1':0.03},(268,480):{'3_1':0.0},(268,479):{'3_1':0.03},(268,478):{'3_1':0.03},(268,477):{'3_1':0.03},(268,476):{'3_1':0.0},(268,475):{'3_1':0.06},(268,474):{'3_1':0.06},(268,473):{'3_1':0.0},(268,471):{'3_1':0.0},(268,470):{'3_1':0.0},(268,469):{'4_1':0.0},(268,468):{'3_1':0.03},(268,467):{'3_1':0.0},(268,466):{'3_1':0.0},(268,465):{'3_1':0.0},(268,464):{'3_1':0.03},(268,461):{'3_1':0.0},(268,460):{'3_1':0.0},(268,459):{'3_1':0.0},(268,458):{'3_1':0.0},(268,457):{'3_1':0.0},(268,455):{'3_1':0.0},(268,454):{'3_1':0.0},(268,453):{'3_1':0.0,'4_1':0.0},(268,452):{'3_1':0.0,'4_1':0.0},(268,450):{'4_1':0.0},(268,449):{'3_1':0.0,'4_1':0.0},(268,448):{'3_1':0.0},(268,445):{'3_1':0.0},(268,444):{'3_1':0.0},(268,443):{'3_1':0.0},(268,442):{'3_1':0.0},(268,441):{'3_1':0.03},(268,439):{'3_1':0.0,'4_1':0.0},(268,438):{'3_1':0.0},(268,437):{'3_1':0.03},(268,436):{'3_1':0.0},(268,435):{'3_1':0.0},(268,433):{'3_1':0.0},(268,432):{'3_1':0.0},(268,431):{'3_1':0.0},(268,428):{'3_1':0.0},(268,426):{'3_1':0.0},(268,424):{'3_1':0.0},(268,420):{'3_1':0.0},(268,419):{'3_1':0.0},(268,416):{'3_1':0.0},(268,415):{'3_1':0.0,'5_1':0.0},(268,414):{'3_1':0.0,'4_1':0.0},(268,412):{'3_1':0.0},(268,411):{'3_1':0.0},(268,409):{'3_1':0.0},(268,408):{'3_1':0.0},(268,407):{'3_1':0.0},(268,406):{'3_1':0.0},(268,404):{'3_1':0.0},(268,403):{'3_1':0.0},(268,389):{'3_1':0.0},(268,387):{'3_1':0.0},(268,386):{'3_1':0.0},(268,382):{'3_1':0.0},(268,381):{'3_1':0.0},(268,380):{'3_1':0.0},(268,379):{'3_1':0.0},(268,377):{'3_1':0.0},(268,376):{'3_1':0.0},(268,374):{'3_1':0.0},(268,373):{'3_1':0.0},(268,372):{'3_1':0.0},(268,371):{'3_1':0.0},(268,370):{'3_1':0.0},(268,366):{'3_1':0.0},(268,365):{'3_1':0.0},(268,364):{'3_1':0.0},(268,362):{'3_1':0.0},(268,360):{'3_1':0.0},(268,359):{'3_1':0.0},(268,355):{'3_1':0.0},(268,353):{'3_1':0.0},(268,346):{'3_1':0.0},(268,345):{'3_1':0.0},(268,343):{'3_1':0.0},(268,333):{'5_2':0.0},(268,331):{'3_1':0.0},(268,330):{'4_1':0.0},(268,329):{'3_1':0.0},(268,326):{'5_2':0.0},(268,311):{'3_1':0.0},(268,303):{'3_1':0.0,'4_1':0.0},(268,298):{'3_1':0.0},(268,281):{'3_1':0.0},(269,727):{'4_1':0.09,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(269,726):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'6_1':0.0},(269,725):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0},(269,724):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_5':0.0,'5_1':0.0,'6_1':0.0},(269,723):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(269,722):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0},(269,721):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_5':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(269,720):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(269,719):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(269,718):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_12':0.0},(269,717):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_9':0.0},(269,716):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0},(269,715):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_4':0.0,'8_12':0.0},(269,714):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(269,713):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_6':0.0,'7_4':0.0},(269,712):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_12':0.0,'7_5':0.0,'7_6':0.0,'3_1#5_2':0.0},(269,711):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_5':0.03,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(269,710):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_5':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0},(269,709):{'4_1':0.15,'3_1':0.06,'7_5':0.06,'-3':0.0,'5_2':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0},(269,708):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_5':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(269,707):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(269,706):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'8_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(269,705):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(269,704):{'4_1':0.09,'5_2':0.06,'5_1':0.03,'3_1':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0},(269,703):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'7_6':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(269,702):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(269,701):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_4':0.0,'-3':0.0},(269,700):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(269,699):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(269,698):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(269,697):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(269,696):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(269,695):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_4':0.0,'7_6':0.0},(269,694):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(269,693):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.03,'5_1':0.0,'7_6':0.0,'8_4':0.0},(269,692):{'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_4':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(269,691):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(269,690):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.03,'7_4':0.0},(269,689):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(269,688):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(269,687):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(269,686):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.06,'6_2':0.0,'7_4':0.0,'8_9':0.0},(269,685):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(269,684):{'5_2':0.24,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(269,683):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(269,682):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(269,681):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(269,680):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(269,679):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'-3':0.0},(269,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'9_1':0.0},(269,677):{'5_2':0.18,'4_1':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(269,676):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_1':0.0},(269,675):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'9_1':0.0},(269,674):{'4_1':0.24,'5_2':0.09,'6_1':0.0,'6_2':0.0,'3_1':0.0,'8_3':0.0},(269,673):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(269,672):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(269,671):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0},(269,670):{'4_1':0.15,'5_2':0.12,'3_1':0.06},(269,669):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0},(269,668):{'4_1':0.15,'5_2':0.09,'3_1':0.0},(269,667):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_4':0.0},(269,666):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0},(269,665):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(269,664):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'9_1':0.0},(269,663):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(269,662):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(269,661):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(269,660):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(269,659):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0},(269,658):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(269,657):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0},(269,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(269,655):{'3_1':0.15,'4_1':0.06,'6_2':0.0},(269,654):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(269,653):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(269,652):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(269,651):{'3_1':0.21,'4_1':0.06},(269,650):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(269,649):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0},(269,648):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(269,647):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(269,646):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(269,645):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(269,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(269,643):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(269,642):{'3_1':0.24,'5_1':0.03},(269,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(269,640):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(269,639):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(269,638):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(269,637):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(269,636):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(269,635):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(269,634):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_9':0.0},(269,633):{'3_1':0.12,'5_1':0.0},(269,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(269,631):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(269,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_9':0.0},(269,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(269,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(269,627):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(269,626):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0},(269,625):{'3_1':0.06,'4_1':0.0},(269,624):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(269,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(269,622):{'3_1':0.15,'5_1':0.0},(269,621):{'3_1':0.09,'4_1':0.0},(269,620):{'3_1':0.12,'6_2':0.0,'6_3':0.0},(269,619):{'3_1':0.12,'4_1':0.0},(269,618):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(269,617):{'3_1':0.12,'4_1':0.0},(269,616):{'3_1':0.12,'5_1':0.0},(269,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(269,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(269,613):{'3_1':0.06,'4_1':0.0},(269,612):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(269,611):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(269,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(269,609):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(269,608):{'3_1':0.03,'4_1':0.0},(269,607):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(269,606):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(269,605):{'3_1':0.06,'4_1':0.0},(269,604):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(269,603):{'3_1':0.0,'6_2':0.0},(269,602):{'3_1':0.06,'4_1':0.0},(269,601):{'3_1':0.03,'4_1':0.0},(269,600):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(269,599):{'3_1':0.03,'4_1':0.0},(269,598):{'3_1':0.0,'5_2':0.0},(269,597):{'3_1':0.09,'5_2':0.0},(269,596):{'3_1':0.06},(269,595):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(269,594):{'3_1':0.03,'5_2':0.0},(269,593):{'3_1':0.06,'4_1':0.0},(269,592):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(269,591):{'3_1':0.0,'5_2':0.0},(269,590):{'3_1':0.03},(269,589):{'3_1':0.06},(269,588):{'3_1':0.03,'5_1':0.0},(269,587):{'3_1':0.0},(269,586):{'3_1':0.03,'4_1':0.0},(269,585):{'3_1':0.03},(269,584):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(269,583):{'3_1':0.06,'5_1':0.0},(269,582):{'3_1':0.03},(269,581):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(269,580):{'3_1':0.0},(269,579):{'3_1':0.03},(269,578):{'3_1':0.06},(269,577):{'3_1':0.03},(269,576):{'3_1':0.03,'5_2':0.0},(269,575):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(269,574):{'3_1':0.06,'4_1':0.0},(269,573):{'3_1':0.06,'4_1':0.0},(269,572):{'3_1':0.0,'5_2':0.0},(269,571):{'3_1':0.03},(269,570):{'3_1':0.03,'4_1':0.0},(269,569):{'3_1':0.0},(269,568):{'3_1':0.0,'5_2':0.0},(269,567):{'3_1':0.0,'4_1':0.0},(269,566):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(269,565):{'3_1':0.0,'4_1':0.0},(269,564):{'3_1':0.0},(269,563):{'3_1':0.03,'4_1':0.0},(269,562):{'3_1':0.06},(269,561):{'3_1':0.0,'4_1':0.0},(269,560):{'3_1':0.03},(269,559):{'3_1':0.03,'5_2':0.0},(269,558):{'3_1':0.03},(269,557):{'3_1':0.03,'5_1':0.0},(269,556):{'3_1':0.0,'4_1':0.0},(269,555):{'3_1':0.0,'4_1':0.0},(269,554):{'3_1':0.0},(269,553):{'3_1':0.0,'4_1':0.0},(269,552):{'3_1':0.0,'5_2':0.0},(269,551):{'3_1':0.06},(269,550):{'3_1':0.06},(269,549):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(269,548):{'3_1':0.06,'5_2':0.0},(269,547):{'3_1':0.0},(269,546):{'3_1':0.0},(269,545):{'3_1':0.0},(269,544):{'3_1':0.03},(269,543):{'3_1':0.0},(269,542):{'3_1':0.03},(269,541):{'3_1':0.0},(269,540):{'3_1':0.0},(269,539):{'3_1':0.0},(269,538):{'3_1':0.03},(269,537):{'3_1':0.03,'4_1':0.0},(269,536):{'3_1':0.0,'4_1':0.0},(269,535):{'3_1':0.0},(269,534):{'3_1':0.06,'5_1':0.0},(269,533):{'3_1':0.0,'5_1':0.0},(269,532):{'3_1':0.03},(269,531):{'3_1':0.0,'6_2':0.0},(269,530):{'3_1':0.06},(269,529):{'3_1':0.03},(269,528):{'3_1':0.0},(269,527):{'3_1':0.0,'4_1':0.0},(269,526):{'3_1':0.0,'4_1':0.0},(269,525):{'3_1':0.03},(269,523):{'3_1':0.06},(269,522):{'3_1':0.0},(269,521):{'3_1':0.0},(269,520):{'3_1':0.0},(269,519):{'3_1':0.0},(269,518):{'3_1':0.0},(269,517):{'3_1':0.03,'5_1':0.0},(269,516):{'3_1':0.0,'5_1':0.0},(269,515):{'3_1':0.03},(269,514):{'3_1':0.0,'4_1':0.0},(269,513):{'3_1':0.03},(269,512):{'3_1':0.03},(269,509):{'3_1':0.03},(269,508):{'3_1':0.0},(269,507):{'3_1':0.0,'4_1':0.0},(269,506):{'3_1':0.0,'4_1':0.0},(269,505):{'3_1':0.0},(269,503):{'3_1':0.0},(269,502):{'3_1':0.0},(269,501):{'3_1':0.0,'4_1':0.0},(269,499):{'3_1':0.0},(269,498):{'3_1':0.0},(269,497):{'3_1':0.0},(269,496):{'3_1':0.0},(269,495):{'3_1':0.0},(269,494):{'3_1':0.0},(269,493):{'3_1':0.0,'4_1':0.0},(269,492):{'3_1':0.0},(269,491):{'3_1':0.0},(269,490):{'3_1':0.0},(269,489):{'3_1':0.0},(269,488):{'3_1':0.0},(269,487):{'3_1':0.03},(269,486):{'3_1':0.0},(269,485):{'3_1':0.0,'5_2':0.0},(269,484):{'3_1':0.03},(269,483):{'3_1':0.03},(269,482):{'3_1':0.0},(269,481):{'3_1':0.0},(269,480):{'3_1':0.03},(269,479):{'3_1':0.03},(269,478):{'3_1':0.06},(269,477):{'3_1':0.0},(269,476):{'3_1':0.0},(269,475):{'3_1':0.0},(269,474):{'3_1':0.0},(269,473):{'3_1':0.03},(269,471):{'3_1':0.0},(269,470):{'3_1':0.0},(269,469):{'3_1':0.0},(269,468):{'3_1':0.0},(269,467):{'3_1':0.0},(269,466):{'3_1':0.0},(269,465):{'3_1':0.0},(269,464):{'3_1':0.0},(269,463):{'3_1':0.0},(269,462):{'3_1':0.0},(269,460):{'3_1':0.0},(269,459):{'3_1':0.0},(269,458):{'3_1':0.0},(269,456):{'3_1':0.0},(269,455):{'3_1':0.0},(269,454):{'3_1':0.0},(269,453):{'3_1':0.0},(269,452):{'3_1':0.0},(269,451):{'3_1':0.0},(269,450):{'3_1':0.0},(269,449):{'3_1':0.0,'4_1':0.0},(269,448):{'3_1':0.0},(269,447):{'3_1':0.0},(269,446):{'3_1':0.0},(269,444):{'3_1':0.0},(269,443):{'3_1':0.0},(269,440):{'3_1':0.0},(269,438):{'3_1':0.0},(269,437):{'3_1':0.0},(269,436):{'3_1':0.0},(269,435):{'3_1':0.0},(269,434):{'3_1':0.0},(269,433):{'3_1':0.0},(269,431):{'3_1':0.0},(269,430):{'3_1':0.0},(269,428):{'3_1':0.0},(269,426):{'3_1':0.0},(269,425):{'3_1':0.0,'5_2':0.0},(269,424):{'3_1':0.0},(269,421):{'3_1':0.0},(269,419):{'3_1':0.0},(269,417):{'3_1':0.0},(269,415):{'3_1':0.0},(269,413):{'3_1':0.0},(269,412):{'3_1':0.0},(269,410):{'3_1':0.0},(269,409):{'3_1':0.0},(269,408):{'3_1':0.0},(269,407):{'3_1':0.0},(269,405):{'3_1':0.0},(269,404):{'3_1':0.0},(269,389):{'3_1':0.0},(269,387):{'3_1':0.0},(269,383):{'3_1':0.0},(269,380):{'3_1':0.0},(269,377):{'3_1':0.0},(269,373):{'3_1':0.0},(269,372):{'3_1':0.0},(269,370):{'3_1':0.0},(269,369):{'3_1':0.0},(269,366):{'3_1':0.0},(269,365):{'3_1':0.0},(269,363):{'3_1':0.0,'4_1':0.0},(269,361):{'3_1':0.0},(269,344):{'3_1':0.0},(269,339):{'4_1':0.0},(269,335):{'3_1':0.0},(269,332):{'3_1':0.0},(269,329):{'4_1':0.0},(269,324):{'3_1':0.0},(269,316):{'3_1':0.0},(269,302):{'3_1':0.0},(269,298):{'3_1':0.0},(270,727):{'4_1':0.15,'3_1':0.06,'7_5':0.03,'5_2':0.0,'7_6':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(270,726):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0},(270,725):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(270,724):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(270,723):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(270,722):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_6':0.0},(270,721):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_4':0.0,'7_6':0.0},(270,720):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_14':0.0},(270,719):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(270,718):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_5':0.0},(270,717):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_12':0.0},(270,716):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_2':0.0,'7_6':0.0},(270,715):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_3':0.0},(270,714):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_6':0.0,'7_3':0.0},(270,713):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0},(270,712):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(270,711):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_5':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0,'8_12':0.0},(270,710):{'4_1':0.12,'3_1':0.09,'7_5':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0,'-3':0.0},(270,709):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_5':0.03,'7_2':0.03,'6_1':0.0,'7_6':0.0,'6_2':0.0,'-3':0.0,'7_4':0.0},(270,708):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(270,707):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'7_4':0.0,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0},(270,706):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(270,705):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(270,704):{'4_1':0.24,'3_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(270,703):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0},(270,702):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(270,701):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0},(270,700):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0},(270,699):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_6':0.0},(270,698):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0},(270,697):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(270,696):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(270,695):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0},(270,694):{'4_1':0.12,'5_2':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0,'7_6':0.0},(270,693):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_3':0.0,'7_4':0.0},(270,692):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_6':0.0},(270,691):{'4_1':0.18,'5_2':0.15,'3_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0},(270,690):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_9':0.0},(270,689):{'5_2':0.21,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(270,688):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(270,687):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.03,'6_2':0.0},(270,686):{'4_1':0.15,'5_2':0.12,'7_4':0.03,'6_2':0.0,'7_3':0.0,'3_1':0.0,'6_1':0.0,'8_6':0.0,'8_9':0.0},(270,685):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0},(270,684):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'-3':0.0},(270,683):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0},(270,682):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(270,681):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(270,680):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(270,679):{'5_2':0.09,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(270,678):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0},(270,677):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(270,676):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(270,675):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(270,674):{'5_2':0.12,'4_1':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'8_6':0.0,'-3':0.0},(270,673):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(270,672):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0},(270,671):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(270,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(270,669):{'4_1':0.12,'3_1':0.06,'5_2':0.03},(270,668):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_1':0.0},(270,667):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0},(270,666):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0},(270,665):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(270,664):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(270,663):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0},(270,662):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(270,661):{'3_1':0.12,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(270,660):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(270,659):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0},(270,658):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(270,657):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(270,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(270,655):{'4_1':0.15,'3_1':0.12},(270,654):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(270,653):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(270,652):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(270,651):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(270,650):{'4_1':0.15,'3_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0},(270,649):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(270,648):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(270,647):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(270,646):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(270,645):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(270,644):{'3_1':0.24,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(270,643):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(270,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(270,641):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0},(270,640):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(270,639):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(270,638):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(270,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(270,636):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(270,635):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(270,634):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(270,633):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(270,632):{'3_1':0.12,'5_1':0.0},(270,631):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(270,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(270,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(270,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(270,627):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(270,626):{'3_1':0.09,'4_1':0.0},(270,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(270,624):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(270,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(270,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(270,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(270,620):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(270,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(270,618):{'3_1':0.15,'4_1':0.0},(270,617):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(270,616):{'3_1':0.09,'4_1':0.0},(270,615):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(270,614):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(270,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(270,612):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(270,611):{'3_1':0.0,'6_2':0.0},(270,610):{'3_1':0.09,'6_2':0.0,'4_1':0.0},(270,609):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(270,608):{'3_1':0.03,'4_1':0.0},(270,607):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(270,606):{'3_1':0.06,'4_1':0.03},(270,605):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(270,604):{'3_1':0.03,'4_1':0.03,'6_3':0.0},(270,603):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(270,602):{'3_1':0.06},(270,601):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(270,600):{'3_1':0.0},(270,599):{'3_1':0.03,'4_1':0.0},(270,598):{'3_1':0.0},(270,597):{'3_1':0.03},(270,596):{'3_1':0.06,'5_1':0.0},(270,595):{'3_1':0.03,'5_1':0.0},(270,594):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(270,593):{'3_1':0.06},(270,592):{'3_1':0.03,'5_2':0.0},(270,591):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(270,590):{'3_1':0.0},(270,589):{'3_1':0.03},(270,588):{'3_1':0.06},(270,587):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(270,586):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(270,585):{'3_1':0.06,'6_2':0.0},(270,584):{'3_1':0.03,'5_1':0.0},(270,583):{'3_1':0.06},(270,582):{'3_1':0.0},(270,581):{'3_1':0.03,'5_2':0.0},(270,580):{'3_1':0.0,'7_5':0.0},(270,579):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(270,578):{'3_1':0.03},(270,577):{'3_1':0.03},(270,576):{'3_1':0.03,'4_1':0.0},(270,575):{'3_1':0.0},(270,574):{'3_1':0.03},(270,573):{'3_1':0.0,'5_1':0.0},(270,572):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(270,571):{'3_1':0.03},(270,570):{'3_1':0.0,'5_1':0.0},(270,569):{'3_1':0.0,'5_1':0.0},(270,568):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(270,567):{'3_1':0.03},(270,566):{'3_1':0.03},(270,565):{'3_1':0.03,'5_1':0.0},(270,564):{'3_1':0.03},(270,563):{'3_1':0.03},(270,562):{'3_1':0.0,'4_1':0.0},(270,561):{'3_1':0.03},(270,560):{'3_1':0.03,'4_1':0.0},(270,559):{'3_1':0.0,'4_1':0.0},(270,558):{'3_1':0.0,'4_1':0.0},(270,557):{'3_1':0.06,'5_2':0.0},(270,556):{'4_1':0.0},(270,555):{'3_1':0.0,'5_1':0.0},(270,554):{'3_1':0.03},(270,553):{'3_1':0.0},(270,552):{'3_1':0.0},(270,551):{'3_1':0.06},(270,550):{'3_1':0.03,'4_1':0.0},(270,549):{'3_1':0.0},(270,548):{'3_1':0.0},(270,547):{'3_1':0.03},(270,546):{'3_1':0.0,'4_1':0.0},(270,545):{'3_1':0.0,'5_2':0.0},(270,544):{'3_1':0.03},(270,542):{'3_1':0.03},(270,541):{'3_1':0.03},(270,540):{'3_1':0.03},(270,539):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(270,538):{'3_1':0.03},(270,537):{'3_1':0.0},(270,536):{'3_1':0.03},(270,535):{'3_1':0.03},(270,534):{'3_1':0.09,'5_1':0.0},(270,533):{'3_1':0.03},(270,532):{'3_1':0.06},(270,531):{'3_1':0.03},(270,530):{'3_1':0.06},(270,529):{'3_1':0.06},(270,527):{'3_1':0.03},(270,526):{'3_1':0.0,'4_1':0.0},(270,525):{'3_1':0.0},(270,524):{'3_1':0.0},(270,523):{'3_1':0.03},(270,522):{'3_1':0.03,'4_1':0.0},(270,521):{'3_1':0.03},(270,520):{'3_1':0.0},(270,519):{'3_1':0.0},(270,518):{'3_1':0.06,'8_20|3_1#3_1':0.0},(270,517):{'3_1':0.0},(270,516):{'3_1':0.03,'4_1':0.0},(270,514):{'3_1':0.0},(270,512):{'3_1':0.03},(270,511):{'3_1':0.0},(270,510):{'3_1':0.0,'4_1':0.0},(270,509):{'3_1':0.0},(270,508):{'3_1':0.0},(270,507):{'3_1':0.0},(270,506):{'3_1':0.0},(270,505):{'3_1':0.0,'4_1':0.0},(270,502):{'3_1':0.0,'4_1':0.0},(270,501):{'3_1':0.0},(270,499):{'5_2':0.0},(270,497):{'3_1':0.0},(270,496):{'3_1':0.0},(270,495):{'3_1':0.0},(270,494):{'3_1':0.0},(270,493):{'3_1':0.0},(270,492):{'3_1':0.0},(270,491):{'3_1':0.0},(270,490):{'3_1':0.0},(270,489):{'3_1':0.03},(270,488):{'3_1':0.06},(270,487):{'3_1':0.0},(270,485):{'3_1':0.03,'4_1':0.0},(270,484):{'3_1':0.0},(270,483):{'3_1':0.0},(270,482):{'3_1':0.03},(270,481):{'3_1':0.03,'5_1':0.0},(270,480):{'3_1':0.03},(270,479):{'3_1':0.03},(270,478):{'3_1':0.03},(270,477):{'3_1':0.03,'5_2':0.0},(270,476):{'3_1':0.0},(270,475):{'3_1':0.0},(270,474):{'3_1':0.0},(270,473):{'3_1':0.0},(270,471):{'3_1':0.0},(270,470):{'3_1':0.0},(270,469):{'3_1':0.03},(270,468):{'3_1':0.0},(270,467):{'3_1':0.0},(270,466):{'3_1':0.0},(270,465):{'3_1':0.0},(270,463):{'3_1':0.0},(270,462):{'3_1':0.0},(270,461):{'3_1':0.0},(270,460):{'3_1':0.0},(270,458):{'3_1':0.0,'4_1':0.0},(270,457):{'3_1':0.0},(270,455):{'3_1':0.0},(270,454):{'3_1':0.0},(270,453):{'3_1':0.0},(270,452):{'3_1':0.0},(270,451):{'3_1':0.0},(270,449):{'3_1':0.0},(270,448):{'3_1':0.0},(270,447):{'3_1':0.0},(270,445):{'3_1':0.0},(270,444):{'3_1':0.0},(270,443):{'3_1':0.0},(270,440):{'3_1':0.0},(270,439):{'3_1':0.0},(270,437):{'3_1':0.0},(270,436):{'3_1':0.0},(270,435):{'3_1':0.0},(270,434):{'3_1':0.0,'5_2':0.0},(270,433):{'3_1':0.0},(270,431):{'3_1':0.0},(270,427):{'3_1':0.0},(270,426):{'3_1':0.0},(270,425):{'3_1':0.0},(270,424):{'3_1':0.0},(270,423):{'3_1':0.0},(270,422):{'3_1':0.0},(270,419):{'3_1':0.0},(270,418):{'3_1':0.0},(270,415):{'5_1':0.0},(270,413):{'3_1':0.0},(270,412):{'3_1':0.0},(270,411):{'3_1':0.0},(270,410):{'3_1':0.0},(270,409):{'3_1':0.0},(270,408):{'3_1':0.0},(270,407):{'3_1':0.0,'4_1':0.0},(270,406):{'3_1':0.0},(270,405):{'3_1':0.0},(270,403):{'3_1':0.0},(270,389):{'3_1':0.0},(270,385):{'3_1':0.0},(270,384):{'3_1':0.0},(270,383):{'3_1':0.0},(270,382):{'3_1':0.0},(270,381):{'3_1':0.0},(270,378):{'3_1':0.0},(270,377):{'3_1':0.0},(270,372):{'3_1':0.0},(270,370):{'3_1':0.03},(270,368):{'3_1':0.0},(270,366):{'3_1':0.0},(270,365):{'3_1':0.0},(270,364):{'3_1':0.0},(270,357):{'3_1':0.0},(270,356):{'3_1':0.0},(270,355):{'3_1':0.0},(270,344):{'3_1':0.0},(270,342):{'3_1':0.0},(270,326):{'3_1':0.0,'4_1':0.0},(270,323):{'3_1':0.0},(270,321):{'3_1':0.0},(270,320):{'4_1':0.0,'6_1':0.0},(270,317):{'3_1':0.0},(270,311):{'3_1':0.0},(270,307):{'3_1':0.0},(270,305):{'3_1':0.0},(270,304):{'3_1':0.0},(270,302):{'3_1':0.0},(270,300):{'3_1':0.0},(270,296):{'3_1':0.0},(270,285):{'3_1':0.0},(270,279):{'3_1':0.0},(271,727):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0},(271,726):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(271,725):{'4_1':0.21,'5_2':0.03,'7_2':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(271,724):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(271,723):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(271,722):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(271,721):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_6':0.0},(271,720):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(271,719):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(271,718):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_12':0.0},(271,717):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'8_4':0.0,'-3':0.0},(271,716):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0},(271,715):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(271,714):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_14':0.0,'-3':0.0},(271,713):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(271,712):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_14':0.0,'-3':0.0},(271,711):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_5':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(271,710):{'3_1':0.12,'4_1':0.06,'7_5':0.03,'5_2':0.03,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0},(271,709):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_5':0.06,'-3':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(271,708):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'-3':0.0},(271,707):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(271,706):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(271,705):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0},(271,704):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_3':0.0,'7_6':0.0},(271,703):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(271,702):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(271,701):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0},(271,700):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'5_1':0.0,'-3':0.0},(271,699):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(271,698):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_5':0.0,'7_6':0.0},(271,697):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.0,'-3':0.0},(271,696):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_6':0.0},(271,695):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(271,694):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(271,693):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(271,692):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'7_4':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(271,691):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(271,690):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_4':0.0,'8_4':0.0},(271,689):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0},(271,688):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(271,687):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(271,686):{'5_2':0.21,'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_3':0.0,'8_9':0.0},(271,685):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'8_9':0.0},(271,684):{'5_2':0.18,'4_1':0.12,'6_1':0.03,'3_1':0.0,'6_2':0.0,'-3':0.0},(271,683):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(271,682):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(271,681):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(271,680):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_6':0.0},(271,679):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(271,678):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(271,677):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'-3':0.0},(271,676):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(271,675):{'4_1':0.15,'5_2':0.12,'6_1':0.0,'6_2':0.0,'3_1':0.0,'5_1':0.0},(271,674):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(271,673):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'6_2':0.03,'7_3':0.0},(271,672):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(271,671):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(271,670):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0},(271,669):{'4_1':0.12,'3_1':0.06,'5_2':0.06},(271,668):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(271,667):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(271,666):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0},(271,665):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.0,'5_1':0.0},(271,664):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_1':0.0},(271,663):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0},(271,662):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(271,661):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0},(271,660):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.0},(271,659):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0},(271,658):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'8_9':0.0},(271,657):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0},(271,656):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(271,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(271,654):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0},(271,653):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(271,652):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(271,651):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_3':0.0},(271,650):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(271,649):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(271,648):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(271,647):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(271,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(271,645):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'3_1#5_1':0.0},(271,644):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(271,643):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_6':0.0},(271,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(271,641):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(271,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(271,639):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0},(271,638):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(271,637):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(271,636):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(271,635):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(271,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(271,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_9':0.0},(271,632):{'3_1':0.15,'5_1':0.0},(271,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(271,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(271,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(271,628):{'3_1':0.06,'4_1':0.0},(271,627):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(271,626):{'3_1':0.06,'4_1':0.0},(271,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(271,624):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(271,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(271,622):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(271,621):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(271,620):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(271,619):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(271,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(271,617):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(271,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(271,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(271,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(271,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(271,612):{'3_1':0.09},(271,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(271,610):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(271,609):{'3_1':0.06,'4_1':0.03},(271,608):{'3_1':0.06,'4_1':0.0},(271,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(271,606):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(271,605):{'3_1':0.03,'5_2':0.0},(271,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(271,603):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(271,602):{'3_1':0.03,'6_1':0.0},(271,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(271,600):{'3_1':0.09,'4_1':0.0},(271,599):{'3_1':0.0,'4_1':0.0},(271,598):{'4_1':0.0,'3_1':0.0},(271,597):{'3_1':0.0,'4_1':0.0},(271,596):{'3_1':0.03},(271,595):{'3_1':0.06,'5_1':0.0,'7_2':0.0},(271,594):{'3_1':0.03},(271,593):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(271,592):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(271,591):{'3_1':0.03,'5_1':0.0},(271,590):{'3_1':0.06,'6_2':0.0},(271,589):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(271,588):{'3_1':0.06},(271,587):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(271,586):{'3_1':0.03,'5_1':0.0},(271,585):{'3_1':0.03},(271,584):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0},(271,583):{'3_1':0.06,'5_1':0.0},(271,582):{'3_1':0.0},(271,581):{'3_1':0.09},(271,580):{'3_1':0.09},(271,579):{'3_1':0.03},(271,578):{'3_1':0.03},(271,577):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(271,576):{'3_1':0.03,'4_1':0.0},(271,575):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(271,574):{'3_1':0.0,'4_1':0.0},(271,573):{'3_1':0.06},(271,572):{'3_1':0.06},(271,571):{'3_1':0.03},(271,570):{'3_1':0.03,'4_1':0.0},(271,569):{'3_1':0.03},(271,568):{'3_1':0.03,'5_2':0.0},(271,567):{'3_1':0.0,'5_1':0.0},(271,566):{'3_1':0.0},(271,565):{'3_1':0.0},(271,564):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(271,563):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(271,562):{'3_1':0.03},(271,561):{'3_1':0.03},(271,560):{'3_1':0.03,'4_1':0.0},(271,559):{'3_1':0.03},(271,558):{'3_1':0.0,'4_1':0.0},(271,557):{'3_1':0.0},(271,556):{'3_1':0.0},(271,555):{'3_1':0.0},(271,554):{'3_1':0.0,'4_1':0.0},(271,553):{'3_1':0.0},(271,552):{'3_1':0.0,'5_1':0.0},(271,551):{'3_1':0.06},(271,550):{'3_1':0.0},(271,549):{'3_1':0.0},(271,548):{'3_1':0.03},(271,547):{'3_1':0.0},(271,546):{'3_1':0.06},(271,545):{'3_1':0.03},(271,544):{'3_1':0.06},(271,543):{'3_1':0.0},(271,542):{'3_1':0.0},(271,541):{'3_1':0.0},(271,540):{'3_1':0.0},(271,539):{'3_1':0.03},(271,538):{'3_1':0.0},(271,537):{'4_1':0.0},(271,536):{'3_1':0.09},(271,535):{'3_1':0.0},(271,534):{'3_1':0.0,'4_1':0.0},(271,533):{'3_1':0.03},(271,532):{'3_1':0.06},(271,531):{'3_1':0.0,'6_2':0.0},(271,530):{'3_1':0.0,'4_1':0.0},(271,529):{'3_1':0.06},(271,528):{'3_1':0.03},(271,527):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(271,526):{'3_1':0.06},(271,525):{'3_1':0.03},(271,524):{'3_1':0.0},(271,523):{'3_1':0.0,'4_1':0.0},(271,522):{'3_1':0.0},(271,521):{'3_1':0.03},(271,520):{'3_1':0.0},(271,519):{'3_1':0.03},(271,518):{'3_1':0.03},(271,517):{'4_1':0.0,'3_1':0.0},(271,516):{'3_1':0.0},(271,515):{'3_1':0.0},(271,513):{'3_1':0.0},(271,512):{'3_1':0.0},(271,511):{'3_1':0.0,'5_2':0.0},(271,510):{'3_1':0.0},(271,509):{'3_1':0.0,'6_2':0.0},(271,508):{'3_1':0.0},(271,507):{'3_1':0.03,'4_1':0.0},(271,505):{'3_1':0.0},(271,504):{'4_1':0.0,'3_1':0.0},(271,503):{'3_1':0.0},(271,502):{'3_1':0.03},(271,501):{'3_1':0.0},(271,500):{'3_1':0.0},(271,499):{'3_1':0.0},(271,498):{'3_1':0.0,'4_1':0.0},(271,497):{'3_1':0.0},(271,496):{'3_1':0.0},(271,494):{'3_1':0.03},(271,493):{'3_1':0.03},(271,492):{'3_1':0.0},(271,491):{'3_1':0.0},(271,490):{'3_1':0.0},(271,489):{'3_1':0.0},(271,488):{'3_1':0.0},(271,487):{'3_1':0.0,'4_1':0.0},(271,486):{'3_1':0.0},(271,485):{'3_1':0.03},(271,484):{'3_1':0.06},(271,482):{'3_1':0.0},(271,481):{'3_1':0.03},(271,480):{'3_1':0.06},(271,479):{'3_1':0.0},(271,478):{'3_1':0.03},(271,477):{'3_1':0.03},(271,476):{'3_1':0.06},(271,475):{'3_1':0.03},(271,474):{'3_1':0.03},(271,473):{'3_1':0.0},(271,471):{'3_1':0.0},(271,470):{'3_1':0.03},(271,469):{'3_1':0.0},(271,468):{'3_1':0.0},(271,467):{'3_1':0.0},(271,465):{'3_1':0.0},(271,464):{'3_1':0.0},(271,463):{'3_1':0.0,'4_1':0.0},(271,462):{'3_1':0.0},(271,460):{'3_1':0.0},(271,459):{'3_1':0.0},(271,457):{'3_1':0.0},(271,456):{'3_1':0.0},(271,455):{'3_1':0.0},(271,454):{'3_1':0.0,'4_1':0.0},(271,453):{'3_1':0.0},(271,452):{'3_1':0.0},(271,450):{'3_1':0.0},(271,449):{'3_1':0.0},(271,448):{'3_1':0.0},(271,447):{'3_1':0.0},(271,446):{'3_1':0.0},(271,445):{'3_1':0.0},(271,444):{'3_1':0.0},(271,443):{'3_1':0.0},(271,431):{'3_1':0.0},(271,430):{'3_1':0.0},(271,428):{'3_1':0.0},(271,427):{'3_1':0.0},(271,426):{'3_1':0.0},(271,424):{'3_1':0.0},(271,423):{'3_1':0.0},(271,422):{'3_1':0.0},(271,420):{'3_1':0.0},(271,418):{'3_1':0.0},(271,417):{'3_1':0.0},(271,415):{'3_1':0.0,'5_1':0.0},(271,414):{'7_1':0.0},(271,412):{'3_1':0.0},(271,410):{'4_1':0.0},(271,407):{'3_1':0.03},(271,403):{'3_1':0.0},(271,391):{'3_1':0.0},(271,390):{'3_1':0.0},(271,389):{'3_1':0.0},(271,387):{'3_1':0.0},(271,382):{'3_1':0.0},(271,366):{'3_1':0.0},(271,365):{'3_1':0.0},(271,364):{'3_1':0.0},(271,362):{'3_1':0.0},(271,361):{'3_1':0.0},(271,359):{'3_1':0.0},(271,342):{'3_1':0.0},(271,335):{'3_1':0.0},(271,332):{'8_1':0.0},(271,328):{'3_1':0.0},(271,301):{'3_1':0.0},(271,299):{'3_1':0.0},(271,297):{'3_1':0.0},(271,296):{'3_1':0.0},(271,282):{'3_1':0.0},(272,727):{'4_1':0.09,'5_2':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(272,726):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_5':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(272,725):{'4_1':0.15,'3_1':0.09,'7_5':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(272,724):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'7_5':0.03,'6_1':0.0,'5_1':0.0},(272,723):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_5':0.0,'6_2':0.0},(272,722):{'4_1':0.12,'5_2':0.03,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'8_6':0.0},(272,721):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0},(272,720):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(272,719):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(272,718):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(272,717):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'-3':0.0},(272,716):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(272,715):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(272,714):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'8_6':0.0,'8_8':0.0,'-3':0.0},(272,713):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_6':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(272,712):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0,'-3':0.0},(272,711):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_3':0.0,'-3':0.0},(272,710):{'4_1':0.12,'3_1':0.03,'7_5':0.03,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(272,709):{'4_1':0.09,'7_5':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_6':0.0},(272,708):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_5':0.0,'7_6':0.0},(272,707):{'4_1':0.21,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0},(272,706):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0,'7_4':0.0,'7_6':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(272,705):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'7_4':0.0,'6_1':0.0,'7_6':0.0,'8_1':0.0},(272,704):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(272,703):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(272,702):{'5_2':0.06,'4_1':0.06,'6_2':0.03,'3_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0,'8_9':0.0},(272,701):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'6_3':0.0,'8_4':0.0},(272,700):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(272,699):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(272,698):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(272,697):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0},(272,696):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(272,695):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(272,694):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0},(272,693):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0},(272,692):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.03,'7_4':0.03,'6_3':0.0},(272,691):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0},(272,690):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(272,689):{'5_2':0.18,'4_1':0.09,'6_1':0.06,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0},(272,688):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(272,687):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(272,686):{'4_1':0.12,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.0,'8_4':0.0,'6_2':0.0,'8_9':0.0},(272,685):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(272,684):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0},(272,683):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0},(272,682):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.0},(272,681):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(272,680):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'8_11':0.0},(272,679):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'8_1':0.0},(272,678):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_12':0.0},(272,677):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(272,676):{'4_1':0.12,'5_2':0.12,'6_2':0.0,'7_3':0.0,'3_1':0.0,'6_1':0.0,'6_3':0.0},(272,675):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(272,674):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'8_4':0.0},(272,673):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0},(272,672):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.0,'3_1':0.0},(272,671):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0},(272,670):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_2':0.0,'6_1':0.0,'8_3':0.0,'8_11':0.0},(272,669):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0},(272,668):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0},(272,667):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(272,666):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0},(272,665):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0},(272,664):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0},(272,663):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(272,662):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(272,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0},(272,660):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0},(272,659):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_6':0.0,'-3':0.0},(272,658):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(272,657):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(272,656):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'-3':0.0},(272,655):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(272,654):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(272,653):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'5_2':0.0},(272,652):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(272,651):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'7_1':0.0},(272,650):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(272,649):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'5_1':0.0},(272,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(272,647):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(272,646):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(272,645):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(272,644):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,643):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(272,642):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(272,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_1':0.0},(272,639):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'7_1':0.0},(272,638):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(272,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(272,636):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(272,635):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(272,634):{'3_1':0.09,'5_1':0.0},(272,633):{'3_1':0.18,'4_1':0.0},(272,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,631):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(272,630):{'3_1':0.15,'4_1':0.03,'8_20|3_1#3_1':0.0},(272,629):{'3_1':0.09,'4_1':0.0},(272,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(272,627):{'3_1':0.09,'5_1':0.0},(272,626):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(272,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(272,624):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(272,623):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_1':0.0},(272,622):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_17':0.0},(272,621):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(272,620):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(272,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(272,618):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(272,617):{'3_1':0.06,'4_1':0.0},(272,616):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_5':0.0},(272,615):{'3_1':0.12},(272,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(272,613):{'3_1':0.09,'6_2':0.0},(272,612):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(272,611):{'3_1':0.15,'4_1':0.0},(272,610):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(272,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(272,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(272,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(272,606):{'3_1':0.06,'4_1':0.0},(272,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(272,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,603):{'3_1':0.0,'4_1':0.0},(272,602):{'3_1':0.03,'4_1':0.0},(272,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(272,600):{'3_1':0.0,'4_1':0.0},(272,599):{'3_1':0.03},(272,598):{'3_1':0.06},(272,597):{'3_1':0.03,'5_1':0.0},(272,596):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(272,595):{'3_1':0.0,'5_1':0.0},(272,594):{'3_1':0.0},(272,593):{'3_1':0.06,'5_1':0.0},(272,592):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(272,591):{'3_1':0.0},(272,590):{'3_1':0.09},(272,589):{'3_1':0.06,'5_1':0.0},(272,588):{'3_1':0.03,'4_1':0.0},(272,587):{'3_1':0.03,'5_2':0.0},(272,586):{'3_1':0.0},(272,585):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(272,584):{'3_1':0.03},(272,583):{'3_1':0.03,'4_1':0.0},(272,582):{'3_1':0.03},(272,581):{'3_1':0.03,'4_1':0.0},(272,580):{'3_1':0.03,'5_1':0.0},(272,579):{'3_1':0.0},(272,578):{'3_1':0.06},(272,577):{'3_1':0.0},(272,576):{'3_1':0.03},(272,575):{'3_1':0.0,'4_1':0.0},(272,574):{'3_1':0.03},(272,573):{'3_1':0.03},(272,572):{'3_1':0.0,'4_1':0.0},(272,571):{'3_1':0.0,'5_1':0.0},(272,570):{'3_1':0.03,'5_1':0.0},(272,569):{'3_1':0.03},(272,568):{'3_1':0.03,'4_1':0.0},(272,567):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(272,566):{'3_1':0.06,'5_2':0.0},(272,565):{'3_1':0.0},(272,564):{'3_1':0.0,'4_1':0.0},(272,563):{'3_1':0.0,'5_1':0.0},(272,562):{'3_1':0.0},(272,561):{'3_1':0.06},(272,560):{'3_1':0.0,'5_2':0.0},(272,559):{'3_1':0.0,'4_1':0.0},(272,558):{'3_1':0.0},(272,557):{'3_1':0.03},(272,556):{'3_1':0.0},(272,555):{'5_1':0.0},(272,554):{'3_1':0.0},(272,553):{'3_1':0.0},(272,551):{'3_1':0.03},(272,550):{'3_1':0.03,'6_2':0.0},(272,549):{'3_1':0.03},(272,548):{'3_1':0.0},(272,547):{'3_1':0.03,'5_2':0.0},(272,546):{'3_1':0.0},(272,545):{'3_1':0.03},(272,544):{'3_1':0.03,'4_1':0.0},(272,543):{'3_1':0.0,'4_1':0.0},(272,542):{'3_1':0.03},(272,541):{'3_1':0.0},(272,540):{'3_1':0.03},(272,539):{'3_1':0.0},(272,538):{'3_1':0.0},(272,537):{'3_1':0.0,'4_1':0.0},(272,536):{'3_1':0.0},(272,535):{'3_1':0.0},(272,534):{'3_1':0.03},(272,533):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(272,532):{'3_1':0.0},(272,531):{'3_1':0.0},(272,530):{'3_1':0.03,'5_1':0.0},(272,529):{'3_1':0.0},(272,528):{'3_1':0.0},(272,527):{'3_1':0.03},(272,526):{'3_1':0.06},(272,525):{'3_1':0.0},(272,524):{'3_1':0.0},(272,523):{'3_1':0.03},(272,522):{'3_1':0.0},(272,520):{'3_1':0.03},(272,519):{'3_1':0.0},(272,518):{'3_1':0.0},(272,517):{'3_1':0.0},(272,516):{'3_1':0.0},(272,515):{'3_1':0.0},(272,514):{'3_1':0.0,'4_1':0.0},(272,512):{'3_1':0.0,'4_1':0.0},(272,511):{'3_1':0.0,'4_1':0.0},(272,510):{'3_1':0.0,'5_2':0.0},(272,508):{'3_1':0.0},(272,507):{'3_1':0.0,'4_1':0.0},(272,506):{'3_1':0.0,'4_1':0.0},(272,505):{'3_1':0.0},(272,504):{'3_1':0.0},(272,503):{'3_1':0.0},(272,502):{'3_1':0.0},(272,501):{'3_1':0.0},(272,500):{'4_1':0.0,'3_1':0.0},(272,499):{'3_1':0.0},(272,498):{'3_1':0.0,'4_1':0.0},(272,497):{'3_1':0.0},(272,496):{'3_1':0.0},(272,495):{'3_1':0.0},(272,494):{'3_1':0.0},(272,493):{'3_1':0.03},(272,492):{'4_1':0.0},(272,491):{'3_1':0.0},(272,490):{'3_1':0.03},(272,488):{'3_1':0.03},(272,487):{'3_1':0.0},(272,486):{'3_1':0.0},(272,485):{'3_1':0.0},(272,484):{'3_1':0.03,'4_1':0.0},(272,483):{'3_1':0.03},(272,482):{'3_1':0.03,'4_1':0.0},(272,481):{'3_1':0.0},(272,480):{'3_1':0.03},(272,479):{'3_1':0.03},(272,478):{'3_1':0.03},(272,477):{'3_1':0.03},(272,476):{'3_1':0.0},(272,475):{'3_1':0.0,'4_1':0.0},(272,474):{'3_1':0.06},(272,473):{'3_1':0.03},(272,472):{'3_1':0.03},(272,471):{'3_1':0.0},(272,470):{'3_1':0.0},(272,469):{'3_1':0.0},(272,468):{'3_1':0.0},(272,467):{'3_1':0.0},(272,466):{'3_1':0.03},(272,465):{'3_1':0.0},(272,464):{'3_1':0.03},(272,462):{'3_1':0.0},(272,460):{'3_1':0.0},(272,459):{'3_1':0.0},(272,458):{'3_1':0.0},(272,457):{'3_1':0.0},(272,455):{'3_1':0.0},(272,454):{'3_1':0.0},(272,453):{'3_1':0.0},(272,452):{'3_1':0.0},(272,449):{'3_1':0.0},(272,448):{'3_1':0.0},(272,444):{'3_1':0.0},(272,443):{'3_1':0.0},(272,442):{'3_1':0.0},(272,441):{'3_1':0.0},(272,440):{'3_1':0.0},(272,439):{'3_1':0.0},(272,437):{'3_1':0.0},(272,435):{'3_1':0.0},(272,434):{'3_1':0.0},(272,433):{'3_1':0.0},(272,432):{'3_1':0.0},(272,431):{'3_1':0.0},(272,430):{'3_1':0.0},(272,429):{'3_1':0.0},(272,428):{'3_1':0.0},(272,427):{'3_1':0.0},(272,426):{'3_1':0.0},(272,424):{'3_1':0.0},(272,423):{'3_1':0.0},(272,421):{'3_1':0.0},(272,420):{'3_1':0.0},(272,419):{'3_1':0.03},(272,418):{'3_1':0.0},(272,417):{'3_1':0.0},(272,416):{'3_1':0.0},(272,413):{'3_1':0.0},(272,411):{'5_2':0.0,'9_1':0.0},(272,409):{'7_1':0.0},(272,406):{'3_1':0.0},(272,405):{'3_1':0.0},(272,403):{'3_1':0.0},(272,402):{'3_1':0.0},(272,400):{'6_1':0.0},(272,399):{'3_1':0.0},(272,398):{'3_1':0.0,'7_2':0.0},(272,384):{'3_1':0.0},(272,383):{'3_1':0.0},(272,378):{'3_1':0.0},(272,376):{'5_2':0.0},(272,375):{'3_1':0.0},(272,374):{'3_1':0.0},(272,373):{'3_1':0.0},(272,371):{'3_1':0.0},(272,366):{'3_1':0.0},(272,362):{'3_1':0.0},(272,361):{'3_1':0.0,'8_1':0.0},(272,356):{'5_2':0.0},(272,346):{'3_1':0.0},(272,343):{'3_1':0.0},(272,336):{'-3':0.0},(272,298):{'3_1':0.0},(273,727):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(273,726):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(273,725):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(273,724):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(273,723):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0},(273,722):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0},(273,721):{'4_1':0.12,'3_1':0.09,'5_2':0.06},(273,720):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(273,719):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(273,718):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_14':0.0},(273,717):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_6':0.0},(273,716):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(273,715):{'4_1':0.12,'3_1':0.09,'7_4':0.03,'5_2':0.0,'7_6':0.0,'6_2':0.0,'8_8':0.0,'8_12':0.0},(273,714):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(273,713):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(273,712):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_5':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0},(273,711):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(273,710):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_6':0.0,'-3':0.0},(273,709):{'4_1':0.12,'3_1':0.06,'-3':0.06,'7_5':0.03,'5_2':0.0,'7_2':0.0,'6_1':0.0,'6_2':0.0},(273,708):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_5':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0,'-3':0.0},(273,707):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(273,706):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0},(273,705):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0},(273,704):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(273,703):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_6':0.0},(273,702):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'7_5':0.0,'5_1':0.0,'7_4':0.0},(273,701):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.0,'6_2':0.0},(273,700):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(273,699):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'7_4':0.03,'6_2':0.0,'7_2':0.0,'7_6':0.0},(273,698):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(273,697):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(273,696):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(273,695):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_3':0.0},(273,694):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0},(273,693):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.03,'7_4':0.0,'6_2':0.0,'8_9':0.0},(273,692):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'7_4':0.03,'3_1':0.0,'7_3':0.0},(273,691):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(273,690):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'8_2':0.0},(273,689):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(273,688):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(273,687):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0},(273,686):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(273,685):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0},(273,684):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0},(273,683):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0},(273,682):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(273,681):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(273,680):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(273,679):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(273,678):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0},(273,677):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'9_1':0.0},(273,676):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0},(273,675):{'4_1':0.12,'5_2':0.09,'7_3':0.03,'3_1':0.0,'6_2':0.0,'8_3':0.0},(273,674):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0},(273,673):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0},(273,672):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(273,671):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'8_3':0.0},(273,670):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0},(273,669):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(273,668):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(273,667):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0},(273,666):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_1':0.0},(273,665):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(273,664):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0},(273,663):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0},(273,662):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_1':0.0,'8_4':0.0},(273,661):{'4_1':0.15,'3_1':0.09,'5_2':0.03},(273,660):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0},(273,659):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_9':0.0},(273,658):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0},(273,657):{'4_1':0.12,'3_1':0.09,'7_1':0.0,'6_3':0.0},(273,656):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_2':0.0},(273,655):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0},(273,654):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0},(273,653):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'6_2':0.0},(273,652):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(273,651):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(273,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_5':0.0},(273,649):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(273,648):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(273,647):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(273,646):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(273,645):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(273,644):{'3_1':0.3,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(273,643):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(273,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(273,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(273,640):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(273,639):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(273,638):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(273,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(273,636):{'3_1':0.12,'4_1':0.0},(273,635):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(273,634):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(273,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(273,632):{'3_1':0.18,'5_1':0.0},(273,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(273,630):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(273,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(273,628):{'3_1':0.09,'4_1':0.0},(273,627):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(273,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(273,625):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(273,624):{'3_1':0.18,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(273,623):{'3_1':0.09,'4_1':0.0},(273,622):{'3_1':0.09,'4_1':0.0},(273,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(273,620):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(273,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(273,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_3':0.0},(273,617):{'3_1':0.03,'4_1':0.03},(273,616):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(273,615):{'3_1':0.06,'4_1':0.0},(273,614):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(273,613):{'3_1':0.0,'4_1':0.0},(273,612):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(273,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(273,610):{'3_1':0.06,'4_1':0.03,'5_1':0.03},(273,609):{'3_1':0.06},(273,608):{'3_1':0.03,'4_1':0.0},(273,607):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(273,606):{'3_1':0.06,'4_1':0.0},(273,605):{'3_1':0.06},(273,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(273,603):{'3_1':0.03},(273,602):{'3_1':0.06,'4_1':0.0},(273,601):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(273,600):{'3_1':0.03,'4_1':0.0},(273,599):{'5_2':0.0},(273,598):{'3_1':0.03},(273,597):{'3_1':0.03,'5_2':0.0},(273,596):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(273,595):{'3_1':0.03},(273,594):{'3_1':0.06},(273,593):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(273,592):{'3_1':0.03,'5_2':0.0},(273,591):{'3_1':0.0,'4_1':0.0},(273,590):{'3_1':0.09,'4_1':0.0},(273,589):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(273,588):{'3_1':0.0},(273,587):{'3_1':0.0,'5_1':0.0},(273,586):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(273,585):{'3_1':0.03},(273,584):{'3_1':0.0,'5_1':0.0},(273,583):{'3_1':0.0},(273,582):{'3_1':0.03},(273,581):{'3_1':0.03,'4_1':0.0},(273,580):{'3_1':0.03,'5_1':0.0},(273,579):{'3_1':0.06},(273,578):{'3_1':0.03},(273,577):{'3_1':0.03,'4_1':0.0},(273,576):{'3_1':0.03,'4_1':0.0},(273,575):{'3_1':0.03,'4_1':0.0},(273,574):{'3_1':0.0},(273,573):{'3_1':0.0,'5_2':0.0},(273,572):{'3_1':0.0},(273,571):{'3_1':0.0},(273,570):{'3_1':0.0},(273,569):{'3_1':0.03},(273,568):{'3_1':0.06},(273,567):{'3_1':0.0},(273,565):{'3_1':0.0,'5_1':0.0},(273,564):{'3_1':0.03},(273,563):{'3_1':0.0},(273,562):{'3_1':0.0},(273,561):{'5_2':0.0},(273,560):{'3_1':0.0},(273,558):{'3_1':0.0},(273,557):{'3_1':0.03,'4_1':0.0},(273,556):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(273,555):{'3_1':0.0},(273,554):{'3_1':0.0,'4_1':0.0},(273,553):{'3_1':0.0,'6_2':0.0},(273,552):{'3_1':0.0},(273,551):{'3_1':0.03},(273,550):{'3_1':0.0,'4_1':0.0},(273,549):{'3_1':0.03,'6_2':0.0},(273,548):{'3_1':0.03,'4_1':0.0},(273,547):{'3_1':0.0},(273,546):{'3_1':0.0},(273,545):{'3_1':0.0},(273,544):{'3_1':0.0,'4_1':0.0},(273,543):{'3_1':0.0},(273,542):{'3_1':0.0},(273,541):{'3_1':0.03,'5_2':0.0},(273,540):{'3_1':0.0},(273,538):{'3_1':0.0,'4_1':0.0},(273,537):{'3_1':0.0,'6_2':0.0},(273,536):{'3_1':0.0},(273,535):{'3_1':0.03},(273,534):{'3_1':0.0},(273,533):{'3_1':0.03,'5_1':0.0},(273,532):{'3_1':0.0},(273,531):{'3_1':0.0},(273,530):{'3_1':0.0},(273,529):{'3_1':0.0,'4_1':0.0},(273,528):{'3_1':0.0},(273,527):{'3_1':0.0,'7_2':0.0},(273,526):{'3_1':0.03},(273,525):{'3_1':0.0},(273,523):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(273,522):{'3_1':0.0},(273,521):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(273,520):{'3_1':0.0,'4_1':0.0},(273,519):{'3_1':0.0},(273,518):{'3_1':0.0},(273,517):{'3_1':0.0},(273,516):{'3_1':0.0},(273,515):{'3_1':0.0},(273,514):{'3_1':0.0},(273,513):{'3_1':0.03},(273,512):{'3_1':0.0},(273,511):{'3_1':0.0},(273,509):{'3_1':0.0},(273,508):{'3_1':0.0},(273,507):{'4_1':0.0},(273,506):{'3_1':0.0},(273,505):{'3_1':0.0},(273,504):{'3_1':0.0},(273,503):{'3_1':0.0,'4_1':0.0},(273,502):{'3_1':0.0},(273,501):{'3_1':0.0},(273,500):{'3_1':0.0},(273,499):{'3_1':0.0},(273,498):{'3_1':0.0},(273,497):{'3_1':0.0},(273,496):{'3_1':0.0},(273,495):{'3_1':0.03},(273,494):{'3_1':0.0},(273,493):{'3_1':0.0},(273,492):{'3_1':0.0},(273,491):{'3_1':0.0},(273,490):{'3_1':0.0},(273,489):{'3_1':0.0},(273,488):{'3_1':0.0},(273,487):{'3_1':0.0},(273,486):{'3_1':0.03},(273,485):{'3_1':0.0},(273,484):{'3_1':0.03},(273,483):{'3_1':0.0},(273,482):{'3_1':0.0},(273,481):{'3_1':0.03},(273,480):{'3_1':0.03},(273,479):{'3_1':0.03},(273,478):{'3_1':0.0},(273,477):{'3_1':0.03},(273,476):{'3_1':0.06},(273,475):{'3_1':0.0},(273,474):{'3_1':0.03},(273,473):{'3_1':0.0},(273,472):{'3_1':0.0},(273,471):{'3_1':0.0},(273,470):{'3_1':0.03},(273,469):{'3_1':0.0},(273,468):{'3_1':0.0},(273,467):{'3_1':0.03},(273,466):{'3_1':0.0,'5_2':0.0},(273,465):{'3_1':0.03},(273,463):{'3_1':0.0,'5_2':0.0},(273,462):{'3_1':0.0},(273,460):{'3_1':0.0},(273,458):{'3_1':0.0},(273,456):{'3_1':0.0},(273,455):{'3_1':0.0},(273,453):{'3_1':0.0},(273,452):{'3_1':0.0},(273,451):{'3_1':0.0},(273,448):{'3_1':0.0},(273,447):{'3_1':0.0},(273,445):{'3_1':0.0},(273,443):{'3_1':0.0},(273,442):{'3_1':0.0},(273,441):{'3_1':0.0},(273,440):{'3_1':0.0},(273,439):{'3_1':0.0},(273,436):{'3_1':0.0},(273,435):{'3_1':0.0},(273,434):{'3_1':0.03},(273,432):{'3_1':0.0},(273,431):{'3_1':0.0},(273,430):{'3_1':0.0},(273,429):{'3_1':0.0},(273,428):{'3_1':0.0},(273,425):{'3_1':0.0},(273,424):{'3_1':0.0},(273,423):{'3_1':0.0},(273,422):{'4_1':0.0},(273,420):{'3_1':0.0},(273,419):{'3_1':0.0},(273,418):{'3_1':0.0},(273,417):{'3_1':0.0},(273,416):{'4_1':0.0},(273,413):{'3_1':0.0},(273,412):{'3_1':0.0,'6_2':0.0},(273,411):{'3_1':0.0},(273,409):{'3_1':0.0},(273,408):{'3_1':0.0},(273,407):{'3_1':0.0},(273,406):{'3_1':0.0},(273,405):{'3_1':0.0},(273,402):{'3_1':0.0},(273,398):{'3_1':0.0},(273,385):{'3_1':0.0},(273,384):{'3_1':0.0},(273,382):{'3_1':0.0},(273,381):{'3_1':0.0},(273,380):{'3_1':0.0},(273,379):{'3_1':0.0},(273,376):{'3_1':0.0},(273,373):{'3_1':0.0},(273,372):{'3_1':0.0},(273,370):{'3_1':0.0},(273,365):{'3_1':0.0},(273,363):{'3_1':0.0},(273,362):{'3_1':0.0},(273,361):{'3_1':0.0},(273,359):{'3_1':0.0},(273,358):{'3_1':0.0},(273,357):{'3_1':0.0},(273,346):{'3_1':0.0},(273,341):{'3_1':0.0},(273,298):{'3_1':0.0},(273,297):{'3_1':0.0},(273,291):{'3_1':0.0},(273,282):{'3_1':0.0},(273,279):{'3_1':0.0},(273,278):{'3_1':0.0},(274,727):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(274,726):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0},(274,725):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_5':0.0,'6_2':0.0,'7_4':0.0},(274,724):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(274,723):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(274,722):{'4_1':0.12,'3_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0},(274,721):{'4_1':0.15,'3_1':0.09,'6_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(274,720):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0},(274,719):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0},(274,718):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(274,717):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(274,716):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_6':0.0},(274,715):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_8':0.0},(274,714):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0,'7_6':0.0,'8_8':0.0,'9_1':0.0},(274,713):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_6':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(274,712):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'8_6':0.0,'8_14':0.0},(274,711):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0,'6_1':0.0,'6_3':0.0,'8_4':0.0,'8_14':0.0},(274,710):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_3':0.0,'8_4':0.0,'8_14':0.0,'-3':0.0},(274,709):{'3_1':0.09,'4_1':0.09,'7_5':0.03,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'8_4':0.0},(274,708):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0,'8_14':0.0,'-3':0.0},(274,707):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(274,706):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(274,705):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(274,704):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(274,703):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_2':0.0},(274,702):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_4':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(274,701):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_6':0.0,'5_1':0.0},(274,700):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(274,699):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_2':0.0},(274,698):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_4':0.0,'6_2':0.0,'6_1':0.0},(274,697):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(274,696):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(274,695):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(274,694):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.03,'7_3':0.0},(274,693):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0,'8_3':0.0},(274,692):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_2':0.0},(274,691):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(274,690):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(274,689):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(274,688):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_4':0.0,'6_1':0.0,'7_5':0.0},(274,687):{'4_1':0.12,'5_2':0.09,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(274,686):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0},(274,685):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(274,684):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0},(274,683):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0},(274,682):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(274,681):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(274,680):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(274,679):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'6_2':0.0},(274,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0},(274,677):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0},(274,676):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0},(274,675):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(274,674):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_6':0.0},(274,673):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(274,672):{'4_1':0.18,'5_2':0.15,'3_1':0.03,'6_1':0.0},(274,671):{'5_2':0.12,'4_1':0.09,'3_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0},(274,670):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0},(274,669):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(274,668):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(274,667):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(274,666):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0,'8_1':0.0},(274,665):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(274,664):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0},(274,663):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0},(274,662):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(274,661):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(274,660):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_1':0.0},(274,659):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(274,658):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(274,657):{'3_1':0.18,'4_1':0.15,'6_2':0.0},(274,656):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(274,655):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'6_1':0.0},(274,654):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'7_2':0.0},(274,653):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'6_2':0.0},(274,652):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(274,651):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(274,650):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(274,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(274,648):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0},(274,647):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(274,646):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(274,645):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(274,644):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(274,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(274,642):{'3_1':0.12,'4_1':0.03,'7_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(274,641):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(274,640):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'6_2':0.0},(274,639):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(274,638):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(274,637):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_17':0.0},(274,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(274,635):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(274,634):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(274,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_1':0.0},(274,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(274,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(274,630):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(274,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(274,628):{'3_1':0.09},(274,627):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(274,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(274,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(274,624):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(274,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(274,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(274,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(274,620):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(274,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(274,618):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(274,617):{'3_1':0.12,'4_1':0.0},(274,616):{'3_1':0.09,'5_2':0.0,'6_3':0.0},(274,615):{'3_1':0.12,'4_1':0.0},(274,614):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(274,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(274,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(274,611):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(274,610):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(274,609):{'3_1':0.0,'4_1':0.0},(274,608):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(274,607):{'3_1':0.03,'4_1':0.0},(274,606):{'3_1':0.03,'4_1':0.0},(274,605):{'3_1':0.03},(274,604):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(274,603):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(274,602):{'3_1':0.0},(274,601):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(274,600):{'3_1':0.0},(274,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(274,598):{'3_1':0.06},(274,597):{'3_1':0.03},(274,596):{'3_1':0.03},(274,595):{'3_1':0.0},(274,594):{'3_1':0.06,'4_1':0.0},(274,593):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(274,592):{'3_1':0.0},(274,591):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(274,590):{'3_1':0.03},(274,589):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(274,588):{'3_1':0.09,'5_1':0.0},(274,587):{'3_1':0.03},(274,586):{'3_1':0.06},(274,585):{'3_1':0.03,'4_1':0.0},(274,584):{'3_1':0.06},(274,583):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(274,582):{'3_1':0.09},(274,581):{'3_1':0.03},(274,580):{'3_1':0.03},(274,579):{'3_1':0.0,'5_1':0.0},(274,578):{'3_1':0.03},(274,577):{'3_1':0.0,'4_1':0.0},(274,576):{'3_1':0.03},(274,575):{'3_1':0.0,'8_20|3_1#3_1':0.0},(274,574):{'3_1':0.03,'5_2':0.0},(274,573):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(274,572):{'3_1':0.0},(274,571):{'3_1':0.06},(274,570):{'3_1':0.03,'4_1':0.0},(274,569):{'3_1':0.0,'4_1':0.0},(274,568):{'3_1':0.0},(274,567):{'3_1':0.03},(274,566):{'3_1':0.0},(274,565):{'3_1':0.0},(274,564):{'3_1':0.0,'4_1':0.0},(274,563):{'3_1':0.0,'4_1':0.0},(274,562):{'3_1':0.03},(274,561):{'3_1':0.0,'4_1':0.0},(274,560):{'3_1':0.03},(274,559):{'3_1':0.03,'4_1':0.0},(274,558):{'3_1':0.03},(274,557):{'3_1':0.0,'5_2':0.0},(274,556):{'3_1':0.0},(274,555):{'3_1':0.03},(274,554):{'3_1':0.03},(274,553):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(274,552):{'3_1':0.03},(274,551):{'3_1':0.0},(274,550):{'3_1':0.06},(274,549):{'3_1':0.03},(274,548):{'3_1':0.0},(274,547):{'3_1':0.0,'4_1':0.0},(274,546):{'3_1':0.0,'4_1':0.0},(274,545):{'3_1':0.06},(274,543):{'3_1':0.0},(274,542):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(274,541):{'3_1':0.0},(274,540):{'3_1':0.03},(274,539):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(274,538):{'3_1':0.0},(274,537):{'3_1':0.03},(274,536):{'3_1':0.0},(274,535):{'3_1':0.0},(274,534):{'3_1':0.0},(274,533):{'3_1':0.0,'5_2':0.0},(274,532):{'3_1':0.03},(274,531):{'3_1':0.0},(274,530):{'3_1':0.03,'4_1':0.0},(274,529):{'3_1':0.0,'4_1':0.0},(274,528):{'3_1':0.0,'4_1':0.0},(274,527):{'3_1':0.03,'4_1':0.0},(274,526):{'3_1':0.0},(274,525):{'3_1':0.0},(274,524):{'3_1':0.0},(274,523):{'3_1':0.0},(274,522):{'3_1':0.0},(274,521):{'3_1':0.03},(274,520):{'3_1':0.0},(274,519):{'3_1':0.0},(274,518):{'3_1':0.03,'4_1':0.0},(274,517):{'3_1':0.0},(274,516):{'3_1':0.0},(274,515):{'3_1':0.03},(274,514):{'3_1':0.0},(274,513):{'3_1':0.0,'4_1':0.0},(274,512):{'3_1':0.0,'4_1':0.0},(274,511):{'3_1':0.03},(274,510):{'3_1':0.0},(274,509):{'3_1':0.0},(274,508):{'3_1':0.0},(274,507):{'3_1':0.0},(274,506):{'3_1':0.0,'4_1':0.0},(274,505):{'3_1':0.0,'4_1':0.0},(274,504):{'3_1':0.0,'4_1':0.0},(274,503):{'3_1':0.0},(274,502):{'3_1':0.0,'4_1':0.0},(274,501):{'3_1':0.0},(274,500):{'3_1':0.0},(274,499):{'3_1':0.0,'4_1':0.0},(274,498):{'3_1':0.0},(274,496):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(274,495):{'3_1':0.0,'5_2':0.0},(274,494):{'3_1':0.03,'4_1':0.0},(274,493):{'3_1':0.0},(274,492):{'3_1':0.03},(274,490):{'3_1':0.0},(274,489):{'3_1':0.0},(274,488):{'3_1':0.0},(274,486):{'3_1':0.0,'5_2':0.0},(274,485):{'3_1':0.0,'4_1':0.0},(274,484):{'3_1':0.0},(274,483):{'3_1':0.03},(274,482):{'3_1':0.03},(274,481):{'3_1':0.03},(274,480):{'3_1':0.0,'5_2':0.0},(274,479):{'3_1':0.03},(274,478):{'3_1':0.03},(274,477):{'3_1':0.03},(274,476):{'3_1':0.0},(274,475):{'3_1':0.03},(274,474):{'3_1':0.0},(274,473):{'3_1':0.03},(274,472):{'3_1':0.03},(274,471):{'3_1':0.03},(274,470):{'3_1':0.03},(274,469):{'3_1':0.0},(274,468):{'3_1':0.0},(274,467):{'3_1':0.0},(274,465):{'3_1':0.0},(274,464):{'3_1':0.0},(274,462):{'3_1':0.0},(274,461):{'3_1':0.0},(274,459):{'3_1':0.0},(274,458):{'3_1':0.03,'4_1':0.0},(274,457):{'3_1':0.0},(274,455):{'3_1':0.0},(274,454):{'3_1':0.0},(274,453):{'3_1':0.0,'5_2':0.0},(274,451):{'3_1':0.0},(274,450):{'3_1':0.0,'4_1':0.0},(274,448):{'3_1':0.0},(274,447):{'3_1':0.0},(274,446):{'3_1':0.0},(274,444):{'4_1':0.0},(274,443):{'3_1':0.0},(274,442):{'3_1':0.0},(274,441):{'3_1':0.0},(274,437):{'3_1':0.0},(274,436):{'3_1':0.0},(274,435):{'3_1':0.0,'7_2':0.0},(274,434):{'3_1':0.0},(274,431):{'3_1':0.0},(274,430):{'3_1':0.0},(274,429):{'3_1':0.03},(274,428):{'3_1':0.0},(274,427):{'3_1':0.03},(274,426):{'3_1':0.0},(274,425):{'3_1':0.0},(274,423):{'3_1':0.0},(274,422):{'3_1':0.0},(274,420):{'3_1':0.0},(274,419):{'3_1':0.0},(274,418):{'3_1':0.0},(274,410):{'4_1':0.0,'5_1':0.0},(274,409):{'3_1':0.0,'5_1':0.0},(274,407):{'3_1':0.0,'4_1':0.0},(274,405):{'3_1':0.0},(274,404):{'3_1':0.0},(274,402):{'3_1':0.0},(274,401):{'3_1':0.0},(274,400):{'3_1':0.0},(274,391):{'3_1':0.0},(274,388):{'3_1':0.0},(274,384):{'3_1':0.0},(274,383):{'3_1':0.0},(274,382):{'4_1':0.0},(274,381):{'3_1':0.0},(274,375):{'3_1':0.0},(274,373):{'3_1':0.0},(274,371):{'3_1':0.0},(274,369):{'3_1':0.0},(274,365):{'3_1':0.0},(274,363):{'3_1':0.0,'4_1':0.0},(274,362):{'3_1':0.0},(274,361):{'3_1':0.0},(274,359):{'3_1':0.0},(274,358):{'3_1':0.0},(274,356):{'3_1':0.0},(274,353):{'3_1':0.0},(274,344):{'3_1':0.0},(274,342):{'3_1':0.0},(274,336):{'3_1':0.0},(274,334):{'4_1':0.0},(274,332):{'4_1':0.0},(274,328):{'4_1':0.0},(274,301):{'3_1':0.0},(274,297):{'3_1':0.0},(274,291):{'3_1':0.0},(274,284):{'3_1':0.0},(274,283):{'3_1':0.0},(274,280):{'3_1':0.0},(275,727):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'7_7':0.0},(275,726):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'-3':0.0},(275,725):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_6':0.0},(275,724):{'4_1':0.12,'3_1':0.09,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(275,723):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(275,722):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0,'7_2':0.0,'7_4':0.0},(275,721):{'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(275,720):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(275,719):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(275,718):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_6':0.0,'6_1':0.0,'7_5':0.0},(275,717):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_6':0.0},(275,716):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_8':0.0},(275,715):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_12':0.0},(275,714):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(275,713):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(275,712):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'7_6':0.0},(275,711):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'7_4':0.0},(275,710):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0,'7_4':0.0,'7_5':0.0},(275,709):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_5':0.03,'6_2':0.03,'6_1':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(275,708):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_5':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(275,707):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(275,706):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0},(275,705):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(275,704):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'9_1':0.0,'-3':0.0},(275,703):{'5_2':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'3_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(275,702):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0},(275,701):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(275,700):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.03,'7_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(275,699):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'7_2':0.0,'5_1':0.0,'-3':0.0},(275,698):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(275,697):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(275,696):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(275,695):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0},(275,694):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.03,'7_4':0.03,'6_1':0.0,'7_3':0.0,'8_12':0.0},(275,693):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0,'-3':0.0},(275,692):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0},(275,691):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(275,690):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(275,689):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'8_4':0.0},(275,688):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'7_4':0.0,'7_2':0.0,'7_3':0.0},(275,687):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'7_4':0.0,'5_1':0.0},(275,686):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_9':0.0},(275,685):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0},(275,684):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_2':0.0,'7_5':0.0,'7_7':0.0},(275,683):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.03,'6_2':0.0,'7_4':0.0,'7_1':0.0},(275,682):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(275,681):{'5_2':0.09,'4_1':0.06,'6_1':0.06,'3_1':0.03},(275,680):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(275,679):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.0,'6_1':0.0},(275,678):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_2':0.0},(275,677):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(275,676):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_6':0.0},(275,675):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(275,674):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_3':0.0},(275,673):{'5_2':0.15,'4_1':0.09,'3_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0},(275,672):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'5_1':0.0},(275,671):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(275,670):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(275,669):{'5_2':0.09,'4_1':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0},(275,668):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_3':0.0},(275,667):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0},(275,666):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0,'6_1':0.0},(275,665):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(275,664):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'6_3':0.0},(275,663):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(275,662):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_1':0.0},(275,661):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0},(275,660):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(275,659):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(275,658):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0},(275,657):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(275,656):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(275,655):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0},(275,654):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(275,653):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(275,652):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_2':0.0},(275,651):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(275,650):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(275,649):{'3_1':0.21,'4_1':0.06,'5_2':0.03},(275,648):{'3_1':0.27,'4_1':0.03,'5_1':0.0},(275,647):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(275,646):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(275,645):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(275,644):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(275,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(275,642):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(275,641):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(275,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(275,639):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(275,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(275,637):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(275,636):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(275,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(275,634):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(275,633):{'3_1':0.18,'5_1':0.0,'7_5':0.0},(275,632):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(275,631):{'3_1':0.18,'5_2':0.0},(275,630):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(275,629):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(275,628):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(275,627):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(275,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(275,625):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_17':0.0},(275,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(275,623):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_4':0.0},(275,622):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(275,621):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(275,620):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(275,619):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(275,618):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(275,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(275,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(275,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(275,614):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(275,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(275,612):{'3_1':0.06,'4_1':0.0},(275,611):{'3_1':0.09,'4_1':0.0},(275,610):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(275,609):{'3_1':0.0,'4_1':0.0},(275,608):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(275,607):{'3_1':0.06,'4_1':0.03},(275,606):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(275,605):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(275,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(275,603):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(275,602):{'3_1':0.0,'5_1':0.0},(275,601):{'3_1':0.06,'5_2':0.0},(275,600):{'3_1':0.03,'4_1':0.0},(275,599):{'3_1':0.03,'5_2':0.0},(275,598):{'3_1':0.03,'5_2':0.0},(275,597):{'3_1':0.06,'5_1':0.0},(275,596):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(275,595):{'3_1':0.06},(275,594):{'3_1':0.03,'5_1':0.0},(275,593):{'3_1':0.09},(275,592):{'3_1':0.06,'5_2':0.0},(275,591):{'3_1':0.03},(275,590):{'3_1':0.03},(275,589):{'3_1':0.06,'4_1':0.0},(275,588):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(275,587):{'3_1':0.06,'4_1':0.0},(275,586):{'3_1':0.03,'4_1':0.0},(275,585):{'3_1':0.06,'5_1':0.0},(275,584):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(275,583):{'3_1':0.03},(275,582):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(275,581):{'3_1':0.09},(275,580):{'3_1':0.03},(275,579):{'3_1':0.06,'5_1':0.0},(275,578):{'3_1':0.06},(275,577):{'3_1':0.03,'5_1':0.0},(275,576):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(275,575):{'3_1':0.03},(275,574):{'3_1':0.03,'4_1':0.0},(275,573):{'3_1':0.06},(275,572):{'3_1':0.0},(275,571):{'3_1':0.0,'4_1':0.0},(275,570):{'3_1':0.0,'4_1':0.0},(275,569):{'3_1':0.0},(275,568):{'3_1':0.0},(275,567):{'3_1':0.03,'4_1':0.0},(275,566):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(275,565):{'3_1':0.0,'4_1':0.0},(275,564):{'3_1':0.03},(275,562):{'3_1':0.03,'4_1':0.0},(275,561):{'3_1':0.03},(275,560):{'3_1':0.03},(275,559):{'3_1':0.0,'5_2':0.0},(275,558):{'3_1':0.0},(275,557):{'3_1':0.0},(275,556):{'3_1':0.0,'5_1':0.0},(275,555):{'3_1':0.03,'4_1':0.0},(275,554):{'3_1':0.0},(275,553):{'3_1':0.03,'4_1':0.0},(275,552):{'3_1':0.03},(275,551):{'3_1':0.03},(275,550):{'3_1':0.0},(275,549):{'3_1':0.03,'4_1':0.0},(275,548):{'3_1':0.0},(275,547):{'3_1':0.03,'5_2':0.0},(275,546):{'3_1':0.0},(275,545):{'3_1':0.03,'4_1':0.0},(275,544):{'3_1':0.0},(275,543):{'3_1':0.0},(275,542):{'3_1':0.03},(275,541):{'3_1':0.0,'5_2':0.0},(275,540):{'3_1':0.03,'4_1':0.0},(275,539):{'3_1':0.03,'5_2':0.0},(275,538):{'3_1':0.0},(275,537):{'3_1':0.0},(275,536):{'3_1':0.03},(275,535):{'3_1':0.06},(275,534):{'3_1':0.06},(275,533):{'3_1':0.03},(275,532):{'3_1':0.03},(275,531):{'3_1':0.03,'4_1':0.0},(275,530):{'3_1':0.0},(275,529):{'3_1':0.0,'5_2':0.0},(275,528):{'3_1':0.0},(275,527):{'3_1':0.06},(275,526):{'3_1':0.03},(275,525):{'3_1':0.03},(275,524):{'3_1':0.0},(275,523):{'3_1':0.06},(275,522):{'3_1':0.0,'4_1':0.0},(275,521):{'3_1':0.0},(275,520):{'3_1':0.0},(275,519):{'3_1':0.0},(275,518):{'3_1':0.03},(275,517):{'3_1':0.03},(275,515):{'3_1':0.0,'4_1':0.0},(275,514):{'3_1':0.0},(275,513):{'3_1':0.03,'4_1':0.0},(275,512):{'3_1':0.03,'5_2':0.0},(275,510):{'3_1':0.0},(275,509):{'3_1':0.0},(275,508):{'3_1':0.0},(275,507):{'3_1':0.0},(275,506):{'4_1':0.0},(275,505):{'3_1':0.0,'4_1':0.0},(275,503):{'3_1':0.0},(275,502):{'3_1':0.0},(275,500):{'4_1':0.0},(275,499):{'3_1':0.0,'4_1':0.0},(275,498):{'4_1':0.0},(275,497):{'3_1':0.0},(275,496):{'3_1':0.0,'4_1':0.0},(275,495):{'3_1':0.0,'4_1':0.0},(275,494):{'3_1':0.0,'4_1':0.0},(275,493):{'3_1':0.0,'4_1':0.0},(275,492):{'3_1':0.03},(275,491):{'3_1':0.0,'6_1':0.0},(275,490):{'3_1':0.0},(275,489):{'3_1':0.03},(275,488):{'3_1':0.03},(275,487):{'3_1':0.0},(275,486):{'3_1':0.0},(275,485):{'3_1':0.0},(275,484):{'3_1':0.03,'4_1':0.0},(275,483):{'3_1':0.03},(275,482):{'3_1':0.03},(275,481):{'3_1':0.03},(275,480):{'3_1':0.03,'5_2':0.0},(275,479):{'3_1':0.06},(275,478):{'3_1':0.0},(275,477):{'3_1':0.06},(275,476):{'3_1':0.0},(275,475):{'3_1':0.0},(275,474):{'3_1':0.03},(275,473):{'3_1':0.0,'4_1':0.0},(275,472):{'3_1':0.0},(275,471):{'3_1':0.0},(275,470):{'3_1':0.06},(275,469):{'3_1':0.0},(275,468):{'3_1':0.03},(275,467):{'3_1':0.0},(275,465):{'3_1':0.0},(275,464):{'3_1':0.03},(275,463):{'3_1':0.0},(275,462):{'3_1':0.0},(275,461):{'3_1':0.0},(275,459):{'3_1':0.0},(275,458):{'3_1':0.0},(275,456):{'5_2':0.0},(275,454):{'3_1':0.0},(275,453):{'3_1':0.0,'5_2':0.0},(275,452):{'3_1':0.0},(275,451):{'3_1':0.0},(275,450):{'3_1':0.0},(275,449):{'3_1':0.0},(275,448):{'3_1':0.0},(275,446):{'3_1':0.0},(275,445):{'3_1':0.0},(275,444):{'3_1':0.0},(275,443):{'3_1':0.0},(275,442):{'3_1':0.0},(275,441):{'3_1':0.0},(275,440):{'3_1':0.0},(275,437):{'3_1':0.0},(275,436):{'3_1':0.0},(275,435):{'3_1':0.0},(275,434):{'3_1':0.0},(275,431):{'3_1':0.0},(275,430):{'3_1':0.0},(275,429):{'3_1':0.0},(275,428):{'3_1':0.0},(275,427):{'3_1':0.0},(275,426):{'3_1':0.0},(275,425):{'3_1':0.0},(275,420):{'3_1':0.0},(275,416):{'3_1':0.0},(275,414):{'3_1':0.0},(275,413):{'3_1':0.0},(275,410):{'3_1':0.0},(275,408):{'3_1':0.0,'5_1':0.0},(275,407):{'3_1':0.0},(275,406):{'3_1':0.0},(275,405):{'3_1':0.0},(275,403):{'3_1':0.0},(275,400):{'3_1':0.0},(275,398):{'3_1':0.0},(275,389):{'3_1':0.0},(275,384):{'4_1':0.0},(275,382):{'3_1':0.0},(275,380):{'3_1':0.0},(275,376):{'3_1':0.0},(275,374):{'3_1':0.0},(275,373):{'3_1':0.03},(275,372):{'3_1':0.0},(275,371):{'3_1':0.0},(275,370):{'3_1':0.03},(275,366):{'3_1':0.0},(275,365):{'3_1':0.0},(275,364):{'3_1':0.0},(275,363):{'3_1':0.0},(275,362):{'3_1':0.0},(275,361):{'3_1':0.0},(275,360):{'3_1':0.0},(275,359):{'3_1':0.0},(275,358):{'3_1':0.0},(275,357):{'3_1':0.0},(275,355):{'3_1':0.0},(275,336):{'3_1':0.0},(275,335):{'3_1':0.0},(275,328):{'4_1':0.03},(275,299):{'3_1':0.0},(275,297):{'3_1':0.0},(275,295):{'3_1':0.0},(275,285):{'3_1':0.0},(275,284):{'3_1':0.0},(275,283):{'3_1':0.0},(276,727):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(276,726):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_5':0.0,'6_1':0.0,'6_2':0.0},(276,725):{'4_1':0.12,'5_2':0.06,'6_2':0.03,'3_1':0.0},(276,724):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(276,723):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0},(276,722):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_6':0.0},(276,721):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'3_1#5_2':0.0},(276,720):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_6':0.0},(276,719):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(276,718):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_3':0.0},(276,717):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0},(276,716):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(276,715):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_6':0.0,'8_8':0.0},(276,714):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0},(276,713):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(276,712):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'8_8':0.0,'-3':0.0},(276,711):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_5':0.03,'5_1':0.0,'7_6':0.0,'6_2':0.0},(276,710):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0},(276,709):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(276,708):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(276,707):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_6':0.0},(276,706):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(276,705):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0,'8_9':0.0},(276,704):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(276,703):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'7_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(276,702):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(276,701):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0},(276,700):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(276,699):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(276,698):{'4_1':0.15,'5_2':0.15,'7_4':0.03,'3_1':0.0,'6_2':0.0,'6_3':0.0,'8_9':0.0},(276,697):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(276,696):{'5_2':0.12,'4_1':0.09,'7_4':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0},(276,695):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0,'6_1':0.0,'5_1':0.0},(276,694):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0},(276,693):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(276,692):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(276,691):{'3_1':0.15,'5_2':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0},(276,690):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0},(276,689):{'5_2':0.15,'4_1':0.12,'6_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0},(276,688):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(276,687):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(276,686):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0},(276,685):{'4_1':0.12,'5_2':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0},(276,684):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(276,683):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'8_6':0.0},(276,682):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(276,681):{'5_2':0.15,'4_1':0.09,'6_1':0.03,'7_3':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(276,680):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(276,679):{'5_2':0.18,'4_1':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(276,678):{'5_2':0.12,'4_1':0.06,'3_1':0.06},(276,677):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(276,676):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0},(276,675):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(276,674):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(276,673):{'5_2':0.15,'4_1':0.12,'6_2':0.0,'3_1':0.0,'6_1':0.0,'7_3':0.0},(276,672):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(276,671):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_2':0.0},(276,670):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(276,669):{'4_1':0.15,'5_2':0.03,'3_1':0.0,'6_2':0.0,'6_1':0.0},(276,668):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(276,667):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_1':0.0,'7_3':0.0},(276,666):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0},(276,665):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0},(276,664):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(276,663):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0},(276,662):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0},(276,661):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(276,660):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(276,659):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(276,658):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(276,657):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(276,656):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(276,655):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_1':0.0},(276,654):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'6_1':0.0},(276,653):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(276,652):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(276,651):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(276,650):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(276,649):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0},(276,648):{'3_1':0.12,'4_1':0.06},(276,647):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(276,646):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0},(276,645):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(276,644):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(276,643):{'3_1':0.33,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(276,642):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(276,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(276,640):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(276,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(276,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(276,637):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(276,636):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(276,635):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(276,634):{'3_1':0.09,'5_2':0.0},(276,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_9':0.0},(276,632):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(276,631):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(276,630):{'3_1':0.09,'4_1':0.0},(276,629):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(276,628):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(276,627):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(276,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(276,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(276,624):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(276,623):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(276,622):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(276,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(276,620):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(276,619):{'3_1':0.06,'6_2':0.0},(276,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(276,617):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(276,616):{'3_1':0.06,'5_1':0.0},(276,615):{'3_1':0.12,'4_1':0.0},(276,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(276,613):{'3_1':0.06,'4_1':0.0},(276,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(276,611):{'3_1':0.06,'4_1':0.0},(276,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_16':0.0},(276,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(276,608):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(276,607):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(276,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(276,605):{'3_1':0.0,'4_1':0.0},(276,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(276,603):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(276,602):{'3_1':0.03,'4_1':0.0},(276,601):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(276,600):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(276,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(276,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(276,597):{'3_1':0.03,'4_1':0.0},(276,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(276,595):{'3_1':0.03,'4_1':0.0},(276,594):{'3_1':0.09,'6_2':0.0},(276,593):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(276,592):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(276,591):{'3_1':0.06},(276,590):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(276,589):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(276,588):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(276,587):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(276,586):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(276,585):{'3_1':0.06,'5_2':0.0},(276,584):{'3_1':0.06,'5_2':0.0},(276,583):{'3_1':0.0},(276,582):{'3_1':0.0},(276,581):{'3_1':0.0,'5_2':0.0},(276,580):{'3_1':0.0,'5_2':0.0},(276,579):{'3_1':0.0,'5_1':0.0},(276,578):{'3_1':0.03,'5_1':0.0},(276,577):{'3_1':0.0,'5_2':0.0},(276,576):{'3_1':0.0},(276,575):{'3_1':0.03,'5_2':0.0},(276,574):{'3_1':0.03,'4_1':0.0},(276,573):{'3_1':0.0},(276,572):{'3_1':0.03,'5_2':0.0},(276,571):{'3_1':0.03},(276,570):{'3_1':0.03},(276,569):{'3_1':0.03,'4_1':0.0},(276,568):{'3_1':0.0},(276,567):{'3_1':0.0},(276,565):{'3_1':0.0},(276,564):{'3_1':0.03},(276,563):{'3_1':0.0},(276,562):{'3_1':0.03},(276,561):{'3_1':0.03},(276,560):{'3_1':0.03,'4_1':0.0},(276,559):{'3_1':0.03,'4_1':0.0},(276,558):{'3_1':0.0,'4_1':0.0},(276,557):{'3_1':0.0},(276,556):{'3_1':0.0,'4_1':0.0},(276,555):{'3_1':0.0,'5_1':0.0},(276,554):{'3_1':0.06},(276,553):{'3_1':0.0,'5_2':0.0},(276,552):{'3_1':0.03},(276,551):{'3_1':0.03},(276,550):{'3_1':0.03,'4_1':0.0},(276,549):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(276,548):{'4_1':0.0},(276,547):{'3_1':0.0},(276,546):{'3_1':0.0},(276,545):{'3_1':0.0},(276,544):{'3_1':0.0},(276,543):{'3_1':0.0},(276,542):{'3_1':0.06},(276,540):{'3_1':0.0},(276,539):{'3_1':0.03,'4_1':0.0},(276,537):{'3_1':0.03,'4_1':0.0},(276,536):{'3_1':0.0},(276,535):{'3_1':0.03},(276,534):{'3_1':0.06},(276,533):{'3_1':0.03,'4_1':0.0},(276,532):{'3_1':0.0},(276,531):{'3_1':0.03},(276,530):{'3_1':0.03},(276,529):{'3_1':0.03},(276,528):{'3_1':0.0,'4_1':0.0},(276,527):{'3_1':0.06},(276,526):{'3_1':0.03},(276,525):{'3_1':0.03},(276,524):{'3_1':0.0,'4_1':0.0},(276,523):{'3_1':0.0},(276,522):{'3_1':0.0,'4_1':0.0},(276,521):{'3_1':0.06},(276,520):{'3_1':0.03},(276,519):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(276,518):{'3_1':0.0},(276,517):{'3_1':0.03,'4_1':0.0},(276,516):{'3_1':0.0},(276,515):{'3_1':0.0},(276,514):{'3_1':0.0},(276,513):{'3_1':0.0},(276,512):{'3_1':0.0,'4_1':0.0},(276,511):{'3_1':0.0},(276,509):{'3_1':0.0},(276,508):{'3_1':0.0,'4_1':0.0},(276,507):{'4_1':0.0},(276,506):{'3_1':0.0},(276,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(276,504):{'3_1':0.0},(276,502):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(276,500):{'3_1':0.0},(276,499):{'3_1':0.0},(276,498):{'3_1':0.0},(276,496):{'4_1':0.0,'3_1':0.0},(276,495):{'3_1':0.0,'6_1':0.0},(276,494):{'3_1':0.0},(276,492):{'3_1':0.0},(276,491):{'3_1':0.0},(276,490):{'3_1':0.03},(276,489):{'3_1':0.0},(276,488):{'3_1':0.03},(276,487):{'3_1':0.0},(276,486):{'3_1':0.0},(276,485):{'3_1':0.03},(276,484):{'3_1':0.0},(276,483):{'3_1':0.03},(276,482):{'3_1':0.03},(276,481):{'3_1':0.06,'5_2':0.0},(276,480):{'3_1':0.03},(276,479):{'3_1':0.0},(276,478):{'3_1':0.0},(276,477):{'3_1':0.03},(276,476):{'3_1':0.0},(276,475):{'3_1':0.0},(276,474):{'3_1':0.0},(276,473):{'3_1':0.03,'4_1':0.0},(276,472):{'3_1':0.0},(276,471):{'3_1':0.0},(276,470):{'3_1':0.0},(276,469):{'3_1':0.0},(276,468):{'3_1':0.0,'4_1':0.0},(276,467):{'3_1':0.03},(276,466):{'3_1':0.0},(276,465):{'3_1':0.0},(276,463):{'3_1':0.0},(276,462):{'3_1':0.0},(276,461):{'3_1':0.0},(276,460):{'3_1':0.0},(276,459):{'3_1':0.03},(276,458):{'4_1':0.0},(276,457):{'3_1':0.0,'4_1':0.0},(276,456):{'4_1':0.0},(276,455):{'3_1':0.0},(276,454):{'3_1':0.0,'4_1':0.0},(276,453):{'3_1':0.0},(276,449):{'3_1':0.0},(276,448):{'3_1':0.0},(276,447):{'3_1':0.0},(276,445):{'3_1':0.0},(276,444):{'3_1':0.0},(276,442):{'3_1':0.0},(276,441):{'3_1':0.0},(276,440):{'3_1':0.0},(276,438):{'3_1':0.0},(276,437):{'3_1':0.0,'5_2':0.0},(276,436):{'3_1':0.0},(276,434):{'3_1':0.0},(276,432):{'3_1':0.0},(276,431):{'3_1':0.0},(276,430):{'3_1':0.0},(276,427):{'3_1':0.0},(276,425):{'3_1':0.0},(276,423):{'3_1':0.0},(276,421):{'3_1':0.0},(276,420):{'3_1':0.0},(276,419):{'3_1':0.0},(276,418):{'3_1':0.0,'5_1':0.0},(276,417):{'3_1':0.0},(276,415):{'3_1':0.0,'5_1':0.0},(276,414):{'4_1':0.0},(276,408):{'3_1':0.0},(276,407):{'3_1':0.0,'6_2':0.0},(276,406):{'3_1':0.0},(276,405):{'3_1':0.0},(276,404):{'3_1':0.0},(276,403):{'3_1':0.0},(276,402):{'3_1':0.0},(276,400):{'3_1':0.0},(276,391):{'3_1':0.0},(276,389):{'3_1':0.0},(276,387):{'3_1':0.0},(276,385):{'3_1':0.0},(276,384):{'3_1':0.0,'5_2':0.0},(276,374):{'3_1':0.0},(276,373):{'3_1':0.0},(276,372):{'3_1':0.0},(276,371):{'3_1':0.03},(276,370):{'3_1':0.0},(276,369):{'3_1':0.0},(276,368):{'3_1':0.0},(276,366):{'3_1':0.03},(276,364):{'3_1':0.0},(276,362):{'3_1':0.0},(276,361):{'3_1':0.03},(276,359):{'3_1':0.0},(276,358):{'3_1':0.0},(276,357):{'3_1':0.0},(276,356):{'3_1':0.0},(276,355):{'3_1':0.0},(276,354):{'3_1':0.0},(276,353):{'3_1':0.0},(276,292):{'3_1':0.0},(277,727):{'4_1':0.12,'5_1':0.03,'5_2':0.03,'3_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(277,726):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(277,725):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0},(277,724):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0},(277,723):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(277,722):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_6':0.0},(277,721):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(277,720):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.0,'6_3':0.0,'8_8':0.0},(277,719):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0},(277,718):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_5':0.0},(277,717):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(277,716):{'4_1':0.15,'3_1':0.12,'5_2':0.03},(277,715):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'8_8':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(277,714):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(277,713):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(277,712):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(277,711):{'4_1':0.15,'3_1':0.15,'7_5':0.0,'7_6':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0,'-3':0.0},(277,710):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_3':0.0,'7_5':0.0},(277,709):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(277,708):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0,'7_6':0.0},(277,707):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(277,706):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0},(277,705):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_3':0.0},(277,704):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0},(277,703):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_2':0.03,'7_4':0.0,'7_5':0.0},(277,702):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'7_4':0.0,'6_1':0.0},(277,701):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(277,700):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'6_2':0.0},(277,699):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(277,698):{'4_1':0.15,'5_2':0.12,'6_1':0.03,'3_1':0.03,'7_4':0.0,'7_3':0.0,'6_2':0.0},(277,697):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0},(277,696):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(277,695):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(277,694):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_5':0.0},(277,693):{'5_2':0.18,'4_1':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0,'8_9':0.0},(277,692):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(277,691):{'5_2':0.18,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'8_11':0.0},(277,690):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'8_4':0.0},(277,689):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'8_4':0.0},(277,688):{'4_1':0.15,'5_2':0.06,'6_2':0.03,'3_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_1':0.0},(277,687):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0,'8_14':0.0},(277,686):{'5_2':0.15,'3_1':0.15,'4_1':0.15,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'8_6':0.0},(277,685):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0},(277,684):{'5_2':0.18,'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(277,683):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0},(277,682):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(277,681):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(277,680):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'-3':0.0},(277,679):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'5_1':0.03,'7_3':0.0},(277,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(277,677):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(277,676):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(277,675):{'4_1':0.15,'5_2':0.15,'3_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0},(277,674):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(277,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_3':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(277,672):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(277,671):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'6_2':0.0},(277,670):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(277,669):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(277,668):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(277,667):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'-3':0.0},(277,666):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0},(277,665):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0},(277,664):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_11':0.0},(277,663):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(277,662):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(277,661):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(277,660):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(277,659):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(277,658):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.03},(277,657):{'3_1':0.24,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(277,656):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(277,655):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(277,654):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(277,653):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0},(277,652):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0},(277,651):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(277,650):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(277,649):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(277,648):{'3_1':0.18,'4_1':0.03},(277,647):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(277,646):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(277,645):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(277,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(277,643):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(277,642):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,641):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(277,640):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(277,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,638):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(277,637):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(277,636):{'3_1':0.09,'4_1':0.0},(277,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(277,634):{'3_1':0.15,'5_1':0.0},(277,633):{'3_1':0.09,'5_1':0.0},(277,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(277,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(277,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(277,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(277,628):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(277,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(277,626):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(277,625):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(277,624):{'3_1':0.09,'5_1':0.0},(277,623):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(277,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(277,621):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(277,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(277,619):{'3_1':0.06,'4_1':0.0},(277,618):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(277,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(277,616):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(277,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(277,614):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(277,613):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(277,612):{'3_1':0.06,'5_1':0.0},(277,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,610):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(277,609):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(277,608):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(277,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(277,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(277,605):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(277,604):{'3_1':0.09,'4_1':0.03},(277,603):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(277,602):{'3_1':0.03,'5_1':0.0},(277,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(277,600):{'3_1':0.06},(277,599):{'3_1':0.03},(277,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(277,597):{'3_1':0.03,'5_1':0.0},(277,596):{'3_1':0.03},(277,595):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(277,594):{'3_1':0.03},(277,593):{'3_1':0.06,'5_2':0.0},(277,592):{'3_1':0.0,'4_1':0.0},(277,591):{'3_1':0.03},(277,590):{'3_1':0.03},(277,589):{'5_2':0.0,'3_1':0.0},(277,588):{'3_1':0.06},(277,587):{'3_1':0.0,'4_1':0.0},(277,586):{'3_1':0.03,'4_1':0.0},(277,585):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(277,584):{'3_1':0.0,'5_1':0.0},(277,583):{'3_1':0.0,'4_1':0.0},(277,582):{'3_1':0.0},(277,581):{'3_1':0.0,'4_1':0.0},(277,580):{'3_1':0.0},(277,579):{'3_1':0.03,'4_1':0.0},(277,578):{'3_1':0.03,'5_1':0.0},(277,577):{'3_1':0.03},(277,576):{'3_1':0.03},(277,575):{'3_1':0.03},(277,574):{'3_1':0.03,'4_1':0.0},(277,573):{'3_1':0.0},(277,572):{'4_1':0.0,'3_1':0.0},(277,571):{'3_1':0.03},(277,570):{'3_1':0.0,'5_2':0.0},(277,569):{'3_1':0.03},(277,568):{'3_1':0.0},(277,567):{'3_1':0.03,'4_1':0.0},(277,566):{'3_1':0.0,'6_2':0.0},(277,565):{'3_1':0.0,'4_1':0.0},(277,564):{'3_1':0.03},(277,563):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(277,562):{'3_1':0.03,'4_1':0.0},(277,561):{'3_1':0.0,'5_2':0.0},(277,560):{'3_1':0.0},(277,559):{'3_1':0.0},(277,558):{'3_1':0.0},(277,557):{'3_1':0.0},(277,556):{'3_1':0.03},(277,555):{'3_1':0.0},(277,553):{'3_1':0.03},(277,552):{'3_1':0.0},(277,551):{'3_1':0.0},(277,550):{'3_1':0.0,'4_1':0.0},(277,549):{'3_1':0.03},(277,548):{'3_1':0.0,'4_1':0.0},(277,547):{'3_1':0.0},(277,546):{'3_1':0.0,'4_1':0.0},(277,545):{'3_1':0.0},(277,544):{'3_1':0.03},(277,543):{'3_1':0.0},(277,542):{'3_1':0.0},(277,541):{'3_1':0.03},(277,540):{'3_1':0.0},(277,539):{'3_1':0.06},(277,538):{'3_1':0.0},(277,537):{'3_1':0.0,'4_1':0.0},(277,535):{'3_1':0.0},(277,534):{'3_1':0.03},(277,533):{'3_1':0.0},(277,532):{'3_1':0.03},(277,531):{'3_1':0.0},(277,530):{'3_1':0.0},(277,529):{'3_1':0.0},(277,527):{'3_1':0.03},(277,526):{'3_1':0.0},(277,525):{'3_1':0.0,'5_2':0.0},(277,524):{'3_1':0.0},(277,523):{'3_1':0.0},(277,522):{'3_1':0.06},(277,521):{'3_1':0.0},(277,520):{'3_1':0.0},(277,519):{'3_1':0.0},(277,518):{'3_1':0.0},(277,516):{'3_1':0.03},(277,515):{'3_1':0.0},(277,514):{'3_1':0.03},(277,513):{'3_1':0.0},(277,512):{'3_1':0.0,'4_1':0.0},(277,511):{'3_1':0.0},(277,510):{'3_1':0.0},(277,509):{'4_1':0.0,'3_1':0.0},(277,508):{'3_1':0.0},(277,506):{'3_1':0.0},(277,505):{'3_1':0.0},(277,504):{'3_1':0.0},(277,503):{'3_1':0.0},(277,502):{'4_1':0.0,'3_1':0.0},(277,501):{'3_1':0.0},(277,500):{'3_1':0.0,'4_1':0.0},(277,499):{'3_1':0.0},(277,497):{'3_1':0.0},(277,496):{'3_1':0.0},(277,495):{'3_1':0.0,'4_1':0.0},(277,493):{'3_1':0.0},(277,492):{'3_1':0.06,'4_1':0.0},(277,491):{'3_1':0.0},(277,490):{'3_1':0.0},(277,489):{'3_1':0.0},(277,488):{'3_1':0.0},(277,487):{'3_1':0.0},(277,486):{'3_1':0.0},(277,485):{'3_1':0.03,'5_1':0.0},(277,484):{'3_1':0.03},(277,483):{'3_1':0.03},(277,482):{'3_1':0.03},(277,481):{'3_1':0.0},(277,480):{'3_1':0.03},(277,479):{'3_1':0.03,'4_1':0.0},(277,477):{'3_1':0.03,'4_1':0.0},(277,476):{'3_1':0.03},(277,475):{'3_1':0.03},(277,474):{'3_1':0.06},(277,473):{'3_1':0.03},(277,472):{'3_1':0.03,'5_2':0.0},(277,471):{'3_1':0.0},(277,470):{'3_1':0.0},(277,467):{'3_1':0.03},(277,466):{'3_1':0.0},(277,465):{'3_1':0.0},(277,464):{'3_1':0.0},(277,463):{'3_1':0.0},(277,462):{'3_1':0.0},(277,461):{'3_1':0.0},(277,460):{'3_1':0.0},(277,458):{'3_1':0.0,'4_1':0.0},(277,457):{'3_1':0.0},(277,456):{'3_1':0.0},(277,455):{'3_1':0.0},(277,454):{'3_1':0.03},(277,452):{'4_1':0.0},(277,449):{'3_1':0.0},(277,448):{'3_1':0.0},(277,447):{'3_1':0.0},(277,444):{'3_1':0.0},(277,442):{'3_1':0.0},(277,441):{'3_1':0.0},(277,440):{'5_2':0.0},(277,439):{'3_1':0.0},(277,437):{'3_1':0.0},(277,435):{'3_1':0.0,'6_2':0.0},(277,434):{'3_1':0.0},(277,430):{'3_1':0.0},(277,429):{'3_1':0.0},(277,427):{'3_1':0.0},(277,426):{'3_1':0.0},(277,425):{'3_1':0.0},(277,423):{'3_1':0.0},(277,422):{'3_1':0.0},(277,421):{'3_1':0.0},(277,420):{'3_1':0.0},(277,419):{'3_1':0.0},(277,418):{'5_1':0.0},(277,417):{'4_1':0.0},(277,415):{'3_1':0.0},(277,409):{'6_1':0.0},(277,407):{'3_1':0.0},(277,405):{'3_1':0.0},(277,404):{'3_1':0.0},(277,402):{'3_1':0.0},(277,401):{'3_1':0.0},(277,397):{'3_1':0.0},(277,390):{'3_1':0.0},(277,389):{'3_1':0.0},(277,385):{'3_1':0.0},(277,384):{'3_1':0.0},(277,376):{'3_1':0.0},(277,375):{'3_1':0.0},(277,374):{'3_1':0.0},(277,373):{'3_1':0.0},(277,372):{'3_1':0.0},(277,371):{'3_1':0.0},(277,370):{'3_1':0.0},(277,369):{'3_1':0.0},(277,368):{'3_1':0.0},(277,367):{'3_1':0.0},(277,366):{'3_1':0.03},(277,365):{'3_1':0.0},(277,363):{'3_1':0.0},(277,362):{'3_1':0.0},(277,360):{'3_1':0.0},(277,359):{'3_1':0.0},(277,358):{'3_1':0.0},(277,357):{'3_1':0.03},(277,356):{'3_1':0.0},(277,355):{'3_1':0.0},(277,292):{'3_1':0.0},(278,727):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(278,726):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(278,725):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_4':0.0},(278,724):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(278,723):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_2':0.0,'8_14':0.0,'-3':0.0},(278,722):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_6':0.0},(278,721):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_3':0.0,'3_1#5_2':0.0},(278,720):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_6':0.0},(278,719):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0},(278,718):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(278,717):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_4':0.0},(278,716):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_14':0.0},(278,715):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(278,714):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_8':0.0},(278,713):{'3_1':0.15,'4_1':0.15,'5_2':0.06},(278,712):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'3_1#5_2':0.0},(278,711):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(278,710):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'7_4':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(278,709):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(278,708):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(278,707):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(278,706):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_4':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(278,705):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(278,704):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(278,703):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0},(278,702):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0},(278,701):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_4':0.06,'6_2':0.0},(278,700):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'7_4':0.0,'6_1':0.0},(278,699):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0},(278,698):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(278,697):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(278,696):{'4_1':0.12,'5_2':0.09,'7_4':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(278,695):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0},(278,694):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(278,693):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.03,'7_4':0.03,'6_2':0.0,'7_3':0.0},(278,692):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(278,691):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.03,'7_3':0.0,'7_5':0.0},(278,690):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(278,689):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(278,688):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(278,687):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.03,'7_4':0.0,'7_6':0.0},(278,686):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_2':0.0},(278,685):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(278,684):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0},(278,683):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'8_6':0.0,'6_1':0.0,'6_2':0.0},(278,682):{'5_2':0.18,'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(278,681):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(278,680):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(278,679):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(278,678):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_2':0.0},(278,677):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'7_3':0.0},(278,676):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(278,675):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(278,674):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(278,673):{'4_1':0.18,'5_2':0.06,'3_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(278,672):{'4_1':0.12,'5_2':0.12,'5_1':0.03,'3_1':0.0,'6_1':0.0,'6_2':0.0},(278,671):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(278,670):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0},(278,669):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0},(278,668):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(278,667):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(278,666):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(278,665):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0},(278,664):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(278,663):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'7_1':0.0},(278,662):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(278,661):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_1':0.0},(278,660):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(278,659):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(278,658):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'6_3':0.0},(278,657):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(278,656):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(278,655):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'6_2':0.0},(278,654):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(278,653):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_2':0.0},(278,652):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(278,651):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(278,650):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(278,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(278,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(278,647):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(278,646):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(278,645):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(278,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(278,643):{'3_1':0.21,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(278,642):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(278,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(278,640):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(278,639):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(278,638):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(278,637):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(278,636):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(278,635):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(278,634):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_2':0.0},(278,633):{'3_1':0.09,'6_2':0.0},(278,632):{'3_1':0.09,'4_1':0.0},(278,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(278,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(278,629):{'3_1':0.12,'4_1':0.0},(278,628):{'3_1':0.15,'4_1':0.03},(278,627):{'3_1':0.12,'4_1':0.0},(278,626):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(278,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,624):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0},(278,623):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(278,622):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(278,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(278,619):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(278,618):{'3_1':0.15,'5_1':0.0,'6_1':0.0,'6_2':0.0},(278,617):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(278,616):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(278,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(278,614):{'3_1':0.06,'4_1':0.0},(278,613):{'3_1':0.09,'4_1':0.0},(278,612):{'3_1':0.03,'4_1':0.0},(278,611):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(278,610):{'3_1':0.03,'6_1':0.0,'5_1':0.0},(278,609):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(278,608):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(278,607):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(278,606):{'3_1':0.06,'4_1':0.0},(278,605):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(278,604):{'3_1':0.0,'6_3':0.0},(278,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,602):{'3_1':0.03,'4_1':0.0},(278,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(278,600):{'3_1':0.03,'5_1':0.0},(278,599):{'3_1':0.0,'4_1':0.0},(278,598):{'3_1':0.0},(278,597):{'3_1':0.12},(278,596):{'3_1':0.0},(278,595):{'3_1':0.03},(278,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,593):{'3_1':0.0,'4_1':0.0},(278,592):{'3_1':0.06,'4_1':0.0},(278,591):{'3_1':0.03,'5_2':0.0},(278,590):{'3_1':0.03},(278,589):{'3_1':0.03,'4_1':0.0},(278,588):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,587):{'3_1':0.03},(278,586):{'3_1':0.03},(278,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(278,584):{'3_1':0.0},(278,583):{'3_1':0.03,'4_1':0.0},(278,582):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(278,581):{'3_1':0.0},(278,580):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(278,579):{'3_1':0.03},(278,578):{'3_1':0.03},(278,577):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,576):{'3_1':0.0},(278,575):{'3_1':0.0,'5_2':0.0},(278,574):{'3_1':0.03,'4_1':0.0},(278,573):{'3_1':0.03,'4_1':0.0},(278,572):{'3_1':0.0,'4_1':0.0},(278,570):{'3_1':0.03},(278,569):{'3_1':0.03},(278,568):{'3_1':0.0,'4_1':0.0},(278,567):{'3_1':0.0},(278,566):{'3_1':0.0,'4_1':0.0},(278,565):{'3_1':0.0},(278,564):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(278,563):{'3_1':0.03},(278,562):{'3_1':0.03},(278,561):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,560):{'3_1':0.03},(278,559):{'3_1':0.03},(278,558):{'3_1':0.0},(278,557):{'3_1':0.0},(278,556):{'3_1':0.03,'4_1':0.0},(278,555):{'3_1':0.0,'4_1':0.0},(278,554):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(278,553):{'3_1':0.03},(278,552):{'3_1':0.03},(278,551):{'3_1':0.0},(278,550):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(278,549):{'3_1':0.03},(278,548):{'3_1':0.0},(278,547):{'3_1':0.03},(278,546):{'4_1':0.0},(278,545):{'3_1':0.0},(278,544):{'3_1':0.03},(278,543):{'3_1':0.0},(278,542):{'3_1':0.0},(278,541):{'3_1':0.0,'4_1':0.0},(278,540):{'3_1':0.0},(278,539):{'3_1':0.03},(278,538):{'3_1':0.0,'4_1':0.0},(278,537):{'3_1':0.0,'5_1':0.0},(278,536):{'3_1':0.0},(278,534):{'3_1':0.0,'4_1':0.0},(278,533):{'3_1':0.03},(278,532):{'3_1':0.0},(278,531):{'3_1':0.0},(278,530):{'3_1':0.0},(278,529):{'3_1':0.06},(278,528):{'3_1':0.0,'4_1':0.0},(278,527):{'3_1':0.0,'4_1':0.0},(278,526):{'3_1':0.03},(278,525):{'3_1':0.0},(278,524):{'3_1':0.03},(278,523):{'3_1':0.0},(278,522):{'3_1':0.03,'5_2':0.0},(278,521):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(278,520):{'3_1':0.0,'4_1':0.0},(278,519):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,518):{'3_1':0.0},(278,517):{'3_1':0.0},(278,516):{'3_1':0.0,'5_2':0.0},(278,515):{'3_1':0.0,'5_1':0.0},(278,514):{'3_1':0.03},(278,513):{'3_1':0.0},(278,512):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(278,511):{'3_1':0.03},(278,510):{'3_1':0.0},(278,509):{'3_1':0.0},(278,508):{'3_1':0.03,'4_1':0.0},(278,507):{'3_1':0.03},(278,506):{'3_1':0.03,'4_1':0.0},(278,505):{'3_1':0.0,'4_1':0.0},(278,504):{'3_1':0.06},(278,503):{'3_1':0.0},(278,502):{'3_1':0.0},(278,501):{'3_1':0.0},(278,500):{'3_1':0.0},(278,499):{'3_1':0.03},(278,498):{'3_1':0.03},(278,497):{'3_1':0.0},(278,496):{'3_1':0.0},(278,495):{'3_1':0.03},(278,494):{'3_1':0.0},(278,493):{'3_1':0.0},(278,492):{'3_1':0.0},(278,491):{'3_1':0.0},(278,490):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(278,489):{'3_1':0.03,'5_2':0.0},(278,488):{'3_1':0.03},(278,487):{'3_1':0.0},(278,486):{'3_1':0.03},(278,485):{'3_1':0.0},(278,484):{'3_1':0.06},(278,483):{'3_1':0.0},(278,482):{'3_1':0.03},(278,481):{'3_1':0.03},(278,480):{'3_1':0.03},(278,479):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(278,478):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(278,477):{'3_1':0.06,'4_1':0.0},(278,476):{'3_1':0.03},(278,475):{'3_1':0.03},(278,474):{'3_1':0.03},(278,473):{'3_1':0.0},(278,472):{'3_1':0.0},(278,471):{'3_1':0.0},(278,470):{'3_1':0.03},(278,469):{'3_1':0.0},(278,468):{'3_1':0.0,'4_1':0.0},(278,467):{'3_1':0.03},(278,466):{'3_1':0.0},(278,465):{'3_1':0.0},(278,464):{'3_1':0.0},(278,463):{'3_1':0.0},(278,461):{'3_1':0.0},(278,459):{'3_1':0.0},(278,458):{'4_1':0.0},(278,457):{'3_1':0.0},(278,456):{'3_1':0.0},(278,455):{'3_1':0.03},(278,454):{'3_1':0.0},(278,453):{'3_1':0.0},(278,451):{'3_1':0.0,'4_1':0.0},(278,450):{'3_1':0.0},(278,449):{'3_1':0.0},(278,448):{'3_1':0.0},(278,441):{'3_1':0.0},(278,440):{'3_1':0.0},(278,439):{'3_1':0.0},(278,438):{'3_1':0.0},(278,437):{'3_1':0.0},(278,436):{'3_1':0.0},(278,435):{'3_1':0.0},(278,431):{'3_1':0.0},(278,429):{'3_1':0.0},(278,428):{'3_1':0.0},(278,427):{'3_1':0.0},(278,425):{'3_1':0.0},(278,424):{'3_1':0.0},(278,423):{'3_1':0.0},(278,422):{'3_1':0.0},(278,421):{'3_1':0.0},(278,420):{'3_1':0.0},(278,419):{'3_1':0.03},(278,416):{'5_1':0.0},(278,415):{'3_1':0.0,'7_1':0.0},(278,414):{'3_1':0.0},(278,413):{'3_1':0.0},(278,412):{'4_1':0.0,'5_1':0.0},(278,409):{'3_1':0.0,'5_1':0.0},(278,407):{'3_1':0.0,'5_2':0.0},(278,404):{'3_1':0.0},(278,403):{'3_1':0.0},(278,402):{'3_1':0.0},(278,397):{'3_1':0.0},(278,396):{'3_1':0.0,'4_1':0.0},(278,395):{'3_1':0.0},(278,390):{'3_1':0.0},(278,389):{'3_1':0.0},(278,387):{'3_1':0.0},(278,386):{'3_1':0.0},(278,385):{'3_1':0.0},(278,383):{'3_1':0.0},(278,376):{'3_1':0.0},(278,373):{'3_1':0.0},(278,372):{'3_1':0.0},(278,371):{'3_1':0.0},(278,369):{'3_1':0.0},(278,368):{'3_1':0.0},(278,366):{'3_1':0.0,'4_1':0.0},(278,365):{'3_1':0.0},(278,364):{'3_1':0.0},(278,363):{'3_1':0.0},(278,362):{'3_1':0.0},(278,358):{'3_1':0.0},(278,357):{'3_1':0.0},(278,356):{'3_1':0.0},(278,353):{'3_1':0.0},(278,315):{'3_1':0.0},(278,314):{'3_1':0.0},(278,313):{'3_1':0.0},(278,296):{'3_1':0.0},(278,290):{'3_1':0.0},(278,289):{'3_1':0.0},(279,727):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(279,726):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(279,725):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(279,724):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0,'7_6':0.0},(279,723):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(279,722):{'4_1':0.18,'5_2':0.06,'3_1':0.0,'7_4':0.0},(279,721):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(279,720):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(279,719):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_2':0.0},(279,718):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(279,717):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(279,716):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(279,715):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_8':0.0},(279,714):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'-3':0.0},(279,713):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(279,712):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0},(279,711):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(279,710):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_5':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(279,709):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0},(279,708):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.0,'7_5':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(279,707):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(279,706):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(279,705):{'4_1':0.12,'5_2':0.12,'7_4':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0},(279,704):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0},(279,703):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(279,702):{'4_1':0.12,'5_2':0.03,'3_1':0.03},(279,701):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_1':0.0,'7_6':0.0},(279,700):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'7_4':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0},(279,699):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.03,'7_3':0.0,'7_4':0.0,'8_2':0.0},(279,698):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_3':0.0,'7_4':0.0,'6_1':0.0,'8_2':0.0,'8_4':0.0,'8_6':0.0},(279,697):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_12':0.0,'8_21|3_1#4_1':0.0},(279,696):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_6':0.0},(279,695):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0},(279,694):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0},(279,693):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(279,692):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(279,691):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'6_2':0.0},(279,690):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0},(279,689):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(279,688):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(279,687):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(279,686):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.03,'6_2':0.0,'7_4':0.0},(279,685):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(279,684):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(279,683):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(279,682):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(279,681):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0},(279,680):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(279,679):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(279,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0},(279,677):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0},(279,676):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0},(279,675):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0},(279,674):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0},(279,673):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0},(279,672):{'5_2':0.15,'4_1':0.15,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(279,671):{'4_1':0.18,'5_2':0.09,'3_1':0.06},(279,670):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0},(279,669):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(279,668):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(279,667):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0},(279,666):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'9_1':0.0},(279,665):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_2':0.0,'7_1':0.0},(279,664):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0},(279,663):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0,'7_1':0.0,'6_1':0.0,'8_12':0.0,'8_14':0.0,'-3':0.0},(279,662):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0},(279,661):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0},(279,660):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(279,659):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_9':0.0},(279,658):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(279,657):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(279,656):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(279,655):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(279,654):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(279,653):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(279,652):{'3_1':0.18,'4_1':0.12,'6_2':0.0,'5_2':0.0,'6_1':0.0},(279,651):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'6_1':0.0},(279,650):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(279,649):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(279,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0},(279,647):{'3_1':0.24,'4_1':0.09,'5_2':0.0},(279,646):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(279,645):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(279,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(279,643):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(279,642):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'7_1':0.0},(279,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(279,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0},(279,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(279,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(279,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(279,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(279,635):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(279,634):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(279,633):{'3_1':0.12,'4_1':0.0},(279,632):{'3_1':0.21,'4_1':0.0},(279,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(279,630):{'3_1':0.18,'5_1':0.0},(279,629):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(279,628):{'3_1':0.06,'4_1':0.0},(279,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(279,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(279,625):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'7_1':0.0},(279,624):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(279,623):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(279,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(279,621):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(279,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(279,619):{'3_1':0.06,'5_1':0.0},(279,618):{'3_1':0.15,'4_1':0.0},(279,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(279,616):{'3_1':0.03,'4_1':0.0},(279,615):{'3_1':0.03,'5_1':0.0},(279,614):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(279,613):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(279,612):{'3_1':0.09,'4_1':0.0},(279,611):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(279,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(279,609):{'3_1':0.06,'4_1':0.03},(279,608):{'3_1':0.06,'5_1':0.0},(279,607):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(279,606):{'3_1':0.03,'4_1':0.0},(279,605):{'3_1':0.0,'4_1':0.0},(279,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(279,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(279,602):{'3_1':0.03},(279,601):{'3_1':0.0,'4_1':0.0},(279,600):{'3_1':0.03,'5_1':0.0},(279,599):{'3_1':0.0},(279,598):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(279,597):{'3_1':0.03},(279,596):{'3_1':0.03,'4_1':0.0},(279,595):{'3_1':0.0,'5_1':0.0},(279,594):{'3_1':0.0,'4_1':0.0},(279,593):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(279,592):{'3_1':0.0,'4_1':0.0},(279,591):{'3_1':0.09},(279,590):{'3_1':0.12,'4_1':0.0},(279,589):{'3_1':0.06},(279,588):{'3_1':0.03},(279,587):{'3_1':0.03,'4_1':0.0},(279,586):{'3_1':0.06},(279,585):{'3_1':0.06},(279,584):{'3_1':0.03,'4_1':0.0},(279,583):{'3_1':0.0,'5_2':0.0},(279,582):{'3_1':0.03,'4_1':0.0},(279,581):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(279,580):{'3_1':0.0,'5_1':0.0},(279,579):{'3_1':0.06,'4_1':0.0},(279,578):{'3_1':0.03},(279,577):{'3_1':0.06},(279,576):{'3_1':0.0},(279,575):{'3_1':0.0},(279,574):{'3_1':0.0},(279,573):{'3_1':0.0},(279,572):{'3_1':0.0,'4_1':0.0},(279,571):{'3_1':0.03},(279,570):{'3_1':0.03,'4_1':0.0},(279,569):{'3_1':0.0},(279,568):{'3_1':0.0},(279,567):{'3_1':0.0},(279,566):{'3_1':0.0},(279,565):{'3_1':0.0,'4_1':0.0},(279,564):{'3_1':0.0,'5_2':0.0},(279,563):{'3_1':0.0},(279,562):{'3_1':0.0,'5_2':0.0},(279,561):{'3_1':0.0,'4_1':0.0},(279,560):{'3_1':0.0},(279,559):{'3_1':0.0,'4_1':0.0},(279,558):{'3_1':0.03},(279,557):{'3_1':0.0,'4_1':0.0},(279,556):{'3_1':0.0},(279,555):{'3_1':0.03},(279,554):{'3_1':0.03},(279,553):{'3_1':0.0},(279,552):{'3_1':0.03},(279,551):{'3_1':0.0,'5_1':0.0},(279,550):{'3_1':0.0,'4_1':0.0},(279,549):{'3_1':0.0,'4_1':0.0},(279,548):{'3_1':0.03},(279,547):{'3_1':0.0,'5_2':0.0},(279,546):{'3_1':0.0},(279,545):{'3_1':0.03},(279,544):{'3_1':0.0},(279,543):{'3_1':0.0},(279,542):{'3_1':0.06},(279,541):{'3_1':0.03},(279,539):{'3_1':0.0,'6_2':0.0},(279,538):{'3_1':0.0},(279,537):{'3_1':0.0,'4_1':0.0},(279,536):{'3_1':0.06},(279,535):{'3_1':0.03},(279,534):{'3_1':0.03},(279,533):{'3_1':0.03},(279,532):{'3_1':0.0,'4_1':0.0},(279,531):{'3_1':0.0},(279,530):{'3_1':0.0},(279,529):{'3_1':0.0},(279,528):{'4_1':0.0},(279,527):{'3_1':0.03},(279,526):{'3_1':0.0,'4_1':0.0},(279,525):{'3_1':0.0,'6_2':0.0},(279,522):{'3_1':0.03},(279,521):{'3_1':0.0},(279,520):{'3_1':0.03,'4_1':0.0},(279,519):{'3_1':0.0,'4_1':0.0},(279,518):{'3_1':0.03},(279,517):{'3_1':0.0},(279,516):{'3_1':0.03,'5_2':0.0},(279,515):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(279,514):{'3_1':0.03,'5_1':0.0},(279,512):{'3_1':0.0},(279,510):{'3_1':0.0},(279,508):{'3_1':0.0},(279,505):{'3_1':0.0},(279,504):{'3_1':0.0},(279,503):{'3_1':0.03},(279,502):{'3_1':0.0,'4_1':0.0},(279,501):{'3_1':0.0},(279,500):{'3_1':0.0,'4_1':0.0},(279,499):{'3_1':0.0,'4_1':0.0},(279,498):{'3_1':0.0,'4_1':0.0},(279,497):{'3_1':0.0},(279,495):{'3_1':0.0},(279,494):{'3_1':0.0},(279,493):{'3_1':0.0,'5_2':0.0},(279,492):{'3_1':0.03},(279,491):{'3_1':0.03,'5_2':0.0},(279,490):{'3_1':0.0},(279,489):{'3_1':0.03},(279,488):{'3_1':0.0},(279,487):{'3_1':0.0},(279,486):{'3_1':0.03},(279,485):{'3_1':0.0},(279,484):{'3_1':0.0},(279,483):{'3_1':0.0},(279,482):{'3_1':0.0},(279,481):{'3_1':0.03,'5_2':0.0},(279,480):{'3_1':0.0},(279,479):{'3_1':0.03},(279,478):{'3_1':0.0},(279,477):{'3_1':0.03},(279,476):{'3_1':0.03},(279,475):{'3_1':0.0,'5_2':0.0},(279,474):{'3_1':0.03},(279,473):{'3_1':0.0},(279,472):{'3_1':0.0},(279,471):{'3_1':0.0},(279,470):{'3_1':0.03},(279,469):{'3_1':0.0},(279,468):{'3_1':0.03},(279,467):{'3_1':0.03},(279,466):{'3_1':0.0},(279,465):{'3_1':0.0},(279,464):{'3_1':0.0},(279,463):{'3_1':0.0},(279,462):{'3_1':0.0},(279,461):{'3_1':0.0},(279,460):{'3_1':0.0},(279,459):{'3_1':0.03},(279,456):{'3_1':0.0},(279,455):{'3_1':0.0,'4_1':0.0},(279,454):{'3_1':0.03},(279,453):{'3_1':0.0},(279,452):{'3_1':0.0},(279,451):{'3_1':0.0},(279,449):{'4_1':0.0,'3_1':0.0},(279,448):{'5_1':0.0},(279,447):{'3_1':0.0},(279,445):{'3_1':0.0},(279,444):{'3_1':0.0},(279,442):{'3_1':0.0},(279,441):{'3_1':0.0},(279,440):{'3_1':0.0},(279,439):{'3_1':0.0},(279,438):{'3_1':0.0},(279,437):{'3_1':0.0},(279,435):{'3_1':0.0},(279,434):{'3_1':0.0},(279,433):{'3_1':0.0},(279,432):{'3_1':0.0},(279,431):{'3_1':0.03},(279,429):{'3_1':0.03},(279,428):{'3_1':0.0},(279,427):{'3_1':0.0},(279,426):{'3_1':0.0},(279,424):{'3_1':0.0},(279,422):{'3_1':0.0},(279,420):{'3_1':0.0},(279,418):{'3_1':0.0},(279,416):{'3_1':0.0,'5_1':0.0},(279,415):{'3_1':0.0},(279,414):{'9_1':0.0},(279,413):{'3_1':0.0,'5_1':0.0},(279,410):{'3_1':0.0,'7_1':0.0},(279,409):{'3_1':0.0,'5_1':0.0},(279,407):{'3_1':0.0,'5_1':0.0},(279,403):{'3_1':0.0},(279,401):{'3_1':0.0},(279,400):{'3_1':0.0},(279,396):{'3_1':0.0},(279,393):{'3_1':0.0},(279,389):{'3_1':0.0},(279,385):{'3_1':0.0},(279,383):{'3_1':0.0},(279,376):{'3_1':0.0},(279,374):{'3_1':0.0},(279,373):{'4_1':0.0},(279,371):{'3_1':0.0},(279,370):{'3_1':0.0},(279,369):{'3_1':0.0},(279,368):{'3_1':0.0},(279,366):{'3_1':0.0},(279,364):{'3_1':0.0},(279,363):{'3_1':0.0},(279,362):{'4_1':0.0},(279,361):{'3_1':0.0},(279,359):{'3_1':0.0},(279,358):{'3_1':0.0,'5_2':0.0},(279,355):{'3_1':0.0},(279,296):{'3_1':0.0},(279,291):{'3_1':0.0},(280,727):{'4_1':0.09,'3_1':0.06,'7_4':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(280,726):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(280,725):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_2':0.0},(280,724):{'4_1':0.15,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(280,723):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(280,722):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(280,721):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(280,720):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(280,719):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_3':0.0},(280,718):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_4':0.0,'8_14':0.0},(280,717):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(280,716):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(280,715):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_8':0.0},(280,714):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(280,713):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(280,712):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(280,711):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(280,710):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_9':0.0},(280,709):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0},(280,708):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.0,'7_4':0.0,'7_5':0.0},(280,707):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(280,706):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_6':0.0},(280,705):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(280,704):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.03,'5_1':0.0},(280,703):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(280,702):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(280,701):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'7_3':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_2':0.0},(280,700):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(280,699):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(280,698):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0},(280,697):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'7_4':0.03,'6_1':0.0,'7_1':0.0},(280,696):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'5_1':0.03,'7_4':0.0,'6_2':0.0,'7_3':0.0},(280,695):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.0,'6_2':0.0},(280,694):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0},(280,693):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(280,692):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'5_1':0.0,'7_4':0.0},(280,691):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(280,690):{'5_2':0.12,'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(280,689):{'4_1':0.18,'5_2':0.15,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0},(280,688):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'8_3':0.0,'8_4':0.0},(280,687):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0},(280,686):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.06,'5_1':0.0,'7_3':0.0},(280,685):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(280,684):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'8_6':0.0},(280,683):{'5_2':0.09,'4_1':0.09,'6_1':0.03,'3_1':0.0,'6_2':0.0,'7_4':0.0},(280,682):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(280,681):{'4_1':0.21,'5_2':0.09,'3_1':0.03,'7_4':0.0,'-3':0.0},(280,680):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0},(280,679):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(280,678):{'5_2':0.18,'4_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(280,677):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(280,676):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_1':0.0,'8_1':0.0},(280,675):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.06,'6_2':0.0,'5_1':0.0},(280,674):{'4_1':0.18,'5_2':0.12,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(280,673):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'9_1':0.0},(280,672):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(280,671):{'4_1':0.21,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0},(280,670):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0},(280,669):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(280,668):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(280,667):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(280,666):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_6':0.0},(280,665):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(280,664):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0},(280,663):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0,'8_14':0.0},(280,662):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0,'6_2':0.0,'7_3':0.0},(280,661):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_1':0.0},(280,660):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_1':0.0,'9_1':0.0},(280,659):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_1':0.0},(280,658):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_2':0.0,'6_1':0.0},(280,657):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(280,656):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(280,655):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(280,654):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(280,653):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_12':0.0},(280,652):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_1':0.0},(280,651):{'3_1':0.21,'4_1':0.03,'6_1':0.0,'6_3':0.0},(280,650):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(280,649):{'3_1':0.27,'4_1':0.09,'5_2':0.03},(280,648):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'6_1':0.0},(280,647):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0,'6_1':0.0},(280,646):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0},(280,645):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(280,644):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_5':0.0},(280,643):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_1':0.0},(280,642):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(280,641):{'3_1':0.27,'4_1':0.03,'5_2':0.0},(280,640):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(280,639):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(280,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(280,637):{'3_1':0.18,'4_1':0.0},(280,636):{'3_1':0.15,'4_1':0.0},(280,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(280,634):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(280,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(280,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(280,631):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(280,630):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(280,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(280,628):{'3_1':0.09},(280,627):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(280,626):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(280,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(280,624):{'4_1':0.03,'3_1':0.03},(280,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(280,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(280,621):{'3_1':0.06,'4_1':0.0},(280,620):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(280,619):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(280,618):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(280,617):{'4_1':0.0,'3_1':0.0},(280,616):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(280,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(280,614):{'3_1':0.06,'4_1':0.0},(280,613):{'3_1':0.03,'4_1':0.0},(280,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(280,611):{'3_1':0.06,'4_1':0.0},(280,610):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(280,609):{'3_1':0.0,'4_1':0.0},(280,608):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(280,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(280,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(280,605):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(280,604):{'3_1':0.0,'4_1':0.0},(280,603):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(280,602):{'3_1':0.0,'4_1':0.0},(280,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(280,600):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(280,599):{'3_1':0.03,'4_1':0.0},(280,598):{'3_1':0.06},(280,597):{'3_1':0.0,'6_1':0.0},(280,596):{'3_1':0.03,'4_1':0.0},(280,595):{'3_1':0.03,'5_1':0.0},(280,594):{'3_1':0.0},(280,593):{'3_1':0.0},(280,592):{'3_1':0.0},(280,591):{'3_1':0.06,'4_1':0.0},(280,590):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(280,589):{'3_1':0.03},(280,588):{'3_1':0.06},(280,587):{'3_1':0.0,'7_5':0.0},(280,586):{'3_1':0.03,'4_1':0.0},(280,585):{'3_1':0.06},(280,584):{'3_1':0.03},(280,583):{'3_1':0.0,'5_1':0.0},(280,582):{'3_1':0.03,'5_1':0.0},(280,581):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(280,580):{'3_1':0.03},(280,579):{'3_1':0.0,'7_2':0.0},(280,578):{'3_1':0.03},(280,577):{'3_1':0.03,'4_1':0.0},(280,576):{'3_1':0.0},(280,575):{'3_1':0.06,'4_1':0.0},(280,574):{'3_1':0.0,'5_1':0.0},(280,573):{'3_1':0.0,'5_2':0.0},(280,572):{'3_1':0.0},(280,571):{'5_1':0.0},(280,570):{'3_1':0.03},(280,569):{'3_1':0.0},(280,568):{'3_1':0.03,'5_2':0.0},(280,567):{'3_1':0.03},(280,566):{'3_1':0.06},(280,565):{'3_1':0.03},(280,564):{'3_1':0.0},(280,563):{'3_1':0.03,'4_1':0.0},(280,562):{'3_1':0.0},(280,561):{'3_1':0.03,'4_1':0.0},(280,560):{'3_1':0.03},(280,559):{'3_1':0.0},(280,558):{'3_1':0.0},(280,557):{'3_1':0.03},(280,556):{'3_1':0.0},(280,555):{'4_1':0.0},(280,554):{'3_1':0.0,'4_1':0.0},(280,553):{'3_1':0.03,'4_1':0.0},(280,552):{'3_1':0.03,'4_1':0.0},(280,551):{'3_1':0.06},(280,550):{'3_1':0.0,'5_2':0.0},(280,549):{'3_1':0.03},(280,548):{'3_1':0.03,'6_2':0.0},(280,547):{'3_1':0.0},(280,545):{'3_1':0.0},(280,544):{'3_1':0.03},(280,543):{'3_1':0.0,'5_2':0.0},(280,542):{'3_1':0.03,'4_1':0.0},(280,541):{'3_1':0.0},(280,540):{'3_1':0.06,'4_1':0.0},(280,539):{'3_1':0.03},(280,538):{'3_1':0.0,'4_1':0.0},(280,537):{'3_1':0.0},(280,536):{'3_1':0.03},(280,535):{'3_1':0.03,'6_2':0.0},(280,534):{'3_1':0.0},(280,533):{'3_1':0.03},(280,532):{'3_1':0.03},(280,531):{'3_1':0.0},(280,530):{'3_1':0.0,'5_1':0.0},(280,529):{'3_1':0.03},(280,528):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(280,527):{'3_1':0.0,'4_1':0.0},(280,526):{'3_1':0.03,'4_1':0.0},(280,525):{'3_1':0.0},(280,524):{'3_1':0.0},(280,523):{'3_1':0.0},(280,522):{'3_1':0.0,'4_1':0.0},(280,521):{'3_1':0.03},(280,520):{'3_1':0.0},(280,519):{'3_1':0.03},(280,518):{'3_1':0.0},(280,517):{'3_1':0.0},(280,516):{'3_1':0.0},(280,515):{'3_1':0.03},(280,512):{'3_1':0.03},(280,511):{'3_1':0.0},(280,510):{'3_1':0.0,'4_1':0.0},(280,509):{'3_1':0.0},(280,508):{'3_1':0.0,'4_1':0.0},(280,507):{'3_1':0.03},(280,506):{'3_1':0.0},(280,505):{'3_1':0.0},(280,503):{'3_1':0.0},(280,502):{'3_1':0.0,'4_1':0.0},(280,501):{'3_1':0.0},(280,500):{'3_1':0.0},(280,499):{'3_1':0.0},(280,498):{'4_1':0.0},(280,497):{'3_1':0.03},(280,495):{'3_1':0.0},(280,494):{'3_1':0.0},(280,493):{'3_1':0.0},(280,492):{'3_1':0.0},(280,491):{'3_1':0.0},(280,490):{'3_1':0.0},(280,489):{'3_1':0.03},(280,488):{'3_1':0.0},(280,487):{'3_1':0.0},(280,486):{'3_1':0.0},(280,485):{'3_1':0.03},(280,484):{'3_1':0.03},(280,483):{'3_1':0.03},(280,482):{'3_1':0.0},(280,481):{'3_1':0.03},(280,480):{'3_1':0.03,'4_1':0.0},(280,479):{'3_1':0.03},(280,478):{'3_1':0.06},(280,477):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(280,476):{'3_1':0.03},(280,475):{'3_1':0.06},(280,474):{'3_1':0.03},(280,473):{'3_1':0.0},(280,472):{'3_1':0.03,'5_2':0.0},(280,471):{'3_1':0.03},(280,470):{'3_1':0.0},(280,469):{'3_1':0.03},(280,468):{'3_1':0.0},(280,467):{'3_1':0.03},(280,466):{'3_1':0.0},(280,465):{'3_1':0.0,'5_2':0.0},(280,464):{'3_1':0.03},(280,461):{'3_1':0.0,'4_1':0.0},(280,460):{'3_1':0.0},(280,459):{'4_1':0.0},(280,458):{'3_1':0.0},(280,456):{'3_1':0.0},(280,454):{'3_1':0.0},(280,453):{'3_1':0.0},(280,452):{'4_1':0.0},(280,451):{'3_1':0.0},(280,450):{'3_1':0.0},(280,449):{'3_1':0.0,'4_1':0.0},(280,448):{'3_1':0.0},(280,447):{'3_1':0.0},(280,446):{'3_1':0.0},(280,445):{'3_1':0.0},(280,444):{'3_1':0.0},(280,443):{'3_1':0.03},(280,442):{'3_1':0.0},(280,440):{'3_1':0.0},(280,439):{'3_1':0.0},(280,438):{'3_1':0.0},(280,437):{'3_1':0.0},(280,436):{'3_1':0.0},(280,435):{'3_1':0.0},(280,434):{'3_1':0.0},(280,433):{'3_1':0.0},(280,430):{'3_1':0.0},(280,428):{'3_1':0.0},(280,427):{'3_1':0.0},(280,426):{'3_1':0.0},(280,424):{'3_1':0.0},(280,421):{'3_1':0.0},(280,420):{'3_1':0.0},(280,419):{'3_1':0.0},(280,418):{'3_1':0.0},(280,417):{'3_1':0.0},(280,416):{'3_1':0.03,'5_1':0.0},(280,415):{'3_1':0.0},(280,413):{'3_1':0.0,'4_1':0.0},(280,412):{'3_1':0.0},(280,410):{'6_1':0.0},(280,407):{'6_1':0.0},(280,406):{'5_2':0.0},(280,405):{'3_1':0.0},(280,404):{'3_1':0.0},(280,402):{'3_1':0.0},(280,401):{'3_1':0.0},(280,400):{'4_1':0.0},(280,399):{'4_1':0.0,'5_2':0.0},(280,396):{'3_1':0.0},(280,395):{'3_1':0.0},(280,390):{'3_1':0.0},(280,389):{'3_1':0.0},(280,388):{'3_1':0.0},(280,386):{'3_1':0.0},(280,384):{'3_1':0.0},(280,375):{'3_1':0.0},(280,374):{'3_1':0.0},(280,373):{'3_1':0.0},(280,372):{'3_1':0.0},(280,371):{'5_2':0.0},(280,370):{'3_1':0.0},(280,369):{'3_1':0.0},(280,368):{'3_1':0.0},(280,367):{'3_1':0.0,'4_1':0.0},(280,366):{'3_1':0.03},(280,365):{'3_1':0.0},(280,364):{'3_1':0.0},(280,363):{'3_1':0.0},(280,362):{'3_1':0.0},(280,361):{'3_1':0.0},(280,360):{'3_1':0.0},(280,359):{'3_1':0.0},(280,358):{'3_1':0.0},(280,355):{'3_1':0.0},(280,354):{'3_1':0.0},(280,316):{'3_1':0.0},(280,309):{'3_1':0.0},(280,308):{'3_1':0.0},(280,296):{'3_1':0.0},(280,294):{'3_1':0.0},(280,293):{'3_1':0.0},(280,292):{'3_1':0.0},(281,727):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(281,726):{'4_1':0.24,'5_2':0.03,'3_1':0.03},(281,725):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(281,724):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0},(281,723):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'7_6':0.0},(281,722):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_5':0.0},(281,721):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(281,720):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(281,719):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(281,718):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(281,717):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0},(281,716):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(281,715):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(281,714):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'7_4':0.0,'8_8':0.0},(281,713):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0,'8_4':0.0},(281,712):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_6':0.0,'6_1':0.0,'-3':0.0},(281,711):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_3':0.0,'8_8':0.0},(281,710):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(281,709):{'4_1':0.18,'3_1':0.03,'7_4':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0},(281,708):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(281,707):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0},(281,706):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0},(281,705):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(281,704):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(281,703):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(281,702):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_4':0.0},(281,701):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'7_4':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(281,700):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(281,699):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(281,698):{'4_1':0.15,'5_2':0.15,'3_1':0.0,'6_2':0.0,'7_4':0.0},(281,697):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0},(281,696):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(281,695):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(281,694):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_1':0.0},(281,693):{'5_2':0.15,'4_1':0.15,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(281,692):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'7_6':0.0},(281,691):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(281,690):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0},(281,689):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_2':0.0},(281,688):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0},(281,687):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0},(281,686):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0},(281,685):{'4_1':0.21,'5_2':0.15,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0},(281,684):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(281,683):{'4_1':0.18,'5_2':0.09,'3_1':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0},(281,682):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(281,681):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_11':0.0},(281,680):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0},(281,679):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'5_1':0.03,'6_1':0.0,'-3':0.0},(281,678):{'4_1':0.15,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0},(281,677):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(281,676):{'4_1':0.09,'5_2':0.09,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(281,675):{'5_2':0.21,'4_1':0.18,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(281,674):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0},(281,673):{'4_1':0.15,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(281,672):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_7':0.0,'8_1':0.0},(281,671):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'9_1':0.0},(281,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(281,669):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(281,668):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(281,667):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(281,666):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(281,665):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0},(281,664):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0},(281,663):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(281,662):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0},(281,661):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(281,660):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_2':0.0,'9_1':0.0,'7_1':0.0},(281,659):{'3_1':0.15,'4_1':0.15,'5_2':0.03},(281,658):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0},(281,657):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0},(281,656):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0},(281,655):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_5':0.0},(281,654):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(281,653):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(281,652):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(281,651):{'3_1':0.27,'4_1':0.06,'5_2':0.0},(281,650):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(281,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(281,648):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(281,647):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(281,646):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(281,645):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'7_1':0.0},(281,644):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(281,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_2':0.0,'9_1':0.0},(281,642):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0},(281,641):{'3_1':0.15,'4_1':0.03},(281,640):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(281,639):{'3_1':0.12,'6_2':0.0,'6_1':0.0},(281,638):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_1':0.0},(281,637):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(281,636):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(281,635):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(281,634):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(281,633):{'3_1':0.09,'4_1':0.0},(281,632):{'3_1':0.09,'5_1':0.03},(281,631):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0},(281,630):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(281,629):{'3_1':0.09},(281,628):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(281,627):{'3_1':0.09,'4_1':0.0},(281,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(281,625):{'3_1':0.15,'4_1':0.0},(281,624):{'3_1':0.09,'4_1':0.03},(281,623):{'3_1':0.15,'4_1':0.03},(281,622):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(281,621):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(281,620):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(281,619):{'3_1':0.12,'5_1':0.0},(281,618):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(281,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(281,616):{'3_1':0.09,'6_1':0.0},(281,615):{'3_1':0.03},(281,614):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(281,613):{'3_1':0.06,'4_1':0.0},(281,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(281,611):{'3_1':0.06,'4_1':0.03},(281,610):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(281,609):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(281,608):{'3_1':0.03,'6_1':0.0},(281,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(281,606):{'3_1':0.03,'4_1':0.0},(281,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(281,604):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0},(281,603):{'3_1':0.03,'5_1':0.0},(281,602):{'3_1':0.06,'4_1':0.0},(281,601):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(281,600):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(281,599):{'3_1':0.03},(281,598):{'3_1':0.0,'5_1':0.0},(281,597):{'3_1':0.03},(281,596):{'3_1':0.0},(281,595):{'3_1':0.03},(281,594):{'3_1':0.0,'4_1':0.0},(281,593):{'3_1':0.03,'5_2':0.0},(281,592):{'3_1':0.0,'4_1':0.0},(281,591):{'3_1':0.06},(281,590):{'3_1':0.06},(281,589):{'3_1':0.03,'5_2':0.0},(281,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,587):{'3_1':0.03,'4_1':0.0},(281,586):{'3_1':0.0},(281,585):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(281,584):{'3_1':0.03,'5_2':0.0},(281,583):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(281,582):{'3_1':0.03,'4_1':0.0},(281,581):{'3_1':0.06},(281,580):{'3_1':0.0,'5_2':0.0},(281,579):{'3_1':0.03,'5_1':0.0},(281,578):{'3_1':0.0,'5_2':0.0},(281,577):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(281,576):{'3_1':0.03},(281,575):{'3_1':0.03},(281,574):{'3_1':0.03,'5_1':0.0},(281,573):{'3_1':0.0},(281,572):{'3_1':0.03,'4_1':0.0},(281,571):{'3_1':0.0,'4_1':0.0},(281,570):{'3_1':0.0},(281,569):{'3_1':0.06},(281,568):{'3_1':0.06},(281,567):{'3_1':0.0,'4_1':0.0},(281,566):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(281,565):{'3_1':0.0},(281,564):{'3_1':0.03,'5_2':0.0},(281,563):{'3_1':0.0},(281,562):{'3_1':0.0},(281,561):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(281,560):{'3_1':0.0},(281,559):{'3_1':0.0},(281,558):{'3_1':0.0,'5_1':0.0},(281,557):{'3_1':0.03,'4_1':0.0},(281,556):{'4_1':0.0,'3_1':0.0},(281,555):{'3_1':0.0,'5_2':0.0},(281,554):{'3_1':0.0,'4_1':0.0},(281,553):{'3_1':0.0},(281,552):{'3_1':0.0},(281,551):{'3_1':0.03},(281,550):{'3_1':0.0},(281,549):{'3_1':0.0,'4_1':0.0},(281,548):{'3_1':0.0},(281,547):{'3_1':0.0},(281,546):{'3_1':0.0,'5_2':0.0},(281,545):{'3_1':0.0,'4_1':0.0},(281,544):{'3_1':0.0},(281,543):{'3_1':0.0},(281,542):{'3_1':0.0,'4_1':0.0},(281,541):{'3_1':0.06},(281,540):{'3_1':0.0},(281,539):{'3_1':0.03},(281,538):{'3_1':0.0,'5_1':0.0},(281,537):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(281,536):{'3_1':0.0},(281,535):{'3_1':0.06,'4_1':0.0},(281,534):{'3_1':0.0},(281,533):{'3_1':0.0,'4_1':0.0},(281,532):{'3_1':0.0,'4_1':0.0},(281,531):{'3_1':0.0,'4_1':0.0},(281,530):{'3_1':0.0,'4_1':0.0},(281,529):{'3_1':0.03,'4_1':0.0},(281,528):{'3_1':0.0},(281,527):{'3_1':0.03,'4_1':0.0},(281,526):{'3_1':0.06},(281,525):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(281,524):{'3_1':0.03},(281,523):{'3_1':0.03},(281,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_13':0.0},(281,521):{'3_1':0.0,'4_1':0.0},(281,520):{'3_1':0.0},(281,519):{'3_1':0.03,'4_1':0.0},(281,518):{'3_1':0.0,'4_1':0.0},(281,517):{'3_1':0.0},(281,516):{'3_1':0.0},(281,515):{'3_1':0.0,'4_1':0.0},(281,514):{'3_1':0.0},(281,513):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(281,512):{'3_1':0.0,'5_1':0.0},(281,511):{'3_1':0.03},(281,510):{'3_1':0.0,'4_1':0.0},(281,509):{'3_1':0.0},(281,508):{'3_1':0.0,'4_1':0.0},(281,506):{'3_1':0.0},(281,505):{'3_1':0.03,'4_1':0.0},(281,504):{'3_1':0.0,'4_1':0.0},(281,503):{'3_1':0.03},(281,502):{'3_1':0.0,'4_1':0.0},(281,501):{'3_1':0.0,'4_1':0.0},(281,500):{'3_1':0.0,'4_1':0.0},(281,499):{'3_1':0.0,'4_1':0.0},(281,498):{'3_1':0.03},(281,497):{'3_1':0.0,'4_1':0.0},(281,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(281,494):{'3_1':0.03,'5_2':0.0},(281,493):{'3_1':0.03,'5_2':0.0},(281,492):{'3_1':0.03},(281,491):{'3_1':0.0},(281,489):{'4_1':0.0},(281,488):{'3_1':0.0},(281,487):{'3_1':0.0},(281,486):{'3_1':0.0},(281,485):{'3_1':0.0},(281,484):{'3_1':0.0,'4_1':0.0},(281,483):{'3_1':0.03},(281,482):{'3_1':0.0},(281,481):{'3_1':0.0},(281,480):{'3_1':0.03},(281,479):{'3_1':0.03},(281,478):{'3_1':0.06},(281,477):{'3_1':0.0,'5_1':0.0},(281,476):{'3_1':0.03},(281,475):{'3_1':0.0},(281,474):{'3_1':0.0},(281,473):{'3_1':0.0,'5_2':0.0},(281,472):{'3_1':0.03,'8_1':0.0},(281,471):{'3_1':0.0},(281,470):{'3_1':0.0},(281,469):{'3_1':0.0},(281,468):{'3_1':0.0},(281,467):{'3_1':0.03},(281,466):{'3_1':0.0},(281,465):{'3_1':0.0},(281,464):{'3_1':0.03},(281,463):{'3_1':0.0},(281,462):{'3_1':0.0},(281,460):{'3_1':0.0},(281,459):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(281,458):{'3_1':0.0},(281,456):{'3_1':0.0},(281,454):{'3_1':0.0},(281,453):{'4_1':0.0},(281,452):{'3_1':0.0},(281,451):{'3_1':0.03,'5_1':0.0},(281,450):{'3_1':0.0},(281,448):{'3_1':0.0},(281,447):{'3_1':0.0},(281,446):{'3_1':0.0},(281,445):{'3_1':0.0},(281,444):{'3_1':0.0},(281,442):{'3_1':0.0},(281,441):{'3_1':0.0},(281,440):{'3_1':0.03},(281,439):{'3_1':0.0,'4_1':0.0},(281,438):{'3_1':0.0},(281,434):{'3_1':0.0,'4_1':0.0},(281,433):{'3_1':0.0},(281,432):{'3_1':0.0},(281,429):{'3_1':0.03},(281,428):{'3_1':0.0},(281,425):{'3_1':0.0},(281,424):{'3_1':0.0},(281,423):{'5_2':0.0},(281,422):{'3_1':0.0},(281,421):{'3_1':0.0},(281,419):{'3_1':0.0},(281,416):{'5_1':0.0},(281,412):{'5_1':0.0},(281,410):{'3_1':0.0,'7_1':0.0},(281,409):{'3_1':0.0},(281,408):{'3_1':0.0,'4_1':0.0},(281,397):{'3_1':0.0},(281,393):{'3_1':0.0},(281,387):{'3_1':0.0},(281,385):{'3_1':0.0},(281,376):{'3_1':0.0},(281,375):{'3_1':0.0},(281,373):{'3_1':0.0},(281,372):{'3_1':0.0},(281,370):{'3_1':0.0},(281,368):{'3_1':0.0},(281,367):{'3_1':0.0},(281,365):{'3_1':0.0},(281,362):{'3_1':0.0},(281,361):{'3_1':0.0},(281,360):{'3_1':0.0},(281,359):{'3_1':0.0},(281,358):{'3_1':0.0},(281,357):{'3_1':0.0},(281,356):{'3_1':0.0},(281,354):{'3_1':0.0},(281,353):{'3_1':0.0},(281,313):{'4_1':0.0},(281,312):{'3_1':0.0},(281,311):{'3_1':0.0},(282,727):{'4_1':0.12,'3_1':0.03,'5_2':0.03},(282,726):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'7_5':0.0},(282,725):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(282,724):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(282,723):{'4_1':0.15,'3_1':0.03,'7_4':0.0,'7_6':0.0,'5_2':0.0},(282,722):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_5':0.0},(282,721):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.0,'3_1#5_2':0.0},(282,720):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(282,719):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(282,718):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(282,717):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(282,716):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(282,715):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'8_8':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(282,714):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_8':0.0,'-3':0.0},(282,713):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0},(282,712):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_6':0.0,'7_4':0.0,'3_1#5_1':0.0},(282,711):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_5':0.0},(282,710):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(282,709):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(282,708):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(282,707):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(282,706):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0},(282,705):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'7_4':0.0},(282,704):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(282,703):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(282,702):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(282,701):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'7_4':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0},(282,700):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.03,'6_2':0.03,'6_1':0.0},(282,699):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0},(282,698):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(282,697):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(282,696):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(282,695):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'-3':0.0},(282,694):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(282,693):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(282,692):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(282,691):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(282,690):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(282,689):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(282,688):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(282,687):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0},(282,686):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_1':0.0,'7_4':0.0,'8_1':0.0},(282,685):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(282,684):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.0},(282,683):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(282,682):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(282,681):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(282,680):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(282,679):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0},(282,678):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_3':0.0},(282,677):{'4_1':0.18,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(282,676):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0},(282,675):{'4_1':0.24,'5_2':0.09,'3_1':0.03,'6_1':0.0},(282,674):{'4_1':0.21,'5_2':0.12,'6_1':0.0,'3_1':0.0,'7_1':0.0},(282,673):{'4_1':0.18,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(282,672):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(282,671):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0},(282,670):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(282,669):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0},(282,668):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0},(282,667):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'-3':0.0},(282,666):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0},(282,665):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0},(282,664):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_4':0.0},(282,663):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0,'6_3':0.0},(282,662):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0},(282,661):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(282,660):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(282,659):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(282,658):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0,'7_3':0.0},(282,657):{'3_1':0.15,'4_1':0.12,'5_2':0.03},(282,656):{'3_1':0.21,'4_1':0.06,'6_2':0.0},(282,655):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_5':0.0,'8_7':0.0},(282,654):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0},(282,653):{'3_1':0.21,'4_1':0.09,'6_2':0.0,'5_2':0.0},(282,652):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0},(282,651):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(282,650):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(282,649):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(282,648):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(282,647):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(282,646):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(282,645):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(282,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(282,643):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(282,642):{'3_1':0.12,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(282,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(282,640):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_6':0.0},(282,639):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(282,638):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0},(282,637):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(282,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(282,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(282,634):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(282,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(282,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(282,631):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(282,630):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(282,629):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(282,628):{'3_1':0.09,'4_1':0.0},(282,627):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(282,626):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(282,625):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(282,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(282,623):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(282,622):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(282,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(282,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(282,619):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(282,618):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'6_2':0.0},(282,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(282,616):{'3_1':0.12,'4_1':0.0},(282,615):{'3_1':0.12,'4_1':0.0},(282,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(282,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(282,612):{'3_1':0.0,'4_1':0.0},(282,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(282,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(282,609):{'3_1':0.0,'5_1':0.0},(282,608):{'3_1':0.06,'4_1':0.0},(282,607):{'3_1':0.06,'4_1':0.0},(282,606):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(282,605):{'3_1':0.03,'4_1':0.0},(282,604):{'3_1':0.03,'4_1':0.03},(282,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(282,602):{'3_1':0.06,'4_1':0.0},(282,601):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(282,600):{'4_1':0.0,'5_1':0.0,'3_1':0.0,'5_2':0.0},(282,599):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(282,598):{'3_1':0.0,'4_1':0.0},(282,597):{'3_1':0.03},(282,596):{'3_1':0.06,'5_1':0.0},(282,595):{'3_1':0.03,'4_1':0.0},(282,594):{'3_1':0.0},(282,593):{'3_1':0.03},(282,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(282,591):{'3_1':0.03,'4_1':0.0},(282,590):{'3_1':0.0,'4_1':0.0},(282,589):{'3_1':0.03,'5_2':0.0},(282,588):{'3_1':0.06},(282,587):{'3_1':0.03,'4_1':0.0},(282,586):{'3_1':0.06,'4_1':0.0},(282,585):{'3_1':0.03,'5_1':0.0},(282,584):{'3_1':0.03,'4_1':0.0},(282,583):{'3_1':0.06,'4_1':0.0},(282,582):{'3_1':0.03},(282,581):{'3_1':0.06,'4_1':0.0},(282,580):{'3_1':0.03,'4_1':0.0},(282,579):{'3_1':0.03},(282,578):{'3_1':0.03,'5_1':0.0},(282,577):{'3_1':0.03},(282,576):{'3_1':0.06},(282,575):{'3_1':0.03},(282,574):{'3_1':0.03,'4_1':0.0},(282,573):{'5_2':0.0},(282,572):{'3_1':0.0,'4_1':0.0},(282,571):{'3_1':0.0},(282,570):{'4_1':0.0,'3_1':0.0},(282,569):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(282,568):{'3_1':0.0},(282,567):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(282,566):{'3_1':0.0},(282,565):{'3_1':0.0,'4_1':0.0},(282,564):{'3_1':0.03,'4_1':0.0},(282,563):{'3_1':0.0,'4_1':0.0},(282,562):{'3_1':0.03,'5_2':0.0},(282,561):{'3_1':0.03},(282,560):{'3_1':0.0},(282,559):{'3_1':0.0},(282,558):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(282,557):{'3_1':0.0,'4_1':0.0},(282,555):{'3_1':0.0},(282,554):{'3_1':0.0},(282,553):{'3_1':0.0,'4_1':0.0},(282,552):{'3_1':0.0},(282,551):{'3_1':0.03,'4_1':0.0},(282,550):{'3_1':0.03},(282,549):{'3_1':0.03,'5_2':0.0},(282,548):{'3_1':0.0,'4_1':0.0},(282,546):{'3_1':0.03,'4_1':0.0},(282,545):{'3_1':0.0},(282,544):{'3_1':0.03},(282,543):{'3_1':0.0},(282,542):{'3_1':0.0},(282,541):{'3_1':0.0,'4_1':0.0},(282,540):{'3_1':0.03},(282,539):{'3_1':0.03,'4_1':0.0},(282,538):{'3_1':0.03},(282,537):{'3_1':0.03},(282,536):{'3_1':0.0},(282,535):{'3_1':0.0,'4_1':0.0},(282,534):{'3_1':0.0},(282,533):{'3_1':0.0,'4_1':0.0},(282,531):{'3_1':0.0},(282,530):{'3_1':0.03},(282,529):{'3_1':0.06},(282,528):{'3_1':0.0,'4_1':0.0},(282,527):{'3_1':0.0},(282,526):{'3_1':0.0},(282,525):{'3_1':0.0},(282,524):{'3_1':0.0},(282,523):{'3_1':0.03},(282,522):{'3_1':0.0},(282,521):{'3_1':0.0},(282,520):{'3_1':0.0},(282,519):{'3_1':0.03},(282,518):{'3_1':0.0,'5_2':0.0},(282,517):{'3_1':0.0,'5_2':0.0},(282,516):{'3_1':0.0,'4_1':0.0},(282,515):{'3_1':0.0},(282,514):{'3_1':0.0},(282,513):{'3_1':0.03},(282,511):{'3_1':0.0,'4_1':0.0},(282,510):{'3_1':0.0},(282,509):{'3_1':0.03},(282,508):{'3_1':0.0},(282,507):{'3_1':0.0,'4_1':0.0},(282,505):{'3_1':0.0,'4_1':0.0},(282,504):{'3_1':0.0},(282,503):{'3_1':0.0},(282,502):{'3_1':0.0,'4_1':0.0},(282,501):{'3_1':0.0},(282,499):{'3_1':0.0},(282,498):{'4_1':0.0},(282,497):{'3_1':0.03},(282,496):{'3_1':0.0},(282,495):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(282,492):{'3_1':0.0},(282,491):{'3_1':0.0},(282,490):{'3_1':0.03},(282,489):{'3_1':0.0},(282,488):{'5_1':0.0,'5_2':0.0},(282,487):{'3_1':0.0},(282,486):{'3_1':0.06},(282,485):{'3_1':0.06},(282,484):{'3_1':0.0},(282,483):{'3_1':0.03},(282,482):{'3_1':0.03},(282,481):{'3_1':0.0},(282,480):{'3_1':0.03,'4_1':0.0},(282,479):{'3_1':0.03},(282,478):{'3_1':0.0},(282,477):{'3_1':0.03},(282,476):{'3_1':0.03},(282,475):{'3_1':0.0},(282,474):{'3_1':0.0},(282,473):{'3_1':0.03},(282,472):{'3_1':0.0,'5_2':0.0},(282,471):{'3_1':0.03},(282,470):{'3_1':0.03},(282,469):{'3_1':0.0,'4_1':0.0},(282,467):{'3_1':0.0},(282,466):{'3_1':0.0},(282,465):{'3_1':0.0},(282,464):{'3_1':0.0},(282,463):{'3_1':0.0},(282,462):{'3_1':0.0},(282,461):{'6_1':0.0},(282,460):{'3_1':0.03},(282,459):{'3_1':0.03},(282,457):{'3_1':0.0},(282,454):{'3_1':0.0},(282,453):{'3_1':0.0},(282,452):{'3_1':0.0},(282,451):{'3_1':0.0},(282,450):{'3_1':0.0},(282,445):{'3_1':0.0},(282,444):{'3_1':0.0},(282,442):{'3_1':0.0},(282,437):{'3_1':0.0},(282,436):{'5_2':0.0},(282,435):{'3_1':0.0},(282,434):{'3_1':0.0},(282,433):{'3_1':0.0,'5_2':0.0},(282,432):{'3_1':0.0},(282,431):{'3_1':0.0},(282,430):{'3_1':0.0},(282,429):{'3_1':0.0},(282,428):{'3_1':0.0},(282,425):{'3_1':0.0},(282,422):{'3_1':0.0},(282,421):{'3_1':0.0},(282,420):{'3_1':0.0},(282,419):{'3_1':0.0},(282,413):{'3_1':0.0},(282,412):{'3_1':0.0,'5_1':0.0},(282,411):{'5_1':0.0},(282,409):{'3_1':0.0,'7_1':0.0},(282,408):{'3_1':0.0},(282,401):{'3_1':0.0},(282,399):{'3_1':0.0},(282,389):{'3_1':0.0},(282,388):{'3_1':0.0,'4_1':0.0},(282,387):{'3_1':0.0},(282,386):{'3_1':0.0},(282,384):{'3_1':0.0},(282,383):{'3_1':0.0},(282,375):{'3_1':0.0},(282,373):{'3_1':0.0},(282,372):{'3_1':0.0},(282,371):{'3_1':0.0},(282,370):{'3_1':0.0},(282,369):{'3_1':0.0},(282,368):{'3_1':0.0},(282,367):{'3_1':0.0},(282,366):{'3_1':0.03},(282,364):{'3_1':0.0},(282,363):{'3_1':0.0},(282,362):{'3_1':0.0},(282,361):{'3_1':0.0},(282,359):{'3_1':0.0},(282,358):{'3_1':0.0},(282,357):{'3_1':0.0},(282,355):{'3_1':0.0},(282,354):{'3_1':0.0},(282,353):{'3_1':0.0},(282,351):{'3_1':0.0},(282,349):{'3_1':0.0},(282,348):{'3_1':0.0},(282,303):{'3_1':0.0},(282,296):{'3_1':0.0},(282,291):{'3_1':0.0},(283,727):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(283,726):{'4_1':0.12,'3_1':0.06,'5_2':0.03},(283,725):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0},(283,724):{'4_1':0.18,'3_1':0.03,'5_1':0.0,'7_3':0.0,'5_2':0.0,'6_1':0.0},(283,723):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(283,722):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_2':0.0},(283,721):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(283,720):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(283,719):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0},(283,718):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'7_4':0.0,'5_1':0.0,'6_2':0.0},(283,717):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0},(283,716):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_3':0.0,'6_1':0.0,'7_4':0.0},(283,715):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0},(283,714):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'8_8':0.0},(283,713):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_6':0.0,'5_1':0.0,'6_2':0.0},(283,712):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.0,'7_4':0.0,'7_6':0.0},(283,711):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(283,710):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_5':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(283,709):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(283,708):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.0},(283,707):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'7_4':0.0,'6_2':0.0},(283,706):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(283,705):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_5':0.0},(283,704):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0},(283,703):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0},(283,702):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'7_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(283,701):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(283,700):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0},(283,699):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(283,698):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_1':0.0,'7_4':0.0,'8_2':0.0},(283,697):{'5_2':0.15,'4_1':0.09,'3_1':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0},(283,696):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(283,695):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0},(283,694):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0},(283,693):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_6':0.0},(283,692):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(283,691):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(283,690):{'4_1':0.15,'5_2':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(283,689):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(283,688):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(283,687):{'5_2':0.15,'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(283,686):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0},(283,685):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_2':0.03,'6_1':0.0,'7_4':0.0},(283,684):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.0,'7_4':0.0,'6_1':0.0},(283,683):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0},(283,682):{'5_2':0.21,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(283,681):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(283,680):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0},(283,679):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_2':0.0,'8_20|3_1#3_1':0.0},(283,678):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(283,677):{'5_2':0.15,'4_1':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(283,676):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(283,675):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(283,674):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0},(283,673):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_5':0.0},(283,672):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0},(283,671):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_2':0.0},(283,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0},(283,669):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_1':0.0},(283,668):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(283,667):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_13':0.0},(283,666):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'8_2':0.0},(283,665):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(283,664):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(283,663):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(283,662):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(283,661):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_1':0.0},(283,660):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(283,659):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(283,658):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(283,657):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0},(283,656):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(283,655):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_2':0.0},(283,654):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0,'8_4':0.0},(283,653):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(283,652):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(283,651):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(283,650):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(283,649):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(283,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_4':0.0},(283,647):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_2':0.0},(283,646):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0},(283,645):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0},(283,644):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'5_2':0.0},(283,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(283,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(283,641):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(283,640):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(283,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(283,638):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(283,637):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(283,636):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(283,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(283,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(283,633):{'3_1':0.12,'4_1':0.0},(283,632):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(283,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(283,630):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(283,629):{'3_1':0.12,'4_1':0.0},(283,628):{'3_1':0.06,'4_1':0.03},(283,627):{'3_1':0.12,'4_1':0.03,'8_20|3_1#3_1':0.0},(283,626):{'3_1':0.09,'4_1':0.0},(283,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(283,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(283,623):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(283,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(283,621):{'3_1':0.12,'4_1':0.0},(283,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(283,619):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_3':0.0},(283,618):{'3_1':0.15,'4_1':0.03},(283,617):{'3_1':0.06,'5_1':0.0},(283,616):{'3_1':0.12,'4_1':0.0},(283,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(283,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(283,613):{'3_1':0.09,'4_1':0.0},(283,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(283,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(283,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(283,609):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(283,608):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(283,607):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(283,606):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(283,605):{'3_1':0.06,'4_1':0.03},(283,604):{'3_1':0.03,'4_1':0.0},(283,603):{'3_1':0.0,'4_1':0.0},(283,602):{'3_1':0.03,'4_1':0.0},(283,601):{'3_1':0.0},(283,600):{'3_1':0.06},(283,599):{'3_1':0.03,'4_1':0.0},(283,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(283,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(283,596):{'3_1':0.0,'5_1':0.0},(283,595):{'3_1':0.03,'4_1':0.0},(283,594):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(283,593):{'3_1':0.03,'5_2':0.0},(283,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(283,591):{'3_1':0.0},(283,590):{'3_1':0.03},(283,589):{'3_1':0.03,'5_2':0.0},(283,588):{'3_1':0.03},(283,587):{'3_1':0.06},(283,586):{'3_1':0.03},(283,585):{'3_1':0.0},(283,584):{'3_1':0.06,'5_2':0.0},(283,583):{'3_1':0.0},(283,582):{'3_1':0.06},(283,581):{'3_1':0.06},(283,580):{'3_1':0.0,'4_1':0.0},(283,579):{'3_1':0.03,'4_1':0.0},(283,578):{'3_1':0.03},(283,577):{'3_1':0.0},(283,576):{'3_1':0.03,'5_1':0.0},(283,575):{'3_1':0.0,'4_1':0.0},(283,574):{'3_1':0.03,'4_1':0.0},(283,573):{'3_1':0.03,'4_1':0.0},(283,572):{'3_1':0.0},(283,571):{'3_1':0.03},(283,570):{'3_1':0.03},(283,569):{'3_1':0.0},(283,568):{'3_1':0.0},(283,567):{'3_1':0.0,'5_1':0.0},(283,566):{'3_1':0.03,'4_1':0.0},(283,565):{'3_1':0.0},(283,564):{'3_1':0.03,'5_2':0.0},(283,563):{'3_1':0.0,'4_1':0.0},(283,562):{'3_1':0.0},(283,561):{'3_1':0.0},(283,560):{'3_1':0.0},(283,559):{'3_1':0.0,'4_1':0.0},(283,558):{'3_1':0.03,'4_1':0.0},(283,557):{'3_1':0.0,'4_1':0.0},(283,556):{'3_1':0.03,'4_1':0.0},(283,555):{'3_1':0.0,'5_1':0.0},(283,554):{'3_1':0.0},(283,553):{'3_1':0.03,'4_1':0.0},(283,552):{'3_1':0.0,'5_2':0.0},(283,551):{'3_1':0.0,'4_1':0.0},(283,550):{'3_1':0.0},(283,549):{'3_1':0.0},(283,548):{'3_1':0.0,'4_1':0.0},(283,547):{'3_1':0.0},(283,545):{'3_1':0.0},(283,544):{'3_1':0.03},(283,543):{'3_1':0.0},(283,542):{'3_1':0.0},(283,541):{'3_1':0.03,'6_2':0.0},(283,540):{'3_1':0.0},(283,539):{'3_1':0.0},(283,538):{'3_1':0.0},(283,537):{'3_1':0.0},(283,536):{'3_1':0.0},(283,535):{'3_1':0.0,'6_2':0.0},(283,534):{'3_1':0.03},(283,533):{'3_1':0.03},(283,532):{'3_1':0.03},(283,531):{'3_1':0.03},(283,530):{'3_1':0.0,'6_2':0.0},(283,529):{'3_1':0.0},(283,528):{'3_1':0.0},(283,527):{'3_1':0.06},(283,526):{'3_1':0.0,'8_20|3_1#3_1':0.0},(283,525):{'3_1':0.06},(283,524):{'3_1':0.03},(283,523):{'3_1':0.0},(283,522):{'3_1':0.0},(283,521):{'3_1':0.03},(283,520):{'3_1':0.0,'4_1':0.0},(283,519):{'3_1':0.03},(283,518):{'3_1':0.0,'4_1':0.0},(283,517):{'3_1':0.0},(283,516):{'3_1':0.0,'4_1':0.0},(283,515):{'3_1':0.0},(283,514):{'3_1':0.0},(283,513):{'3_1':0.03},(283,511):{'3_1':0.0},(283,509):{'5_1':0.0},(283,508):{'5_1':0.0},(283,507):{'3_1':0.0},(283,506):{'4_1':0.0},(283,505):{'3_1':0.0},(283,504):{'3_1':0.0},(283,502):{'3_1':0.0,'4_1':0.0},(283,501):{'3_1':0.0},(283,499):{'3_1':0.0},(283,498):{'3_1':0.0},(283,497):{'3_1':0.0},(283,496):{'3_1':0.0},(283,495):{'3_1':0.0},(283,494):{'3_1':0.0,'5_2':0.0},(283,493):{'3_1':0.06},(283,492):{'3_1':0.0},(283,491):{'3_1':0.03},(283,490):{'3_1':0.03},(283,489):{'3_1':0.0},(283,488):{'3_1':0.0},(283,487):{'3_1':0.0},(283,486):{'3_1':0.03,'4_1':0.0},(283,485):{'3_1':0.0},(283,484):{'3_1':0.0},(283,483):{'3_1':0.03},(283,482):{'3_1':0.03},(283,481):{'3_1':0.06},(283,480):{'3_1':0.06},(283,479):{'3_1':0.0},(283,478):{'3_1':0.03,'5_2':0.0},(283,477):{'3_1':0.03},(283,476):{'3_1':0.06},(283,475):{'3_1':0.03},(283,474):{'3_1':0.03},(283,473):{'3_1':0.03},(283,472):{'3_1':0.03},(283,471):{'3_1':0.0},(283,470):{'3_1':0.0},(283,469):{'3_1':0.0},(283,468):{'3_1':0.03},(283,466):{'3_1':0.0},(283,465):{'3_1':0.0},(283,464):{'3_1':0.0},(283,463):{'3_1':0.0},(283,462):{'3_1':0.0,'4_1':0.0},(283,461):{'3_1':0.0},(283,460):{'3_1':0.03},(283,459):{'3_1':0.0,'4_1':0.0},(283,458):{'3_1':0.03,'4_1':0.0},(283,457):{'3_1':0.0,'5_2':0.0},(283,455):{'3_1':0.0},(283,452):{'3_1':0.0},(283,451):{'3_1':0.03},(283,450):{'3_1':0.0},(283,448):{'3_1':0.0},(283,447):{'3_1':0.0},(283,445):{'3_1':0.0},(283,443):{'3_1':0.0,'4_1':0.0},(283,439):{'3_1':0.0},(283,438):{'3_1':0.0},(283,435):{'3_1':0.0},(283,434):{'3_1':0.0},(283,433):{'3_1':0.0},(283,432):{'3_1':0.0},(283,431):{'3_1':0.0},(283,430):{'3_1':0.0},(283,429):{'3_1':0.0},(283,428):{'3_1':0.0},(283,426):{'3_1':0.0},(283,425):{'3_1':0.0},(283,424):{'3_1':0.0},(283,423):{'3_1':0.0},(283,422):{'3_1':0.0},(283,421):{'3_1':0.0},(283,420):{'3_1':0.0},(283,418):{'3_1':0.0},(283,415):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(283,414):{'3_1':0.0},(283,411):{'3_1':0.0},(283,401):{'3_1':0.0},(283,400):{'3_1':0.0},(283,398):{'3_1':0.0},(283,397):{'3_1':0.0},(283,393):{'3_1':0.0},(283,391):{'3_1':0.0},(283,389):{'3_1':0.0},(283,388):{'3_1':0.0},(283,386):{'3_1':0.0},(283,385):{'3_1':0.0},(283,384):{'3_1':0.0},(283,376):{'3_1':0.0},(283,375):{'3_1':0.0},(283,374):{'3_1':0.0},(283,373):{'3_1':0.03},(283,371):{'3_1':0.0},(283,370):{'3_1':0.0},(283,369):{'3_1':0.0},(283,368):{'3_1':0.0},(283,366):{'3_1':0.0},(283,365):{'3_1':0.0,'5_2':0.0},(283,364):{'3_1':0.0},(283,363):{'3_1':0.0},(283,362):{'3_1':0.0},(283,359):{'3_1':0.0},(283,358):{'3_1':0.0},(283,355):{'3_1':0.0},(283,353):{'3_1':0.0},(283,352):{'3_1':0.0},(283,351):{'3_1':0.0},(283,348):{'3_1':0.0},(283,346):{'3_1':0.0},(283,316):{'4_1':0.0},(283,309):{'3_1':0.0},(283,305):{'3_1':0.0},(283,296):{'3_1':0.0},(283,291):{'3_1':0.0},(283,290):{'3_1':0.0},(284,727):{'4_1':0.15,'5_2':0.03,'3_1':0.0},(284,726):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_6':0.0},(284,725):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0},(284,724):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(284,723):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_4':0.0,'7_6':0.0},(284,722):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(284,721):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(284,720):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(284,719):{'4_1':0.15,'5_2':0.06,'5_1':0.0,'3_1':0.0,'8_8':0.0},(284,718):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(284,717):{'4_1':0.15,'3_1':0.06,'5_1':0.03,'5_2':0.03,'7_6':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(284,716):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(284,715):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(284,714):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_6':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0},(284,713):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_4':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(284,712):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'7_6':0.0,'7_3':0.0,'7_5':0.0,'8_8':0.0,'-3':0.0},(284,711):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(284,710):{'4_1':0.12,'5_2':0.06,'6_2':0.0,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'7_5':0.0},(284,709):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_3':0.0,'7_4':0.0,'6_2':0.0},(284,708):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(284,707):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(284,706):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(284,705):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(284,704):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(284,703):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'-3':0.0,'6_2':0.0,'7_4':0.0},(284,702):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(284,701):{'5_2':0.18,'4_1':0.12,'3_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(284,700):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0,'8_4':0.0},(284,699):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0},(284,698):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(284,697):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'7_4':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(284,696):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.03,'6_2':0.0},(284,695):{'4_1':0.21,'5_2':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.0},(284,694):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'7_3':0.0},(284,693):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(284,692):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0},(284,691):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(284,690):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(284,689):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(284,688):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'7_3':0.0,'7_4':0.0,'6_1':0.0},(284,687):{'4_1':0.18,'5_2':0.06,'6_1':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(284,686):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.03,'7_3':0.0,'6_1':0.0},(284,685):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(284,684):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(284,683):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(284,682):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(284,681):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_7':0.0},(284,680):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'-3':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(284,679):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_3':0.0},(284,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'8_3':0.0,'5_1':0.0,'-3':0.0},(284,677):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(284,676):{'5_2':0.18,'4_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0},(284,675):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'9_1':0.0},(284,674):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'6_1':0.0},(284,673):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0},(284,672):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.03,'6_1':0.0},(284,671):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(284,670):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(284,669):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(284,668):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0},(284,667):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0},(284,666):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(284,665):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(284,664):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0},(284,663):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(284,662):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(284,661):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0},(284,660):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_1':0.0,'6_2':0.0,'7_6':0.0,'9_1':0.0},(284,659):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(284,658):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(284,657):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0},(284,656):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(284,655):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(284,654):{'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0},(284,653):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(284,652):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_2':0.0},(284,651):{'3_1':0.18,'4_1':0.0,'6_2':0.0,'5_2':0.0},(284,650):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(284,649):{'3_1':0.15,'4_1':0.09,'6_2':0.0},(284,648):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(284,647):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(284,646):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'6_2':0.0},(284,645):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(284,644):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(284,643):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'9_1':0.0},(284,642):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(284,641):{'3_1':0.21,'4_1':0.03,'7_1':0.0,'5_2':0.0},(284,640):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(284,639):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'8_9':0.0},(284,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(284,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(284,636):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(284,635):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(284,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(284,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(284,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(284,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(284,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(284,629):{'3_1':0.12,'4_1':0.0},(284,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(284,627):{'3_1':0.06,'4_1':0.0},(284,626):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(284,625):{'3_1':0.06,'5_1':0.0},(284,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(284,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(284,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_12':0.0},(284,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(284,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(284,619):{'3_1':0.06},(284,618):{'3_1':0.09,'4_1':0.03},(284,617):{'3_1':0.15,'5_2':0.0},(284,616):{'3_1':0.06,'4_1':0.0},(284,615):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(284,614):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(284,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(284,612):{'3_1':0.09},(284,611):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(284,610):{'3_1':0.03,'4_1':0.0},(284,609):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(284,608):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(284,607):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(284,606):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(284,605):{'3_1':0.0},(284,604):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(284,603):{'3_1':0.0,'4_1':0.0},(284,602):{'3_1':0.03,'4_1':0.0},(284,601):{'3_1':0.0,'4_1':0.0},(284,600):{'3_1':0.03,'4_1':0.0},(284,599):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(284,598):{'3_1':0.03},(284,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(284,596):{'3_1':0.0,'5_2':0.0},(284,595):{'3_1':0.03},(284,594):{'3_1':0.03},(284,593):{'3_1':0.0,'4_1':0.0},(284,592):{'3_1':0.03},(284,591):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(284,590):{'3_1':0.03},(284,589):{'3_1':0.0},(284,588):{'3_1':0.0},(284,587):{'3_1':0.06},(284,586):{'3_1':0.03},(284,585):{'3_1':0.03,'6_3':0.0},(284,584):{'3_1':0.03},(284,583):{'3_1':0.0},(284,582):{'3_1':0.06},(284,581):{'3_1':0.03},(284,580):{'3_1':0.03},(284,579):{'3_1':0.03},(284,578):{'3_1':0.0},(284,577):{'3_1':0.03},(284,576):{'3_1':0.03},(284,574):{'3_1':0.0,'4_1':0.0},(284,573):{'3_1':0.03},(284,572):{'3_1':0.0,'4_1':0.0},(284,571):{'3_1':0.0},(284,570):{'3_1':0.03,'4_1':0.0},(284,568):{'3_1':0.03},(284,567):{'3_1':0.0},(284,566):{'3_1':0.03,'6_2':0.0},(284,565):{'3_1':0.0},(284,564):{'5_2':0.0},(284,563):{'3_1':0.03},(284,562):{'3_1':0.03},(284,561):{'3_1':0.03,'4_1':0.0},(284,560):{'3_1':0.0,'4_1':0.0},(284,559):{'3_1':0.0,'5_1':0.0},(284,558):{'3_1':0.0,'4_1':0.0},(284,557):{'3_1':0.0},(284,556):{'3_1':0.03,'5_2':0.0},(284,555):{'3_1':0.0},(284,554):{'3_1':0.0},(284,553):{'3_1':0.0},(284,552):{'3_1':0.03,'4_1':0.0},(284,551):{'3_1':0.0},(284,550):{'3_1':0.0},(284,549):{'3_1':0.0},(284,548):{'3_1':0.0},(284,547):{'3_1':0.0},(284,546):{'3_1':0.0,'4_1':0.0},(284,545):{'3_1':0.0},(284,544):{'3_1':0.0,'4_1':0.0},(284,543):{'3_1':0.03,'4_1':0.0},(284,542):{'3_1':0.0,'4_1':0.0},(284,541):{'3_1':0.0},(284,540):{'3_1':0.03,'4_1':0.0},(284,539):{'3_1':0.0},(284,538):{'3_1':0.0},(284,537):{'3_1':0.0},(284,536):{'3_1':0.0},(284,535):{'3_1':0.03},(284,534):{'3_1':0.0},(284,533):{'3_1':0.0},(284,532):{'3_1':0.0},(284,531):{'3_1':0.0,'6_2':0.0},(284,530):{'3_1':0.0,'4_1':0.0},(284,529):{'3_1':0.0},(284,528):{'3_1':0.03,'4_1':0.0},(284,527):{'3_1':0.03},(284,526):{'3_1':0.0,'4_1':0.0},(284,525):{'3_1':0.0},(284,524):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(284,523):{'3_1':0.03,'4_1':0.0},(284,522):{'3_1':0.03,'4_1':0.0},(284,521):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(284,520):{'3_1':0.0},(284,519):{'3_1':0.0},(284,518):{'3_1':0.03},(284,517):{'3_1':0.0},(284,516):{'3_1':0.0},(284,515):{'3_1':0.0,'4_1':0.0},(284,514):{'3_1':0.0,'6_2':0.0},(284,513):{'4_1':0.0},(284,512):{'3_1':0.0},(284,511):{'4_1':0.0},(284,509):{'3_1':0.0},(284,508):{'3_1':0.0},(284,507):{'3_1':0.0},(284,506):{'3_1':0.0},(284,505):{'3_1':0.03,'4_1':0.0},(284,504):{'3_1':0.0},(284,503):{'3_1':0.0},(284,500):{'3_1':0.03},(284,499):{'3_1':0.0},(284,498):{'3_1':0.03,'6_2':0.0},(284,497):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(284,496):{'3_1':0.03},(284,495):{'3_1':0.03},(284,494):{'3_1':0.0},(284,493):{'3_1':0.06},(284,492):{'3_1':0.0},(284,491):{'3_1':0.03},(284,490):{'3_1':0.0,'5_1':0.0},(284,489):{'3_1':0.0},(284,488):{'3_1':0.03,'5_1':0.0},(284,487):{'3_1':0.0},(284,486):{'3_1':0.03},(284,485):{'3_1':0.0},(284,484):{'3_1':0.03},(284,483):{'3_1':0.06},(284,482):{'3_1':0.03},(284,481):{'3_1':0.06},(284,480):{'3_1':0.06},(284,479):{'3_1':0.03},(284,478):{'3_1':0.03},(284,477):{'3_1':0.0},(284,476):{'3_1':0.03},(284,475):{'3_1':0.03},(284,474):{'3_1':0.03},(284,473):{'3_1':0.0},(284,472):{'3_1':0.03},(284,471):{'3_1':0.0},(284,470):{'3_1':0.0},(284,469):{'3_1':0.03},(284,468):{'3_1':0.0},(284,467):{'3_1':0.0},(284,466):{'3_1':0.0},(284,465):{'3_1':0.0,'4_1':0.0},(284,464):{'3_1':0.0},(284,463):{'3_1':0.0},(284,462):{'3_1':0.0},(284,461):{'3_1':0.0},(284,460):{'3_1':0.0},(284,458):{'3_1':0.0},(284,457):{'3_1':0.03},(284,455):{'3_1':0.03},(284,452):{'3_1':0.0,'4_1':0.0},(284,451):{'3_1':0.0},(284,450):{'3_1':0.0},(284,447):{'3_1':0.0},(284,446):{'3_1':0.0,'4_1':0.0},(284,442):{'3_1':0.0},(284,441):{'3_1':0.0},(284,440):{'3_1':0.0},(284,439):{'3_1':0.0},(284,438):{'3_1':0.0},(284,436):{'3_1':0.0},(284,435):{'3_1':0.0},(284,434):{'4_1':0.0},(284,433):{'3_1':0.0},(284,432):{'3_1':0.0},(284,430):{'3_1':0.0},(284,429):{'3_1':0.0},(284,428):{'3_1':0.0},(284,425):{'3_1':0.0},(284,424):{'3_1':0.0},(284,421):{'3_1':0.0},(284,420):{'3_1':0.0},(284,419):{'3_1':0.0},(284,418):{'3_1':0.0},(284,416):{'3_1':0.0},(284,415):{'3_1':0.0},(284,411):{'3_1':0.0},(284,410):{'5_1':0.0},(284,408):{'3_1':0.0},(284,400):{'3_1':0.0},(284,399):{'3_1':0.0},(284,389):{'3_1':0.0},(284,388):{'3_1':0.0},(284,384):{'3_1':0.0},(284,372):{'3_1':0.03},(284,371):{'3_1':0.0},(284,370):{'3_1':0.0},(284,369):{'3_1':0.0},(284,368):{'3_1':0.0,'4_1':0.0},(284,366):{'3_1':0.0},(284,365):{'3_1':0.0},(284,364):{'3_1':0.0},(284,363):{'3_1':0.0},(284,362):{'3_1':0.0},(284,359):{'3_1':0.0},(284,358):{'3_1':0.0},(284,357):{'3_1':0.0},(284,356):{'3_1':0.0},(284,353):{'3_1':0.0},(284,352):{'3_1':0.0},(284,350):{'3_1':0.0},(284,349):{'4_1':0.0},(284,344):{'3_1':0.0},(284,311):{'3_1':0.0},(284,303):{'3_1':0.0},(285,727):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(285,726):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_6':0.0},(285,725):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(285,724):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(285,723):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(285,722):{'4_1':0.06,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(285,721):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0},(285,720):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(285,719):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(285,718):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'7_4':0.0,'6_2':0.0,'8_4':0.0},(285,717):{'4_1':0.09,'3_1':0.06,'7_4':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(285,716):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(285,715):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(285,714):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(285,713):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(285,712):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0},(285,711):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(285,710):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(285,709):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.03,'7_3':0.0,'8_4':0.0,'6_1':0.0,'7_5':0.0,'7_7':0.0},(285,708):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'8_4':0.0},(285,707):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_6':0.0},(285,706):{'4_1':0.12,'5_2':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'8_4':0.0,'7_2':0.0,'7_4':0.0},(285,705):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(285,704):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'7_4':0.03,'5_1':0.0,'6_2':0.0},(285,703):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(285,702):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(285,701):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'7_4':0.03,'6_2':0.0},(285,700):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(285,699):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(285,698):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(285,697):{'5_2':0.18,'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(285,696):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(285,695):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0},(285,694):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0},(285,693):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(285,692):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0},(285,691):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'7_3':0.0},(285,690):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(285,689):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(285,688):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(285,687):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(285,686):{'5_2':0.18,'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(285,685):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0,'-3':0.0},(285,684):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(285,683):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'8_4':0.0,'7_3':0.0,'7_4':0.0},(285,682):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03},(285,681):{'4_1':0.12,'5_2':0.12,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(285,680):{'4_1':0.15,'5_2':0.15,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(285,679):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'1':-0.03},(285,678):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(285,677):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'8_4':0.0},(285,676):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_4':0.0,'6_2':0.0},(285,675):{'5_2':0.15,'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(285,674):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_4':0.0},(285,673):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_2':0.0,'6_1':0.0},(285,672):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0},(285,671):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(285,670):{'4_1':0.09,'5_2':0.09,'6_2':0.03,'3_1':0.0},(285,669):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0},(285,668):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(285,667):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(285,666):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(285,665):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_2':0.0},(285,664):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0},(285,663):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(285,662):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.0,'6_1':0.0},(285,661):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_6':0.0},(285,660):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(285,659):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0},(285,658):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(285,657):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(285,656):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(285,655):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(285,654):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(285,653):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(285,652):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_11':0.0},(285,651):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(285,650):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0},(285,649):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(285,648):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(285,647):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(285,646):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(285,645):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0},(285,644):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'8_4':0.0},(285,643):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(285,642):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(285,641):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(285,640):{'3_1':0.3,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(285,639):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(285,638):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(285,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(285,636):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(285,635):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(285,634):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(285,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(285,632):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(285,631):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(285,630):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(285,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(285,628):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(285,627):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(285,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(285,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(285,624):{'3_1':0.06,'4_1':0.0},(285,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(285,622):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(285,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(285,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(285,619):{'3_1':0.06,'6_1':0.0},(285,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(285,617):{'3_1':0.09,'4_1':0.0},(285,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(285,615):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(285,614):{'3_1':0.09,'5_1':0.03,'4_1':0.03},(285,613):{'3_1':0.06,'4_1':0.0},(285,612):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(285,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(285,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(285,609):{'3_1':0.06,'4_1':0.03},(285,608):{'3_1':0.06,'4_1':0.0},(285,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(285,606):{'3_1':0.03,'4_1':0.03},(285,605):{'3_1':0.0,'4_1':0.0},(285,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(285,603):{'3_1':0.06,'4_1':0.03},(285,602):{'3_1':0.03},(285,601):{'3_1':0.03,'4_1':0.0},(285,600):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(285,599):{'3_1':0.0},(285,598):{'3_1':0.06},(285,597):{'3_1':0.03,'4_1':0.0},(285,596):{'3_1':0.0},(285,595):{'3_1':0.0},(285,594):{'3_1':0.0},(285,593):{'3_1':0.0,'5_1':0.0},(285,592):{'3_1':0.09},(285,591):{'3_1':0.0,'5_2':0.0},(285,590):{'4_1':0.0},(285,589):{'3_1':0.03},(285,587):{'3_1':0.03},(285,586):{'3_1':0.03},(285,585):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(285,584):{'3_1':0.03},(285,583):{'3_1':0.0},(285,582):{'3_1':0.03,'5_2':0.0},(285,581):{'3_1':0.03},(285,580):{'3_1':0.03},(285,579):{'3_1':0.0,'4_1':0.0},(285,578):{'3_1':0.03},(285,577):{'3_1':0.0,'7_3':0.0},(285,576):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(285,575):{'3_1':0.0},(285,574):{'3_1':0.0},(285,573):{'3_1':0.03},(285,572):{'3_1':0.03},(285,571):{'3_1':0.0,'4_1':0.0},(285,570):{'4_1':0.0},(285,569):{'3_1':0.0},(285,568):{'3_1':0.0},(285,567):{'3_1':0.03},(285,566):{'3_1':0.0},(285,565):{'3_1':0.0},(285,564):{'3_1':0.0},(285,563):{'3_1':0.0},(285,562):{'3_1':0.0,'4_1':0.0},(285,561):{'3_1':0.0},(285,560):{'3_1':0.0},(285,559):{'3_1':0.0},(285,557):{'3_1':0.0},(285,556):{'3_1':0.03},(285,555):{'3_1':0.0,'4_1':0.0},(285,554):{'3_1':0.0},(285,553):{'3_1':0.0,'5_2':0.0},(285,552):{'3_1':0.0,'4_1':0.0},(285,551):{'3_1':0.0,'4_1':0.0},(285,550):{'3_1':0.03},(285,549):{'3_1':0.0,'5_2':0.0},(285,548):{'4_1':0.0},(285,546):{'3_1':0.0,'4_1':0.0},(285,545):{'3_1':0.03},(285,543):{'3_1':0.06},(285,542):{'3_1':0.03},(285,540):{'3_1':0.03,'4_1':0.0},(285,539):{'3_1':0.0},(285,538):{'3_1':0.0},(285,537):{'3_1':0.03,'5_1':0.0},(285,536):{'3_1':0.0},(285,535):{'3_1':0.0,'4_1':0.0},(285,534):{'3_1':0.03},(285,533):{'3_1':0.03},(285,532):{'3_1':0.0},(285,531):{'3_1':0.0},(285,530):{'3_1':0.03,'4_1':0.0},(285,529):{'3_1':0.0},(285,528):{'3_1':0.0,'4_1':0.0},(285,527):{'3_1':0.03,'5_2':0.0},(285,526):{'3_1':0.0},(285,525):{'3_1':0.03},(285,524):{'3_1':0.0},(285,523):{'3_1':0.0},(285,522):{'3_1':0.03,'5_2':0.0},(285,521):{'3_1':0.0},(285,520):{'3_1':0.03},(285,519):{'3_1':0.03},(285,518):{'3_1':0.03},(285,517):{'3_1':0.0},(285,516):{'3_1':0.0},(285,515):{'3_1':0.0},(285,514):{'3_1':0.03},(285,513):{'3_1':0.0},(285,512):{'4_1':0.0},(285,510):{'3_1':0.0},(285,509):{'3_1':0.0},(285,508):{'3_1':0.03,'4_1':0.0},(285,507):{'3_1':0.0},(285,506):{'3_1':0.0,'7_4':0.0},(285,505):{'3_1':0.03,'6_1':0.0},(285,504):{'3_1':0.0},(285,503):{'3_1':0.0},(285,501):{'3_1':0.0,'4_1':0.0},(285,500):{'3_1':0.0,'4_1':0.0},(285,499):{'3_1':0.06,'5_2':0.0},(285,498):{'3_1':0.0},(285,497):{'3_1':0.0,'4_1':0.0},(285,496):{'3_1':0.0},(285,495):{'3_1':0.0},(285,494):{'3_1':0.03},(285,493):{'3_1':0.03},(285,492):{'3_1':0.03,'5_1':0.0},(285,491):{'3_1':0.0,'5_2':0.0},(285,490):{'3_1':0.03},(285,489):{'3_1':0.0},(285,488):{'3_1':0.0},(285,487):{'3_1':0.0},(285,486):{'3_1':0.03},(285,485):{'5_2':0.0},(285,484):{'3_1':0.03},(285,483):{'3_1':0.03,'4_1':0.0},(285,482):{'3_1':0.0},(285,481):{'3_1':0.0},(285,480):{'3_1':0.03},(285,479):{'3_1':0.03},(285,478):{'3_1':0.0,'4_1':0.0},(285,477):{'3_1':0.0},(285,476):{'3_1':0.0},(285,475):{'3_1':0.0},(285,474):{'3_1':0.03},(285,473):{'3_1':0.0,'4_1':0.0},(285,472):{'3_1':0.03},(285,471):{'3_1':0.0},(285,470):{'3_1':0.03},(285,469):{'3_1':0.0},(285,468):{'3_1':0.0},(285,467):{'3_1':0.0,'5_2':0.0},(285,466):{'3_1':0.0},(285,465):{'3_1':0.0},(285,464):{'3_1':0.0},(285,463):{'3_1':0.03},(285,462):{'3_1':0.0},(285,461):{'3_1':0.0},(285,460):{'3_1':0.0},(285,459):{'3_1':0.0},(285,457):{'3_1':0.0},(285,456):{'3_1':0.0},(285,454):{'3_1':0.0,'4_1':0.0},(285,453):{'3_1':0.0},(285,451):{'3_1':0.0,'5_2':0.0},(285,450):{'3_1':0.0,'5_2':0.0},(285,449):{'3_1':0.0},(285,447):{'3_1':0.0},(285,445):{'3_1':0.0},(285,444):{'4_1':0.0},(285,443):{'3_1':0.0,'5_2':0.0},(285,440):{'3_1':0.0},(285,439):{'3_1':0.0},(285,438):{'3_1':0.0},(285,437):{'3_1':0.0,'4_1':0.0},(285,436):{'3_1':0.0},(285,435):{'3_1':0.0},(285,434):{'3_1':0.0},(285,433):{'3_1':0.0},(285,431):{'3_1':0.0},(285,429):{'3_1':0.03},(285,426):{'3_1':0.0},(285,425):{'3_1':0.0},(285,423):{'3_1':0.0},(285,422):{'3_1':0.0},(285,421):{'3_1':0.0},(285,416):{'3_1':0.0},(285,415):{'3_1':0.0},(285,414):{'3_1':0.0},(285,413):{'3_1':0.0},(285,412):{'3_1':0.0},(285,411):{'4_1':0.0,'5_1':0.0},(285,409):{'4_1':0.0,'5_1':0.0},(285,408):{'3_1':0.0},(285,400):{'3_1':0.0},(285,395):{'3_1':0.0},(285,394):{'3_1':0.0},(285,390):{'3_1':0.0},(285,389):{'3_1':0.0},(285,387):{'3_1':0.0},(285,383):{'4_1':0.0},(285,375):{'3_1':0.0},(285,374):{'3_1':0.0},(285,373):{'3_1':0.0},(285,371):{'3_1':0.0},(285,369):{'3_1':0.0},(285,368):{'3_1':0.0},(285,367):{'3_1':0.0},(285,366):{'3_1':0.03},(285,365):{'3_1':0.0},(285,363):{'3_1':0.0},(285,362):{'3_1':0.0},(285,361):{'3_1':0.0},(285,360):{'3_1':0.0},(285,359):{'3_1':0.0},(285,358):{'3_1':0.0},(285,357):{'3_1':0.0},(285,356):{'3_1':0.0},(285,355):{'3_1':0.0},(285,354):{'3_1':0.0},(285,352):{'3_1':0.0,'4_1':0.0},(285,350):{'3_1':0.0},(285,346):{'3_1':0.0},(285,345):{'4_1':0.0},(285,343):{'4_1':0.0},(285,315):{'3_1':0.0},(285,314):{'3_1':0.0},(285,310):{'3_1':0.0},(285,295):{'3_1':0.0},(285,292):{'3_1':0.0},(285,291):{'3_1':0.0},(286,727):{'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0},(286,726):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0},(286,725):{'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0},(286,724):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(286,723):{'4_1':0.06,'6_2':0.03,'3_1':0.03,'5_2':0.0,'7_4':0.0,'7_6':0.0},(286,722):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'6_1':0.0},(286,721):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0},(286,720):{'4_1':0.06,'5_2':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(286,719):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(286,718):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(286,717):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_6':0.0,'-3':0.0},(286,716):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_8':0.0,'1':-0.03},(286,715):{'4_1':0.09,'5_2':0.06,'6_2':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0,'8_8':0.0},(286,714):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(286,713):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(286,712):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'7_6':0.0,'-3':0.0},(286,711):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(286,710):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_6':0.0},(286,709):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0},(286,708):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.03,'7_3':0.0,'7_6':0.0,'-3':0.0},(286,707):{'4_1':0.21,'5_2':0.06,'3_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0},(286,706):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'8_4':0.0},(286,705):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0},(286,704):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(286,703):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(286,702):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(286,701):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(286,700):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(286,699):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(286,698):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(286,697):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0},(286,696):{'5_2':0.15,'4_1':0.12,'3_1':0.12,'6_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(286,695):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_5':0.0},(286,694):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(286,693):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(286,692):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0},(286,691):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'7_4':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_13':0.0},(286,690):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0},(286,689):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.0,'5_1':0.0},(286,688):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'8_9':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(286,687):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(286,686):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'7_4':0.03,'6_1':0.0},(286,685):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0},(286,684):{'5_2':0.18,'4_1':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(286,683):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(286,682):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'8_4':0.0},(286,681):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'8_9':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(286,680):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.0},(286,679):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(286,678):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(286,677):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_2':0.0,'8_3':0.0,'8_9':0.0,'-3':0.0},(286,676):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.03,'6_1':0.0,'8_4':0.0},(286,675):{'5_2':0.21,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(286,674):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'8_3':0.0,'8_4':0.0},(286,673):{'5_2':0.15,'4_1':0.12,'3_1':0.0,'6_2':0.0},(286,672):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0},(286,671):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(286,670):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(286,669):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0},(286,668):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_4':0.0},(286,667):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0},(286,666):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(286,665):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_5':0.0},(286,664):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0},(286,663):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_2':0.0,'7_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(286,662):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0},(286,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(286,660):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.03,'7_3':0.0,'9_1':0.0,'-3':0.0},(286,659):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(286,658):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_3':0.0},(286,657):{'3_1':0.15,'4_1':0.12,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(286,656):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(286,655):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(286,654):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(286,653):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_4':0.0},(286,652):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(286,651):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(286,650):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(286,649):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(286,648):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(286,647):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(286,646):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(286,645):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(286,644):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(286,643):{'3_1':0.15,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0,'9_1':0.0},(286,642):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(286,641):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(286,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(286,639):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(286,638):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(286,637):{'3_1':0.15,'6_1':0.0},(286,636):{'3_1':0.15,'4_1':0.0},(286,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(286,634):{'3_1':0.12,'5_1':0.0},(286,633):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_9':0.0},(286,632):{'3_1':0.06,'4_1':0.0},(286,631):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(286,630):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(286,629):{'3_1':0.09,'4_1':0.0},(286,628):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(286,627):{'3_1':0.12,'6_2':0.0},(286,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(286,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(286,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(286,623):{'3_1':0.15,'4_1':0.0},(286,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(286,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(286,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(286,619):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(286,618):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(286,617):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(286,616):{'3_1':0.03,'5_1':0.0},(286,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(286,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(286,613):{'3_1':0.06,'4_1':0.0},(286,612):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(286,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(286,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(286,609):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0},(286,608):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(286,607):{'3_1':0.0,'4_1':0.0},(286,606):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(286,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(286,604):{'4_1':0.03,'3_1':0.03},(286,603):{'3_1':0.06,'4_1':0.0},(286,602):{'3_1':0.0},(286,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(286,600):{'3_1':0.0,'5_2':0.0},(286,599):{'3_1':0.03,'4_1':0.0},(286,598):{'3_1':0.0},(286,597):{'3_1':0.0,'4_1':0.0},(286,596):{'3_1':0.0},(286,595):{'3_1':0.0,'4_1':0.0},(286,594):{'3_1':0.03},(286,593):{'3_1':0.0},(286,592):{'3_1':0.03},(286,591):{'3_1':0.03},(286,590):{'3_1':0.03,'4_1':0.0},(286,589):{'3_1':0.0},(286,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(286,587):{'3_1':0.0,'4_1':0.0},(286,586):{'3_1':0.03},(286,585):{'3_1':0.0,'4_1':0.0},(286,584):{'3_1':0.03,'4_1':0.0},(286,583):{'3_1':0.0},(286,582):{'3_1':0.03,'6_3':0.0},(286,581):{'3_1':0.0},(286,580):{'3_1':0.03},(286,579):{'3_1':0.03},(286,578):{'3_1':0.0},(286,577):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(286,576):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(286,575):{'3_1':0.06,'4_1':0.0},(286,574):{'3_1':0.0,'4_1':0.0},(286,573):{'3_1':0.03},(286,572):{'3_1':0.0,'4_1':0.0},(286,571):{'3_1':0.0},(286,570):{'3_1':0.0},(286,569):{'3_1':0.0},(286,567):{'3_1':0.0},(286,566):{'3_1':0.0,'4_1':0.0},(286,565):{'3_1':0.03,'4_1':0.0},(286,564):{'3_1':0.0},(286,563):{'3_1':0.03},(286,562):{'3_1':0.0,'4_1':0.0},(286,561):{'3_1':0.03},(286,560):{'3_1':0.0,'4_1':0.0},(286,559):{'3_1':0.0,'5_2':0.0},(286,558):{'3_1':0.0},(286,557):{'3_1':0.0,'4_1':0.0},(286,556):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(286,555):{'3_1':0.0},(286,554):{'3_1':0.0,'4_1':0.0},(286,553):{'3_1':0.0,'4_1':0.0},(286,552):{'3_1':0.0,'4_1':0.0},(286,551):{'3_1':0.0,'4_1':0.0},(286,550):{'3_1':0.03},(286,549):{'3_1':0.0,'4_1':0.0},(286,548):{'3_1':0.0,'4_1':0.0},(286,547):{'3_1':0.03,'4_1':0.0},(286,546):{'3_1':0.0},(286,545):{'3_1':0.0},(286,544):{'3_1':0.0},(286,543):{'3_1':0.03},(286,542):{'3_1':0.06},(286,541):{'3_1':0.03},(286,540):{'3_1':0.0},(286,539):{'3_1':0.0},(286,538):{'3_1':0.0,'5_2':0.0},(286,537):{'3_1':0.0},(286,536):{'3_1':0.03},(286,535):{'3_1':0.0,'4_1':0.0},(286,534):{'3_1':0.0},(286,533):{'3_1':0.0,'5_2':0.0},(286,531):{'3_1':0.03},(286,530):{'3_1':0.0},(286,529):{'3_1':0.0},(286,528):{'3_1':0.0},(286,527):{'3_1':0.03},(286,526):{'3_1':0.0},(286,525):{'3_1':0.0},(286,524):{'3_1':0.03,'5_2':0.0},(286,523):{'3_1':0.03,'4_1':0.0},(286,522):{'3_1':0.03,'6_2':0.0},(286,521):{'3_1':0.0},(286,520):{'3_1':0.03},(286,519):{'3_1':0.03},(286,518):{'3_1':0.0,'4_1':0.0},(286,517):{'3_1':0.03},(286,516):{'3_1':0.0,'4_1':0.0},(286,515):{'3_1':0.03},(286,514):{'3_1':0.0},(286,513):{'3_1':0.0},(286,512):{'3_1':0.0,'4_1':0.0},(286,511):{'3_1':0.0,'5_1':0.0},(286,510):{'3_1':0.0},(286,509):{'3_1':0.0},(286,508):{'3_1':0.0},(286,507):{'3_1':0.0,'4_1':0.0},(286,506):{'3_1':0.0},(286,505):{'3_1':0.0},(286,504):{'3_1':0.0,'4_1':0.0},(286,503):{'3_1':0.0},(286,502):{'3_1':0.03,'4_1':0.0},(286,501):{'3_1':0.0},(286,500):{'3_1':0.0},(286,499):{'3_1':0.0},(286,498):{'3_1':0.0,'8_20|3_1#3_1':0.0},(286,497):{'3_1':0.0},(286,496):{'3_1':0.0},(286,495):{'3_1':0.03},(286,494):{'3_1':0.03},(286,493):{'3_1':0.0},(286,492):{'3_1':0.0},(286,491):{'3_1':0.0},(286,490):{'3_1':0.03},(286,489):{'3_1':0.0,'5_1':0.0},(286,488):{'3_1':0.0},(286,487):{'3_1':0.03},(286,486):{'3_1':0.03,'4_1':0.0},(286,485):{'3_1':0.03},(286,484):{'3_1':0.03},(286,483):{'3_1':0.06,'4_1':0.0},(286,482):{'3_1':0.03},(286,481):{'3_1':0.03},(286,480):{'3_1':0.0},(286,479):{'3_1':0.0},(286,478):{'3_1':0.03},(286,477):{'5_1':0.0},(286,476):{'3_1':0.0},(286,475):{'3_1':0.03},(286,474):{'3_1':0.0},(286,473):{'3_1':0.0,'5_2':0.0},(286,472):{'3_1':0.0},(286,471):{'3_1':0.0},(286,470):{'3_1':0.0},(286,469):{'3_1':0.0},(286,468):{'3_1':0.03},(286,467):{'3_1':0.03},(286,465):{'3_1':0.0},(286,463):{'3_1':0.0},(286,462):{'3_1':0.0},(286,461):{'3_1':0.0},(286,460):{'3_1':0.0},(286,459):{'3_1':0.0},(286,457):{'3_1':0.0},(286,456):{'3_1':0.0},(286,455):{'3_1':0.0},(286,454):{'3_1':0.0},(286,453):{'3_1':0.0},(286,452):{'3_1':0.0},(286,451):{'3_1':0.0},(286,449):{'3_1':0.0},(286,448):{'3_1':0.0},(286,447):{'3_1':0.0},(286,446):{'3_1':0.0},(286,444):{'3_1':0.0,'4_1':0.0},(286,443):{'3_1':0.0},(286,440):{'3_1':0.0},(286,437):{'3_1':0.0},(286,435):{'3_1':0.0},(286,434):{'3_1':0.0},(286,433):{'3_1':0.0},(286,426):{'3_1':0.0},(286,424):{'3_1':0.0},(286,423):{'3_1':0.03},(286,422):{'3_1':0.0},(286,421):{'3_1':0.0},(286,420):{'3_1':0.0},(286,419):{'3_1':0.0},(286,418):{'3_1':0.0},(286,375):{'3_1':0.0},(286,374):{'3_1':0.0},(286,372):{'3_1':0.0},(286,371):{'3_1':0.0},(286,370):{'3_1':0.0},(286,367):{'3_1':0.0,'5_2':0.0},(286,366):{'3_1':0.0},(286,365):{'3_1':0.0},(286,364):{'3_1':0.0},(286,362):{'3_1':0.0},(286,360):{'3_1':0.0},(286,359):{'3_1':0.0},(286,358):{'3_1':0.0},(286,354):{'3_1':0.0},(286,353):{'3_1':0.0},(286,352):{'3_1':0.0},(286,351):{'3_1':0.0},(286,350):{'3_1':0.0},(286,348):{'3_1':0.0},(286,347):{'4_1':0.0},(286,346):{'3_1':0.0},(286,343):{'3_1':0.0},(286,307):{'3_1':0.0},(286,292):{'3_1':0.0},(287,727):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0},(287,726):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0},(287,725):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0},(287,724):{'4_1':0.06,'5_2':0.06,'6_2':0.03,'3_1':0.03,'6_1':0.0,'7_3':0.0},(287,723):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(287,722):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0},(287,721):{'6_2':0.09,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_4':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(287,720):{'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(287,719):{'4_1':0.12,'5_2':0.03,'6_2':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(287,718):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(287,717):{'4_1':0.12,'5_2':0.03,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0},(287,716):{'6_2':0.12,'4_1':0.06,'5_2':0.03,'3_1':0.0},(287,715):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_5':0.0,'7_4':0.0},(287,714):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(287,713):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'7_5':0.0,'5_1':0.0},(287,712):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(287,711):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_4':0.0},(287,710):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(287,709):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.03,'7_3':0.0,'7_4':0.0},(287,708):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(287,707):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0},(287,706):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(287,705):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(287,704):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'8_4':0.0},(287,703):{'6_2':0.09,'4_1':0.06,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_9':0.0},(287,702):{'7_4':0.06,'3_1':0.03,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(287,701):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0},(287,700):{'5_2':0.12,'3_1':0.09,'6_2':0.06,'4_1':0.06,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(287,699):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'7_4':0.03,'6_2':0.0,'6_1':0.0},(287,698):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0},(287,697):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_11':0.0},(287,696):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(287,695):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(287,694):{'5_2':0.12,'4_1':0.06,'6_2':0.03,'3_1':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(287,693):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'7_4':0.0},(287,692):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0},(287,691):{'5_2':0.21,'4_1':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(287,690):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(287,689):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(287,688):{'5_2':0.15,'6_2':0.03,'3_1':0.03,'4_1':0.03,'7_4':0.0,'8_9':0.0,'8_11':0.0},(287,687):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'7_4':0.0,'7_2':0.0,'8_9':0.0},(287,686):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(287,685):{'5_2':0.18,'3_1':0.09,'4_1':0.09,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0},(287,684):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.06,'6_1':0.0,'7_4':0.0},(287,683):{'4_1':0.12,'5_2':0.12,'6_2':0.06,'3_1':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'8_11':0.0},(287,682):{'5_2':0.21,'4_1':0.15,'3_1':0.06,'6_2':0.03,'7_3':0.0},(287,681):{'5_2':0.12,'4_1':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0},(287,680):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0},(287,679):{'5_2':0.12,'4_1':0.06,'6_2':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0},(287,678):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'8_4':0.0,'-3':0.0},(287,677):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.0,'8_3':0.0},(287,676):{'5_2':0.12,'6_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.0,'8_4':0.0},(287,675):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.03,'8_4':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(287,674):{'5_2':0.09,'4_1':0.06,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0},(287,673):{'4_1':0.09,'5_2':0.06,'6_2':0.06,'3_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(287,672):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0},(287,671):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(287,670):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0},(287,669):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0},(287,668):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.03},(287,667):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0,'8_6':0.0},(287,666):{'5_2':0.15,'6_2':0.06,'4_1':0.06,'3_1':0.03,'7_3':0.0,'8_3':0.0},(287,665):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(287,664):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.0,'8_4':0.0,'6_1':0.0},(287,663):{'4_1':0.12,'5_2':0.06,'6_2':0.0,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(287,662):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(287,661):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.03},(287,660):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0},(287,659):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.03},(287,658):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_4':0.0},(287,657):{'4_1':0.15,'3_1':0.12,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(287,656):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_2':0.0},(287,655):{'3_1':0.09,'4_1':0.03,'6_2':0.03},(287,654):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_9':0.0},(287,653):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0},(287,652):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0},(287,651):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(287,650):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(287,649):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0},(287,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(287,647):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(287,646):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'7_1':0.0},(287,645):{'3_1':0.21,'4_1':0.0,'7_1':0.0,'6_2':0.0},(287,644):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(287,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(287,642):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(287,641):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(287,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(287,639):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(287,638):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(287,637):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(287,636):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(287,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(287,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(287,633):{'3_1':0.06,'5_1':0.0},(287,632):{'3_1':0.12,'5_1':0.0},(287,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(287,630):{'3_1':0.18,'5_1':0.0},(287,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(287,628):{'3_1':0.12,'4_1':0.0},(287,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(287,626):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(287,625):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(287,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(287,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(287,622):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(287,621):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(287,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(287,619):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(287,618):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(287,617):{'3_1':0.12,'4_1':0.0},(287,616):{'3_1':0.09,'4_1':0.0},(287,615):{'3_1':0.09,'4_1':0.0},(287,614):{'3_1':0.06,'5_1':0.0},(287,613):{'3_1':0.03,'4_1':0.0},(287,612):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(287,611):{'3_1':0.06,'4_1':0.0},(287,610):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(287,609):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(287,608):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(287,607):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(287,606):{'4_1':0.03,'3_1':0.0},(287,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(287,604):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(287,603):{'4_1':0.0},(287,602):{'4_1':0.0,'5_2':0.0},(287,601):{'3_1':0.0,'4_1':0.0},(287,599):{'3_1':0.03,'4_1':0.0},(287,598):{'3_1':0.0},(287,597):{'3_1':0.0},(287,596):{'3_1':0.0},(287,595):{'3_1':0.0},(287,594):{'3_1':0.03},(287,593):{'3_1':0.03},(287,592):{'3_1':0.0,'4_1':0.0},(287,591):{'3_1':0.03},(287,590):{'3_1':0.0},(287,589):{'3_1':0.03,'4_1':0.0},(287,588):{'3_1':0.03,'4_1':0.0},(287,587):{'3_1':0.0,'5_1':0.0},(287,586):{'3_1':0.03,'4_1':0.0},(287,585):{'3_1':0.0,'6_2':0.0},(287,584):{'3_1':0.03},(287,583):{'3_1':0.03,'5_1':0.0},(287,582):{'3_1':0.0},(287,581):{'3_1':0.0},(287,580):{'3_1':0.03},(287,579):{'3_1':0.0,'4_1':0.0},(287,578):{'3_1':0.0,'4_1':0.0},(287,577):{'3_1':0.03},(287,576):{'3_1':0.0,'5_1':0.0},(287,575):{'3_1':0.03},(287,574):{'3_1':0.0,'5_1':0.0},(287,573):{'3_1':0.0},(287,572):{'3_1':0.0},(287,571):{'3_1':0.03},(287,570):{'3_1':0.0},(287,568):{'3_1':0.0},(287,567):{'3_1':0.0},(287,566):{'3_1':0.03},(287,565):{'3_1':0.0,'5_2':0.0},(287,564):{'3_1':0.03,'4_1':0.0},(287,563):{'3_1':0.03},(287,562):{'3_1':0.0,'4_1':0.0},(287,561):{'3_1':0.0,'4_1':0.0},(287,560):{'3_1':0.0},(287,559):{'3_1':0.03},(287,558):{'3_1':0.03,'4_1':0.0},(287,557):{'3_1':0.03},(287,556):{'3_1':0.0},(287,555):{'3_1':0.0,'4_1':0.0},(287,554):{'3_1':0.0},(287,553):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(287,552):{'3_1':0.0,'7_2':0.0},(287,551):{'3_1':0.0},(287,550):{'3_1':0.0,'4_1':0.0},(287,549):{'3_1':0.03},(287,548):{'3_1':0.0},(287,547):{'3_1':0.0},(287,546):{'3_1':0.03},(287,545):{'3_1':0.0,'4_1':0.0},(287,544):{'3_1':0.03},(287,543):{'3_1':0.03},(287,542):{'3_1':0.06},(287,541):{'3_1':0.0},(287,540):{'3_1':0.0},(287,539):{'3_1':0.0,'5_2':0.0},(287,538):{'3_1':0.03},(287,537):{'3_1':0.03,'4_1':0.0},(287,536):{'3_1':0.0},(287,535):{'3_1':0.0},(287,534):{'3_1':0.03},(287,533):{'3_1':0.0},(287,532):{'3_1':0.0},(287,531):{'3_1':0.03,'6_3':0.0},(287,530):{'3_1':0.0},(287,529):{'3_1':0.0},(287,528):{'3_1':0.0},(287,527):{'3_1':0.0},(287,526):{'3_1':0.03},(287,525):{'3_1':0.0,'4_1':0.0},(287,524):{'3_1':0.03},(287,523):{'3_1':0.0},(287,522):{'3_1':0.0},(287,521):{'3_1':0.0,'4_1':0.0},(287,520):{'3_1':0.03,'6_2':0.0},(287,519):{'3_1':0.0,'4_1':0.0},(287,518):{'3_1':0.0},(287,517):{'3_1':0.0},(287,516):{'3_1':0.0},(287,515):{'3_1':0.03},(287,514):{'3_1':0.0,'4_1':0.0},(287,513):{'3_1':0.0},(287,512):{'3_1':0.0},(287,511):{'3_1':0.0,'5_2':0.0},(287,510):{'3_1':0.0},(287,509):{'3_1':0.03,'4_1':0.0},(287,508):{'3_1':0.03,'8_21|3_1#4_1':0.0},(287,507):{'3_1':0.0},(287,506):{'3_1':0.0},(287,504):{'3_1':0.0},(287,503):{'3_1':0.0},(287,502):{'3_1':0.0},(287,501):{'3_1':0.0,'5_2':0.0},(287,500):{'3_1':0.03},(287,499):{'3_1':0.0},(287,498):{'3_1':0.0,'5_2':0.0},(287,497):{'3_1':0.0},(287,496):{'3_1':0.03},(287,495):{'3_1':0.0},(287,494):{'3_1':0.0,'4_1':0.0},(287,492):{'3_1':0.03},(287,490):{'3_1':0.03,'4_1':0.0},(287,489):{'3_1':0.0,'4_1':0.0},(287,488):{'3_1':0.0},(287,487):{'3_1':0.03},(287,485):{'4_1':0.0,'3_1':0.0},(287,484):{'3_1':0.03},(287,483):{'3_1':0.03},(287,482):{'3_1':0.03},(287,481):{'3_1':0.03},(287,480):{'3_1':0.0},(287,479):{'3_1':0.03},(287,478):{'3_1':0.0},(287,477):{'3_1':0.0},(287,476):{'3_1':0.03},(287,475):{'3_1':0.0},(287,474):{'3_1':0.03},(287,473):{'3_1':0.0},(287,472):{'3_1':0.03,'8_20|3_1#3_1':0.0},(287,471):{'3_1':0.03},(287,469):{'3_1':0.0},(287,468):{'3_1':0.0},(287,467):{'3_1':0.0},(287,466):{'3_1':0.0},(287,465):{'3_1':0.03},(287,464):{'3_1':0.0},(287,462):{'3_1':0.0},(287,461):{'4_1':0.0},(287,459):{'3_1':0.0},(287,458):{'3_1':0.0},(287,456):{'4_1':0.0},(287,455):{'3_1':0.0},(287,453):{'3_1':0.0,'5_2':0.0},(287,452):{'4_1':0.0},(287,450):{'3_1':0.0},(287,449):{'3_1':0.0},(287,447):{'3_1':0.0},(287,445):{'4_1':0.0},(287,444):{'4_1':0.0,'3_1':0.0},(287,442):{'4_1':0.0},(287,441):{'3_1':0.0},(287,439):{'3_1':0.0},(287,438):{'3_1':0.0},(287,437):{'3_1':0.0},(287,435):{'4_1':0.0,'5_2':0.0},(287,434):{'3_1':0.0},(287,433):{'3_1':0.0},(287,431):{'3_1':0.0},(287,430):{'3_1':0.0},(287,429):{'3_1':0.0},(287,428):{'3_1':0.0},(287,427):{'3_1':0.0,'4_1':0.0},(287,422):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(287,421):{'3_1':0.0},(287,420):{'3_1':0.0},(287,419):{'3_1':0.0,'4_1':0.0},(287,418):{'3_1':0.0,'5_2':0.0},(287,417):{'3_1':0.0},(287,415):{'5_1':0.0},(287,414):{'3_1':0.0},(287,409):{'3_1':0.0},(287,408):{'3_1':0.0},(287,407):{'3_1':0.0},(287,404):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(287,388):{'3_1':0.0},(287,383):{'3_1':0.0},(287,377):{'3_1':0.0},(287,376):{'3_1':0.0},(287,375):{'3_1':0.0},(287,374):{'3_1':0.03},(287,371):{'3_1':0.0},(287,370):{'3_1':0.0},(287,369):{'3_1':0.0},(287,368):{'3_1':0.0},(287,366):{'3_1':0.0},(287,365):{'3_1':0.0},(287,364):{'3_1':0.0},(287,363):{'3_1':0.0},(287,362):{'3_1':0.0},(287,361):{'3_1':0.0},(287,360):{'3_1':0.0},(287,359):{'3_1':0.0},(287,356):{'6_1':0.0},(287,355):{'3_1':0.0},(287,354):{'3_1':0.0},(287,353):{'3_1':0.0},(287,352):{'3_1':0.0},(287,351):{'3_1':0.0},(287,348):{'3_1':0.0,'4_1':0.0},(287,347):{'3_1':0.0,'4_1':0.0},(287,346):{'3_1':0.0},(287,344):{'3_1':0.0},(287,343):{'3_1':0.0},(287,336):{'3_1':0.0},(287,334):{'3_1':0.0},(287,332):{'3_1':0.0},(287,331):{'3_1':0.03},(287,329):{'3_1':0.0},(287,328):{'3_1':0.0},(287,327):{'3_1':0.0},(287,323):{'3_1':0.0},(287,320):{'3_1':0.0},(287,310):{'3_1':0.0},(287,298):{'3_1':0.0},(287,297):{'3_1':0.0},(287,296):{'3_1':0.0},(287,292):{'3_1':0.0},(287,291):{'3_1':0.0},(288,727):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0},(288,726):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(288,725):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(288,724):{'4_1':0.12,'6_2':0.03,'3_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(288,723):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(288,722):{'4_1':0.09,'5_2':0.03,'6_2':0.03,'3_1':0.03,'5_1':0.0,'7_6':0.0},(288,721):{'5_2':0.09,'4_1':0.06,'6_2':0.06,'3_1':0.03,'6_1':0.0,'-3':0.0},(288,720):{'5_2':0.09,'4_1':0.06,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_14':0.0},(288,719):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(288,718):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(288,717):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'-3':0.0},(288,716):{'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'8_14':0.0,'-3':0.0},(288,715):{'4_1':0.12,'6_2':0.03,'3_1':0.0,'5_2':0.0,'8_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(288,714):{'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_2':0.03,'7_4':0.03,'7_3':0.0,'-3':0.0},(288,713):{'6_2':0.09,'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_6':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(288,712):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(288,711):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(288,710):{'4_1':0.09,'5_2':0.06,'6_2':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(288,709):{'4_1':0.06,'5_2':0.03,'6_2':0.03,'3_1':0.03,'7_4':0.0,'6_1':0.0,'8_4':0.0,'-3':0.0},(288,708):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0,'6_1':0.0,'7_4':0.0},(288,707):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0},(288,706):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(288,705):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.03,'7_4':0.03,'6_2':0.0},(288,704):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(288,703):{'5_2':0.06,'4_1':0.06,'6_2':0.06,'7_4':0.0,'3_1':0.0,'5_1':0.0,'-3':0.0},(288,702):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(288,701):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.03,'6_1':0.03,'7_4':0.03,'6_2':0.0,'8_4':0.0},(288,700):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(288,699):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'8_9':0.0},(288,698):{'5_2':0.12,'3_1':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(288,697):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(288,696):{'5_2':0.12,'3_1':0.03,'7_4':0.03,'6_2':0.0,'6_1':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(288,695):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(288,694):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_4':0.03,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(288,693):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(288,692):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.03,'7_4':0.03,'6_1':0.0,'8_9':0.0},(288,691):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_1':0.03,'6_2':0.0,'8_4':0.0},(288,690):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'7_4':0.0},(288,689):{'5_2':0.12,'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_9':0.0},(288,688):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0},(288,687):{'4_1':0.15,'6_2':0.06,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'8_9':0.0},(288,686):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'8_9':0.0},(288,685):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0,'6_3':0.0},(288,684):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(288,683):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'8_9':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(288,682):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0},(288,681):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(288,680):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0,'8_2':0.0},(288,679):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.0,'8_2':0.0,'-3':0.0},(288,678):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_4':0.0,'8_9':0.0},(288,677):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.0,'6_2':0.0,'-3':0.0},(288,676):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(288,675):{'5_2':0.12,'4_1':0.09,'6_2':0.03,'3_1':0.03,'6_1':0.03,'5_1':0.0},(288,674):{'5_2':0.09,'4_1':0.03,'6_1':0.03,'3_1':0.03,'6_2':0.03,'7_3':0.0,'8_3':0.0,'-3':0.0},(288,673):{'5_2':0.15,'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(288,672):{'5_2':0.12,'4_1':0.09,'6_1':0.0,'3_1':0.0,'5_1':0.0,'7_3':0.0},(288,671):{'4_1':0.12,'5_2':0.09,'6_2':0.03,'3_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0,'1':-0.03},(288,670):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(288,669):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_2':0.0,'8_4':0.0,'8_13':0.0},(288,668):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.0},(288,667):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(288,666):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(288,665):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.0,'8_9':0.0,'-3':0.0},(288,664):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0},(288,663):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_1':0.0,'7_1':0.0,'7_4':0.0},(288,662):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0},(288,661):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0},(288,660):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(288,659):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_4':0.0},(288,658):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0},(288,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(288,656):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_2':0.0},(288,655):{'3_1':0.21,'4_1':0.06,'5_2':0.03},(288,654):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0,'-3':0.0},(288,653):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(288,652):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(288,651):{'3_1':0.24,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(288,650):{'3_1':0.12,'4_1':0.06,'6_2':0.0,'5_2':0.0},(288,649):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(288,648):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(288,647):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(288,646):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0},(288,645):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(288,644):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(288,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(288,642):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'4_1':0.0},(288,641):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(288,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(288,639):{'3_1':0.18,'4_1':0.0},(288,638):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(288,637):{'3_1':0.15,'5_1':0.0},(288,636):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(288,635):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(288,634):{'3_1':0.18,'5_1':0.0},(288,633):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(288,632):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(288,631):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(288,630):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(288,629):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(288,628):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(288,627):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(288,626):{'3_1':0.09,'5_1':0.0},(288,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(288,624):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(288,623):{'3_1':0.12,'4_1':0.0},(288,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_6':0.0},(288,621):{'3_1':0.12,'6_2':0.0},(288,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(288,619):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_2':0.0},(288,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(288,617):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(288,616):{'3_1':0.06,'4_1':0.0},(288,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(288,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(288,613):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(288,612):{'3_1':0.06,'4_1':0.0},(288,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(288,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(288,609):{'3_1':0.06,'5_1':0.0},(288,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(288,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(288,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(288,605):{'3_1':0.06,'4_1':0.0},(288,604):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(288,603):{'3_1':0.0,'4_1':0.0},(288,602):{'3_1':0.03,'4_1':0.0},(288,601):{'3_1':0.0,'4_1':0.0},(288,600):{'3_1':0.03},(288,599):{'3_1':0.0,'6_2':0.0},(288,598):{'3_1':0.0,'4_1':0.0},(288,597):{'3_1':0.03,'5_2':0.0},(288,596):{'3_1':0.0},(288,595):{'3_1':0.03,'5_2':0.0},(288,594):{'3_1':0.0},(288,593):{'3_1':0.03},(288,592):{'3_1':0.03},(288,591):{'3_1':0.03,'4_1':0.0},(288,590):{'3_1':0.03,'5_2':0.0},(288,589):{'3_1':0.03},(288,588):{'3_1':0.0},(288,587):{'3_1':0.0},(288,586):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(288,585):{'3_1':0.03},(288,584):{'3_1':0.06,'4_1':0.0},(288,583):{'3_1':0.06,'4_1':0.0},(288,582):{'3_1':0.0,'5_1':0.0},(288,581):{'3_1':0.0},(288,580):{'3_1':0.06},(288,579):{'3_1':0.03,'4_1':0.0},(288,578):{'3_1':0.03},(288,577):{'3_1':0.0},(288,576):{'3_1':0.03,'5_1':0.0},(288,575):{'3_1':0.0,'4_1':0.0},(288,574):{'5_1':0.0},(288,573):{'3_1':0.0},(288,572):{'3_1':0.0},(288,571):{'3_1':0.03,'4_1':0.0},(288,570):{'3_1':0.0,'4_1':0.0},(288,569):{'3_1':0.0},(288,568):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(288,567):{'3_1':0.03},(288,566):{'3_1':0.0,'5_2':0.0},(288,565):{'3_1':0.0,'4_1':0.0},(288,564):{'3_1':0.0,'4_1':0.0},(288,563):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(288,562):{'3_1':0.0,'5_2':0.0},(288,561):{'3_1':0.06,'5_1':0.0},(288,560):{'4_1':0.0},(288,559):{'3_1':0.03,'5_2':0.0},(288,558):{'5_2':0.0},(288,557):{'3_1':0.0},(288,556):{'3_1':0.0},(288,555):{'3_1':0.0,'5_2':0.0},(288,554):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(288,553):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(288,552):{'3_1':0.0},(288,551):{'3_1':0.06,'4_1':0.0},(288,550):{'3_1':0.0,'5_2':0.0},(288,549):{'3_1':0.0,'5_2':0.0},(288,548):{'3_1':0.0},(288,547):{'3_1':0.0},(288,546):{'3_1':0.0,'4_1':0.0},(288,545):{'3_1':0.03},(288,544):{'3_1':0.0},(288,543):{'3_1':0.0},(288,542):{'3_1':0.0},(288,541):{'3_1':0.0,'5_2':0.0},(288,540):{'3_1':0.0,'5_2':0.0},(288,539):{'3_1':0.0,'4_1':0.0},(288,538):{'3_1':0.0},(288,537):{'3_1':0.0},(288,536):{'3_1':0.03},(288,535):{'3_1':0.03},(288,534):{'3_1':0.0},(288,533):{'3_1':0.0},(288,532):{'3_1':0.03},(288,531):{'3_1':0.03,'4_1':0.0},(288,530):{'3_1':0.03},(288,529):{'3_1':0.03},(288,528):{'3_1':0.0},(288,527):{'3_1':0.03},(288,526):{'3_1':0.03},(288,525):{'3_1':0.0,'4_1':0.0},(288,524):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(288,523):{'3_1':0.03},(288,522):{'3_1':0.0},(288,521):{'3_1':0.0,'4_1':0.0},(288,520):{'3_1':0.0},(288,519):{'3_1':0.0},(288,518):{'3_1':0.0},(288,517):{'3_1':0.0},(288,516):{'3_1':0.03},(288,515):{'3_1':0.03,'5_1':0.0},(288,514):{'3_1':0.0},(288,513):{'3_1':0.0},(288,512):{'3_1':0.0},(288,511):{'3_1':0.0},(288,510):{'3_1':0.0,'5_1':0.0},(288,509):{'3_1':0.0},(288,507):{'3_1':0.0,'4_1':0.0},(288,506):{'3_1':0.0,'4_1':0.0},(288,505):{'3_1':0.0},(288,504):{'3_1':0.0,'4_1':0.0},(288,503):{'3_1':0.0,'4_1':0.0},(288,502):{'3_1':0.0},(288,501):{'3_1':0.03},(288,500):{'3_1':0.0},(288,499):{'3_1':0.0},(288,498):{'3_1':0.0},(288,497):{'3_1':0.03},(288,496):{'3_1':0.0},(288,495):{'3_1':0.0,'4_1':0.0},(288,494):{'3_1':0.03},(288,493):{'3_1':0.03},(288,492):{'3_1':0.03},(288,491):{'3_1':0.0},(288,490):{'3_1':0.0},(288,489):{'3_1':0.0},(288,488):{'5_1':0.0,'3_1':0.0},(288,487):{'3_1':0.03},(288,486):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(288,485):{'3_1':0.03},(288,484):{'3_1':0.0},(288,483):{'3_1':0.0},(288,482):{'3_1':0.06},(288,481):{'3_1':0.03},(288,480):{'3_1':0.0},(288,479):{'3_1':0.03,'5_2':0.0},(288,478):{'3_1':0.03},(288,477):{'3_1':0.03,'5_1':0.0},(288,476):{'3_1':0.03},(288,475):{'3_1':0.03},(288,474):{'3_1':0.0},(288,473):{'3_1':0.03},(288,472):{'3_1':0.03},(288,471):{'3_1':0.0},(288,470):{'3_1':0.0,'5_1':0.0},(288,469):{'3_1':0.0},(288,468):{'3_1':0.0,'4_1':0.0},(288,467):{'3_1':0.0},(288,466):{'3_1':0.0},(288,464):{'3_1':0.0},(288,463):{'3_1':0.0},(288,462):{'3_1':0.0},(288,461):{'3_1':0.0},(288,460):{'3_1':0.0},(288,459):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(288,458):{'3_1':0.0},(288,457):{'3_1':0.0,'4_1':0.0},(288,456):{'4_1':0.0},(288,455):{'4_1':0.0},(288,453):{'3_1':0.0,'4_1':0.0},(288,452):{'3_1':0.0,'4_1':0.0},(288,451):{'3_1':0.0},(288,450):{'3_1':0.0},(288,449):{'3_1':0.0},(288,448):{'3_1':0.0},(288,447):{'3_1':0.0},(288,445):{'3_1':0.03},(288,444):{'3_1':0.0},(288,443):{'6_2':0.0},(288,442):{'3_1':0.0},(288,441):{'3_1':0.0},(288,440):{'3_1':0.0},(288,438):{'3_1':0.0,'5_1':0.0},(288,437):{'3_1':0.0},(288,436):{'3_1':0.0},(288,435):{'3_1':0.0},(288,434):{'3_1':0.0},(288,433):{'3_1':0.0},(288,430):{'3_1':0.0},(288,429):{'3_1':0.0},(288,428):{'4_1':0.0},(288,427):{'3_1':0.0},(288,426):{'3_1':0.0},(288,424):{'3_1':0.0},(288,423):{'3_1':0.0},(288,422):{'3_1':0.0},(288,418):{'3_1':0.0},(288,416):{'3_1':0.0},(288,415):{'3_1':0.0},(288,414):{'3_1':0.0},(288,411):{'3_1':0.0},(288,405):{'4_1':0.0},(288,395):{'3_1':0.0},(288,394):{'3_1':0.0},(288,388):{'3_1':0.0},(288,387):{'6_2':0.0},(288,380):{'3_1':0.0},(288,372):{'3_1':0.0},(288,371):{'3_1':0.0},(288,370):{'3_1':0.0},(288,366):{'3_1':0.0},(288,364):{'3_1':0.0},(288,363):{'3_1':0.0},(288,362):{'3_1':0.0},(288,361):{'3_1':0.0},(288,360):{'3_1':0.0},(288,359):{'3_1':0.0},(288,356):{'3_1':0.0},(288,355):{'3_1':0.0},(288,353):{'3_1':0.0},(288,352):{'3_1':0.0},(288,351):{'3_1':0.0},(288,349):{'3_1':0.03},(288,348):{'3_1':0.0},(288,336):{'3_1':0.0},(288,334):{'3_1':0.0},(288,332):{'3_1':0.0},(288,331):{'3_1':0.0},(288,330):{'3_1':0.0},(288,329):{'3_1':0.0},(288,328):{'3_1':0.0},(288,327):{'4_1':0.0},(288,325):{'3_1':0.0},(288,324):{'3_1':0.0},(288,323):{'3_1':0.0},(288,318):{'3_1':0.0},(288,311):{'3_1':0.0},(288,307):{'4_1':0.0},(288,299):{'3_1':0.0},(288,297):{'3_1':0.0},(288,291):{'3_1':0.0},(289,727):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'7_4':0.0,'6_2':0.0,'7_5':0.0},(289,726):{'5_2':0.09,'4_1':0.03,'6_2':0.03,'3_1':0.0,'6_1':0.0,'8_4':0.0},(289,725):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0},(289,724):{'3_1':0.09,'5_2':0.06,'6_2':0.03,'4_1':0.03,'5_1':0.0,'8_4':0.0,'7_3':0.0},(289,723):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'8_4':0.0},(289,722):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(289,721):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'7_3':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(289,720):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0,'8_9':0.0,'8_14':0.0},(289,719):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0},(289,718):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_9':0.0},(289,717):{'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(289,716):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0},(289,715):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(289,714):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(289,713):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(289,712):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(289,711):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_12':0.0},(289,710):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_4':0.0,'-3':0.0},(289,709):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(289,708):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'7_3':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(289,707):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0},(289,706):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(289,705):{'4_1':0.06,'6_2':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(289,704):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(289,703):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(289,702):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_2':0.03,'7_3':0.0,'7_4':0.0,'8_11':0.0},(289,701):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_2':0.03,'7_4':0.03,'7_3':0.0,'8_2':0.0,'8_9':0.0},(289,700):{'5_2':0.09,'6_2':0.06,'7_4':0.06,'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(289,699):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(289,698):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(289,697):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(289,696):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.03,'7_4':0.0,'8_4':0.0},(289,695):{'5_2':0.06,'3_1':0.06,'7_4':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0},(289,694):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0},(289,693):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(289,692):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(289,691):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'1':-0.03},(289,690):{'3_1':0.15,'5_2':0.15,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(289,689):{'5_2':0.15,'3_1':0.12,'6_2':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(289,688):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(289,687):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0},(289,686):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(289,685):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_4':0.0},(289,684):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(289,683):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(289,682):{'5_2':0.18,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(289,681):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0},(289,680):{'5_2':0.09,'4_1':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(289,679):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0},(289,678):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(289,677):{'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0},(289,676):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0},(289,675):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(289,674):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(289,673):{'5_2':0.12,'4_1':0.06,'3_1':0.0,'6_2':0.0,'8_9':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(289,672):{'5_2':0.09,'3_1':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0},(289,671):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(289,670):{'5_2':0.12,'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(289,669):{'5_2':0.12,'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(289,668):{'3_1':0.06,'4_1':0.06,'6_2':0.06,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0},(289,667):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(289,666):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'8_2':0.0},(289,665):{'3_1':0.12,'6_2':0.06,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0},(289,664):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0,'6_2':0.0,'7_7':0.0,'8_9':0.0},(289,663):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(289,662):{'3_1':0.15,'4_1':0.09,'6_2':0.03,'5_2':0.03,'8_21|3_1#4_1':0.0},(289,661):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0},(289,660):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0},(289,659):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(289,658):{'3_1':0.27,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(289,657):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_2':0.0,'7_1':0.0},(289,656):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_9':0.0,'1':-0.03},(289,655):{'3_1':0.12,'6_2':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0,'5_2':0.0},(289,654):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'-3':0.0},(289,653):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(289,652):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(289,651):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0},(289,650):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0,'8_9':0.0},(289,649):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(289,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03},(289,647):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(289,646):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(289,645):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(289,644):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(289,643):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(289,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(289,641):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'8_1':0.0,'5_1':0.0},(289,640):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(289,639):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(289,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(289,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(289,636):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(289,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(289,634):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(289,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(289,632):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(289,631):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(289,630):{'3_1':0.21,'4_1':0.0},(289,629):{'3_1':0.12,'4_1':0.0},(289,628):{'3_1':0.12},(289,627):{'3_1':0.06,'4_1':0.0},(289,626):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0,'4_1':0.0},(289,625):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0},(289,624):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(289,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(289,622):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(289,621):{'3_1':0.09},(289,620):{'3_1':0.15,'4_1':0.0},(289,619):{'3_1':0.12,'5_1':0.0},(289,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(289,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(289,616):{'3_1':0.03,'6_2':0.0},(289,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(289,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(289,613):{'3_1':0.09,'6_1':0.0},(289,612):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(289,611):{'3_1':0.09,'5_1':0.0},(289,610):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(289,609):{'3_1':0.06,'4_1':0.03},(289,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(289,607):{'3_1':0.06,'4_1':0.0,'8_4':0.0},(289,606):{'3_1':0.06,'4_1':0.0},(289,605):{'3_1':0.06,'4_1':0.0},(289,604):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(289,603):{'4_1':0.0,'3_1':0.0},(289,602):{'3_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(289,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(289,600):{'3_1':0.06},(289,599):{'3_1':0.03,'4_1':0.0},(289,598):{'3_1':0.0,'4_1':0.0},(289,597):{'3_1':0.0},(289,596):{'3_1':0.0},(289,595):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(289,594):{'3_1':0.0,'4_1':0.0},(289,593):{'3_1':0.0},(289,592):{'3_1':0.03},(289,591):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(289,590):{'3_1':0.0,'6_2':0.0},(289,589):{'3_1':0.03,'4_1':0.0},(289,588):{'3_1':0.03,'5_1':0.0},(289,587):{'3_1':0.03},(289,586):{'3_1':0.06,'4_1':0.0},(289,585):{'3_1':0.0,'5_1':0.0},(289,584):{'3_1':0.0},(289,583):{'3_1':0.0},(289,582):{'3_1':0.03},(289,581):{'3_1':0.0},(289,580):{'3_1':0.03,'4_1':0.0},(289,579):{'3_1':0.0},(289,578):{'3_1':0.0,'5_2':0.0},(289,577):{'3_1':0.0},(289,576):{'3_1':0.0,'4_1':0.0},(289,575):{'3_1':0.0},(289,574):{'3_1':0.06},(289,573):{'3_1':0.0,'4_1':0.0},(289,572):{'3_1':0.06},(289,571):{'3_1':0.03},(289,570):{'3_1':0.03},(289,569):{'3_1':0.03},(289,568):{'4_1':0.0,'5_1':0.0},(289,567):{'3_1':0.0,'4_1':0.0},(289,566):{'3_1':0.0},(289,565):{'3_1':0.03},(289,564):{'3_1':0.0},(289,563):{'3_1':0.0},(289,562):{'3_1':0.0,'4_1':0.0},(289,561):{'3_1':0.03},(289,560):{'3_1':0.03},(289,559):{'3_1':0.0},(289,558):{'3_1':0.03,'4_1':0.0},(289,557):{'3_1':0.03},(289,556):{'3_1':0.0},(289,555):{'4_1':0.0},(289,554):{'3_1':0.0},(289,553):{'3_1':0.0},(289,552):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(289,551):{'3_1':0.0,'5_2':0.0},(289,550):{'3_1':0.0},(289,549):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(289,548):{'3_1':0.0},(289,547):{'3_1':0.0},(289,546):{'3_1':0.06},(289,545):{'3_1':0.03,'4_1':0.0},(289,544):{'4_1':0.0,'5_2':0.0,'6_3':0.0},(289,543):{'3_1':0.03},(289,542):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(289,541):{'3_1':0.03},(289,540):{'3_1':0.0},(289,539):{'3_1':0.06},(289,538):{'3_1':0.0},(289,537):{'3_1':0.0,'8_20|3_1#3_1':0.0},(289,536):{'3_1':0.0},(289,535):{'3_1':0.0},(289,534):{'3_1':0.03},(289,533):{'3_1':0.0},(289,532):{'3_1':0.0},(289,531):{'3_1':0.0},(289,530):{'3_1':0.0},(289,529):{'3_1':0.0},(289,527):{'3_1':0.03},(289,526):{'3_1':0.03,'4_1':0.0},(289,525):{'3_1':0.0,'4_1':0.0},(289,524):{'3_1':0.0,'5_1':0.0},(289,523):{'3_1':0.0},(289,522):{'3_1':0.0,'4_1':0.0},(289,521):{'3_1':0.0,'6_1':0.0},(289,520):{'3_1':0.03,'4_1':0.0},(289,519):{'3_1':0.0,'4_1':0.0},(289,518):{'3_1':0.0},(289,517):{'3_1':0.0},(289,516):{'3_1':0.0},(289,515):{'3_1':0.03},(289,514):{'3_1':0.03,'5_2':0.0},(289,513):{'3_1':0.03},(289,512):{'3_1':0.03},(289,511):{'3_1':0.0},(289,510):{'3_1':0.0},(289,509):{'3_1':0.03},(289,508):{'3_1':0.03},(289,507):{'3_1':0.0},(289,505):{'3_1':0.03,'4_1':0.0},(289,504):{'3_1':0.03},(289,503):{'3_1':0.0},(289,502):{'3_1':0.0},(289,501):{'3_1':0.0,'5_1':0.0},(289,500):{'3_1':0.0},(289,499):{'3_1':0.0,'4_1':0.0},(289,498):{'3_1':0.03},(289,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(289,496):{'3_1':0.0},(289,495):{'3_1':0.0},(289,494):{'3_1':0.03},(289,493):{'3_1':0.03,'4_1':0.0},(289,492):{'3_1':0.06,'4_1':0.0},(289,491):{'3_1':0.0,'5_1':0.0},(289,490):{'3_1':0.0,'4_1':0.0},(289,489):{'3_1':0.03,'4_1':0.0},(289,488):{'3_1':0.0},(289,487):{'3_1':0.0},(289,486):{'3_1':0.03},(289,485):{'3_1':0.0},(289,484):{'3_1':0.0},(289,483):{'3_1':0.03},(289,482):{'3_1':0.03},(289,481):{'3_1':0.03,'4_1':0.0},(289,480):{'3_1':0.03},(289,479):{'3_1':0.03,'4_1':0.0},(289,478):{'3_1':0.0},(289,477):{'3_1':0.0,'5_1':0.0},(289,476):{'3_1':0.0},(289,475):{'3_1':0.03,'5_2':0.0},(289,474):{'3_1':0.0},(289,473):{'3_1':0.0},(289,472):{'3_1':0.03},(289,471):{'3_1':0.0},(289,470):{'3_1':0.0,'4_1':0.0},(289,469):{'3_1':0.03},(289,468):{'3_1':0.03},(289,467):{'3_1':0.03},(289,465):{'3_1':0.0},(289,463):{'3_1':0.0},(289,462):{'3_1':0.0},(289,461):{'3_1':0.0},(289,460):{'3_1':0.03},(289,458):{'3_1':0.0},(289,457):{'3_1':0.0},(289,456):{'3_1':0.0},(289,455):{'3_1':0.0},(289,454):{'3_1':0.0},(289,453):{'3_1':0.0,'4_1':0.0},(289,452):{'3_1':0.0},(289,451):{'3_1':0.0,'4_1':0.0},(289,450):{'3_1':0.0},(289,449):{'3_1':0.0},(289,448):{'3_1':0.0},(289,447):{'3_1':0.0,'4_1':0.0},(289,445):{'3_1':0.0},(289,443):{'3_1':0.0},(289,442):{'3_1':0.0},(289,441):{'3_1':0.0},(289,438):{'3_1':0.0},(289,437):{'3_1':0.0},(289,436):{'3_1':0.0},(289,435):{'3_1':0.0},(289,434):{'3_1':0.0},(289,433):{'3_1':0.0},(289,432):{'3_1':0.0},(289,431):{'3_1':0.0},(289,429):{'3_1':0.0},(289,428):{'3_1':0.0},(289,427):{'3_1':0.0},(289,426):{'3_1':0.0},(289,424):{'3_1':0.0},(289,423):{'3_1':0.0},(289,422):{'3_1':0.0},(289,421):{'3_1':0.0},(289,420):{'3_1':0.0},(289,418):{'3_1':0.0},(289,415):{'5_1':0.0},(289,411):{'4_1':0.0},(289,409):{'3_1':0.0},(289,408):{'3_1':0.0},(289,407):{'3_1':0.0},(289,406):{'3_1':0.0},(289,389):{'4_1':0.0},(289,381):{'3_1':0.0},(289,380):{'3_1':0.0},(289,377):{'3_1':0.0},(289,375):{'3_1':0.0},(289,374):{'3_1':0.0},(289,373):{'3_1':0.0},(289,372):{'3_1':0.0},(289,371):{'3_1':0.0},(289,370):{'3_1':0.0},(289,369):{'3_1':0.0},(289,368):{'3_1':0.0},(289,367):{'3_1':0.0},(289,365):{'3_1':0.0},(289,364):{'3_1':0.0},(289,362):{'3_1':0.0},(289,361):{'3_1':0.0},(289,360):{'3_1':0.0},(289,359):{'3_1':0.0},(289,358):{'3_1':0.0},(289,353):{'3_1':0.0},(289,352):{'3_1':0.0},(289,351):{'3_1':0.0,'4_1':0.0},(289,348):{'3_1':0.0,'4_1':0.0},(289,347):{'3_1':0.0},(289,346):{'3_1':0.0},(289,335):{'3_1':0.0},(289,333):{'3_1':0.0,'4_1':0.0},(289,331):{'3_1':0.0},(289,330):{'3_1':0.0},(289,329):{'3_1':0.0},(289,327):{'3_1':0.0},(289,326):{'3_1':0.0},(289,323):{'3_1':0.0,'5_1':0.0},(289,322):{'3_1':0.0},(289,321):{'3_1':0.0},(289,293):{'3_1':0.0},(290,727):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'-3':0.0},(290,726):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'8_9':0.0,'-3':0.0},(290,725):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'8_4':0.0,'-3':0.0},(290,724):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(290,723):{'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(290,722):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(290,721):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_2':0.03,'8_4':0.0},(290,720):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_6':0.0},(290,719):{'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(290,718):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_4':0.0,'6_2':0.0,'8_9':0.0,'7_3':0.0},(290,717):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0},(290,716):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'8_9':0.0,'-3':0.0},(290,715):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0},(290,714):{'4_1':0.12,'5_2':0.09,'6_2':0.03,'5_1':0.03,'-3':0.0},(290,713):{'4_1':0.09,'5_2':0.06,'6_2':0.06,'3_1':0.03,'7_3':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(290,712):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0,'5_1':0.0},(290,711):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(290,710):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(290,709):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(290,708):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_11':0.0,'-3':0.0},(290,707):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0},(290,706):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(290,705):{'3_1':0.09,'5_2':0.09,'6_2':0.06,'4_1':0.03,'7_4':0.03,'-3':0.0},(290,704):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(290,703):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(290,702):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(290,701):{'4_1':0.15,'5_2':0.12,'3_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_6':0.0,'8_20|3_1#3_1':0.0},(290,700):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'-3':0.0},(290,699):{'4_1':0.09,'5_2':0.03,'6_2':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_11':0.0},(290,698):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(290,697):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(290,696):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(290,695):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(290,694):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'8_4':0.0},(290,693):{'5_2':0.21,'6_2':0.06,'3_1':0.06,'4_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(290,692):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'6_1':0.0},(290,691):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0,'7_4':0.0,'8_4':0.0},(290,690):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'5_1':0.03,'8_9':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'8_11':0.0,'-3':0.0},(290,689):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(290,688):{'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.03,'4_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(290,687):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(290,686):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.03,'6_2':0.0,'7_7':0.0,'-3':0.0},(290,685):{'5_2':0.15,'4_1':0.09,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_14':0.0,'1':-0.03},(290,684):{'4_1':0.09,'5_2':0.09,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0},(290,683):{'5_2':0.15,'4_1':0.09,'6_2':0.06,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0},(290,682):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(290,681):{'5_2':0.09,'4_1':0.03,'3_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_2':0.0},(290,680):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_9':0.0},(290,679):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(290,678):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0},(290,677):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(290,676):{'5_2':0.15,'4_1':0.06,'3_1':0.0,'5_1':0.0,'6_2':0.0},(290,675):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0},(290,674):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(290,673):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(290,672):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0},(290,671):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(290,670):{'5_2':0.09,'3_1':0.03,'4_1':0.03,'6_2':0.03,'6_1':0.0},(290,669):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0,'6_1':0.0,'8_2':0.0},(290,668):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0},(290,667):{'3_1':0.12,'4_1':0.09,'6_2':0.03,'5_2':0.0},(290,666):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'8_9':0.0,'-3':0.0},(290,665):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0,'-3':0.0},(290,664):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(290,663):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(290,662):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'8_9':0.0},(290,661):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'8_9':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(290,660):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_4':0.0,'8_9':0.0},(290,659):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0},(290,658):{'3_1':0.21,'5_2':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.0},(290,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_9':0.0},(290,656):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_9':0.0},(290,655):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(290,654):{'3_1':0.24,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(290,653):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_9':0.0},(290,652):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(290,651):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(290,650):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(290,649):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(290,648):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_2':0.0},(290,647):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(290,646):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_3':0.0},(290,645):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(290,644):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(290,643):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(290,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(290,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(290,640):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(290,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(290,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(290,637):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(290,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(290,635):{'3_1':0.12,'4_1':0.0},(290,634):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(290,633):{'3_1':0.12,'6_1':0.0,'5_1':0.0},(290,632):{'3_1':0.12,'5_1':0.0},(290,631):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(290,630):{'3_1':0.18,'5_1':0.0},(290,629):{'3_1':0.09},(290,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(290,627):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(290,626):{'3_1':0.06,'4_1':0.0},(290,625):{'3_1':0.21,'6_1':0.0,'5_2':0.0},(290,624):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(290,623):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(290,622):{'3_1':0.12,'4_1':0.0},(290,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(290,620):{'3_1':0.06,'5_2':0.0},(290,619):{'3_1':0.09,'4_1':0.03},(290,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0},(290,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(290,616):{'3_1':0.06,'4_1':0.0},(290,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(290,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(290,613):{'3_1':0.09,'4_1':0.0},(290,612):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(290,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(290,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(290,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(290,608):{'3_1':0.03,'4_1':0.0},(290,607):{'3_1':0.06,'8_20|3_1#3_1':0.0},(290,606):{'3_1':0.06,'6_2':0.0,'7_6':0.0},(290,605):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(290,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(290,603):{'3_1':0.06,'4_1':0.0},(290,602):{'4_1':0.03,'3_1':0.0},(290,601):{'3_1':0.03,'4_1':0.0},(290,600):{'3_1':0.0},(290,599):{'3_1':0.03,'4_1':0.0},(290,598):{'3_1':0.03,'6_1':0.0},(290,597):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(290,596):{'3_1':0.03,'6_2':0.0},(290,595):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(290,594):{'3_1':0.06,'4_1':0.0},(290,593):{'3_1':0.03},(290,592):{'3_1':0.09,'4_1':0.0},(290,591):{'3_1':0.03},(290,590):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(290,589):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(290,588):{'3_1':0.03,'4_1':0.0},(290,587):{'3_1':0.03},(290,586):{'3_1':0.03},(290,585):{'3_1':0.0,'5_1':0.0},(290,584):{'3_1':0.0},(290,583):{'3_1':0.03},(290,582):{'3_1':0.0},(290,581):{'3_1':0.0},(290,580):{'3_1':0.0,'4_1':0.0},(290,579):{'3_1':0.03},(290,578):{'3_1':0.03},(290,577):{'3_1':0.0,'4_1':0.0},(290,576):{'3_1':0.03},(290,575):{'3_1':0.03},(290,574):{'3_1':0.03},(290,573):{'3_1':0.0,'4_1':0.0},(290,572):{'3_1':0.0,'4_1':0.0},(290,571):{'3_1':0.0},(290,570):{'3_1':0.0},(290,569):{'3_1':0.0,'4_1':0.0},(290,568):{'3_1':0.06},(290,567):{'3_1':0.0},(290,566):{'3_1':0.03},(290,565):{'3_1':0.0},(290,564):{'3_1':0.0},(290,563):{'5_2':0.0},(290,562):{'3_1':0.03},(290,561):{'3_1':0.0,'7_2':0.0},(290,560):{'3_1':0.0,'4_1':0.0},(290,559):{'3_1':0.0},(290,558):{'3_1':0.0},(290,557):{'3_1':0.0},(290,556):{'3_1':0.0},(290,555):{'3_1':0.0},(290,554):{'3_1':0.0,'4_1':0.0},(290,553):{'3_1':0.0},(290,552):{'3_1':0.0,'8_20|3_1#3_1':0.0},(290,551):{'3_1':0.03,'5_1':0.0},(290,550):{'3_1':0.03,'5_2':0.0},(290,549):{'3_1':0.03},(290,548):{'3_1':0.0},(290,547):{'3_1':0.0},(290,546):{'3_1':0.0},(290,545):{'3_1':0.0},(290,544):{'3_1':0.0},(290,543):{'3_1':0.0},(290,542):{'3_1':0.0,'4_1':0.0},(290,541):{'3_1':0.0},(290,540):{'3_1':0.0},(290,539):{'3_1':0.0},(290,538):{'3_1':0.03,'7_2':0.0},(290,537):{'3_1':0.0},(290,536):{'3_1':0.0,'4_1':0.0},(290,535):{'3_1':0.0,'5_1':0.0},(290,534):{'3_1':0.0},(290,533):{'3_1':0.0},(290,532):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(290,531):{'3_1':0.0},(290,530):{'3_1':0.0,'4_1':0.0},(290,529):{'3_1':0.03},(290,528):{'3_1':0.0,'5_2':0.0},(290,526):{'3_1':0.0,'4_1':0.0},(290,525):{'3_1':0.03},(290,524):{'3_1':0.0},(290,522):{'3_1':0.03,'4_1':0.0},(290,521):{'3_1':0.0},(290,520):{'3_1':0.0},(290,519):{'3_1':0.03},(290,518):{'3_1':0.03},(290,517):{'3_1':0.0},(290,516):{'3_1':0.0},(290,515):{'3_1':0.0},(290,514):{'3_1':0.0},(290,513):{'3_1':0.0},(290,512):{'3_1':0.0,'4_1':0.0},(290,511):{'3_1':0.0},(290,510):{'3_1':0.0},(290,509):{'3_1':0.03},(290,508):{'3_1':0.03},(290,506):{'3_1':0.0},(290,505):{'3_1':0.0},(290,504):{'3_1':0.0},(290,503):{'3_1':0.0},(290,502):{'3_1':0.0},(290,501):{'3_1':0.0,'4_1':0.0},(290,500):{'3_1':0.03},(290,499):{'3_1':0.03},(290,498):{'3_1':0.0,'5_2':0.0},(290,497):{'3_1':0.0},(290,496):{'3_1':0.03,'5_1':0.0},(290,495):{'3_1':0.0},(290,494):{'4_1':0.0},(290,493):{'3_1':0.0},(290,492):{'3_1':0.0,'4_1':0.0},(290,491):{'3_1':0.0},(290,490):{'3_1':0.0},(290,489):{'3_1':0.0},(290,488):{'3_1':0.0,'8_20|3_1#3_1':0.0},(290,487):{'3_1':0.03},(290,486):{'3_1':0.03},(290,485):{'3_1':0.0},(290,484):{'3_1':0.0},(290,483):{'3_1':0.03},(290,482):{'3_1':0.03},(290,481):{'3_1':0.03,'5_2':0.0},(290,480):{'3_1':0.03},(290,479):{'3_1':0.03},(290,478):{'3_1':0.03},(290,477):{'3_1':0.0},(290,476):{'3_1':0.0},(290,475):{'3_1':0.03},(290,474):{'3_1':0.0,'4_1':0.0},(290,473):{'3_1':0.03},(290,472):{'3_1':0.06},(290,471):{'3_1':0.0,'5_2':0.0},(290,470):{'3_1':0.0,'5_1':0.0},(290,469):{'3_1':0.0},(290,468):{'3_1':0.0,'5_2':0.0},(290,467):{'3_1':0.0},(290,466):{'3_1':0.0,'4_1':0.0},(290,465):{'3_1':0.0},(290,464):{'3_1':0.0},(290,463):{'3_1':0.0},(290,462):{'3_1':0.0},(290,460):{'3_1':0.03},(290,459):{'3_1':0.0},(290,458):{'3_1':0.0},(290,457):{'3_1':0.0},(290,456):{'3_1':0.0},(290,455):{'3_1':0.0},(290,454):{'3_1':0.0,'4_1':0.0},(290,452):{'3_1':0.0},(290,448):{'3_1':0.0},(290,447):{'3_1':0.0},(290,445):{'3_1':0.0,'4_1':0.0},(290,443):{'3_1':0.03},(290,442):{'4_1':0.0},(290,441):{'3_1':0.0},(290,439):{'3_1':0.0},(290,438):{'3_1':0.03},(290,437):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(290,436):{'3_1':0.0},(290,435):{'3_1':0.0},(290,433):{'3_1':0.0},(290,432):{'3_1':0.0},(290,431):{'3_1':0.0},(290,430):{'3_1':0.0},(290,429):{'3_1':0.0},(290,427):{'3_1':0.0},(290,426):{'3_1':0.0},(290,424):{'3_1':0.0},(290,423):{'3_1':0.0},(290,422):{'3_1':0.0},(290,421):{'3_1':0.0,'5_2':0.0},(290,420):{'3_1':0.0},(290,419):{'3_1':0.0},(290,417):{'3_1':0.0},(290,415):{'4_1':0.0},(290,414):{'3_1':0.0},(290,413):{'3_1':0.0},(290,411):{'5_1':0.0},(290,410):{'5_1':0.0,'6_1':0.0},(290,409):{'3_1':0.0},(290,407):{'5_1':0.0},(290,406):{'3_1':0.0},(290,405):{'3_1':0.0},(290,393):{'3_1':0.0},(290,388):{'3_1':0.0},(290,387):{'3_1':0.0},(290,385):{'3_1':0.0},(290,384):{'8_20|3_1#3_1':0.0},(290,381):{'3_1':0.0},(290,380):{'3_1':0.0},(290,378):{'3_1':0.0,'4_1':0.0},(290,377):{'3_1':0.0},(290,375):{'3_1':0.0},(290,374):{'3_1':0.0},(290,373):{'3_1':0.0},(290,372):{'3_1':0.0},(290,371):{'3_1':0.0},(290,370):{'3_1':0.0},(290,369):{'3_1':0.0},(290,368):{'3_1':0.03},(290,366):{'3_1':0.0},(290,365):{'3_1':0.03},(290,364):{'3_1':0.0},(290,363):{'3_1':0.0},(290,362):{'3_1':0.0},(290,361):{'3_1':0.0},(290,360):{'3_1':0.0},(290,359):{'3_1':0.0},(290,358):{'3_1':0.0},(290,355):{'3_1':0.03},(290,354):{'3_1':0.0},(290,352):{'3_1':0.0},(290,351):{'3_1':0.0,'4_1':0.0},(290,350):{'3_1':0.0},(290,349):{'3_1':0.0},(290,348):{'3_1':0.0},(290,347):{'3_1':0.0},(290,345):{'3_1':0.0},(290,344):{'3_1':0.0},(290,335):{'3_1':0.0},(290,334):{'3_1':0.0},(290,333):{'3_1':0.0},(290,332):{'3_1':0.0},(290,331):{'3_1':0.0},(290,328):{'3_1':0.0},(290,326):{'3_1':0.0},(290,322):{'3_1':0.0,'5_1':0.0},(290,321):{'3_1':0.0},(290,320):{'3_1':0.0},(290,295):{'3_1':0.0},(290,294):{'3_1':0.0},(291,727):{'5_2':0.06,'4_1':0.03,'3_1':0.03,'6_2':0.03,'7_3':0.0,'7_5':0.0},(291,726):{'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_2':0.03,'-3':0.0,'8_4':0.0,'8_9':0.0},(291,725):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0},(291,724):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'6_2':0.0,'8_9':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(291,723):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_9':0.0},(291,722):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(291,721):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(291,720):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_14':0.0},(291,719):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(291,718):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.0,'8_9':0.0,'7_4':0.0},(291,717):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(291,716):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(291,715):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.03,'-3':0.0,'7_5':0.0,'7_6':0.0},(291,714):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.0,'8_9':0.0,'7_2':0.0,'-3':0.0},(291,713):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'-3':0.0},(291,712):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_2':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(291,711):{'4_1':0.09,'5_2':0.06,'6_1':0.0,'3_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(291,710):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0,'8_9':0.0,'-3':0.0},(291,709):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'7_3':0.0,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_19':0.0,'-3':0.0},(291,708):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(291,707):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(291,706):{'4_1':0.09,'5_2':0.06,'6_2':0.03,'3_1':0.03,'5_1':0.03,'7_4':0.0},(291,705):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0},(291,704):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0},(291,703):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.03,'6_1':0.0,'8_14':0.0,'-3':0.0},(291,702):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(291,701):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.03,'7_4':0.0,'8_9':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(291,700):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(291,699):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'9_1':0.0},(291,698):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0,'8_9':0.0,'8_19':0.0},(291,697):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(291,696):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.03,'-3':0.0,'7_4':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(291,695):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_4':0.06,'5_1':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(291,694):{'3_1':0.18,'5_2':0.09,'6_2':0.03,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0,'8_2':0.0},(291,693):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'6_3':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(291,692):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_2':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(291,691):{'5_2':0.12,'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(291,690):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'6_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'7_5':0.0,'8_1':0.0},(291,689):{'5_2':0.12,'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'9_1':0.0,'-3':0.0},(291,688):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_19':0.0},(291,687):{'5_2':0.09,'3_1':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0,'7_4':0.0,'8_3':0.0},(291,686):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'-3':0.0},(291,685):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0},(291,684):{'5_2':0.18,'3_1':0.12,'6_2':0.03,'4_1':0.03,'-3':0.0,'7_4':0.0},(291,683):{'5_2':0.21,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(291,682):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(291,681):{'5_2':0.12,'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_1':0.03},(291,680):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(291,679):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(291,678):{'5_2':0.12,'3_1':0.06,'6_2':0.06,'4_1':0.03,'7_5':0.0,'8_3':0.0},(291,677):{'3_1':0.06,'5_2':0.06,'4_1':0.03,'6_2':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(291,676):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0},(291,675):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0},(291,674):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(291,673):{'3_1':0.09,'5_2':0.06,'6_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_9':0.0},(291,672):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_9':0.0},(291,671):{'3_1':0.06,'5_2':0.06,'6_2':0.06,'4_1':0.03,'6_1':0.0,'-3':0.0},(291,670):{'3_1':0.09,'5_2':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(291,669):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0},(291,668):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'8_9':0.0,'6_1':0.0,'8_4':0.0},(291,667):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0},(291,666):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(291,665):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'8_4':0.0},(291,664):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_2':0.0,'8_2':0.0},(291,663):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_1':0.0,'-3':0.0},(291,662):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(291,661):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(291,660):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(291,659):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(291,658):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(291,657):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(291,656):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0},(291,655):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.0},(291,654):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(291,653):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(291,652):{'3_1':0.21,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(291,651):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(291,650):{'3_1':0.15,'4_1':0.03,'6_2':0.0,'5_1':0.0},(291,649):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(291,648):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(291,647):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(291,646):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0,'6_1':0.0},(291,645):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0},(291,644):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(291,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(291,642):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(291,641):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(291,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(291,639):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0},(291,638):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(291,637):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(291,636):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(291,635):{'3_1':0.09,'5_2':0.0},(291,634):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(291,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(291,632):{'3_1':0.06,'5_1':0.0},(291,631):{'3_1':0.12,'4_1':0.0},(291,630):{'3_1':0.06},(291,629):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(291,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(291,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(291,626):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(291,625):{'3_1':0.12,'5_1':0.0},(291,624):{'3_1':0.06,'4_1':0.0},(291,623):{'3_1':0.06,'4_1':0.0},(291,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(291,621):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(291,620):{'3_1':0.09,'4_1':0.0},(291,619):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(291,618):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(291,617):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(291,616):{'3_1':0.09},(291,615):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(291,614):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(291,613):{'3_1':0.12,'4_1':0.0},(291,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(291,611):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(291,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(291,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(291,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(291,607):{'3_1':0.03,'4_1':0.0},(291,606):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(291,605):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(291,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(291,603):{'3_1':0.0,'4_1':0.0},(291,602):{'3_1':0.03,'4_1':0.0},(291,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(291,600):{'3_1':0.03,'4_1':0.0},(291,599):{'3_1':0.0},(291,598):{'3_1':0.0,'4_1':0.0},(291,597):{'3_1':0.0,'4_1':0.0},(291,596):{'3_1':0.0},(291,595):{'3_1':0.0},(291,594):{'3_1':0.0,'5_1':0.0},(291,593):{'3_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(291,592):{'3_1':0.03,'4_1':0.0},(291,591):{'3_1':0.03},(291,589):{'3_1':0.03,'4_1':0.0},(291,588):{'3_1':0.03,'4_1':0.0},(291,587):{'3_1':0.03,'4_1':0.0},(291,586):{'3_1':0.0},(291,585):{'3_1':0.06},(291,584):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(291,583):{'3_1':0.03,'4_1':0.0},(291,582):{'3_1':0.0},(291,581):{'3_1':0.0,'4_1':0.0},(291,580):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(291,579):{'3_1':0.0,'4_1':0.0},(291,578):{'3_1':0.03,'4_1':0.0},(291,577):{'3_1':0.06,'4_1':0.0},(291,576):{'3_1':0.03},(291,575):{'4_1':0.03,'3_1':0.0},(291,574):{'3_1':0.03},(291,573):{'3_1':0.03},(291,571):{'3_1':0.03,'5_2':0.0},(291,570):{'3_1':0.03},(291,569):{'3_1':0.0,'5_2':0.0},(291,568):{'3_1':0.0,'4_1':0.0},(291,567):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(291,566):{'3_1':0.0,'4_1':0.0},(291,565):{'3_1':0.0,'8_20|3_1#3_1':0.0},(291,564):{'3_1':0.03,'4_1':0.0},(291,563):{'3_1':0.03,'5_2':0.0},(291,562):{'3_1':0.03},(291,561):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(291,560):{'3_1':0.03},(291,559):{'3_1':0.0,'4_1':0.0},(291,558):{'3_1':0.03},(291,557):{'3_1':0.0},(291,556):{'3_1':0.03,'4_1':0.0},(291,555):{'3_1':0.0},(291,554):{'3_1':0.03,'4_1':0.0},(291,553):{'3_1':0.0},(291,552):{'3_1':0.0,'4_1':0.0},(291,551):{'3_1':0.0},(291,550):{'3_1':0.03,'6_1':0.0},(291,549):{'3_1':0.0},(291,548):{'3_1':0.0},(291,547):{'3_1':0.0,'4_1':0.0},(291,546):{'3_1':0.03},(291,545):{'3_1':0.0},(291,544):{'3_1':0.0,'4_1':0.0},(291,543):{'3_1':0.06},(291,542):{'3_1':0.0,'6_2':0.0},(291,541):{'3_1':0.03,'4_1':0.0},(291,540):{'3_1':0.03},(291,539):{'3_1':0.0,'5_2':0.0},(291,538):{'3_1':0.03},(291,537):{'3_1':0.0},(291,536):{'3_1':0.0},(291,535):{'3_1':0.0},(291,534):{'3_1':0.03},(291,533):{'3_1':0.03},(291,532):{'3_1':0.03},(291,531):{'3_1':0.03},(291,530):{'3_1':0.03},(291,529):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(291,528):{'3_1':0.0},(291,527):{'3_1':0.0},(291,526):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(291,525):{'3_1':0.0,'4_1':0.0},(291,524):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(291,523):{'3_1':0.03},(291,522):{'3_1':0.06,'4_1':0.0},(291,521):{'3_1':0.0,'4_1':0.0},(291,520):{'3_1':0.0,'4_1':0.0},(291,519):{'3_1':0.0},(291,518):{'3_1':0.03},(291,517):{'3_1':0.03,'4_1':0.0},(291,516):{'3_1':0.03,'4_1':0.0},(291,515):{'3_1':0.09},(291,514):{'3_1':0.0,'4_1':0.0},(291,513):{'3_1':0.0,'4_1':0.0},(291,512):{'3_1':0.0},(291,511):{'3_1':0.03,'4_1':0.0},(291,509):{'3_1':0.0,'4_1':0.0},(291,508):{'3_1':0.03},(291,507):{'3_1':0.0},(291,506):{'3_1':0.03},(291,505):{'3_1':0.03},(291,504):{'3_1':0.03},(291,503):{'3_1':0.03},(291,502):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(291,501):{'3_1':0.03},(291,500):{'3_1':0.0},(291,499):{'3_1':0.03},(291,498):{'3_1':0.0},(291,497):{'3_1':0.03},(291,496):{'3_1':0.0,'4_1':0.0},(291,495):{'3_1':0.06},(291,494):{'3_1':0.0},(291,493):{'3_1':0.0},(291,492):{'3_1':0.03},(291,491):{'3_1':0.0,'5_1':0.0},(291,490):{'3_1':0.03},(291,489):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(291,488):{'3_1':0.03},(291,487):{'3_1':0.06},(291,486):{'3_1':0.03,'5_1':0.0},(291,485):{'3_1':0.03},(291,484):{'3_1':0.06},(291,483):{'3_1':0.03,'5_1':0.0},(291,482):{'3_1':0.06},(291,481):{'3_1':0.03},(291,480):{'3_1':0.03},(291,479):{'3_1':0.0},(291,478):{'3_1':0.06},(291,477):{'3_1':0.03},(291,476):{'3_1':0.03,'4_1':0.0},(291,475):{'3_1':0.0},(291,474):{'3_1':0.06},(291,473):{'3_1':0.0},(291,472):{'3_1':0.03},(291,470):{'3_1':0.03,'4_1':0.0},(291,469):{'3_1':0.0,'5_1':0.0},(291,468):{'3_1':0.03},(291,467):{'3_1':0.0},(291,464):{'3_1':0.0},(291,463):{'3_1':0.0},(291,462):{'3_1':0.03},(291,461):{'3_1':0.0},(291,459):{'3_1':0.0},(291,457):{'3_1':0.0},(291,456):{'3_1':0.0},(291,455):{'3_1':0.0},(291,453):{'3_1':0.0},(291,451):{'3_1':0.0},(291,450):{'3_1':0.0},(291,449):{'3_1':0.0},(291,448):{'3_1':0.0},(291,447):{'3_1':0.0},(291,446):{'3_1':0.0},(291,445):{'3_1':0.0},(291,443):{'4_1':0.0},(291,442):{'3_1':0.0},(291,441):{'3_1':0.0},(291,439):{'3_1':0.0,'5_2':0.0},(291,438):{'3_1':0.0,'4_1':0.0},(291,437):{'3_1':0.0},(291,436):{'3_1':0.0},(291,435):{'3_1':0.0},(291,434):{'3_1':0.0},(291,433):{'8_20|3_1#3_1':0.0},(291,432):{'3_1':0.0},(291,431):{'3_1':0.03},(291,430):{'3_1':0.0},(291,429):{'3_1':0.0},(291,428):{'3_1':0.0},(291,427):{'3_1':0.03},(291,426):{'3_1':0.0},(291,425):{'3_1':0.0,'5_1':0.0},(291,424):{'8_20|3_1#3_1':0.0},(291,422):{'3_1':0.0,'4_1':0.0},(291,420):{'3_1':0.0},(291,419):{'3_1':0.0},(291,418):{'3_1':0.0,'5_1':0.0},(291,417):{'3_1':0.0},(291,415):{'5_1':0.0},(291,414):{'3_1':0.0},(291,413):{'3_1':0.0},(291,410):{'3_1':0.0},(291,409):{'3_1':0.0},(291,407):{'3_1':0.0},(291,406):{'3_1':0.0},(291,405):{'3_1':0.0},(291,404):{'3_1':0.0,'5_1':0.0},(291,403):{'3_1':0.0},(291,396):{'3_1':0.0},(291,392):{'3_1':0.0},(291,391):{'3_1':0.0},(291,390):{'3_1':0.0},(291,389):{'3_1':0.0},(291,387):{'3_1':0.0},(291,381):{'3_1':0.0,'5_1':0.0},(291,380):{'3_1':0.0},(291,379):{'3_1':0.0},(291,377):{'3_1':0.0},(291,374):{'3_1':0.0},(291,373):{'3_1':0.0,'5_1':0.0},(291,372):{'3_1':0.0},(291,371):{'3_1':0.0},(291,370):{'3_1':0.0},(291,369):{'3_1':0.0},(291,368):{'3_1':0.0},(291,367):{'3_1':0.0},(291,366):{'3_1':0.0},(291,365):{'3_1':0.0,'4_1':0.0},(291,364):{'3_1':0.0},(291,363):{'3_1':0.03},(291,362):{'3_1':0.0},(291,361):{'3_1':0.0},(291,360):{'3_1':0.0,'5_1':0.0},(291,359):{'3_1':0.0},(291,358):{'3_1':0.0},(291,354):{'3_1':0.03},(291,353):{'3_1':0.0},(291,352):{'3_1':0.0},(291,350):{'3_1':0.0},(291,349):{'3_1':0.0},(291,347):{'3_1':0.0},(291,346):{'3_1':0.0},(291,345):{'3_1':0.0},(291,344):{'3_1':0.0},(291,343):{'3_1':0.0,'4_1':0.0},(291,336):{'3_1':0.0},(291,335):{'3_1':0.0},(291,334):{'3_1':0.0},(291,332):{'3_1':0.03},(291,331):{'3_1':0.0},(291,330):{'3_1':0.0},(291,329):{'3_1':0.0},(291,328):{'3_1':0.0},(291,327):{'3_1':0.0},(291,326):{'3_1':0.0},(291,325):{'3_1':0.0},(291,322):{'3_1':0.0},(291,318):{'4_1':0.0},(291,303):{'3_1':0.0},(291,302):{'3_1':0.0},(291,297):{'3_1':0.0},(291,296):{'3_1':0.0},(291,295):{'3_1':0.0},(291,294):{'3_1':0.0},(292,727):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(292,726):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'-3':0.0},(292,725):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0},(292,724):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(292,723):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'-3':0.0},(292,722):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_2':0.0,'8_4':0.0,'6_1':0.0,'7_4':0.0},(292,721):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(292,720):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0},(292,719):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(292,718):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.03,'7_4':0.0,'7_6':0.0},(292,717):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(292,716):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0},(292,715):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.03,'6_1':0.0,'7_5':0.0,'-3':0.0},(292,714):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0,'8_4':0.0},(292,713):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_4':0.0,'8_19':0.0},(292,712):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0,'6_1':0.0},(292,711):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(292,710):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0,'7_5':0.0},(292,709):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0},(292,708):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(292,707):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(292,706):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(292,705):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(292,704):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'8_4':0.0},(292,703):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'8_4':0.0},(292,702):{'3_1':0.09,'4_1':0.09,'7_4':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(292,701):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(292,700):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(292,699):{'5_2':0.09,'4_1':0.09,'5_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(292,698):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'8_4':0.0,'8_6':0.0,'-3':0.0},(292,697):{'5_2':0.12,'3_1':0.12,'4_1':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_4':0.0},(292,696):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0},(292,695):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_6':0.0},(292,694):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0,'-3':0.0},(292,693):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'7_3':0.0},(292,692):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(292,691):{'5_2':0.12,'4_1':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(292,690):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(292,689):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'8_9':0.0},(292,688):{'4_1':0.06,'5_2':0.06,'5_1':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0,'7_3':0.0,'-3':0.0},(292,687):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(292,686):{'5_2':0.12,'4_1':0.06,'3_1':0.06,'5_1':0.03,'6_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(292,685):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_2':0.0,'6_1':0.0,'8_4':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(292,684):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.06,'7_4':0.0,'7_3':0.0,'-3':0.0},(292,683):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(292,682):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0},(292,681):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'8_3':0.0},(292,680):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(292,679):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(292,678):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(292,677):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(292,676):{'5_2':0.12,'3_1':0.06,'6_2':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0},(292,675):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(292,674):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(292,673):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.03,'7_3':0.0,'-3':0.0},(292,672):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0},(292,671):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_12':0.0,'-3':0.0},(292,670):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_9':0.0},(292,669):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(292,668):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0},(292,667):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.03,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_1':0.0,'7_5':0.0,'8_9':0.0},(292,666):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.03},(292,665):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'1':-0.03},(292,664):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(292,663):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(292,662):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_2':0.0,'8_9':0.0},(292,661):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(292,660):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0},(292,659):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'-3':0.0},(292,658):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(292,657):{'3_1':0.24,'4_1':0.09,'6_2':0.0,'5_2':0.0},(292,656):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(292,655):{'3_1':0.24,'4_1':0.0,'6_2':0.0,'5_1':0.0},(292,654):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_13':0.0},(292,653):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(292,652):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(292,651):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(292,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(292,649):{'3_1':0.15,'4_1':0.03,'6_2':0.03,'5_2':0.0},(292,648):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(292,647):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_2':0.0},(292,646):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(292,645):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(292,644):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.03},(292,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(292,642):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(292,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(292,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(292,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(292,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(292,637):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(292,636):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(292,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(292,634):{'3_1':0.15,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(292,633):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(292,632):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(292,631):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(292,630):{'3_1':0.09,'4_1':0.0},(292,629):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(292,628):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(292,627):{'3_1':0.09,'5_1':0.0},(292,626):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(292,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(292,624):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(292,623):{'3_1':0.03,'6_2':0.0,'5_1':0.0},(292,622):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(292,621):{'3_1':0.12,'4_1':0.0},(292,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(292,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(292,618):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(292,617):{'3_1':0.03,'4_1':0.0},(292,616):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(292,615):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(292,614):{'3_1':0.09,'5_1':0.0},(292,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(292,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(292,611):{'3_1':0.06,'4_1':0.0},(292,610):{'3_1':0.03,'4_1':0.0},(292,609):{'3_1':0.0,'4_1':0.0},(292,608):{'3_1':0.03,'4_1':0.03},(292,607):{'3_1':0.03,'6_2':0.0},(292,606):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(292,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(292,604):{'3_1':0.06,'4_1':0.0},(292,603):{'3_1':0.03,'4_1':0.0},(292,602):{'3_1':0.0},(292,601):{'3_1':0.0,'4_1':0.0},(292,600):{'3_1':0.06},(292,599):{'3_1':0.03},(292,598):{'3_1':0.06,'4_1':0.0},(292,597):{'3_1':0.06},(292,596):{'3_1':0.03,'4_1':0.0},(292,595):{'4_1':0.0,'3_1':0.0},(292,594):{'3_1':0.0,'4_1':0.0},(292,593):{'3_1':0.0,'5_2':0.0},(292,592):{'3_1':0.0,'4_1':0.0},(292,591):{'3_1':0.03,'8_20|3_1#3_1':0.0},(292,590):{'3_1':0.03,'4_1':0.0},(292,589):{'3_1':0.03},(292,588):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(292,587):{'3_1':0.03},(292,586):{'3_1':0.03,'4_1':0.0},(292,585):{'3_1':0.03},(292,584):{'3_1':0.0},(292,583):{'3_1':0.03},(292,582):{'3_1':0.0,'4_1':0.0},(292,581):{'3_1':0.0,'5_2':0.0},(292,580):{'3_1':0.06},(292,579):{'3_1':0.06},(292,578):{'3_1':0.03,'5_1':0.0},(292,577):{'3_1':0.03,'8_20|3_1#3_1':0.0},(292,576):{'3_1':0.03,'4_1':0.0},(292,575):{'3_1':0.0},(292,574):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(292,573):{'3_1':0.03,'4_1':0.0},(292,572):{'3_1':0.03},(292,571):{'3_1':0.0,'4_1':0.0},(292,570):{'3_1':0.0},(292,569):{'3_1':0.0},(292,568):{'3_1':0.0,'4_1':0.0},(292,567):{'3_1':0.0,'5_2':0.0},(292,566):{'3_1':0.0,'4_1':0.0},(292,565):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(292,564):{'3_1':0.0,'4_1':0.0},(292,563):{'3_1':0.0,'5_2':0.0},(292,562):{'3_1':0.03},(292,561):{'3_1':0.0},(292,560):{'3_1':0.0},(292,559):{'3_1':0.0},(292,558):{'3_1':0.03},(292,557):{'3_1':0.03},(292,556):{'3_1':0.0},(292,555):{'3_1':0.03},(292,554):{'3_1':0.0,'4_1':0.0},(292,553):{'3_1':0.0},(292,552):{'3_1':0.03},(292,551):{'3_1':0.0},(292,550):{'3_1':0.0},(292,549):{'3_1':0.0},(292,548):{'3_1':0.0,'4_1':0.0},(292,547):{'3_1':0.0},(292,546):{'3_1':0.03,'4_1':0.0},(292,545):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(292,544):{'3_1':0.09},(292,542):{'3_1':0.03},(292,541):{'3_1':0.0},(292,540):{'3_1':0.0},(292,539):{'3_1':0.0},(292,538):{'3_1':0.0},(292,537):{'3_1':0.0},(292,536):{'3_1':0.0},(292,535):{'3_1':0.0,'4_1':0.0},(292,534):{'3_1':0.03,'4_1':0.0},(292,533):{'3_1':0.0},(292,532):{'3_1':0.03},(292,531):{'3_1':0.03,'4_1':0.0},(292,530):{'3_1':0.03,'4_1':0.0},(292,529):{'3_1':0.0},(292,528):{'3_1':0.03,'4_1':0.0},(292,527):{'3_1':0.0,'5_1':0.0},(292,526):{'3_1':0.0},(292,525):{'3_1':0.0},(292,524):{'3_1':0.03},(292,523):{'3_1':0.06},(292,522):{'3_1':0.0},(292,521):{'3_1':0.0,'8_20|3_1#3_1':0.0},(292,520):{'3_1':0.0},(292,519):{'3_1':0.03},(292,518):{'3_1':0.0},(292,517):{'3_1':0.03,'4_1':0.0},(292,516):{'3_1':0.0,'5_2':0.0},(292,515):{'3_1':0.0},(292,514):{'3_1':0.0},(292,513):{'3_1':0.0,'4_1':0.0},(292,512):{'3_1':0.03,'5_1':0.0},(292,511):{'3_1':0.03},(292,510):{'3_1':0.0,'4_1':0.0},(292,509):{'3_1':0.0,'6_1':0.0},(292,508):{'3_1':0.0},(292,507):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(292,506):{'3_1':0.03},(292,505):{'3_1':0.0},(292,504):{'3_1':0.03},(292,503):{'3_1':0.03},(292,502):{'3_1':0.0,'4_1':0.0},(292,501):{'3_1':0.0,'5_2':0.0},(292,500):{'3_1':0.0,'4_1':0.0},(292,499):{'3_1':0.0},(292,498):{'3_1':0.06},(292,497):{'3_1':0.0},(292,496):{'3_1':0.0,'5_2':0.0},(292,495):{'3_1':0.03},(292,494):{'3_1':0.0},(292,493):{'3_1':0.0},(292,492):{'3_1':0.03},(292,491):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(292,490):{'3_1':0.03,'7_2':0.0},(292,489):{'3_1':0.03,'5_2':0.0},(292,488):{'3_1':0.0},(292,487):{'3_1':0.0,'5_1':0.0},(292,486):{'3_1':0.03},(292,485):{'3_1':0.03},(292,484):{'3_1':0.03},(292,483):{'3_1':0.0},(292,482):{'3_1':0.0,'8_20|3_1#3_1':0.0},(292,481):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(292,480):{'3_1':0.09,'5_2':0.0},(292,479):{'3_1':0.0,'5_2':0.0},(292,478):{'3_1':0.0,'5_2':0.0},(292,477):{'3_1':0.0},(292,476):{'3_1':0.03},(292,475):{'3_1':0.0},(292,474):{'3_1':0.03},(292,473):{'3_1':0.03},(292,472):{'3_1':0.0},(292,471):{'3_1':0.0,'8_20|3_1#3_1':0.0},(292,470):{'3_1':0.0,'5_1':0.0},(292,469):{'3_1':0.03,'4_1':0.0},(292,468):{'3_1':0.0},(292,467):{'3_1':0.03},(292,466):{'3_1':0.03},(292,465):{'3_1':0.0},(292,464):{'3_1':0.0},(292,463):{'3_1':0.0},(292,462):{'3_1':0.0},(292,461):{'3_1':0.0},(292,460):{'3_1':0.0},(292,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(292,458):{'3_1':0.0},(292,457):{'3_1':0.0},(292,456):{'3_1':0.0},(292,455):{'3_1':0.0},(292,454):{'3_1':0.0,'4_1':0.0},(292,453):{'3_1':0.0},(292,449):{'3_1':0.0},(292,448):{'3_1':0.0,'4_1':0.0},(292,447):{'3_1':0.0},(292,446):{'3_1':0.0},(292,445):{'3_1':0.0},(292,444):{'3_1':0.0},(292,443):{'3_1':0.0},(292,442):{'3_1':0.0},(292,441):{'3_1':0.0,'4_1':0.0},(292,440):{'3_1':0.0},(292,436):{'5_1':0.0},(292,434):{'3_1':0.0},(292,433):{'3_1':0.0},(292,432):{'3_1':0.0},(292,431):{'3_1':0.0},(292,430):{'3_1':0.0,'5_2':0.0},(292,428):{'3_1':0.0},(292,423):{'3_1':0.0},(292,422):{'3_1':0.0},(292,421):{'3_1':0.0},(292,420):{'3_1':0.0},(292,418):{'5_1':0.0,'3_1':0.0},(292,417):{'3_1':0.0},(292,416):{'5_1':0.0},(292,413):{'3_1':0.0,'5_1':0.0},(292,411):{'3_1':0.0},(292,410):{'3_1':0.0},(292,409):{'3_1':0.0,'4_1':0.0},(292,404):{'3_1':0.0},(292,403):{'3_1':0.0},(292,400):{'3_1':0.0},(292,399):{'3_1':0.0},(292,397):{'3_1':0.0},(292,394):{'3_1':0.0},(292,389):{'5_2':0.0},(292,388):{'3_1':0.0},(292,387):{'3_1':0.0},(292,385):{'3_1':0.0},(292,384):{'3_1':0.0},(292,383):{'3_1':0.0,'4_1':0.0},(292,381):{'3_1':0.0},(292,379):{'3_1':0.0},(292,378):{'3_1':0.0},(292,376):{'3_1':0.0},(292,374):{'3_1':0.0},(292,373):{'3_1':0.0},(292,371):{'3_1':0.0},(292,370):{'3_1':0.0},(292,368):{'3_1':0.0},(292,367):{'3_1':0.03},(292,366):{'3_1':0.0},(292,365):{'3_1':0.0},(292,363):{'3_1':0.0},(292,362):{'3_1':0.03},(292,361):{'3_1':0.0},(292,360):{'3_1':0.0},(292,359):{'3_1':0.0},(292,358):{'3_1':0.0},(292,357):{'3_1':0.0,'4_1':0.0},(292,354):{'3_1':0.0},(292,353):{'3_1':0.0},(292,352):{'3_1':0.0},(292,351):{'3_1':0.0},(292,350):{'3_1':0.0},(292,349):{'3_1':0.0},(292,346):{'3_1':0.0},(292,344):{'3_1':0.0},(292,342):{'3_1':0.0},(292,339):{'3_1':0.0},(292,335):{'3_1':0.0},(292,333):{'3_1':0.0},(292,331):{'3_1':0.03},(292,328):{'3_1':0.0},(292,327):{'3_1':0.0},(292,326):{'3_1':0.0},(292,323):{'3_1':0.0},(292,321):{'3_1':0.0},(292,297):{'3_1':0.0},(293,727):{'4_1':0.09,'6_2':0.03,'3_1':0.03,'5_2':0.03,'7_3':0.0},(293,726):{'5_2':0.09,'4_1':0.06,'6_2':0.06,'3_1':0.0,'8_4':0.0},(293,725):{'4_1':0.06,'6_2':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_9':0.0},(293,724):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(293,723):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'5_2':0.0,'7_5':0.0},(293,722):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'7_1':0.0,'7_4':0.0,'7_6':0.0},(293,721):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(293,720):{'4_1':0.12,'6_2':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_14':0.0},(293,719):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0},(293,718):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0},(293,717):{'3_1':0.09,'6_2':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(293,716):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_2':0.0,'-3':0.0,'7_2':0.0,'7_3':0.0},(293,715):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_2':0.03,'-3':0.0,'5_1':0.0,'7_5':0.0},(293,714):{'4_1':0.15,'5_2':0.06,'6_2':0.03,'3_1':0.0,'5_1':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0},(293,713):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_5':0.0,'7_6':0.0},(293,712):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'8_9':0.0},(293,711):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_19':0.0,'-3':0.0},(293,710):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(293,709):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(293,708):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(293,707):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(293,706):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(293,705):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(293,704):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_4':0.0,'7_1':0.0,'7_2':0.0,'8_9':0.0},(293,703):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'5_1':0.0,'7_4':0.0,'8_4':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(293,702):{'3_1':0.12,'4_1':0.12,'7_4':0.06,'5_2':0.03,'6_2':0.03,'7_3':0.0,'5_1':0.0,'7_5':0.0,'8_2':0.0,'-3':0.0},(293,701):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0},(293,700):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(293,699):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'-3':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(293,698):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0,'5_1':0.0,'8_4':0.0},(293,697):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0},(293,696):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0},(293,695):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_2':0.03,'7_4':0.0,'7_3':0.0,'8_4':0.0},(293,694):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(293,693):{'5_2':0.15,'3_1':0.12,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_9':0.0},(293,692):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'7_4':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_19':0.0,'9_1':0.0},(293,691):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0},(293,690):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(293,689):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(293,688):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_9':0.0},(293,687):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0,'8_9':0.0,'-3':0.0},(293,686):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.03,'5_1':0.0,'8_9':0.0,'8_11':0.0},(293,685):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'8_9':0.0,'-3':0.0},(293,684):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_1':0.0,'7_4':0.0,'8_9':0.0},(293,683):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(293,682):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'5_1':0.03,'6_2':0.03,'8_1':0.0},(293,681):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.03,'6_2':0.03,'6_1':0.0},(293,680):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_4':0.0},(293,679):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_7':0.0,'8_4':0.0,'-3':0.0},(293,678):{'5_2':0.18,'4_1':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0,'8_9':0.0,'-3':0.0},(293,677):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'-3':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(293,676):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.03,'7_3':0.0,'8_9':0.0},(293,675):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(293,674):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0},(293,673):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(293,672):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'-3':0.0},(293,671):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(293,670):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0},(293,669):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'8_1':0.0,'-3':0.0},(293,668):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_9':0.0},(293,667):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(293,666):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0},(293,665):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0},(293,664):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(293,663):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_2':0.0,'8_4':0.0},(293,662):{'3_1':0.15,'4_1':0.06,'6_2':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(293,661):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'8_9':0.0},(293,660):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'9_1':0.0},(293,659):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0},(293,658):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0},(293,657):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(293,656):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(293,655):{'3_1':0.18,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0},(293,654):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'8_9':0.0},(293,653):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_2':0.0},(293,652):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'7_2':0.0,'8_2':0.0,'-3':0.0},(293,651):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(293,650):{'3_1':0.24,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_7':0.0},(293,649):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'6_2':0.0},(293,648):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0,'6_1':0.0},(293,647):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(293,646):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(293,645):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(293,644):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(293,643):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(293,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(293,641):{'3_1':0.24,'5_1':0.03,'6_2':0.03,'4_1':0.0,'5_2':0.0},(293,640):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'6_2':0.0},(293,639):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(293,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(293,637):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(293,636):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(293,635):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(293,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(293,633):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(293,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(293,631):{'3_1':0.12,'5_2':0.0},(293,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(293,629):{'3_1':0.12,'5_2':0.0,'8_21|3_1#4_1':0.0},(293,628):{'3_1':0.15},(293,627):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(293,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(293,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(293,624):{'3_1':0.09,'5_1':0.0},(293,623):{'3_1':0.12},(293,622):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(293,621):{'3_1':0.15,'5_1':0.0,'8_21|3_1#4_1':0.0},(293,620):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(293,619):{'3_1':0.09,'4_1':0.0},(293,618):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(293,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(293,616):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(293,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(293,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(293,613):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(293,612):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(293,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(293,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(293,609):{'3_1':0.09,'5_1':0.0},(293,608):{'3_1':0.06,'4_1':0.0},(293,607):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(293,606):{'3_1':0.09,'4_1':0.0},(293,605):{'3_1':0.06,'4_1':0.03},(293,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(293,603):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(293,602):{'3_1':0.06,'5_1':0.0},(293,601):{'3_1':0.0,'8_21|3_1#4_1':0.0},(293,600):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(293,599):{'3_1':0.09,'5_2':0.0},(293,598):{'3_1':0.06,'4_1':0.0},(293,597):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(293,596):{'3_1':0.03},(293,595):{'3_1':0.0},(293,594):{'3_1':0.03},(293,593):{'3_1':0.06},(293,592):{'3_1':0.03,'4_1':0.0},(293,591):{'3_1':0.06,'4_1':0.0},(293,590):{'3_1':0.03},(293,589):{'3_1':0.03},(293,588):{'3_1':0.03},(293,587):{'3_1':0.06},(293,586):{'3_1':0.03},(293,585):{'3_1':0.03,'4_1':0.0},(293,584):{'3_1':0.0,'5_1':0.0},(293,583):{'3_1':0.03},(293,582):{'3_1':0.0},(293,581):{'3_1':0.06},(293,580):{'3_1':0.03},(293,579):{'3_1':0.03},(293,578):{'3_1':0.03},(293,577):{'3_1':0.0},(293,576):{'3_1':0.03},(293,575):{'3_1':0.06,'4_1':0.0},(293,574):{'3_1':0.0},(293,573):{'3_1':0.03,'4_1':0.0},(293,572):{'3_1':0.0},(293,571):{'3_1':0.03},(293,570):{'3_1':0.03,'4_1':0.0},(293,569):{'3_1':0.0},(293,568):{'3_1':0.0,'4_1':0.0},(293,567):{'3_1':0.0},(293,566):{'3_1':0.03},(293,565):{'3_1':0.03},(293,564):{'3_1':0.03,'5_1':0.0},(293,563):{'3_1':0.03,'4_1':0.0},(293,562):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(293,561):{'3_1':0.0},(293,560):{'3_1':0.0,'5_1':0.0},(293,559):{'3_1':0.03},(293,558):{'3_1':0.0},(293,557):{'3_1':0.0},(293,556):{'3_1':0.06,'4_1':0.0},(293,555):{'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(293,554):{'3_1':0.03,'4_1':0.0},(293,553):{'4_1':0.0,'3_1':0.0},(293,552):{'3_1':0.06,'6_2':0.0},(293,551):{'3_1':0.03,'5_2':0.0},(293,550):{'3_1':0.0},(293,549):{'4_1':0.0,'3_1':0.0},(293,548):{'3_1':0.06},(293,547):{'3_1':0.03,'4_1':0.0},(293,546):{'3_1':0.03,'4_1':0.0},(293,545):{'5_2':0.0},(293,544):{'3_1':0.03},(293,543):{'3_1':0.03},(293,542):{'3_1':0.03,'4_1':0.0},(293,541):{'3_1':0.03},(293,540):{'3_1':0.0,'8_20|3_1#3_1':0.0},(293,539):{'3_1':0.0,'8_20|3_1#3_1':0.0},(293,538):{'3_1':0.0},(293,537):{'3_1':0.03},(293,536):{'3_1':0.03},(293,535):{'3_1':0.03},(293,534):{'3_1':0.03},(293,533):{'3_1':0.0,'4_1':0.0},(293,532):{'3_1':0.0},(293,531):{'3_1':0.03},(293,530):{'3_1':0.06},(293,529):{'3_1':0.03},(293,527):{'3_1':0.0},(293,526):{'3_1':0.0},(293,525):{'3_1':0.03,'7_2':0.0},(293,524):{'3_1':0.03,'8_20|3_1#3_1':0.0},(293,523):{'3_1':0.03},(293,522):{'3_1':0.0},(293,521):{'3_1':0.0},(293,520):{'3_1':0.0},(293,519):{'3_1':0.03},(293,518):{'3_1':0.0},(293,517):{'3_1':0.0,'4_1':0.0},(293,516):{'3_1':0.0,'4_1':0.0},(293,515):{'3_1':0.0},(293,514):{'3_1':0.0},(293,513):{'3_1':0.03,'4_1':0.0},(293,512):{'3_1':0.0,'5_1':0.0},(293,511):{'3_1':0.03},(293,510):{'3_1':0.0,'8_1':0.0},(293,509):{'3_1':0.0},(293,508):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(293,507):{'3_1':0.0},(293,506):{'3_1':0.03},(293,505):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(293,504):{'3_1':0.03,'4_1':0.0},(293,503):{'3_1':0.0,'4_1':0.0},(293,502):{'3_1':0.0,'4_1':0.0},(293,501):{'3_1':0.0,'5_1':0.0},(293,500):{'3_1':0.03},(293,499):{'3_1':0.0},(293,498):{'3_1':0.03,'4_1':0.0},(293,497):{'3_1':0.03},(293,496):{'3_1':0.03},(293,495):{'3_1':0.06},(293,494):{'3_1':0.03,'4_1':0.0},(293,493):{'3_1':0.03},(293,492):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(293,491):{'3_1':0.0,'4_1':0.0},(293,490):{'3_1':0.0},(293,489):{'3_1':0.09},(293,488):{'3_1':0.06},(293,487):{'3_1':0.06,'5_1':0.0},(293,486):{'3_1':0.0,'4_1':0.0},(293,485):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(293,484):{'3_1':0.06},(293,483):{'3_1':0.03,'8_20|3_1#3_1':0.0},(293,482):{'3_1':0.03},(293,481):{'3_1':0.03},(293,480):{'3_1':0.0},(293,479):{'3_1':0.0},(293,478):{'3_1':0.0},(293,477):{'3_1':0.03},(293,476):{'3_1':0.0},(293,475):{'3_1':0.0},(293,474):{'3_1':0.0},(293,473):{'3_1':0.0},(293,472):{'3_1':0.0},(293,471):{'3_1':0.0},(293,470):{'3_1':0.0,'4_1':0.0},(293,469):{'3_1':0.0},(293,468):{'3_1':0.0},(293,467):{'3_1':0.0},(293,466):{'3_1':0.03},(293,465):{'3_1':0.0},(293,464):{'3_1':0.0},(293,463):{'3_1':0.0},(293,462):{'3_1':0.03},(293,461):{'3_1':0.0},(293,460):{'3_1':0.0},(293,458):{'3_1':0.0},(293,456):{'4_1':0.0},(293,455):{'3_1':0.0},(293,454):{'3_1':0.0},(293,451):{'3_1':0.0},(293,449):{'8_20|3_1#3_1':0.0},(293,448):{'3_1':0.0},(293,447):{'3_1':0.0},(293,446):{'3_1':0.0},(293,445):{'3_1':0.0,'4_1':0.0},(293,444):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(293,442):{'3_1':0.0},(293,441):{'4_1':0.0},(293,440):{'3_1':0.0},(293,439):{'3_1':0.0},(293,438):{'3_1':0.03},(293,436):{'3_1':0.0},(293,435):{'3_1':0.0},(293,434):{'3_1':0.0,'8_20|3_1#3_1':0.0},(293,433):{'3_1':0.0},(293,432):{'3_1':0.0},(293,431):{'8_20|3_1#3_1':0.0},(293,430):{'3_1':0.0,'8_20|3_1#3_1':0.0},(293,429):{'3_1':0.0},(293,427):{'3_1':0.0},(293,426):{'3_1':0.0,'8_20|3_1#3_1':0.0},(293,425):{'3_1':0.0,'5_1':0.0},(293,424):{'3_1':0.03},(293,423):{'3_1':0.0},(293,422):{'3_1':0.0},(293,421):{'3_1':0.0},(293,420):{'3_1':0.0,'5_1':0.0},(293,419):{'3_1':0.0,'5_2':0.0},(293,418):{'3_1':0.0},(293,416):{'3_1':0.0,'7_1':0.0},(293,415):{'3_1':0.0},(293,414):{'3_1':0.0},(293,413):{'3_1':0.0,'5_1':0.0},(293,412):{'4_1':0.0,'8_20|3_1#3_1':0.0},(293,411):{'3_1':0.0},(293,410):{'3_1':0.0},(293,409):{'3_1':0.0},(293,407):{'3_1':0.0},(293,406):{'5_1':0.0},(293,404):{'3_1':0.0},(293,403):{'3_1':0.0},(293,401):{'3_1':0.0},(293,400):{'3_1':0.0},(293,397):{'3_1':0.0},(293,396):{'3_1':0.0},(293,392):{'3_1':0.0},(293,391):{'3_1':0.0},(293,389):{'3_1':0.0},(293,388):{'3_1':0.0},(293,386):{'3_1':0.0,'4_1':0.0},(293,385):{'3_1':0.0},(293,383):{'3_1':0.0},(293,376):{'3_1':0.0},(293,375):{'3_1':0.0},(293,374):{'3_1':0.0},(293,373):{'3_1':0.03},(293,372):{'3_1':0.0},(293,371):{'3_1':0.0},(293,370):{'3_1':0.03},(293,368):{'3_1':0.0,'4_1':0.0},(293,366):{'3_1':0.0},(293,365):{'3_1':0.0},(293,364):{'3_1':0.0},(293,362):{'3_1':0.0},(293,361):{'3_1':0.0},(293,360):{'3_1':0.0},(293,359):{'3_1':0.03},(293,358):{'3_1':0.03},(293,357):{'3_1':0.0},(293,356):{'3_1':0.0},(293,355):{'3_1':0.0},(293,354):{'3_1':0.0},(293,353):{'3_1':0.0},(293,350):{'3_1':0.0},(293,349):{'3_1':0.0},(293,348):{'3_1':0.0},(293,346):{'3_1':0.0},(293,343):{'3_1':0.0},(293,342):{'3_1':0.0},(293,338):{'3_1':0.0},(293,335):{'3_1':0.0},(293,334):{'3_1':0.0},(293,333):{'4_1':0.0},(293,332):{'3_1':0.0},(293,330):{'4_1':0.0},(293,329):{'3_1':0.0},(293,328):{'3_1':0.0},(293,327):{'3_1':0.0},(293,323):{'3_1':0.0},(293,318):{'3_1':0.0},(293,298):{'3_1':0.0},(294,727):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_9':0.0},(294,726):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_2':0.0},(294,725):{'3_1':0.18,'5_2':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'8_9':0.0},(294,724):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(294,723):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0},(294,722):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.03,'8_9':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(294,721):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(294,720):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0},(294,719):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'7_4':0.0,'7_5':0.0,'6_1':0.0},(294,718):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'8_9':0.0,'-3':0.0},(294,717):{'3_1':0.06,'4_1':0.06,'6_2':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(294,716):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(294,715):{'3_1':0.09,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_6':0.0,'7_3':0.0,'8_9':0.0,'-3':0.0},(294,714):{'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_2':0.03,'-3':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(294,713):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'8_2':0.0},(294,712):{'3_1':0.09,'6_2':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0,'8_2':0.0},(294,711):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'7_6':0.0,'8_4':0.0},(294,710):{'3_1':0.12,'4_1':0.09,'6_2':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(294,709):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(294,708):{'3_1':0.09,'5_2':0.03,'6_2':0.03,'4_1':0.0,'6_1':0.0,'7_5':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0},(294,707):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'8_9':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0,'8_2':0.0},(294,706):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(294,705):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_2':0.03,'7_4':0.03,'5_1':0.0,'-3':0.0,'6_1':0.0},(294,704):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0,'8_9':0.0},(294,703):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.03,'5_1':0.03,'8_2':0.0,'-3':0.0},(294,702):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0},(294,701):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(294,700):{'3_1':0.15,'5_2':0.12,'6_2':0.03,'4_1':0.03,'7_4':0.0,'7_3':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(294,699):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0,'8_11':0.0},(294,698):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0},(294,697):{'3_1':0.12,'5_2':0.12,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(294,696):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(294,695):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(294,694):{'5_2':0.18,'3_1':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_9':0.0,'8_11':0.0},(294,693):{'3_1':0.15,'5_2':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0,'8_19':0.0},(294,692):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0,'8_11':0.0,'-3':0.0},(294,691):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_2':0.0,'8_9':0.0},(294,690):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'7_4':0.0},(294,689):{'3_1':0.18,'5_2':0.12,'6_2':0.06,'4_1':0.03,'7_3':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(294,688):{'3_1':0.12,'6_2':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(294,687):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.0,'8_2':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0,'-3':0.0},(294,686):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_2':0.0,'5_1':0.0,'8_2':0.0,'6_1':0.0,'7_4':0.0},(294,685):{'3_1':0.15,'5_2':0.15,'4_1':0.09,'6_2':0.0,'8_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0},(294,684):{'3_1':0.15,'5_2':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(294,683):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'6_2':0.06,'6_1':0.0,'7_4':0.0},(294,682):{'3_1':0.12,'5_2':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_9':0.0,'-3':0.0},(294,681):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'-3':0.0,'7_4':0.0},(294,680):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.03,'7_3':0.0,'-3':0.0},(294,679):{'5_2':0.15,'3_1':0.09,'6_2':0.06,'4_1':0.06,'5_1':0.03,'8_9':0.0,'6_1':0.0,'8_4':0.0},(294,678):{'5_2':0.21,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(294,677):{'5_2':0.12,'3_1':0.06,'4_1':0.03,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_3':0.0,'8_9':0.0,'-3':0.0},(294,676):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0},(294,675):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_6':0.0},(294,674):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'6_2':0.03,'7_1':0.0,'5_1':0.0,'7_3':0.0,'8_2':0.0,'8_9':0.0,'9_1':0.0},(294,673):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_9':0.0,'-3':0.0},(294,672):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'-3':0.0},(294,671):{'3_1':0.09,'5_2':0.09,'4_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'6_1':0.0,'8_6':0.0},(294,670):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'8_4':0.0,'8_9':0.0},(294,669):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0},(294,668):{'3_1':0.18,'4_1':0.06,'6_2':0.06,'5_1':0.03,'5_2':0.03,'7_6':0.0,'8_4':0.0},(294,667):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'6_2':0.03,'7_5':0.0},(294,666):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'8_2':0.0},(294,665):{'3_1':0.18,'4_1':0.06,'5_2':0.06,'6_2':0.03,'7_2':0.0,'8_1':0.0},(294,664):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_9':0.0},(294,663):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(294,662):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(294,661):{'3_1':0.18,'5_2':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(294,660):{'4_1':0.09,'3_1':0.06,'6_2':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_7':0.0,'8_3':0.0,'8_9':0.0},(294,659):{'3_1':0.15,'4_1':0.06,'6_2':0.06,'5_2':0.0,'8_3':0.0},(294,658):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0,'8_14':0.0,'-3':0.0},(294,657):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(294,656):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(294,655):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'8_9':0.0,'6_2':0.0,'7_5':0.0},(294,654):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'8_2':0.0,'-3':0.0},(294,653):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0},(294,652):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0,'8_9':0.0},(294,651):{'3_1':0.12,'4_1':0.03,'6_2':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(294,650):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_3':0.0,'8_21|3_1#4_1':0.0},(294,649):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(294,648):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(294,647):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(294,646):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(294,645):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'7_1':0.0},(294,644):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(294,643):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(294,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(294,641):{'3_1':0.24,'4_1':0.0},(294,640):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0},(294,639):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(294,638):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_2':0.0},(294,637):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(294,636):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(294,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(294,634):{'3_1':0.12,'5_1':0.0,'6_2':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(294,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(294,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(294,631):{'3_1':0.18,'4_1':0.0},(294,630):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(294,629):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(294,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(294,627):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(294,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(294,625):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(294,624):{'3_1':0.09,'4_1':0.0},(294,623):{'3_1':0.06,'4_1':0.0},(294,622):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(294,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(294,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(294,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(294,618):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(294,617):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(294,616):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(294,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(294,614):{'3_1':0.09,'4_1':0.0},(294,613):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(294,612):{'3_1':0.0,'4_1':0.0},(294,611):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(294,610):{'3_1':0.09,'4_1':0.0},(294,609):{'3_1':0.09,'4_1':0.0},(294,608):{'3_1':0.03},(294,607):{'3_1':0.06,'4_1':0.0},(294,606):{'3_1':0.06,'4_1':0.0},(294,605):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(294,604):{'3_1':0.06,'6_2':0.0},(294,603):{'3_1':0.03,'4_1':0.0},(294,602):{'3_1':0.03,'4_1':0.0},(294,601):{'3_1':0.03,'4_1':0.0},(294,600):{'3_1':0.0},(294,599):{'3_1':0.03,'4_1':0.0},(294,598):{'3_1':0.03},(294,597):{'3_1':0.06},(294,596):{'3_1':0.03,'4_1':0.0},(294,595):{'3_1':0.03,'4_1':0.0},(294,594):{'3_1':0.03},(294,593):{'3_1':0.06},(294,592):{'3_1':0.03,'4_1':0.0},(294,591):{'3_1':0.0},(294,590):{'3_1':0.03,'6_2':0.0},(294,589):{'3_1':0.03,'4_1':0.0},(294,588):{'3_1':0.03},(294,587):{'3_1':0.03},(294,586):{'3_1':0.06},(294,585):{'3_1':0.03},(294,584):{'3_1':0.06},(294,583):{'3_1':0.03,'4_1':0.0},(294,582):{'3_1':0.0},(294,581):{'3_1':0.03,'4_1':0.0},(294,580):{'3_1':0.03},(294,579):{'3_1':0.0,'4_1':0.0},(294,578):{'3_1':0.0,'5_2':0.0},(294,577):{'3_1':0.09},(294,576):{'3_1':0.06},(294,575):{'3_1':0.06,'4_1':0.0},(294,574):{'3_1':0.0},(294,573):{'3_1':0.0,'4_1':0.0},(294,572):{'3_1':0.0,'4_1':0.0},(294,571):{'3_1':0.06},(294,570):{'3_1':0.0},(294,569):{'4_1':0.0},(294,568):{'3_1':0.0},(294,567):{'3_1':0.0,'4_1':0.0},(294,566):{'3_1':0.0,'6_1':0.0},(294,565):{'3_1':0.0},(294,564):{'3_1':0.03},(294,563):{'3_1':0.0},(294,562):{'3_1':0.0},(294,561):{'3_1':0.0},(294,560):{'3_1':0.03},(294,559):{'3_1':0.03},(294,558):{'3_1':0.03,'4_1':0.0},(294,557):{'3_1':0.03,'4_1':0.0},(294,556):{'3_1':0.0},(294,555):{'3_1':0.03,'6_1':0.0},(294,554):{'3_1':0.0},(294,553):{'3_1':0.0},(294,552):{'3_1':0.03},(294,551):{'3_1':0.03},(294,550):{'3_1':0.0},(294,549):{'3_1':0.0},(294,548):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(294,547):{'3_1':0.06,'8_20|3_1#3_1':0.0},(294,546):{'3_1':0.0},(294,545):{'3_1':0.0},(294,544):{'3_1':0.0,'4_1':0.0},(294,543):{'3_1':0.0},(294,542):{'3_1':0.0},(294,541):{'3_1':0.03,'6_1':0.0},(294,540):{'3_1':0.06},(294,539):{'3_1':0.0},(294,538):{'3_1':0.0,'4_1':0.0},(294,537):{'3_1':0.0},(294,536):{'3_1':0.03},(294,535):{'3_1':0.06},(294,534):{'3_1':0.0},(294,533):{'3_1':0.0},(294,532):{'3_1':0.06},(294,531):{'3_1':0.0,'4_1':0.0},(294,530):{'3_1':0.0},(294,529):{'3_1':0.03,'4_1':0.0},(294,528):{'3_1':0.0,'4_1':0.0},(294,527):{'3_1':0.0,'5_2':0.0},(294,526):{'3_1':0.0,'5_2':0.0},(294,525):{'3_1':0.0,'5_2':0.0},(294,524):{'3_1':0.03,'4_1':0.0},(294,523):{'3_1':0.03,'4_1':0.0},(294,522):{'3_1':0.0},(294,521):{'3_1':0.0},(294,520):{'3_1':0.0,'4_1':0.0},(294,519):{'3_1':0.0},(294,518):{'3_1':0.0},(294,517):{'3_1':0.0},(294,516):{'3_1':0.03,'4_1':0.0},(294,515):{'3_1':0.03},(294,514):{'3_1':0.03},(294,513):{'3_1':0.03},(294,512):{'3_1':0.03,'8_20|3_1#3_1':0.0},(294,511):{'3_1':0.0},(294,510):{'3_1':0.0,'4_1':0.0},(294,509):{'3_1':0.03},(294,508):{'3_1':0.03,'5_2':0.0},(294,507):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(294,506):{'3_1':0.0,'4_1':0.0},(294,505):{'3_1':0.03},(294,504):{'3_1':0.0},(294,503):{'3_1':0.0},(294,502):{'3_1':0.0},(294,501):{'3_1':0.03},(294,500):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(294,499):{'3_1':0.0},(294,498):{'3_1':0.0},(294,497):{'3_1':0.0},(294,496):{'3_1':0.0,'4_1':0.0},(294,495):{'3_1':0.03,'4_1':0.0},(294,494):{'3_1':0.03},(294,493):{'3_1':0.03},(294,492):{'3_1':0.0},(294,491):{'3_1':0.0},(294,490):{'3_1':0.0},(294,489):{'3_1':0.06},(294,488):{'3_1':0.06,'5_1':0.0},(294,487):{'3_1':0.0},(294,486):{'3_1':0.03},(294,485):{'3_1':0.0},(294,484):{'3_1':0.03,'5_1':0.0},(294,483):{'3_1':0.0},(294,482):{'3_1':0.06},(294,481):{'3_1':0.06,'4_1':0.0},(294,480):{'3_1':0.03},(294,479):{'3_1':0.06},(294,478):{'3_1':0.0,'5_2':0.0},(294,477):{'3_1':0.0},(294,476):{'3_1':0.03},(294,475):{'3_1':0.0},(294,474):{'3_1':0.03,'8_20|3_1#3_1':0.0},(294,473):{'3_1':0.0},(294,472):{'3_1':0.0},(294,471):{'3_1':0.0,'8_20|3_1#3_1':0.0},(294,469):{'3_1':0.03,'5_2':0.0},(294,468):{'3_1':0.0},(294,467):{'3_1':0.0},(294,466):{'3_1':0.0},(294,465):{'3_1':0.0},(294,464):{'3_1':0.0},(294,463):{'3_1':0.03},(294,462):{'3_1':0.0,'8_21|3_1#4_1':0.0},(294,461):{'3_1':0.0},(294,460):{'3_1':0.0},(294,459):{'3_1':0.0},(294,458):{'3_1':0.0},(294,457):{'3_1':0.0},(294,456):{'3_1':0.03,'4_1':0.0},(294,455):{'3_1':0.0},(294,454):{'3_1':0.0,'8_20|3_1#3_1':0.0},(294,453):{'3_1':0.0},(294,451):{'3_1':0.0},(294,450):{'3_1':0.0},(294,448):{'3_1':0.0},(294,446):{'3_1':0.0},(294,445):{'3_1':0.0},(294,444):{'3_1':0.0},(294,441):{'3_1':0.0},(294,440):{'3_1':0.0,'5_2':0.0},(294,439):{'3_1':0.0},(294,438):{'3_1':0.0},(294,437):{'3_1':0.0},(294,436):{'3_1':0.0},(294,435):{'3_1':0.0},(294,434):{'3_1':0.03},(294,433):{'3_1':0.0},(294,431):{'3_1':0.0},(294,430):{'3_1':0.03},(294,429):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(294,428):{'3_1':0.0},(294,427):{'3_1':0.0},(294,424):{'3_1':0.0},(294,423):{'5_1':0.0},(294,421):{'3_1':0.0},(294,420):{'3_1':0.0,'5_1':0.0},(294,419):{'3_1':0.0},(294,418):{'3_1':0.06,'5_1':0.0},(294,416):{'3_1':0.0},(294,415):{'4_1':0.0},(294,414):{'3_1':0.0},(294,413):{'3_1':0.0},(294,412):{'3_1':0.0},(294,411):{'3_1':0.0,'4_1':0.0},(294,410):{'3_1':0.0},(294,408):{'3_1':0.0},(294,407):{'3_1':0.0},(294,403):{'3_1':0.0},(294,400):{'3_1':0.0},(294,397):{'3_1':0.0},(294,396):{'3_1':0.0},(294,395):{'3_1':0.0},(294,394):{'3_1':0.0},(294,393):{'3_1':0.0},(294,392):{'3_1':0.0},(294,390):{'3_1':0.0},(294,389):{'3_1':0.0},(294,388):{'3_1':0.0},(294,387):{'3_1':0.0},(294,384):{'3_1':0.0},(294,383):{'3_1':0.0},(294,381):{'3_1':0.0},(294,380):{'3_1':0.0},(294,379):{'3_1':0.0},(294,378):{'3_1':0.0},(294,376):{'4_1':0.0},(294,375):{'3_1':0.0},(294,374):{'3_1':0.0},(294,373):{'3_1':0.0},(294,372):{'3_1':0.0},(294,371):{'3_1':0.0},(294,370):{'3_1':0.0},(294,369):{'3_1':0.0},(294,368):{'3_1':0.0},(294,367):{'3_1':0.0},(294,366):{'3_1':0.0},(294,365):{'3_1':0.0},(294,364):{'3_1':0.0},(294,363):{'3_1':0.0},(294,362):{'3_1':0.0},(294,361):{'3_1':0.0},(294,360):{'3_1':0.03},(294,359):{'3_1':0.0},(294,358):{'3_1':0.0},(294,357):{'3_1':0.0},(294,356):{'3_1':0.03,'5_1':0.0},(294,355):{'3_1':0.03,'4_1':0.0},(294,354):{'3_1':0.0},(294,353):{'3_1':0.0},(294,352):{'3_1':0.0,'6_2':0.0},(294,351):{'3_1':0.0},(294,350):{'3_1':0.03,'4_1':0.0},(294,349):{'3_1':0.03},(294,348):{'3_1':0.0},(294,347):{'3_1':0.0},(294,345):{'3_1':0.0},(294,344):{'3_1':0.0},(294,343):{'3_1':0.0,'5_1':0.0},(294,341):{'3_1':0.0},(294,338):{'3_1':0.0},(294,337):{'3_1':0.0},(294,336):{'3_1':0.0},(294,334):{'3_1':0.0},(294,330):{'3_1':0.0},(294,329):{'3_1':0.0},(294,328):{'3_1':0.03},(294,327):{'3_1':0.0},(294,326):{'3_1':0.0,'6_1':0.0},(294,325):{'3_1':0.0},(294,324):{'3_1':0.0},(294,321):{'3_1':0.0},(294,320):{'3_1':0.0},(295,727):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(295,726):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_2':0.03,'5_1':0.0,'-3':0.0},(295,725):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_6':0.0},(295,724):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0,'1':-0.03},(295,723):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_6':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0},(295,722):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_2':0.0},(295,721):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_2':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'8_2':0.0},(295,720):{'4_1':0.12,'3_1':0.06,'6_2':0.06,'5_2':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_14':0.0,'-3':0.0},(295,719):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0},(295,718):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.03,'8_9':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(295,717):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0},(295,716):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'-3':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(295,715):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'-3':0.0,'7_1':0.0,'7_6':0.0,'8_2':0.0,'8_9':0.0},(295,714):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_9':0.0,'-3':0.0},(295,713):{'5_2':0.12,'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_1':0.0,'8_9':0.0},(295,712):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(295,711):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(295,710):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'-3':0.0},(295,709):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(295,708):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(295,707):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_2':0.03,'7_4':0.0,'5_1':0.0,'-3':0.0,'7_3':0.0,'8_2':0.0},(295,706):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(295,705):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(295,704):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(295,703):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(295,702):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_9':0.0},(295,701):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'8_2':0.0,'-3':0.0},(295,700):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'-3':0.0},(295,699):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(295,698):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'-3':0.0},(295,697):{'3_1':0.15,'5_2':0.12,'4_1':0.06,'7_4':0.06,'6_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0,'8_4':0.0,'-3':0.0},(295,696):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_4':0.0},(295,695):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0},(295,694):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'7_4':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(295,693):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0,'8_9':0.0},(295,692):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.03,'7_4':0.0,'7_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'8_4':0.0},(295,691):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_2':0.0,'8_2':0.0},(295,690):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'6_2':0.03,'5_1':0.0,'7_4':0.0,'7_3':0.0,'9_1':0.0},(295,689):{'3_1':0.12,'4_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_1':0.0,'8_1':0.0,'8_2':0.0,'8_11':0.0},(295,688):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_4':0.0,'8_9':0.0},(295,687):{'5_2':0.09,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(295,686):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'7_4':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0},(295,685):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'8_3':0.0,'8_4':0.0},(295,684):{'3_1':0.12,'4_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'8_9':0.0},(295,683):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(295,682):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(295,681):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(295,680):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_2':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_2':0.0,'8_4':0.0},(295,679):{'5_2':0.15,'4_1':0.15,'3_1':0.09,'5_1':0.03,'6_2':0.0,'-3':0.0,'8_3':0.0},(295,678):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_9':0.0,'1':-0.03},(295,677):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(295,676):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.03,'6_2':0.0,'6_1':0.0,'8_3':0.0},(295,675):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(295,674):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_9':0.0,'6_3':0.0,'7_1':0.0,'7_2':0.0,'7_5':0.0,'9_1':0.0},(295,673):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.0,'7_1':0.0},(295,672):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0},(295,671):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(295,670):{'3_1':0.15,'4_1':0.09,'6_2':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_4':0.0},(295,669):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(295,668):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_5':0.0,'8_9':0.0,'-3':0.0},(295,667):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0},(295,666):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.0},(295,665):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'8_5':0.0,'8_9':0.0},(295,664):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.03,'6_2':0.0,'8_4':0.0},(295,663):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(295,662):{'3_1':0.12,'4_1':0.12,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0,'8_9':0.0},(295,661):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'8_9':0.0},(295,660):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'9_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(295,659):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0},(295,658):{'3_1':0.15,'4_1':0.12,'6_2':0.06,'5_2':0.0,'6_1':0.0,'8_4':0.0},(295,657):{'3_1':0.18,'4_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0},(295,656):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_4':0.0},(295,655):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_5':0.0},(295,654):{'3_1':0.21,'4_1':0.06,'6_2':0.03,'5_1':0.03,'5_2':0.0},(295,653):{'3_1':0.21,'4_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0},(295,652):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(295,651):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0},(295,650):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_9':0.0,'8_20|3_1#3_1':0.0},(295,649):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'8_9':0.0},(295,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(295,647):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_5':0.0},(295,646):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(295,645):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(295,644):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(295,643):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(295,642):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(295,641):{'3_1':0.24,'4_1':0.06},(295,640):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(295,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(295,638):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(295,637):{'3_1':0.12,'4_1':0.0,'8_21|3_1#4_1':0.0},(295,636):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(295,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(295,634):{'3_1':0.18,'6_2':0.0,'4_1':0.0},(295,633):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(295,632):{'3_1':0.09},(295,631):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(295,630):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(295,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(295,628):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(295,627):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(295,626):{'3_1':0.18,'4_1':0.0},(295,625):{'3_1':0.09,'5_1':0.0},(295,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(295,623):{'3_1':0.12,'4_1':0.0},(295,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(295,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(295,620):{'3_1':0.09,'4_1':0.0},(295,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(295,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(295,617):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(295,616):{'3_1':0.09,'4_1':0.03},(295,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(295,614):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(295,613):{'3_1':0.09,'5_1':0.0},(295,612):{'3_1':0.06,'4_1':0.0},(295,611):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(295,610):{'3_1':0.06,'4_1':0.0},(295,609):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(295,608):{'3_1':0.03,'4_1':0.0},(295,607):{'3_1':0.06,'4_1':0.0},(295,606):{'3_1':0.06,'4_1':0.0},(295,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(295,604):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(295,603):{'3_1':0.03,'4_1':0.0},(295,602):{'3_1':0.03},(295,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(295,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(295,599):{'3_1':0.03,'5_2':0.0},(295,598):{'3_1':0.03,'6_2':0.0},(295,597):{'3_1':0.03,'4_1':0.0},(295,596):{'3_1':0.03},(295,595):{'3_1':0.03,'4_1':0.0},(295,594):{'3_1':0.03,'5_1':0.0},(295,593):{'3_1':0.03,'5_2':0.0},(295,592):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(295,591):{'3_1':0.03,'4_1':0.0},(295,590):{'3_1':0.03},(295,589):{'3_1':0.03},(295,588):{'3_1':0.03},(295,587):{'3_1':0.03,'4_1':0.0},(295,586):{'3_1':0.0},(295,585):{'3_1':0.03},(295,584):{'3_1':0.03},(295,583):{'3_1':0.03},(295,582):{'3_1':0.0},(295,581):{'3_1':0.06},(295,580):{'3_1':0.03,'5_1':0.0},(295,579):{'3_1':0.0},(295,578):{'3_1':0.0},(295,577):{'3_1':0.03,'4_1':0.0},(295,576):{'3_1':0.0,'4_1':0.0},(295,575):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(295,574):{'3_1':0.0},(295,573):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(295,572):{'3_1':0.0},(295,571):{'3_1':0.0},(295,570):{'3_1':0.03,'4_1':0.0},(295,569):{'3_1':0.0},(295,568):{'3_1':0.03},(295,567):{'3_1':0.06,'4_1':0.0},(295,566):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(295,565):{'3_1':0.06},(295,564):{'3_1':0.0},(295,563):{'3_1':0.03},(295,562):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(295,561):{'3_1':0.03,'4_1':0.0},(295,560):{'3_1':0.03},(295,559):{'3_1':0.0},(295,558):{'5_2':0.0},(295,557):{'3_1':0.03},(295,556):{'3_1':0.0,'6_2':0.0},(295,555):{'3_1':0.03,'5_1':0.0},(295,554):{'3_1':0.03},(295,553):{'3_1':0.0,'4_1':0.0},(295,552):{'3_1':0.03,'5_2':0.0},(295,551):{'3_1':0.0},(295,550):{'3_1':0.03,'5_2':0.0},(295,549):{'3_1':0.0},(295,548):{'3_1':0.0},(295,547):{'3_1':0.0},(295,546):{'3_1':0.06},(295,545):{'3_1':0.03},(295,544):{'3_1':0.03},(295,543):{'3_1':0.03,'4_1':0.0},(295,542):{'3_1':0.03,'4_1':0.0},(295,541):{'3_1':0.06},(295,540):{'3_1':0.03,'5_2':0.0},(295,539):{'3_1':0.03},(295,538):{'3_1':0.0,'4_1':0.0},(295,537):{'3_1':0.0},(295,536):{'3_1':0.06,'4_1':0.0},(295,535):{'3_1':0.0},(295,534):{'3_1':0.03},(295,533):{'3_1':0.03},(295,532):{'3_1':0.03},(295,531):{'3_1':0.03,'5_1':0.0},(295,530):{'3_1':0.0},(295,529):{'3_1':0.0},(295,528):{'3_1':0.03},(295,527):{'3_1':0.03},(295,526):{'3_1':0.06,'4_1':0.0},(295,525):{'3_1':0.03},(295,524):{'3_1':0.03},(295,523):{'3_1':0.03},(295,522):{'3_1':0.0,'6_3':0.0},(295,521):{'3_1':0.03,'4_1':0.0},(295,520):{'3_1':0.0,'4_1':0.0},(295,519):{'3_1':0.0},(295,518):{'3_1':0.03},(295,517):{'3_1':0.0,'4_1':0.0},(295,516):{'3_1':0.0,'7_2':0.0},(295,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(295,514):{'3_1':0.0},(295,513):{'3_1':0.0},(295,512):{'3_1':0.03},(295,511):{'3_1':0.0,'5_1':0.0},(295,510):{'3_1':0.0,'4_1':0.0},(295,509):{'3_1':0.03},(295,508):{'3_1':0.0},(295,507):{'3_1':0.0},(295,506):{'3_1':0.03},(295,505):{'3_1':0.06,'5_1':0.0},(295,504):{'3_1':0.03},(295,503):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(295,502):{'3_1':0.03},(295,501):{'3_1':0.03},(295,500):{'3_1':0.03,'4_1':0.0},(295,499):{'3_1':0.0},(295,498):{'3_1':0.0,'4_1':0.0},(295,497):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(295,496):{'3_1':0.0},(295,495):{'3_1':0.0,'6_2':0.0},(295,494):{'3_1':0.03},(295,493):{'3_1':0.0},(295,492):{'3_1':0.03},(295,491):{'3_1':0.0,'5_1':0.0},(295,490):{'3_1':0.03,'5_1':0.0},(295,489):{'3_1':0.0,'5_1':0.0},(295,488):{'3_1':0.03},(295,487):{'3_1':0.03},(295,486):{'3_1':0.0},(295,485):{'3_1':0.0},(295,484):{'3_1':0.06},(295,483):{'3_1':0.0,'8_20|3_1#3_1':0.0},(295,482):{'3_1':0.03},(295,481):{'3_1':0.03},(295,480):{'3_1':0.03},(295,479):{'3_1':0.0},(295,478):{'3_1':0.03},(295,477):{'3_1':0.0},(295,476):{'3_1':0.0,'8_20|3_1#3_1':0.0},(295,475):{'3_1':0.0},(295,474):{'3_1':0.0},(295,473):{'3_1':0.03},(295,472):{'3_1':0.0},(295,471):{'3_1':0.0,'5_2':0.0},(295,470):{'3_1':0.0},(295,469):{'6_1':0.0},(295,467):{'3_1':0.0},(295,466):{'3_1':0.0},(295,465):{'3_1':0.0},(295,464):{'3_1':0.03},(295,463):{'3_1':0.0},(295,461):{'3_1':0.0},(295,459):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(295,458):{'3_1':0.0},(295,457):{'3_1':0.0},(295,456):{'3_1':0.0,'4_1':0.0},(295,455):{'3_1':0.0},(295,454):{'3_1':0.0},(295,453):{'3_1':0.0},(295,451):{'3_1':0.0},(295,450):{'3_1':0.0},(295,449):{'3_1':0.0},(295,448):{'3_1':0.0,'4_1':0.0},(295,447):{'3_1':0.0},(295,446):{'3_1':0.0},(295,445):{'3_1':0.0},(295,444):{'3_1':0.03},(295,442):{'3_1':0.0},(295,441):{'3_1':0.0},(295,440):{'3_1':0.0,'4_1':0.0},(295,439):{'3_1':0.0},(295,438):{'3_1':0.03},(295,437):{'3_1':0.0},(295,436):{'3_1':0.0},(295,435):{'3_1':0.0},(295,434):{'3_1':0.0,'5_2':0.0},(295,433):{'3_1':0.0},(295,432):{'3_1':0.03},(295,431):{'3_1':0.03,'4_1':0.0},(295,430):{'3_1':0.0},(295,429):{'3_1':0.03},(295,427):{'3_1':0.0},(295,426):{'3_1':0.0},(295,424):{'3_1':0.0},(295,423):{'3_1':0.0},(295,422):{'3_1':0.0},(295,420):{'3_1':0.03},(295,419):{'3_1':0.0},(295,418):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(295,417):{'3_1':0.0},(295,416):{'3_1':0.0,'5_1':0.0},(295,415):{'5_1':0.0},(295,412):{'7_1':0.0},(295,411):{'6_1':0.0},(295,401):{'3_1':0.0},(295,398):{'3_1':0.0},(295,395):{'3_1':0.0},(295,393):{'3_1':0.0},(295,388):{'3_1':0.0},(295,387):{'3_1':0.0},(295,384):{'3_1':0.0},(295,383):{'3_1':0.0},(295,381):{'3_1':0.0},(295,379):{'3_1':0.0},(295,378):{'3_1':0.0},(295,376):{'3_1':0.0},(295,375):{'3_1':0.0},(295,374):{'3_1':0.0},(295,373):{'3_1':0.0},(295,372):{'3_1':0.0},(295,370):{'3_1':0.03},(295,369):{'3_1':0.0},(295,368):{'3_1':0.0},(295,367):{'3_1':0.0},(295,366):{'3_1':0.0},(295,364):{'3_1':0.03},(295,363):{'3_1':0.0,'4_1':0.0},(295,362):{'3_1':0.0},(295,359):{'3_1':0.03},(295,356):{'3_1':0.0,'5_1':0.0},(295,354):{'3_1':0.0},(295,353):{'3_1':0.0},(295,351):{'3_1':0.0},(295,350):{'3_1':0.0},(295,348):{'3_1':0.0},(295,346):{'3_1':0.0},(295,343):{'3_1':0.0},(295,342):{'3_1':0.0},(295,340):{'3_1':0.0},(295,331):{'3_1':0.0,'6_1':0.0},(295,329):{'3_1':0.0},(295,328):{'3_1':0.0},(295,327):{'3_1':0.0},(295,324):{'3_1':0.0},(295,322):{'3_1':0.0},(295,320):{'3_1':0.0},(295,319):{'3_1':0.0},(295,304):{'3_1':0.0},(296,727):{'3_1':0.06,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'6_1':0.0,'8_9':0.0},(296,726):{'4_1':0.12,'6_2':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0},(296,725):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0},(296,724):{'3_1':0.09,'6_2':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(296,723):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(296,722):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.03,'5_1':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(296,721):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_7':0.0,'-3':0.0},(296,720):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(296,719):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(296,718):{'5_2':0.09,'4_1':0.03,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_4':0.0,'8_6':0.0},(296,717):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(296,716):{'3_1':0.09,'6_2':0.06,'4_1':0.03,'5_2':0.03,'7_4':0.0,'6_3':0.0,'8_4':0.0},(296,715):{'4_1':0.09,'3_1':0.09,'6_2':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(296,714):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'8_4':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0,'8_9':0.0},(296,713):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0,'8_11':0.0},(296,712):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(296,711):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0,'8_9':0.0,'8_11':0.0},(296,710):{'5_2':0.09,'3_1':0.09,'6_2':0.06,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(296,709):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.03,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(296,708):{'3_1':0.12,'5_2':0.06,'6_2':0.06,'4_1':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(296,707):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.03,'8_4':0.0,'5_1':0.0,'8_9':0.0,'6_1':0.0},(296,706):{'3_1':0.09,'5_2':0.09,'4_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_9':0.0,'8_4':0.0},(296,705):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(296,704):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'8_9':0.0,'7_3':0.0,'7_4':0.0},(296,703):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'8_9':0.0},(296,702):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0},(296,701):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'7_4':0.0,'8_9':0.0,'8_4':0.0},(296,700):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0,'-3':0.0},(296,699):{'3_1':0.18,'5_2':0.09,'6_2':0.06,'4_1':0.03,'5_1':0.03,'7_4':0.0,'7_3':0.0,'6_1':0.0,'8_4':0.0,'8_9':0.0,'8_11':0.0,'-3':0.0},(296,698):{'5_2':0.18,'4_1':0.06,'6_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'8_7':0.0},(296,697):{'5_2':0.09,'4_1':0.09,'6_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0},(296,696):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'6_2':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_11':0.0},(296,695):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.03,'8_9':0.03,'6_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(296,694):{'5_2':0.12,'3_1':0.12,'6_2':0.06,'4_1':0.03,'7_4':0.0,'-3':0.0,'6_1':0.0,'8_9':0.0,'7_3':0.0,'8_4':0.0},(296,693):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.06,'6_1':0.03,'5_1':0.0,'8_11':0.0,'7_4':0.0,'8_4':0.0},(296,692):{'5_2':0.09,'3_1':0.09,'6_2':0.06,'4_1':0.06,'5_1':0.03,'7_3':0.0,'6_1':0.0,'8_9':0.0},(296,691):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(296,690):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.06,'6_2':0.06,'7_3':0.0,'7_4':0.0,'5_1':0.0,'8_9':0.0,'-3':0.0},(296,689):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(296,688):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'6_2':0.06,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(296,687):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'8_11':0.0},(296,686):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.06,'5_1':0.0,'6_1':0.0,'8_4':0.0,'8_9':0.0},(296,685):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_9':0.0},(296,684):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_2':0.06,'5_1':0.03,'-3':0.0,'6_1':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0,'8_13':0.0},(296,683):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'6_2':0.03,'5_1':0.03,'8_4':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(296,682):{'5_2':0.12,'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_2':0.03,'6_1':0.0,'6_3':0.0,'8_2':0.0},(296,681):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0,'7_4':0.0,'6_1':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(296,680):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(296,679):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.06,'8_2':0.0,'7_1':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0,'-3':0.0},(296,678):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_6':0.0,'-3':0.0},(296,677):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'6_2':0.03,'6_1':0.0,'8_4':0.0},(296,676):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_1':0.03,'6_2':0.0,'8_9':0.0},(296,675):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0},(296,674):{'4_1':0.12,'5_2':0.06,'6_2':0.06,'3_1':0.06,'5_1':0.03,'6_1':0.0,'7_1':0.0,'7_5':0.0},(296,673):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.03,'6_1':0.0,'7_5':0.0,'8_4':0.0,'-3':0.0},(296,672):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.06,'5_1':0.03,'7_4':0.0,'8_4':0.0,'8_9':0.0},(296,671):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_9':0.0},(296,670):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.06,'5_1':0.0,'-3':0.0,'7_3':0.0,'7_5':0.0,'8_4':0.0},(296,669):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_2':0.06,'6_1':0.0,'8_4':0.0},(296,668):{'5_2':0.09,'3_1':0.09,'6_2':0.06,'4_1':0.03,'6_1':0.0,'8_4':0.0},(296,667):{'5_2':0.15,'3_1':0.09,'6_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(296,666):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.06,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(296,665):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_2':0.0,'-3':0.0,'6_1':0.0},(296,664):{'3_1':0.18,'4_1':0.06,'6_2':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0},(296,663):{'5_2':0.06,'3_1':0.06,'4_1':0.06,'6_2':0.06,'6_1':0.0,'5_1':0.0,'7_6':0.0},(296,662):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0,'7_6':0.0,'8_4':0.0},(296,661):{'3_1':0.18,'6_2':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(296,660):{'3_1':0.18,'4_1':0.06,'6_2':0.03,'5_2':0.03,'8_4':0.0,'6_1':0.0},(296,659):{'3_1':0.12,'4_1':0.09,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(296,658):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0},(296,657):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(296,656):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(296,655):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(296,654):{'3_1':0.18,'6_2':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0,'8_11':0.0},(296,653):{'3_1':0.21,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_11':0.0},(296,652):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0},(296,651):{'3_1':0.18,'4_1':0.06,'6_2':0.0,'5_2':0.0,'8_9':0.0},(296,650):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'8_1':0.0},(296,649):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0,'8_9':0.0},(296,648):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(296,647):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(296,646):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'8_1':0.0},(296,645):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(296,644):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(296,643):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(296,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_14':0.0},(296,641):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(296,640):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'6_1':0.0},(296,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(296,638):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(296,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(296,636):{'3_1':0.12,'8_20|3_1#3_1':0.0},(296,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(296,634):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(296,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(296,632):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(296,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(296,630):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(296,629):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0,'5_1':0.0},(296,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(296,627):{'3_1':0.15,'4_1':0.0},(296,626):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(296,625):{'3_1':0.09,'4_1':0.0},(296,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(296,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(296,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(296,621):{'3_1':0.15,'5_1':0.0},(296,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(296,619):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(296,618):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(296,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(296,616):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(296,615):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0,'5_2':0.0},(296,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(296,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(296,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(296,611):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(296,610):{'3_1':0.03,'4_1':0.0},(296,609):{'3_1':0.03,'4_1':0.0},(296,608):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(296,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(296,606):{'3_1':0.06,'4_1':0.03},(296,605):{'3_1':0.03,'4_1':0.03},(296,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(296,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(296,602):{'3_1':0.03,'4_1':0.0},(296,601):{'3_1':0.0,'4_1':0.0},(296,600):{'3_1':0.03},(296,599):{'3_1':0.0},(296,598):{'3_1':0.0},(296,597):{'3_1':0.0},(296,596):{'3_1':0.0},(296,595):{'3_1':0.0},(296,594):{'3_1':0.03,'4_1':0.0},(296,593):{'3_1':0.0,'4_1':0.0},(296,592):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(296,591):{'3_1':0.06,'4_1':0.0},(296,590):{'3_1':0.06,'6_2':0.0},(296,589):{'3_1':0.03},(296,588):{'3_1':0.09},(296,587):{'3_1':0.06},(296,586):{'3_1':0.03},(296,585):{'3_1':0.06,'4_1':0.0},(296,584):{'3_1':0.03,'4_1':0.0},(296,583):{'5_2':0.0,'6_1':0.0},(296,582):{'3_1':0.03,'6_2':0.0},(296,581):{'3_1':0.03},(296,580):{'3_1':0.0,'4_1':0.0},(296,579):{'3_1':0.03},(296,578):{'3_1':0.03,'4_1':0.0},(296,577):{'3_1':0.0},(296,576):{'3_1':0.0,'4_1':0.0},(296,575):{'3_1':0.0},(296,574):{'3_1':0.03,'4_1':0.0},(296,573):{'3_1':0.03,'5_2':0.0},(296,572):{'3_1':0.0,'4_1':0.0},(296,571):{'3_1':0.03},(296,570):{'3_1':0.0},(296,569):{'3_1':0.03},(296,568):{'3_1':0.0},(296,567):{'3_1':0.03,'4_1':0.0},(296,566):{'3_1':0.03},(296,565):{'3_1':0.0},(296,564):{'3_1':0.0},(296,563):{'3_1':0.06,'4_1':0.0},(296,562):{'3_1':0.03,'6_2':0.0},(296,561):{'3_1':0.03},(296,560):{'3_1':0.0},(296,559):{'3_1':0.0},(296,558):{'3_1':0.0,'5_1':0.0},(296,557):{'6_1':0.0},(296,556):{'3_1':0.06},(296,555):{'4_1':0.0},(296,554):{'3_1':0.0},(296,553):{'3_1':0.03,'4_1':0.0},(296,552):{'3_1':0.03},(296,551):{'3_1':0.0,'5_1':0.0},(296,550):{'3_1':0.03},(296,549):{'3_1':0.03},(296,548):{'3_1':0.0,'4_1':0.0},(296,547):{'3_1':0.0,'4_1':0.0},(296,546):{'3_1':0.0,'4_1':0.0},(296,545):{'3_1':0.0},(296,544):{'3_1':0.0,'4_1':0.0},(296,543):{'3_1':0.0,'5_1':0.0},(296,542):{'3_1':0.0},(296,541):{'3_1':0.0},(296,540):{'3_1':0.03},(296,539):{'3_1':0.03},(296,538):{'3_1':0.03},(296,537):{'3_1':0.0},(296,536):{'3_1':0.03},(296,535):{'3_1':0.03,'5_2':0.0},(296,534):{'3_1':0.03},(296,533):{'3_1':0.0},(296,532):{'3_1':0.0},(296,531):{'3_1':0.03},(296,530):{'3_1':0.0},(296,529):{'3_1':0.0,'5_2':0.0},(296,528):{'3_1':0.03},(296,527):{'3_1':0.0,'5_2':0.0},(296,526):{'3_1':0.0},(296,525):{'3_1':0.0,'4_1':0.0},(296,524):{'3_1':0.0},(296,523):{'3_1':0.0,'4_1':0.0},(296,522):{'3_1':0.0,'4_1':0.0},(296,521):{'3_1':0.0},(296,520):{'3_1':0.0,'4_1':0.0},(296,519):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(296,518):{'3_1':0.0,'4_1':0.0},(296,517):{'3_1':0.0,'4_1':0.0},(296,516):{'3_1':0.0},(296,515):{'3_1':0.03},(296,514):{'3_1':0.0},(296,513):{'3_1':0.0,'7_2':0.0},(296,512):{'3_1':0.0,'4_1':0.0},(296,511):{'3_1':0.03},(296,510):{'3_1':0.0},(296,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(296,508):{'3_1':0.0,'4_1':0.0},(296,507):{'3_1':0.0},(296,506):{'3_1':0.03},(296,505):{'3_1':0.03},(296,504):{'3_1':0.0},(296,503):{'3_1':0.03,'4_1':0.0},(296,502):{'3_1':0.03},(296,501):{'3_1':0.03},(296,500):{'3_1':0.0},(296,499):{'3_1':0.03,'4_1':0.0},(296,498):{'3_1':0.0},(296,497):{'3_1':0.0},(296,496):{'3_1':0.03},(296,495):{'3_1':0.0},(296,494):{'3_1':0.0},(296,493):{'3_1':0.0},(296,492):{'3_1':0.03},(296,491):{'3_1':0.03,'4_1':0.0},(296,490):{'3_1':0.0,'4_1':0.0},(296,489):{'3_1':0.03,'5_1':0.0},(296,488):{'3_1':0.03},(296,487):{'3_1':0.0},(296,486):{'3_1':0.0},(296,485):{'3_1':0.12,'4_1':0.0},(296,484):{'3_1':0.03},(296,483):{'3_1':0.03},(296,482):{'3_1':0.03,'5_2':0.0},(296,481):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(296,480):{'3_1':0.03},(296,479):{'3_1':0.03},(296,478):{'3_1':0.0},(296,477):{'3_1':0.0},(296,476):{'3_1':0.03},(296,475):{'3_1':0.03},(296,474):{'3_1':0.0},(296,473):{'3_1':0.0},(296,472):{'3_1':0.03},(296,471):{'3_1':0.0},(296,470):{'3_1':0.0},(296,468):{'3_1':0.0},(296,467):{'3_1':0.0},(296,466):{'3_1':0.0},(296,465):{'3_1':0.0},(296,464):{'3_1':0.0},(296,463):{'3_1':0.0},(296,462):{'3_1':0.0},(296,461):{'3_1':0.0},(296,460):{'3_1':0.0},(296,459):{'3_1':0.0},(296,458):{'3_1':0.0},(296,457):{'3_1':0.0},(296,456):{'3_1':0.0},(296,455):{'3_1':0.0},(296,454):{'3_1':0.0},(296,453):{'3_1':0.0},(296,445):{'3_1':0.0},(296,443):{'3_1':0.0,'8_20|3_1#3_1':0.0},(296,442):{'3_1':0.0,'8_20|3_1#3_1':0.0},(296,441):{'3_1':0.0},(296,440):{'3_1':0.03,'4_1':0.0},(296,439):{'3_1':0.0},(296,438):{'3_1':0.0},(296,437):{'3_1':0.0},(296,436):{'3_1':0.0,'4_1':0.0},(296,435):{'3_1':0.0},(296,434):{'3_1':0.0},(296,432):{'3_1':0.03},(296,430):{'3_1':0.0},(296,429):{'3_1':0.0},(296,428):{'3_1':0.03},(296,427):{'3_1':0.0},(296,426):{'3_1':0.03},(296,425):{'3_1':0.0,'8_20|3_1#3_1':0.0},(296,424):{'3_1':0.0},(296,423):{'3_1':0.0},(296,422):{'3_1':0.0},(296,420):{'3_1':0.0},(296,419):{'3_1':0.0},(296,418):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(296,417):{'3_1':0.0},(296,416):{'3_1':0.0,'5_1':0.0},(296,415):{'3_1':0.0},(296,414):{'3_1':0.0},(296,412):{'3_1':0.0,'5_1':0.0},(296,411):{'3_1':0.0},(296,409):{'8_20|3_1#3_1':0.0},(296,399):{'4_1':0.0},(296,398):{'3_1':0.0},(296,397):{'3_1':0.0},(296,395):{'3_1':0.0},(296,393):{'3_1':0.0},(296,392):{'3_1':0.0},(296,391):{'3_1':0.0},(296,389):{'4_1':0.0},(296,387):{'3_1':0.0,'4_1':0.0},(296,381):{'3_1':0.0},(296,380):{'3_1':0.0},(296,379):{'3_1':0.0},(296,378):{'3_1':0.0},(296,377):{'3_1':0.0},(296,376):{'3_1':0.0},(296,375):{'3_1':0.03},(296,373):{'3_1':0.0},(296,372):{'3_1':0.0,'5_1':0.0},(296,371):{'3_1':0.0},(296,368):{'3_1':0.0},(296,367):{'3_1':0.0},(296,366):{'3_1':0.0},(296,365):{'3_1':0.0},(296,364):{'3_1':0.0},(296,362):{'3_1':0.0,'5_1':0.0},(296,361):{'3_1':0.0},(296,360):{'3_1':0.0},(296,359):{'3_1':0.0},(296,358):{'3_1':0.0},(296,357):{'3_1':0.0},(296,356):{'3_1':0.0},(296,355):{'3_1':0.0},(296,354):{'3_1':0.0},(296,353):{'3_1':0.0},(296,352):{'3_1':0.0},(296,350):{'3_1':0.0},(296,349):{'3_1':0.0},(296,346):{'3_1':0.03},(296,345):{'3_1':0.0},(296,344):{'3_1':0.0},(296,343):{'3_1':0.0},(296,342):{'3_1':0.0},(296,341):{'3_1':0.0},(296,338):{'3_1':0.0},(296,337):{'3_1':0.0},(296,335):{'3_1':0.0},(296,334):{'3_1':0.0},(296,332):{'3_1':0.0},(296,330):{'3_1':0.0},(296,329):{'3_1':0.0},(296,327):{'3_1':0.0},(296,324):{'3_1':0.0},(297,727):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'7_3':0.0,'8_4':0.0},(297,726):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_2':0.03},(297,725):{'4_1':0.12,'3_1':0.09,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(297,724):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(297,723):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(297,722):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_4':0.0},(297,721):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03,'6_2':0.0,'7_3':0.0},(297,720):{'3_1':0.09,'4_1':0.06,'6_2':0.06,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0},(297,719):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_5':0.0},(297,718):{'4_1':0.15,'3_1':0.03,'6_2':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(297,717):{'4_1':0.09,'5_2':0.06,'6_2':0.03,'3_1':0.03,'7_4':0.0},(297,716):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'7_4':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(297,715):{'3_1':0.12,'4_1':0.09,'6_2':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(297,714):{'4_1':0.15,'6_2':0.06,'3_1':0.06,'5_2':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'8_2':0.0},(297,713):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_6':0.0},(297,712):{'4_1':0.12,'3_1':0.09,'6_2':0.06,'5_2':0.0},(297,711):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'6_2':0.0,'7_4':0.0,'8_4':0.0,'7_6':0.0},(297,710):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'7_3':0.0,'6_2':0.0,'-3':0.0},(297,709):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'5_1':0.03,'6_2':0.03,'6_1':0.0},(297,708):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0},(297,707):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_1':0.0,'8_2':0.0},(297,706):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_2':0.03,'7_4':0.03,'5_1':0.0,'9_1':0.0,'-3':0.0},(297,705):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0,'8_4':0.0},(297,704):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_4':0.0,'6_2':0.0,'6_1':0.0},(297,703):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0,'-3':0.0},(297,702):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.06,'7_4':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(297,701):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(297,700):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.03,'5_1':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0},(297,699):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_4':0.0},(297,698):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.03,'6_2':0.0,'7_3':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(297,697):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_9':0.0},(297,696):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_4':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0,'8_11':0.0},(297,695):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'7_4':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0},(297,694):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(297,693):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(297,692):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(297,691):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(297,690):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(297,689):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'8_19':0.0},(297,688):{'4_1':0.15,'5_2':0.15,'3_1':0.09,'6_2':0.06,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_2':0.0},(297,687):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'8_4':0.0,'-3':0.0},(297,686):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.03,'8_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(297,685):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'6_2':0.03,'7_4':0.0,'7_1':0.0,'7_7':0.0},(297,684):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_1':0.03,'6_1':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(297,683):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0},(297,682):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(297,681):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(297,680):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(297,679):{'5_2':0.18,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0},(297,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'8_4':0.0,'-3':0.0},(297,677):{'5_2':0.09,'3_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0},(297,676):{'4_1':0.18,'5_2':0.09,'6_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(297,675):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_11':0.0},(297,674):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_2':0.0,'5_1':0.0,'8_4':0.0,'8_9':0.0},(297,673):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0},(297,672):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(297,671):{'4_1':0.09,'5_2':0.09,'3_1':0.09,'6_2':0.03,'5_1':0.0,'7_4':0.0,'8_9':0.0},(297,670):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0,'6_1':0.0},(297,669):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0},(297,668):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_6':0.0,'-3':0.0},(297,667):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'5_1':0.0,'8_2':0.0,'6_2':0.0,'7_3':0.0},(297,666):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(297,665):{'3_1':0.09,'4_1':0.09,'6_2':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_9':0.0},(297,664):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_1':0.0},(297,663):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_4':0.0},(297,662):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(297,661):{'3_1':0.12,'4_1':0.06,'6_2':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(297,660):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.03,'8_9':0.0,'-3':0.0},(297,659):{'3_1':0.15,'4_1':0.06,'6_2':0.03,'5_2':0.0,'5_1':0.0,'8_4':0.0,'8_9':0.0},(297,658):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(297,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'8_9':0.0,'7_1':0.0},(297,656):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(297,655):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'7_1':0.0,'8_2':0.0,'8_4':0.0},(297,654):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(297,653):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(297,652):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_2':0.0},(297,651):{'3_1':0.18,'4_1':0.09,'6_2':0.0,'6_1':0.0},(297,650):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(297,649):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'8_1':0.0},(297,648):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.03,'6_1':0.0},(297,647):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(297,646):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0},(297,645):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(297,644):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(297,643):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'9_1':0.0},(297,642):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_6':0.0},(297,641):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(297,640):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'6_3':0.0},(297,639):{'3_1':0.24,'5_1':0.03},(297,638):{'3_1':0.15,'5_1':0.03,'4_1':0.03},(297,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(297,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(297,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(297,634):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_2':0.0},(297,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(297,632):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(297,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(297,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(297,629):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(297,628):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(297,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(297,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(297,625):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(297,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(297,623):{'3_1':0.15,'4_1':0.0},(297,622):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(297,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(297,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(297,619):{'3_1':0.12,'4_1':0.0},(297,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(297,617):{'3_1':0.06,'4_1':0.0},(297,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(297,615):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(297,614):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(297,613):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(297,612):{'3_1':0.0,'4_1':0.0},(297,611):{'3_1':0.06,'4_1':0.03},(297,610):{'3_1':0.09,'6_2':0.0},(297,609):{'3_1':0.0,'4_1':0.0},(297,608):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(297,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(297,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(297,605):{'3_1':0.03,'4_1':0.0},(297,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(297,603):{'3_1':0.0,'4_1':0.0},(297,602):{'3_1':0.0},(297,601):{'3_1':0.03},(297,600):{'3_1':0.06},(297,599):{'3_1':0.0},(297,598):{'3_1':0.03,'4_1':0.0},(297,597):{'3_1':0.0,'4_1':0.0},(297,596):{'3_1':0.03,'4_1':0.0},(297,595):{'3_1':0.03,'4_1':0.0},(297,594):{'3_1':0.03,'4_1':0.0},(297,593):{'3_1':0.0},(297,592):{'3_1':0.03},(297,591):{'3_1':0.0,'4_1':0.0},(297,590):{'3_1':0.03},(297,589):{'3_1':0.03,'4_1':0.0},(297,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(297,587):{'3_1':0.03},(297,586):{'3_1':0.03},(297,585):{'3_1':0.03},(297,584):{'3_1':0.03,'4_1':0.0},(297,583):{'3_1':0.0},(297,582):{'3_1':0.03,'5_2':0.0},(297,581):{'3_1':0.0,'4_1':0.0},(297,580):{'3_1':0.03},(297,579):{'3_1':0.0},(297,578):{'3_1':0.0},(297,577):{'3_1':0.03,'4_1':0.0},(297,576):{'3_1':0.03},(297,575):{'3_1':0.03,'5_1':0.0},(297,574):{'3_1':0.0},(297,573):{'3_1':0.0,'5_2':0.0},(297,572):{'3_1':0.03},(297,571):{'3_1':0.0},(297,570):{'3_1':0.0,'4_1':0.0},(297,569):{'3_1':0.0},(297,568):{'3_1':0.03},(297,567):{'3_1':0.06},(297,566):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(297,565):{'3_1':0.0},(297,564):{'3_1':0.0,'4_1':0.0},(297,563):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(297,562):{'3_1':0.0,'4_1':0.0},(297,561):{'4_1':0.0,'3_1':0.0},(297,560):{'3_1':0.0},(297,559):{'3_1':0.03,'6_2':0.0},(297,558):{'3_1':0.03},(297,557):{'3_1':0.0},(297,556):{'3_1':0.03,'4_1':0.0},(297,555):{'3_1':0.03,'6_2':0.0},(297,554):{'3_1':0.0,'4_1':0.0},(297,553):{'3_1':0.0,'4_1':0.0},(297,552):{'3_1':0.0},(297,551):{'5_1':0.0},(297,550):{'3_1':0.0,'4_1':0.0},(297,548):{'3_1':0.03},(297,547):{'3_1':0.0},(297,546):{'3_1':0.03},(297,545):{'3_1':0.03},(297,544):{'3_1':0.03,'4_1':0.0},(297,543):{'3_1':0.03,'4_1':0.0},(297,542):{'3_1':0.0},(297,541):{'3_1':0.03},(297,540):{'3_1':0.03},(297,539):{'3_1':0.03},(297,538):{'3_1':0.03},(297,537):{'3_1':0.0},(297,536):{'3_1':0.0},(297,535):{'3_1':0.03},(297,534):{'3_1':0.03},(297,533):{'3_1':0.03},(297,532):{'3_1':0.0,'4_1':0.0},(297,531):{'3_1':0.0},(297,530):{'3_1':0.03},(297,529):{'3_1':0.03},(297,528):{'3_1':0.06},(297,527):{'3_1':0.0},(297,526):{'3_1':0.03},(297,525):{'3_1':0.03,'8_10':0.0},(297,524):{'3_1':0.0},(297,523):{'3_1':0.03},(297,522):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(297,520):{'4_1':0.0,'3_1':0.0},(297,519):{'3_1':0.03},(297,518):{'3_1':0.03,'5_1':0.0},(297,517):{'3_1':0.0,'6_2':0.0},(297,516):{'3_1':0.0},(297,515):{'3_1':0.03},(297,514):{'3_1':0.0},(297,513):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(297,512):{'3_1':0.0},(297,511):{'3_1':0.0},(297,510):{'3_1':0.0},(297,509):{'3_1':0.0},(297,508):{'3_1':0.0},(297,507):{'3_1':0.0},(297,505):{'3_1':0.0,'8_20|3_1#3_1':0.0},(297,503):{'3_1':0.03},(297,501):{'3_1':0.03},(297,500):{'3_1':0.0},(297,499):{'3_1':0.0},(297,498):{'3_1':0.0},(297,496):{'3_1':0.0,'4_1':0.0},(297,495):{'3_1':0.0},(297,494):{'3_1':0.0,'4_1':0.0},(297,493):{'3_1':0.03},(297,492):{'3_1':0.0,'5_1':0.0},(297,490):{'3_1':0.03},(297,489):{'3_1':0.0},(297,488):{'3_1':0.03,'5_1':0.0},(297,487):{'3_1':0.03,'4_1':0.0},(297,486):{'3_1':0.0},(297,485):{'3_1':0.0,'5_1':0.0},(297,484):{'3_1':0.0},(297,483):{'3_1':0.0},(297,482):{'3_1':0.0,'8_20|3_1#3_1':0.0},(297,481):{'3_1':0.03,'4_1':0.0},(297,480):{'3_1':0.03,'5_2':0.0},(297,479):{'3_1':0.03},(297,478):{'3_1':0.0},(297,477):{'3_1':0.03},(297,476):{'3_1':0.03,'8_20|3_1#3_1':0.0},(297,475):{'3_1':0.0},(297,474):{'3_1':0.0},(297,473):{'3_1':0.0},(297,472):{'3_1':0.0,'4_1':0.0},(297,471):{'3_1':0.0},(297,470):{'3_1':0.0},(297,469):{'3_1':0.0},(297,468):{'3_1':0.0},(297,467):{'3_1':0.0},(297,466):{'3_1':0.0},(297,465):{'3_1':0.0},(297,464):{'3_1':0.0},(297,463):{'3_1':0.0},(297,462):{'3_1':0.0},(297,461):{'3_1':0.0},(297,460):{'3_1':0.0,'4_1':0.0},(297,458):{'3_1':0.0},(297,457):{'3_1':0.0,'4_1':0.0},(297,456):{'3_1':0.0},(297,455):{'3_1':0.0},(297,452):{'3_1':0.0},(297,451):{'3_1':0.0},(297,450):{'3_1':0.0},(297,449):{'3_1':0.0},(297,448):{'3_1':0.0},(297,446):{'3_1':0.0},(297,445):{'3_1':0.0},(297,444):{'3_1':0.0},(297,443):{'3_1':0.0},(297,441):{'3_1':0.0},(297,439):{'3_1':0.0},(297,436):{'3_1':0.0},(297,435):{'3_1':0.03},(297,433):{'3_1':0.0},(297,432):{'3_1':0.0},(297,431):{'3_1':0.0},(297,428):{'3_1':0.0},(297,427):{'3_1':0.0},(297,426):{'3_1':0.0},(297,424):{'5_2':0.0},(297,423):{'3_1':0.0},(297,422):{'3_1':0.0},(297,421):{'3_1':0.0,'5_1':0.0},(297,420):{'3_1':0.0,'5_1':0.0},(297,419):{'3_1':0.0},(297,418):{'5_1':0.0},(297,416):{'8_20|3_1#3_1':0.0},(297,415):{'3_1':0.0},(297,414):{'4_1':0.0},(297,413):{'3_1':0.0},(297,411):{'5_1':0.0},(297,408):{'3_1':0.0},(297,401):{'3_1':0.0},(297,399):{'3_1':0.0},(297,398):{'3_1':0.0},(297,397):{'3_1':0.0},(297,393):{'3_1':0.0},(297,392):{'3_1':0.0},(297,391):{'3_1':0.0},(297,384):{'3_1':0.0},(297,381):{'3_1':0.0},(297,380):{'3_1':0.0},(297,379):{'3_1':0.0},(297,378):{'3_1':0.0},(297,376):{'3_1':0.0},(297,373):{'3_1':0.0},(297,372):{'3_1':0.0},(297,367):{'3_1':0.0},(297,366):{'3_1':0.0},(297,365):{'3_1':0.0},(297,364):{'3_1':0.0},(297,363):{'3_1':0.0},(297,361):{'3_1':0.0},(297,359):{'3_1':0.0},(297,358):{'3_1':0.03},(297,357):{'3_1':0.0},(297,356):{'3_1':0.0},(297,353):{'3_1':0.0},(297,351):{'3_1':0.0},(297,350):{'3_1':0.0},(297,346):{'3_1':0.0},(297,339):{'3_1':0.0},(297,338):{'3_1':0.0},(297,335):{'3_1':0.0},(297,334):{'3_1':0.0},(297,329):{'3_1':0.0},(297,326):{'3_1':0.0},(297,324):{'3_1':0.0},(298,727):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(298,726):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0,'7_6':0.0},(298,725):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_3':0.0},(298,724):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0},(298,723):{'4_1':0.12,'5_2':0.03,'6_2':0.03,'7_6':0.0,'3_1':0.0,'5_1':0.0,'7_4':0.0},(298,722):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0},(298,721):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(298,720):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(298,719):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_5':0.0},(298,718):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_2':0.03,'6_1':0.0},(298,717):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(298,716):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(298,715):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0,'6_1':0.0,'7_3':0.0},(298,714):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0},(298,713):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_6':0.0},(298,712):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_5':0.0,'6_1':0.0,'7_4':0.0},(298,711):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(298,710):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.03},(298,709):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(298,708):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0},(298,707):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_4':0.0},(298,706):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(298,705):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(298,704):{'4_1':0.12,'6_2':0.03,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(298,703):{'5_2':0.06,'4_1':0.06,'3_1':0.06,'6_2':0.06,'7_4':0.0,'-3':0.0},(298,702):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0},(298,701):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_5':0.0},(298,700):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(298,699):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_2':0.03,'7_4':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0,'-3':0.0},(298,698):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(298,697):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0},(298,696):{'4_1':0.15,'3_1':0.06,'6_2':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0},(298,695):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.03,'7_4':0.0,'6_1':0.0},(298,694):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(298,693):{'4_1':0.18,'5_2':0.12,'6_2':0.03,'3_1':0.03,'6_1':0.0,'7_4':0.0},(298,692):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(298,691):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_2':0.0},(298,690):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0},(298,689):{'5_2':0.15,'3_1':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(298,688):{'4_1':0.15,'5_2':0.06,'6_2':0.03,'3_1':0.0,'6_1':0.0,'7_4':0.0,'7_3':0.0,'8_2':0.0},(298,687):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_4':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_2':0.0,'7_3':0.0},(298,686):{'5_2':0.09,'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(298,685):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'8_2':0.0,'-3':0.0},(298,684):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0},(298,683):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'8_2':0.0},(298,682):{'5_2':0.18,'4_1':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'-3':0.0},(298,681):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.03,'6_2':0.03,'7_4':0.0,'7_6':0.0},(298,680):{'5_2':0.21,'4_1':0.06,'3_1':0.06,'6_2':0.0,'6_1':0.0,'5_1':0.0,'8_2':0.0},(298,679):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.03,'6_1':0.0,'7_1':0.0,'8_3':0.0,'-3':0.0},(298,678):{'5_2':0.15,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(298,677):{'4_1':0.15,'5_2':0.15,'3_1':0.09,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(298,676):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(298,675):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_1':0.0,'8_4':0.0},(298,674):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0},(298,673):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_2':0.03,'6_1':0.0,'7_1':0.0,'8_4':0.0},(298,672):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0},(298,671):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(298,670):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0},(298,669):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_13':0.0},(298,668):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0},(298,667):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_2':0.0,'6_1':0.0,'8_2':0.0},(298,666):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'8_3':0.0},(298,665):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(298,664):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(298,663):{'3_1':0.09,'5_2':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(298,662):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(298,661):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(298,660):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_2':0.0},(298,659):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0},(298,658):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_2':0.0},(298,657):{'3_1':0.15,'4_1':0.15,'6_2':0.0,'5_2':0.0,'5_1':0.0},(298,656):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(298,655):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(298,654):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(298,653):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(298,652):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(298,651):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_9':0.0},(298,650):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0},(298,649):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(298,648):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(298,647):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0},(298,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(298,645):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0,'5_2':0.0},(298,644):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(298,643):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_6':0.0},(298,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(298,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(298,640):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(298,639):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(298,638):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(298,637):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(298,636):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(298,635):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(298,634):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(298,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(298,632):{'3_1':0.09,'4_1':0.0},(298,631):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(298,630):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(298,629):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(298,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(298,627):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(298,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(298,625):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(298,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(298,623):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(298,622):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(298,621):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(298,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(298,619):{'3_1':0.12,'4_1':0.0},(298,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(298,617):{'3_1':0.09,'4_1':0.0},(298,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(298,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(298,614):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(298,613):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(298,612):{'3_1':0.03,'4_1':0.0},(298,611):{'3_1':0.06},(298,610):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(298,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(298,608):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(298,607):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(298,606):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(298,605):{'3_1':0.03,'4_1':0.0},(298,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(298,603):{'3_1':0.0,'4_1':0.0},(298,602):{'3_1':0.03,'4_1':0.0},(298,601):{'3_1':0.03,'4_1':0.0},(298,600):{'3_1':0.03},(298,599):{'3_1':0.03},(298,598):{'3_1':0.03},(298,597):{'3_1':0.0,'4_1':0.0},(298,596):{'3_1':0.03,'4_1':0.0},(298,595):{'3_1':0.03},(298,594):{'3_1':0.03,'4_1':0.0},(298,593):{'3_1':0.03},(298,592):{'3_1':0.09},(298,591):{'3_1':0.03},(298,590):{'3_1':0.06,'5_2':0.0},(298,589):{'3_1':0.06},(298,588):{'3_1':0.06,'5_1':0.0},(298,587):{'3_1':0.03,'4_1':0.0},(298,586):{'4_1':0.0},(298,585):{'3_1':0.03},(298,584):{'3_1':0.0},(298,583):{'3_1':0.03},(298,582):{'3_1':0.0},(298,580):{'3_1':0.06},(298,579):{'3_1':0.03},(298,578):{'3_1':0.03},(298,577):{'3_1':0.03},(298,576):{'3_1':0.0},(298,575):{'3_1':0.06,'4_1':0.0},(298,574):{'3_1':0.03},(298,573):{'3_1':0.0,'5_2':0.0},(298,572):{'3_1':0.03},(298,571):{'3_1':0.0,'4_1':0.0},(298,570):{'3_1':0.0},(298,569):{'3_1':0.0},(298,568):{'3_1':0.03,'5_1':0.0},(298,567):{'3_1':0.06},(298,566):{'3_1':0.0,'5_1':0.0},(298,565):{'3_1':0.0,'4_1':0.0},(298,564):{'3_1':0.0,'4_1':0.0},(298,563):{'3_1':0.0},(298,562):{'3_1':0.0},(298,561):{'3_1':0.0},(298,560):{'3_1':0.0},(298,559):{'3_1':0.0},(298,558):{'3_1':0.0},(298,557):{'4_1':0.0},(298,556):{'4_1':0.0,'5_2':0.0},(298,555):{'3_1':0.0},(298,554):{'3_1':0.0},(298,553):{'3_1':0.0,'5_2':0.0},(298,552):{'3_1':0.0},(298,551):{'3_1':0.0},(298,550):{'3_1':0.0},(298,549):{'3_1':0.0},(298,548):{'3_1':0.0,'4_1':0.0},(298,547):{'3_1':0.0},(298,546):{'3_1':0.0},(298,545):{'3_1':0.03,'4_1':0.0},(298,544):{'3_1':0.0},(298,543):{'3_1':0.0},(298,542):{'3_1':0.0},(298,541):{'3_1':0.03},(298,540):{'3_1':0.0,'4_1':0.0},(298,539):{'3_1':0.0,'4_1':0.0},(298,538):{'3_1':0.0},(298,537):{'3_1':0.03},(298,536):{'3_1':0.0,'4_1':0.0},(298,535):{'3_1':0.0},(298,534):{'3_1':0.0,'4_1':0.0},(298,533):{'3_1':0.0},(298,532):{'3_1':0.03,'5_1':0.0},(298,531):{'3_1':0.03,'4_1':0.0},(298,530):{'3_1':0.03,'4_1':0.0},(298,529):{'3_1':0.0},(298,528):{'3_1':0.0},(298,527):{'3_1':0.0,'5_2':0.0},(298,526):{'3_1':0.0},(298,525):{'3_1':0.03},(298,524):{'3_1':0.0},(298,523):{'3_1':0.0},(298,522):{'3_1':0.03,'6_2':0.0},(298,521):{'3_1':0.0},(298,520):{'3_1':0.0},(298,519):{'3_1':0.03},(298,518):{'3_1':0.0},(298,517):{'3_1':0.03},(298,516):{'3_1':0.0,'5_1':0.0},(298,515):{'3_1':0.03},(298,514):{'3_1':0.0,'4_1':0.0},(298,513):{'3_1':0.0},(298,512):{'3_1':0.0},(298,511):{'3_1':0.0,'5_2':0.0},(298,510):{'3_1':0.0},(298,509):{'3_1':0.03},(298,508):{'3_1':0.0},(298,507):{'3_1':0.0},(298,506):{'3_1':0.0},(298,505):{'3_1':0.03,'5_2':0.0},(298,504):{'4_1':0.0},(298,502):{'3_1':0.0},(298,501):{'3_1':0.0,'4_1':0.0},(298,500):{'3_1':0.0},(298,499):{'5_1':0.0},(298,498):{'3_1':0.0},(298,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(298,496):{'3_1':0.03},(298,495):{'3_1':0.03},(298,494):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(298,493):{'3_1':0.0},(298,491):{'3_1':0.03},(298,490):{'3_1':0.03},(298,489):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(298,488):{'3_1':0.03,'4_1':0.0},(298,487):{'3_1':0.03},(298,486):{'3_1':0.03},(298,485):{'3_1':0.0},(298,484):{'3_1':0.03,'5_2':0.0},(298,483):{'3_1':0.09},(298,482):{'3_1':0.03},(298,481):{'3_1':0.0},(298,480):{'3_1':0.03,'5_2':0.0},(298,479):{'3_1':0.0},(298,478):{'3_1':0.03,'4_1':0.0},(298,477):{'3_1':0.03},(298,476):{'3_1':0.03},(298,475):{'3_1':0.03},(298,474):{'3_1':0.03},(298,473):{'3_1':0.09,'4_1':0.0},(298,472):{'3_1':0.0,'4_1':0.0},(298,471):{'3_1':0.03},(298,469):{'3_1':0.0},(298,468):{'3_1':0.0},(298,467):{'3_1':0.03},(298,466):{'3_1':0.0},(298,465):{'3_1':0.0},(298,464):{'3_1':0.0},(298,462):{'3_1':0.0},(298,461):{'3_1':0.03},(298,460):{'3_1':0.0},(298,459):{'3_1':0.0},(298,458):{'3_1':0.0,'4_1':0.0},(298,457):{'3_1':0.0,'4_1':0.0},(298,456):{'4_1':0.0},(298,455):{'3_1':0.0},(298,453):{'3_1':0.0},(298,452):{'3_1':0.0},(298,451):{'3_1':0.0},(298,450):{'3_1':0.0},(298,449):{'3_1':0.0},(298,448):{'3_1':0.0},(298,445):{'3_1':0.0},(298,442):{'3_1':0.0},(298,441):{'3_1':0.0,'4_1':0.0},(298,440):{'3_1':0.0},(298,438):{'4_1':0.0},(298,437):{'3_1':0.0},(298,436):{'3_1':0.0},(298,435):{'3_1':0.0},(298,434):{'3_1':0.0},(298,433):{'3_1':0.0},(298,432):{'3_1':0.0},(298,431):{'3_1':0.0},(298,430):{'3_1':0.0},(298,429):{'3_1':0.0},(298,425):{'3_1':0.0},(298,424):{'3_1':0.0},(298,423):{'3_1':0.0},(298,422):{'3_1':0.0},(298,420):{'3_1':0.0},(298,417):{'3_1':0.0},(298,416):{'3_1':0.0},(298,414):{'3_1':0.0},(298,412):{'5_1':0.0},(298,411):{'3_1':0.0},(298,410):{'3_1':0.0},(298,409):{'3_1':0.0},(298,408):{'3_1':0.0,'5_1':0.0},(298,401):{'5_1':0.0},(298,400):{'3_1':0.0},(298,399):{'3_1':0.0},(298,393):{'3_1':0.0},(298,387):{'3_1':0.0},(298,383):{'3_1':0.0},(298,381):{'4_1':0.0},(298,377):{'3_1':0.0},(298,376):{'3_1':0.0},(298,375):{'3_1':0.0},(298,374):{'3_1':0.0},(298,373):{'3_1':0.0},(298,372):{'3_1':0.0},(298,370):{'3_1':0.0},(298,369):{'3_1':0.0},(298,367):{'3_1':0.0},(298,366):{'3_1':0.0},(298,363):{'3_1':0.0},(298,362):{'3_1':0.0},(298,360):{'3_1':0.0},(298,358):{'3_1':0.0},(298,355):{'3_1':0.0},(298,353):{'3_1':0.0,'4_1':0.0},(298,349):{'3_1':0.03},(298,348):{'3_1':0.0},(298,346):{'3_1':0.0},(298,344):{'3_1':0.0},(298,333):{'3_1':0.0},(298,330):{'3_1':0.0},(298,323):{'3_1':0.0},(299,727):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_4':0.0,'7_5':0.0},(299,726):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0},(299,725):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_1':0.0},(299,724):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(299,723):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(299,722):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_2':0.03},(299,721):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_6':0.0,'-3':0.0},(299,720):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0},(299,719):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(299,718):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0},(299,717):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(299,716):{'4_1':0.12,'3_1':0.09,'5_2':0.09},(299,715):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.03,'7_6':0.0},(299,714):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(299,713):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'7_4':0.0},(299,712):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(299,711):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_2':0.0},(299,710):{'4_1':0.21,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(299,709):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(299,708):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(299,707):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_4':0.0},(299,706):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(299,705):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'6_2':0.0,'7_6':0.0,'-3':0.0},(299,704):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0},(299,703):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_4':0.0,'6_2':0.0,'6_1':0.0,'-3':0.0},(299,702):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(299,701):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0},(299,700):{'4_1':0.21,'5_2':0.06,'3_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(299,699):{'4_1':0.21,'3_1':0.06,'7_4':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(299,698):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(299,697):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(299,696):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(299,695):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_2':0.03,'6_1':0.0},(299,694):{'4_1':0.18,'3_1':0.06,'7_4':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(299,693):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(299,692):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'5_1':0.0,'7_4':0.0,'6_2':0.0,'8_2':0.0,'-3':0.0},(299,691):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.0,'7_4':0.0,'6_2':0.0},(299,690):{'5_2':0.12,'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_1':0.0},(299,689):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(299,688):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(299,687):{'5_2':0.15,'4_1':0.15,'3_1':0.12,'6_1':0.0,'6_2':0.0,'8_9':0.0,'9_1':0.0},(299,686):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0,'7_3':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(299,685):{'5_2':0.18,'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_2':0.0,'-3':0.0},(299,684):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'8_4':0.0,'-3':0.0},(299,683):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(299,682):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(299,681):{'4_1':0.18,'5_2':0.09,'6_2':0.03,'3_1':0.0,'6_1':0.0,'8_1':0.0,'-3':0.0},(299,680):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'8_6':0.0},(299,679):{'4_1':0.15,'5_2':0.09,'3_1':0.0,'6_2':0.0,'5_1':0.0},(299,678):{'4_1':0.21,'5_2':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(299,677):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'7_3':0.0},(299,676):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(299,675):{'4_1':0.18,'5_2':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0},(299,674):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_3':0.0},(299,673):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(299,672):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0,'7_4':0.0},(299,671):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0},(299,670):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0},(299,669):{'3_1':0.12,'4_1':0.09,'5_2':0.06},(299,668):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0},(299,667):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0},(299,666):{'4_1':0.24,'5_2':0.09,'3_1':0.06,'6_1':0.0},(299,665):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(299,664):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(299,663):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(299,662):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0},(299,661):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0},(299,660):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0},(299,659):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(299,658):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(299,657):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(299,656):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(299,655):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0},(299,654):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(299,653):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0},(299,652):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(299,651):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0},(299,650):{'3_1':0.18,'4_1':0.03,'6_2':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(299,649):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(299,648):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(299,647):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'6_2':0.0},(299,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(299,645):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0},(299,644):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(299,643):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(299,642):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0},(299,641):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(299,640):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'6_2':0.0},(299,639):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(299,638):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(299,637):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(299,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(299,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(299,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(299,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(299,632):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(299,631):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(299,630):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(299,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(299,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(299,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(299,626):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(299,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(299,624):{'3_1':0.06,'4_1':0.03},(299,623):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(299,622):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(299,621):{'3_1':0.09,'5_1':0.03,'6_2':0.0},(299,620):{'3_1':0.12,'4_1':0.0},(299,619):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(299,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_6':0.0},(299,617):{'3_1':0.09,'4_1':0.03,'6_3':0.0},(299,616):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(299,615):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(299,614):{'3_1':0.06,'4_1':0.03},(299,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(299,612):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(299,611):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(299,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(299,609):{'3_1':0.06,'4_1':0.0},(299,608):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(299,607):{'3_1':0.03,'4_1':0.0},(299,606):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(299,605):{'3_1':0.03,'4_1':0.0},(299,604):{'3_1':0.03,'4_1':0.03},(299,603):{'4_1':0.06,'3_1':0.0},(299,602):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(299,601):{'3_1':0.03,'4_1':0.0},(299,600):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(299,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(299,598):{'3_1':0.03,'5_1':0.0},(299,597):{'3_1':0.03,'5_2':0.0},(299,596):{'3_1':0.03},(299,595):{'3_1':0.03,'4_1':0.0},(299,594):{'3_1':0.03,'4_1':0.0},(299,593):{'3_1':0.03},(299,592):{'3_1':0.0},(299,591):{'3_1':0.03},(299,590):{'3_1':0.06,'4_1':0.0},(299,589):{'3_1':0.06,'4_1':0.0},(299,588):{'3_1':0.0},(299,587):{'3_1':0.03},(299,586):{'3_1':0.03},(299,585):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(299,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(299,583):{'3_1':0.0,'4_1':0.0},(299,582):{'3_1':0.0,'4_1':0.0},(299,581):{'3_1':0.0},(299,580):{'3_1':0.03},(299,579):{'3_1':0.0,'4_1':0.0},(299,578):{'3_1':0.03,'4_1':0.0},(299,577):{'3_1':0.0,'4_1':0.0},(299,576):{'3_1':0.0,'4_1':0.0},(299,575):{'3_1':0.03},(299,572):{'3_1':0.0,'4_1':0.0},(299,571):{'3_1':0.0,'4_1':0.0},(299,570):{'3_1':0.0},(299,569):{'3_1':0.0},(299,568):{'3_1':0.03,'4_1':0.0},(299,567):{'3_1':0.0},(299,566):{'3_1':0.0},(299,565):{'3_1':0.0},(299,564):{'3_1':0.0,'6_1':0.0},(299,563):{'3_1':0.0,'4_1':0.0},(299,562):{'3_1':0.0,'5_2':0.0},(299,561):{'3_1':0.0},(299,560):{'3_1':0.0},(299,559):{'3_1':0.0},(299,558):{'3_1':0.0},(299,557):{'3_1':0.03},(299,556):{'3_1':0.0,'4_1':0.0},(299,555):{'3_1':0.03,'6_1':0.0},(299,554):{'3_1':0.0},(299,553):{'3_1':0.03},(299,552):{'3_1':0.0,'4_1':0.0},(299,551):{'3_1':0.03,'5_1':0.0},(299,550):{'3_1':0.03,'4_1':0.0},(299,549):{'3_1':0.0,'4_1':0.0},(299,548):{'3_1':0.0,'4_1':0.0},(299,547):{'3_1':0.0},(299,546):{'3_1':0.0,'4_1':0.0},(299,545):{'3_1':0.0,'4_1':0.0},(299,544):{'3_1':0.0},(299,543):{'3_1':0.0,'4_1':0.0},(299,542):{'3_1':0.0,'4_1':0.0},(299,540):{'3_1':0.0},(299,539):{'3_1':0.0},(299,538):{'3_1':0.0},(299,537):{'3_1':0.03},(299,536):{'3_1':0.0},(299,535):{'3_1':0.03,'5_1':0.0},(299,534):{'3_1':0.03},(299,533):{'3_1':0.03},(299,532):{'3_1':0.0},(299,531):{'3_1':0.03},(299,530):{'3_1':0.03,'4_1':0.0},(299,529):{'3_1':0.03},(299,528):{'3_1':0.03},(299,526):{'3_1':0.03,'4_1':0.0},(299,525):{'3_1':0.0},(299,524):{'3_1':0.03,'4_1':0.0},(299,523):{'3_1':0.06},(299,522):{'3_1':0.0},(299,521):{'3_1':0.0,'4_1':0.0},(299,520):{'3_1':0.0},(299,519):{'3_1':0.03},(299,518):{'3_1':0.0},(299,517):{'3_1':0.0},(299,516):{'3_1':0.03,'4_1':0.0},(299,515):{'3_1':0.0},(299,514):{'3_1':0.03},(299,513):{'3_1':0.0},(299,512):{'3_1':0.0,'4_1':0.0},(299,511):{'3_1':0.0},(299,510):{'3_1':0.03},(299,509):{'3_1':0.03},(299,508):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(299,507):{'3_1':0.0},(299,506):{'3_1':0.0},(299,505):{'3_1':0.0},(299,503):{'4_1':0.0},(299,501):{'3_1':0.0},(299,500):{'3_1':0.0},(299,499):{'3_1':0.0},(299,498):{'3_1':0.0},(299,497):{'3_1':0.0},(299,496):{'3_1':0.0},(299,495):{'3_1':0.0},(299,494):{'3_1':0.0},(299,493):{'3_1':0.03},(299,492):{'3_1':0.0},(299,491):{'3_1':0.0},(299,490):{'3_1':0.0},(299,489):{'3_1':0.0},(299,488):{'3_1':0.03},(299,487):{'3_1':0.0},(299,486):{'3_1':0.03,'5_1':0.0},(299,485):{'3_1':0.0,'5_1':0.0},(299,484):{'3_1':0.0,'4_1':0.0},(299,483):{'3_1':0.0},(299,482):{'3_1':0.03},(299,481):{'3_1':0.0},(299,480):{'3_1':0.03},(299,479):{'3_1':0.03,'5_1':0.0},(299,478):{'3_1':0.03},(299,477):{'3_1':0.0,'5_1':0.0},(299,476):{'3_1':0.03},(299,475):{'3_1':0.0},(299,474):{'3_1':0.0},(299,473):{'3_1':0.0},(299,472):{'3_1':0.0},(299,470):{'3_1':0.0,'5_1':0.0},(299,469):{'3_1':0.0,'5_1':0.0},(299,467):{'3_1':0.0},(299,466):{'3_1':0.0,'5_2':0.0},(299,464):{'3_1':0.0},(299,463):{'3_1':0.03},(299,462):{'3_1':0.0},(299,460):{'3_1':0.0,'4_1':0.0},(299,459):{'3_1':0.0},(299,458):{'3_1':0.0,'4_1':0.0},(299,456):{'3_1':0.0},(299,455):{'3_1':0.0},(299,454):{'3_1':0.0},(299,451):{'3_1':0.0},(299,449):{'3_1':0.0},(299,448):{'5_2':0.0},(299,446):{'3_1':0.0},(299,444):{'3_1':0.0,'4_1':0.0},(299,443):{'3_1':0.0},(299,442):{'3_1':0.0},(299,441):{'3_1':0.0},(299,440):{'3_1':0.0},(299,437):{'3_1':0.03},(299,436):{'3_1':0.0},(299,435):{'3_1':0.0},(299,432):{'3_1':0.0},(299,429):{'3_1':0.0,'5_1':0.0},(299,428):{'3_1':0.0},(299,427):{'3_1':0.0},(299,426):{'3_1':0.0},(299,425):{'3_1':0.0},(299,424):{'3_1':0.0},(299,422):{'3_1':0.0},(299,421):{'3_1':0.0},(299,420):{'3_1':0.0},(299,419):{'3_1':0.0},(299,418):{'5_1':0.0},(299,416):{'3_1':0.0,'5_1':0.0},(299,415):{'5_1':0.0},(299,414):{'7_1':0.0},(299,409):{'3_1':0.0},(299,408):{'3_1':0.0,'8_1':0.0},(299,400):{'3_1':0.0},(299,398):{'3_1':0.0},(299,394):{'3_1':0.0},(299,393):{'3_1':0.0},(299,387):{'3_1':0.0},(299,384):{'3_1':0.0},(299,380):{'3_1':0.0},(299,379):{'3_1':0.0},(299,378):{'5_2':0.0},(299,377):{'3_1':0.0},(299,375):{'3_1':0.0},(299,374):{'3_1':0.0},(299,373):{'3_1':0.0},(299,371):{'3_1':0.0},(299,370):{'3_1':0.0},(299,368):{'3_1':0.0},(299,367):{'3_1':0.0},(299,366):{'3_1':0.0},(299,365):{'3_1':0.0},(299,363):{'4_1':0.0},(299,362):{'3_1':0.0},(299,357):{'3_1':0.0},(299,355):{'3_1':0.0},(299,354):{'3_1':0.0},(299,353):{'3_1':0.0},(299,345):{'3_1':0.0},(299,332):{'3_1':0.0},(299,328):{'3_1':0.0},(299,326):{'4_1':0.0},(299,325):{'3_1':0.0},(300,727):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_2':0.0,'7_5':0.0,'5_1':0.0,'7_3':0.0},(300,726):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_5':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(300,725):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0},(300,724):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0},(300,723):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0},(300,722):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_5':0.0},(300,721):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'7_3':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(300,720):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0},(300,719):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(300,718):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(300,717):{'4_1':0.09,'3_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(300,716):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0},(300,715):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.03,'-3':0.0},(300,714):{'4_1':0.18,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(300,713):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(300,712):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(300,711):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(300,710):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(300,709):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(300,708):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(300,707):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(300,706):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0},(300,705):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_4':0.0,'6_1':0.0},(300,704):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0},(300,703):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(300,702):{'4_1':0.15,'3_1':0.06,'7_4':0.03,'5_2':0.0,'6_2':0.0},(300,701):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(300,700):{'4_1':0.15,'7_4':0.06,'5_2':0.06,'5_1':0.0,'3_1':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(300,699):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_4':0.03,'6_1':0.0,'7_3':0.0,'5_1':0.0},(300,698):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(300,697):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_4':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(300,696):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(300,695):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0},(300,694):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_3':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(300,693):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'6_2':0.0,'-3':0.0},(300,692):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0},(300,691):{'4_1':0.21,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(300,690):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.03,'6_2':0.0},(300,689):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(300,688):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(300,687):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'9_1':0.0},(300,686):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.03,'6_2':0.0,'6_1':0.0},(300,685):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0},(300,684):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(300,683):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0,'8_6':0.0},(300,682):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(300,681):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(300,680):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0},(300,679):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'3_1':0.03,'5_1':0.0},(300,678):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'8_3':0.0},(300,677):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'9_1':0.0,'7_3':0.0},(300,676):{'5_2':0.15,'4_1':0.12,'6_1':0.03,'3_1':0.0,'6_2':0.0},(300,675):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'6_1':0.0},(300,674):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(300,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0},(300,672):{'4_1':0.12,'5_2':0.09,'6_1':0.06,'3_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(300,671):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_2':0.0,'6_1':0.0,'7_3':0.0},(300,670):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_3':0.0},(300,669):{'5_2':0.09,'4_1':0.09,'3_1':0.09,'6_1':0.03},(300,668):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(300,667):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(300,666):{'4_1':0.15,'3_1':0.09,'5_2':0.06},(300,665):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'8_1':0.0,'8_14':0.0},(300,664):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_2':0.0},(300,663):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(300,662):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'7_3':0.0},(300,661):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(300,660):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_3':0.0},(300,659):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(300,658):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_7':0.0},(300,657):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'-3':0.0},(300,656):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(300,655):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0,'8_12':0.0},(300,654):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(300,653):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(300,652):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(300,651):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0},(300,650):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(300,649):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(300,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(300,647):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(300,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(300,645):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(300,644):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(300,643):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(300,642):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(300,641):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(300,640):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'7_1':0.0},(300,639):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0},(300,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(300,637):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(300,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(300,635):{'3_1':0.09,'4_1':0.03},(300,634):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(300,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(300,632):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(300,631):{'3_1':0.09,'4_1':0.0},(300,630):{'3_1':0.12,'5_1':0.0},(300,629):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(300,628):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(300,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(300,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(300,625):{'3_1':0.12,'4_1':0.0},(300,624):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(300,623):{'3_1':0.03,'4_1':0.03,'5_1':0.03},(300,622):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0},(300,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(300,620):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(300,619):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(300,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(300,617):{'3_1':0.06,'4_1':0.0},(300,616):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(300,615):{'3_1':0.06,'4_1':0.0},(300,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(300,613):{'3_1':0.06,'4_1':0.03},(300,612):{'3_1':0.06,'4_1':0.0},(300,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(300,610):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(300,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(300,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(300,607):{'3_1':0.03,'4_1':0.03},(300,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(300,605):{'4_1':0.03,'3_1':0.0},(300,604):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(300,603):{'3_1':0.0,'4_1':0.0},(300,602):{'3_1':0.03,'5_1':0.0},(300,601):{'3_1':0.0},(300,600):{'4_1':0.03,'3_1':0.0},(300,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(300,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(300,597):{'3_1':0.03,'4_1':0.0},(300,596):{'3_1':0.0,'4_1':0.0},(300,595):{'4_1':0.0},(300,594):{'3_1':0.03,'4_1':0.0},(300,593):{'3_1':0.0},(300,592):{'3_1':0.0,'4_1':0.0},(300,591):{'3_1':0.06},(300,590):{'3_1':0.0,'7_5':0.0},(300,589):{'3_1':0.06,'4_1':0.0},(300,588):{'3_1':0.06},(300,587):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(300,586):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(300,585):{'3_1':0.06},(300,584):{'3_1':0.03,'5_2':0.0},(300,583):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(300,582):{'3_1':0.06,'4_1':0.0},(300,581):{'3_1':0.03},(300,580):{'3_1':0.0},(300,579):{'3_1':0.0},(300,578):{'3_1':0.03,'5_1':0.0},(300,577):{'3_1':0.0},(300,576):{'3_1':0.0},(300,575):{'3_1':0.03},(300,574):{'3_1':0.0,'4_1':0.0},(300,573):{'3_1':0.03},(300,572):{'3_1':0.0,'4_1':0.0},(300,571):{'3_1':0.0},(300,570):{'3_1':0.0,'5_1':0.0},(300,569):{'3_1':0.0,'5_2':0.0},(300,568):{'3_1':0.0},(300,567):{'3_1':0.03},(300,566):{'3_1':0.0,'4_1':0.0},(300,565):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(300,564):{'3_1':0.0,'4_1':0.0},(300,563):{'3_1':0.0},(300,562):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(300,561):{'3_1':0.0,'4_1':0.0},(300,560):{'3_1':0.03},(300,559):{'3_1':0.0},(300,558):{'3_1':0.0},(300,557):{'3_1':0.0,'5_2':0.0},(300,556):{'3_1':0.03},(300,555):{'3_1':0.0,'4_1':0.0},(300,554):{'3_1':0.0},(300,553):{'3_1':0.0,'6_2':0.0},(300,552):{'3_1':0.03,'4_1':0.0},(300,551):{'4_1':0.0},(300,550):{'3_1':0.0,'4_1':0.0},(300,549):{'3_1':0.03},(300,548):{'3_1':0.0},(300,547):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(300,546):{'3_1':0.0},(300,545):{'3_1':0.0},(300,544):{'3_1':0.0},(300,543):{'3_1':0.0},(300,542):{'3_1':0.0},(300,541):{'3_1':0.03},(300,540):{'3_1':0.0},(300,539):{'3_1':0.03,'5_2':0.0},(300,538):{'3_1':0.0},(300,537):{'3_1':0.0,'5_1':0.0},(300,536):{'3_1':0.0,'4_1':0.0},(300,535):{'3_1':0.0},(300,533):{'3_1':0.03},(300,532):{'3_1':0.0},(300,531):{'3_1':0.0},(300,530):{'3_1':0.0},(300,529):{'3_1':0.0},(300,528):{'3_1':0.0},(300,527):{'3_1':0.0,'4_1':0.0},(300,525):{'3_1':0.0},(300,524):{'3_1':0.0,'4_1':0.0},(300,523):{'3_1':0.0,'6_3':0.0},(300,522):{'3_1':0.0},(300,521):{'3_1':0.0,'4_1':0.0},(300,520):{'3_1':0.0},(300,519):{'3_1':0.0},(300,518):{'3_1':0.0},(300,517):{'3_1':0.0},(300,516):{'3_1':0.0},(300,515):{'3_1':0.03},(300,514):{'3_1':0.0,'4_1':0.0},(300,513):{'3_1':0.0},(300,512):{'3_1':0.0},(300,510):{'3_1':0.0},(300,509):{'3_1':0.0},(300,508):{'3_1':0.0},(300,507):{'3_1':0.0},(300,506):{'3_1':0.0},(300,504):{'3_1':0.0},(300,502):{'3_1':0.0},(300,501):{'3_1':0.0},(300,500):{'3_1':0.0},(300,499):{'3_1':0.0},(300,498):{'3_1':0.0},(300,497):{'3_1':0.0},(300,496):{'4_1':0.0},(300,495):{'3_1':0.0,'5_2':0.0},(300,494):{'3_1':0.0},(300,493):{'3_1':0.0},(300,492):{'3_1':0.0},(300,491):{'3_1':0.03,'5_1':0.0},(300,490):{'3_1':0.03},(300,489):{'3_1':0.0},(300,488):{'3_1':0.0},(300,487):{'3_1':0.03},(300,486):{'3_1':0.0},(300,485):{'3_1':0.0},(300,484):{'3_1':0.0},(300,483):{'3_1':0.09,'5_1':0.0},(300,482):{'3_1':0.0},(300,481):{'4_1':0.0},(300,480):{'3_1':0.03},(300,478):{'3_1':0.03},(300,477):{'3_1':0.0},(300,476):{'3_1':0.06},(300,475):{'3_1':0.0},(300,474):{'3_1':0.0},(300,473):{'3_1':0.06},(300,472):{'3_1':0.03},(300,471):{'3_1':0.0},(300,470):{'3_1':0.0},(300,469):{'3_1':0.06},(300,468):{'3_1':0.0},(300,467):{'3_1':0.0},(300,466):{'3_1':0.0},(300,465):{'3_1':0.0},(300,464):{'3_1':0.0},(300,463):{'3_1':0.0},(300,462):{'3_1':0.0},(300,461):{'3_1':0.0},(300,460):{'3_1':0.0,'4_1':0.0},(300,458):{'4_1':0.0},(300,456):{'3_1':0.0,'4_1':0.0},(300,455):{'3_1':0.0},(300,452):{'3_1':0.0,'4_1':0.0},(300,451):{'3_1':0.0},(300,446):{'3_1':0.0},(300,445):{'3_1':0.0},(300,444):{'3_1':0.0,'4_1':0.0},(300,443):{'3_1':0.0},(300,442):{'3_1':0.0},(300,441):{'3_1':0.0},(300,440):{'3_1':0.0},(300,438):{'3_1':0.0},(300,437):{'3_1':0.0},(300,436):{'3_1':0.0},(300,435):{'3_1':0.0},(300,434):{'3_1':0.0},(300,432):{'3_1':0.0},(300,431):{'3_1':0.0},(300,430):{'3_1':0.0},(300,429):{'3_1':0.0},(300,428):{'3_1':0.0},(300,427):{'3_1':0.0,'4_1':0.0},(300,424):{'3_1':0.0},(300,423):{'3_1':0.0},(300,422):{'3_1':0.0},(300,421):{'4_1':0.0},(300,420):{'3_1':0.0},(300,417):{'3_1':0.0},(300,416):{'4_1':0.0},(300,415):{'4_1':0.0},(300,414):{'3_1':0.0},(300,413):{'3_1':0.0},(300,411):{'6_1':0.0,'3_1':0.0},(300,408):{'3_1':0.0},(300,401):{'3_1':0.0},(300,396):{'3_1':0.0},(300,393):{'3_1':0.0},(300,391):{'3_1':0.0,'5_2':0.0},(300,389):{'3_1':0.0},(300,384):{'3_1':0.0},(300,381):{'3_1':0.0},(300,380):{'3_1':0.0},(300,379):{'3_1':0.0},(300,378):{'3_1':0.0},(300,377):{'3_1':0.0},(300,376):{'3_1':0.0},(300,375):{'3_1':0.0},(300,374):{'3_1':0.0},(300,371):{'3_1':0.0},(300,370):{'3_1':0.0},(300,369):{'3_1':0.0},(300,367):{'3_1':0.0},(300,366):{'3_1':0.0},(300,365):{'3_1':0.0},(300,364):{'3_1':0.0},(300,362):{'3_1':0.0},(300,361):{'3_1':0.0},(300,360):{'3_1':0.0},(300,359):{'3_1':0.0},(300,358):{'3_1':0.0},(300,356):{'3_1':0.0},(300,352):{'3_1':0.0},(300,348):{'3_1':0.0},(300,345):{'3_1':0.0},(300,338):{'4_1':0.0},(300,337):{'3_1':0.0},(300,328):{'5_2':0.0},(300,327):{'3_1':0.0},(300,326):{'3_1':0.0},(301,727):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(301,726):{'4_1':0.12,'5_2':0.03,'3_1':0.0,'6_2':0.0},(301,725):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(301,724):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(301,723):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(301,722):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(301,721):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'-3':0.0},(301,720):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0},(301,719):{'4_1':0.18,'3_1':0.03,'5_2':0.03},(301,718):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0},(301,717):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_4':0.0},(301,716):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0,'7_4':0.0},(301,715):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(301,714):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0},(301,713):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0},(301,712):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(301,711):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'7_3':0.0},(301,710):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_12':0.0},(301,709):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(301,708):{'4_1':0.21,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0},(301,707):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'7_4':0.0},(301,706):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(301,705):{'4_1':0.24,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_4':0.0},(301,704):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_1':0.0},(301,703):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_4':0.0},(301,702):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(301,701):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(301,700):{'4_1':0.18,'3_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(301,699):{'4_1':0.09,'5_2':0.09,'3_1':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'8_2':0.0},(301,698):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0,'7_3':0.0},(301,697):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'5_1':0.0,'6_2':0.0,'7_4':0.0},(301,696):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(301,695):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(301,694):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0,'8_19':0.0},(301,693):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(301,692):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_1':0.0},(301,691):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0},(301,690):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'6_1':0.0,'7_3':0.0},(301,689):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(301,688):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(301,687):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.03,'7_4':0.03,'5_1':0.0,'8_9':0.0},(301,686):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(301,685):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(301,684):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0},(301,683):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(301,682):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'8_1':0.0},(301,681):{'4_1':0.21,'5_2':0.12,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(301,680):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(301,679):{'4_1':0.18,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(301,678):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(301,677):{'4_1':0.12,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(301,676):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(301,675):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0},(301,674):{'5_2':0.12,'4_1':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_1':0.0},(301,673):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0},(301,672):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(301,671):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(301,670):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_3':0.0},(301,669):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0},(301,668):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'8_1':0.0},(301,667):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_1':0.0},(301,666):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_13':0.0},(301,665):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_2':0.0},(301,664):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(301,663):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(301,662):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(301,661):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0},(301,660):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_3':0.0},(301,659):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_3':0.0,'8_1':0.0},(301,658):{'3_1':0.18,'4_1':0.18,'5_2':0.0},(301,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(301,656):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(301,655):{'3_1':0.18,'4_1':0.06,'7_5':0.0,'5_2':0.0,'6_1':0.0},(301,654):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(301,653):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(301,652):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(301,651):{'4_1':0.12,'3_1':0.09,'5_2':0.03},(301,650):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'5_1':0.0},(301,649):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(301,648):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_2':0.0},(301,647):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_3':0.0},(301,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(301,645):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'6_1':0.0},(301,644):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_3':0.0},(301,643):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(301,642):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_3':0.0,'8_1':0.0},(301,641):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0},(301,640):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0},(301,639):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(301,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(301,637):{'3_1':0.12,'4_1':0.06},(301,636):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(301,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(301,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(301,633):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(301,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(301,631):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(301,630):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(301,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(301,628):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(301,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(301,626):{'3_1':0.12,'4_1':0.0},(301,625):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(301,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(301,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(301,622):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(301,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(301,620):{'3_1':0.06,'4_1':0.0},(301,619):{'3_1':0.06,'4_1':0.03},(301,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(301,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(301,616):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(301,615):{'3_1':0.0,'4_1':0.0},(301,614):{'3_1':0.03,'4_1':0.03},(301,613):{'3_1':0.06,'5_1':0.0},(301,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(301,611):{'3_1':0.06,'4_1':0.03},(301,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(301,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(301,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(301,607):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(301,606):{'3_1':0.06,'4_1':0.0},(301,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(301,604):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(301,603):{'3_1':0.03,'5_2':0.0},(301,602):{'3_1':0.0,'4_1':0.0},(301,601):{'3_1':0.0,'4_1':0.0},(301,600):{'3_1':0.0,'4_1':0.0},(301,599):{'3_1':0.06,'4_1':0.03},(301,598):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_1':0.0},(301,597):{'3_1':0.03},(301,596):{'3_1':0.03,'8_1':0.0},(301,595):{'3_1':0.06},(301,594):{'3_1':0.03},(301,593):{'3_1':0.06,'5_1':0.0},(301,592):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(301,591):{'3_1':0.06,'4_1':0.0},(301,590):{'3_1':0.03},(301,589):{'3_1':0.03,'4_1':0.0},(301,588):{'3_1':0.0},(301,587):{'3_1':0.03},(301,586):{'3_1':0.03,'6_2':0.0},(301,585):{'3_1':0.0,'5_2':0.0},(301,584):{'3_1':0.06},(301,583):{'3_1':0.0},(301,582):{'3_1':0.0,'5_1':0.0},(301,581):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(301,580):{'3_1':0.0},(301,579):{'4_1':0.0},(301,578):{'3_1':0.03,'4_1':0.0},(301,577):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(301,576):{'4_1':0.0},(301,575):{'3_1':0.0},(301,574):{'3_1':0.03},(301,573):{'3_1':0.03,'5_2':0.0},(301,572):{'3_1':0.0,'4_1':0.0},(301,571):{'3_1':0.0},(301,570):{'3_1':0.03},(301,569):{'3_1':0.0},(301,568):{'3_1':0.0},(301,567):{'3_1':0.0,'4_1':0.0},(301,566):{'3_1':0.0,'4_1':0.0},(301,565):{'3_1':0.03},(301,564):{'3_1':0.03},(301,563):{'3_1':0.0},(301,562):{'3_1':0.03},(301,561):{'3_1':0.0},(301,560):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(301,559):{'3_1':0.03},(301,558):{'3_1':0.0,'4_1':0.0},(301,556):{'3_1':0.0},(301,555):{'3_1':0.0},(301,554):{'3_1':0.0,'6_1':0.0},(301,552):{'3_1':0.0},(301,550):{'3_1':0.0},(301,549):{'3_1':0.0},(301,548):{'3_1':0.0},(301,547):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(301,546):{'3_1':0.0},(301,544):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(301,543):{'3_1':0.0},(301,542):{'3_1':0.06},(301,541):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(301,540):{'6_1':0.0,'6_2':0.0},(301,539):{'3_1':0.0},(301,538):{'3_1':0.03},(301,537):{'3_1':0.03},(301,536):{'3_1':0.0},(301,535):{'3_1':0.0},(301,534):{'3_1':0.0,'6_1':0.0},(301,533):{'3_1':0.0},(301,532):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(301,531):{'3_1':0.0},(301,530):{'3_1':0.0,'4_1':0.0},(301,529):{'3_1':0.03,'4_1':0.0},(301,528):{'3_1':0.0,'4_1':0.0},(301,527):{'3_1':0.0},(301,526):{'3_1':0.0},(301,525):{'3_1':0.03},(301,523):{'3_1':0.0,'6_1':0.0},(301,522):{'3_1':0.0,'5_1':0.0},(301,521):{'3_1':0.0},(301,519):{'6_3':0.0},(301,518):{'3_1':0.0},(301,517):{'3_1':0.0},(301,515):{'3_1':0.0},(301,514):{'3_1':0.0},(301,512):{'3_1':0.0,'4_1':0.0},(301,511):{'3_1':0.0},(301,510):{'3_1':0.0},(301,509):{'3_1':0.0},(301,508):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(301,507):{'3_1':0.0},(301,506):{'3_1':0.0},(301,505):{'4_1':0.0},(301,504):{'4_1':0.0},(301,503):{'4_1':0.0},(301,502):{'3_1':0.0},(301,501):{'3_1':0.0},(301,500):{'4_1':0.0},(301,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(301,498):{'3_1':0.0},(301,497):{'3_1':0.0},(301,495):{'3_1':0.0,'4_1':0.0},(301,494):{'3_1':0.0},(301,493):{'3_1':0.0},(301,492):{'3_1':0.0},(301,491):{'3_1':0.03},(301,490):{'3_1':0.0},(301,489):{'3_1':0.03,'5_1':0.0},(301,488):{'3_1':0.0},(301,487):{'3_1':0.0},(301,486):{'3_1':0.0},(301,485):{'3_1':0.0,'4_1':0.0},(301,484):{'3_1':0.03},(301,483):{'3_1':0.03},(301,482):{'3_1':0.03},(301,481):{'3_1':0.03},(301,480):{'3_1':0.0},(301,479):{'3_1':0.03},(301,478):{'3_1':0.0},(301,477):{'3_1':0.03},(301,476):{'3_1':0.06,'4_1':0.0},(301,475):{'3_1':0.0},(301,474):{'3_1':0.03},(301,473):{'3_1':0.0},(301,472):{'3_1':0.03},(301,471):{'3_1':0.0},(301,470):{'3_1':0.0,'4_1':0.0},(301,469):{'3_1':0.0},(301,468):{'3_1':0.0},(301,467):{'3_1':0.0},(301,466):{'4_1':0.0},(301,465):{'3_1':0.0},(301,464):{'3_1':0.0},(301,463):{'3_1':0.0},(301,462):{'3_1':0.0},(301,461):{'3_1':0.0},(301,460):{'3_1':0.0},(301,459):{'3_1':0.0},(301,458):{'3_1':0.0},(301,457):{'3_1':0.0},(301,453):{'4_1':0.0},(301,452):{'3_1':0.0},(301,451):{'3_1':0.0},(301,450):{'3_1':0.0},(301,449):{'4_1':0.0},(301,448):{'3_1':0.0,'4_1':0.0},(301,446):{'3_1':0.0},(301,444):{'3_1':0.0},(301,442):{'3_1':0.0},(301,441):{'3_1':0.0},(301,440):{'3_1':0.0},(301,439):{'3_1':0.0},(301,438):{'3_1':0.0},(301,437):{'3_1':0.0,'5_2':0.0},(301,436):{'3_1':0.0},(301,434):{'3_1':0.03},(301,433):{'3_1':0.0},(301,432):{'3_1':0.0},(301,431):{'3_1':0.03},(301,429):{'3_1':0.0},(301,428):{'3_1':0.0},(301,427):{'3_1':0.0},(301,426):{'3_1':0.0},(301,423):{'3_1':0.0},(301,422):{'3_1':0.0},(301,421):{'3_1':0.0},(301,418):{'3_1':0.0,'5_1':0.0},(301,387):{'3_1':0.0},(301,386):{'3_1':0.0},(301,384):{'3_1':0.0},(301,383):{'3_1':0.0},(301,371):{'3_1':0.0},(301,370):{'3_1':0.0},(301,369):{'3_1':0.0,'5_1':0.0},(301,368):{'3_1':0.0},(301,367):{'3_1':0.0},(301,366):{'3_1':0.0},(301,365):{'3_1':0.0},(302,727):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'7_3':0.0},(302,726):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(302,725):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0},(302,724):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_5':0.0},(302,723):{'4_1':0.15,'5_2':0.06,'3_1':0.03},(302,722):{'4_1':0.21,'5_2':0.06,'3_1':0.06},(302,721):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'7_4':0.0},(302,720):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0},(302,719):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(302,718):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(302,717):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0},(302,716):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(302,715):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0,'-3':0.0},(302,714):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'7_4':0.0},(302,713):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'7_4':0.0,'8_1':0.0},(302,712):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'7_6':0.0},(302,711):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'8_3':0.0},(302,710):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(302,709):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'7_1':0.0,'7_3':0.0},(302,708):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'-3':0.0},(302,707):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.0,'6_1':0.0,'7_3':0.0},(302,706):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'7_4':0.0,'7_3':0.0},(302,705):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(302,704):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.03,'6_1':0.0,'-3':0.0},(302,703):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_4':0.03,'6_1':0.0,'8_2':0.0},(302,702):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.03,'6_1':0.0},(302,701):{'4_1':0.21,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(302,700):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_4':0.0,'6_1':0.0,'8_3':0.0},(302,699):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0},(302,698):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'7_4':0.03,'5_1':0.0,'6_1':0.0,'8_3':0.0},(302,697):{'4_1':0.12,'5_2':0.12,'3_1':0.09},(302,696):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0},(302,695):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'5_1':0.0},(302,694):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'7_4':0.0,'7_3':0.0,'7_5':0.0},(302,693):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_3':0.0},(302,692):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'7_4':0.0,'6_1':0.0},(302,691):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_4':0.0},(302,690):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(302,689):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'6_2':0.0,'7_4':0.0},(302,688):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0},(302,687):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(302,686):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(302,685):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_9':0.0,'-3':0.0},(302,684):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0},(302,683):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_2':0.0},(302,682):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(302,681):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_2':0.0,'8_1':0.0,'9_1':0.0},(302,680):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_2':0.0,'6_1':0.0},(302,679):{'4_1':0.18,'5_2':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(302,678):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_1':0.0},(302,677):{'5_2':0.15,'4_1':0.12,'3_1':0.06,'6_1':0.0,'7_4':0.0},(302,676):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(302,675):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.03,'6_1':0.0},(302,674):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'6_2':0.0,'7_3':0.0},(302,673):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(302,672):{'4_1':0.21,'5_2':0.09,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(302,671):{'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'3_1':0.0,'6_2':0.0},(302,670):{'4_1':0.15,'5_2':0.06,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(302,669):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_3':0.0,'8_3':0.0,'-3':0.0},(302,668):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0},(302,667):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(302,666):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'7_4':0.0},(302,665):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0},(302,664):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0},(302,663):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_3':0.0},(302,662):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0},(302,661):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_6':0.0,'8_2':0.0},(302,660):{'4_1':0.21,'3_1':0.12,'5_2':0.03},(302,659):{'4_1':0.18,'3_1':0.15,'5_2':0.0},(302,658):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.03,'5_1':0.0,'6_1':0.0},(302,657):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(302,656):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(302,655):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0},(302,654):{'3_1':0.18,'4_1':0.12,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(302,653):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(302,652):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(302,651):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_4':0.0},(302,650):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0},(302,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(302,648):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(302,647):{'3_1':0.3,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(302,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(302,645):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(302,644):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(302,643):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_2':0.0,'6_3':0.0},(302,642):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(302,641):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(302,640):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'7_1':0.0},(302,639):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(302,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_1':0.0},(302,637):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(302,636):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(302,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(302,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(302,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(302,632):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(302,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(302,630):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(302,629):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(302,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(302,627):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(302,626):{'3_1':0.12,'4_1':0.03},(302,625):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(302,624):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(302,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_6':0.0},(302,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(302,621):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(302,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(302,619):{'3_1':0.06,'4_1':0.0},(302,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(302,617):{'3_1':0.09,'4_1':0.0},(302,616):{'3_1':0.09,'4_1':0.03,'6_3':0.0,'-3':0.0},(302,615):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(302,614):{'3_1':0.03,'4_1':0.03},(302,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(302,612):{'4_1':0.03,'3_1':0.0},(302,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(302,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(302,609):{'3_1':0.06,'4_1':0.0},(302,608):{'3_1':0.03,'4_1':0.03},(302,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(302,606):{'4_1':0.0,'3_1':0.0},(302,605):{'3_1':0.03,'4_1':0.0},(302,604):{'4_1':0.03},(302,603):{'3_1':0.03},(302,602):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(302,601):{'3_1':0.03},(302,600):{'3_1':0.0},(302,599):{'3_1':0.03,'4_1':0.0},(302,598):{'3_1':0.03,'4_1':0.0},(302,597):{'3_1':0.06},(302,596):{'3_1':0.03},(302,595):{'3_1':0.0},(302,594):{'3_1':0.06},(302,593):{'3_1':0.03,'4_1':0.0},(302,592):{'3_1':0.03,'5_2':0.0},(302,591):{'3_1':0.03,'4_1':0.0},(302,590):{'3_1':0.03},(302,589):{'3_1':0.03,'5_2':0.0},(302,588):{'3_1':0.09},(302,587):{'3_1':0.0,'4_1':0.0},(302,586):{'3_1':0.03},(302,585):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(302,584):{'3_1':0.06},(302,583):{'3_1':0.0},(302,582):{'3_1':0.0,'4_1':0.0},(302,581):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(302,580):{'3_1':0.0},(302,579):{'3_1':0.0},(302,578):{'3_1':0.06,'4_1':0.0},(302,577):{'3_1':0.0},(302,576):{'3_1':0.03,'4_1':0.0},(302,575):{'3_1':0.0},(302,574):{'3_1':0.0},(302,573):{'3_1':0.03,'4_1':0.0},(302,572):{'3_1':0.03},(302,571):{'3_1':0.03,'5_2':0.0},(302,570):{'3_1':0.0},(302,569):{'3_1':0.03},(302,568):{'3_1':0.0},(302,567):{'3_1':0.0,'4_1':0.0},(302,566):{'3_1':0.0},(302,565):{'3_1':0.0,'4_1':0.0},(302,564):{'3_1':0.03},(302,563):{'3_1':0.0,'4_1':0.0},(302,562):{'3_1':0.03},(302,561):{'3_1':0.0},(302,560):{'3_1':0.0,'5_2':0.0},(302,559):{'3_1':0.0},(302,558):{'3_1':0.0},(302,557):{'3_1':0.0,'4_1':0.0},(302,556):{'3_1':0.03},(302,555):{'3_1':0.0},(302,554):{'3_1':0.0},(302,553):{'3_1':0.0},(302,552):{'3_1':0.0},(302,551):{'3_1':0.0,'4_1':0.0},(302,550):{'3_1':0.0},(302,549):{'3_1':0.0,'4_1':0.0},(302,548):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(302,547):{'3_1':0.03},(302,546):{'3_1':0.0,'5_1':0.0},(302,545):{'3_1':0.0},(302,544):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(302,543):{'3_1':0.03},(302,542):{'3_1':0.03},(302,541):{'3_1':0.0},(302,540):{'3_1':0.0},(302,539):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(302,538):{'3_1':0.06},(302,537):{'3_1':0.03},(302,536):{'3_1':0.03},(302,535):{'3_1':0.0},(302,534):{'3_1':0.0,'4_1':0.0},(302,533):{'3_1':0.03},(302,532):{'3_1':0.03},(302,531):{'3_1':0.0,'4_1':0.0},(302,530):{'3_1':0.03},(302,529):{'3_1':0.03,'4_1':0.0},(302,528):{'3_1':0.03,'5_1':0.0},(302,527):{'3_1':0.0,'6_2':0.0},(302,526):{'3_1':0.03},(302,525):{'3_1':0.0},(302,524):{'3_1':0.0},(302,522):{'3_1':0.0},(302,521):{'3_1':0.03},(302,520):{'3_1':0.0},(302,519):{'3_1':0.0},(302,518):{'3_1':0.03},(302,517):{'3_1':0.0},(302,516):{'3_1':0.0,'5_1':0.0},(302,515):{'3_1':0.0,'5_1':0.0},(302,514):{'3_1':0.0},(302,513):{'3_1':0.0},(302,512):{'3_1':0.03},(302,511):{'3_1':0.0},(302,510):{'3_1':0.0},(302,509):{'3_1':0.03},(302,508):{'3_1':0.0,'4_1':0.0},(302,506):{'3_1':0.0,'8_20|3_1#3_1':0.0},(302,505):{'3_1':0.0},(302,504):{'4_1':0.0,'5_2':0.0},(302,502):{'3_1':0.0,'4_1':0.0},(302,501):{'3_1':0.0},(302,500):{'3_1':0.03},(302,499):{'3_1':0.0},(302,497):{'3_1':0.03},(302,496):{'3_1':0.0},(302,495):{'3_1':0.0},(302,494):{'3_1':0.0},(302,493):{'3_1':0.0},(302,492):{'3_1':0.0},(302,491):{'3_1':0.0},(302,490):{'3_1':0.0},(302,489):{'3_1':0.03,'5_1':0.0},(302,488):{'3_1':0.0},(302,487):{'3_1':0.03,'7_1':0.0},(302,486):{'3_1':0.0},(302,485):{'3_1':0.0},(302,484):{'3_1':0.03,'7_1':0.0},(302,483):{'3_1':0.0,'5_1':0.0},(302,482):{'3_1':0.0,'4_1':0.0},(302,481):{'3_1':0.03},(302,480):{'3_1':0.03,'5_2':0.0},(302,479):{'3_1':0.0,'5_2':0.0},(302,478):{'3_1':0.03},(302,477):{'3_1':0.03},(302,476):{'3_1':0.03},(302,475):{'3_1':0.03,'5_1':0.0},(302,474):{'3_1':0.03},(302,473):{'3_1':0.03},(302,472):{'3_1':0.03},(302,471):{'3_1':0.0},(302,470):{'3_1':0.0},(302,469):{'3_1':0.0},(302,468):{'3_1':0.0},(302,467):{'3_1':0.0},(302,465):{'3_1':0.0},(302,464):{'3_1':0.0},(302,463):{'3_1':0.0},(302,462):{'3_1':0.0},(302,461):{'3_1':0.0},(302,460):{'3_1':0.0},(302,457):{'3_1':0.0},(302,456):{'3_1':0.0,'4_1':0.0},(302,455):{'3_1':0.0},(302,454):{'3_1':0.0},(302,453):{'3_1':0.0,'4_1':0.0},(302,452):{'3_1':0.03},(302,450):{'3_1':0.0},(302,449):{'3_1':0.0},(302,448):{'3_1':0.0},(302,447):{'3_1':0.03},(302,446):{'3_1':0.0},(302,444):{'3_1':0.0},(302,442):{'3_1':0.0},(302,441):{'3_1':0.0},(302,439):{'3_1':0.0},(302,437):{'3_1':0.0},(302,436):{'3_1':0.0},(302,434):{'3_1':0.0},(302,433):{'3_1':0.0},(302,432):{'3_1':0.0},(302,431):{'3_1':0.0},(302,429):{'3_1':0.0},(302,427):{'3_1':0.0},(302,425):{'3_1':0.0},(302,422):{'3_1':0.0},(302,419):{'3_1':0.0},(302,417):{'5_1':0.0,'3_1':0.0},(302,416):{'3_1':0.0,'5_1':0.0},(302,415):{'3_1':0.0},(302,408):{'3_1':0.0},(302,406):{'3_1':0.0},(302,404):{'3_1':0.0},(302,401):{'3_1':0.0,'6_1':0.0},(302,400):{'3_1':0.0},(302,399):{'3_1':0.0},(302,398):{'3_1':0.0},(302,396):{'3_1':0.0},(302,394):{'3_1':0.0},(302,389):{'3_1':0.0},(302,388):{'3_1':0.0},(302,387):{'3_1':0.0,'5_1':0.0},(302,386):{'3_1':0.0},(302,384):{'3_1':0.0},(302,381):{'3_1':0.0},(302,377):{'3_1':0.0},(302,376):{'3_1':0.0},(302,375):{'3_1':0.0},(302,374):{'3_1':0.0},(302,372):{'3_1':0.0},(302,370):{'3_1':0.0},(302,369):{'3_1':0.0,'4_1':0.0},(302,367):{'3_1':0.0},(302,365):{'3_1':0.0},(302,364):{'3_1':0.0,'4_1':0.0},(302,363):{'3_1':0.0},(302,313):{'3_1':0.0},(302,309):{'3_1':0.0},(302,308):{'3_1':0.0},(303,727):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0},(303,726):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'8_1':0.0},(303,725):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'8_1':0.0},(303,724):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(303,723):{'4_1':0.21,'3_1':0.0,'5_2':0.0,'8_14':0.0},(303,722):{'4_1':0.12,'3_1':0.06,'5_2':0.03},(303,721):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(303,720):{'3_1':0.12,'4_1':0.12,'5_2':0.0},(303,719):{'4_1':0.18,'3_1':0.03,'5_2':0.03},(303,718):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(303,717):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_6':0.0},(303,716):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(303,715):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0},(303,714):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'7_6':0.0,'-3':0.0},(303,713):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'7_4':0.0},(303,712):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'7_4':0.0,'8_20|3_1#3_1':0.0,'3_1#5_2':0.0},(303,711):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_6':0.0},(303,710):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_2':0.0,'8_14':0.0},(303,709):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(303,708):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(303,707):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(303,706):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0},(303,705):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(303,704):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0},(303,703):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(303,702):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_4':0.0,'7_1':0.0},(303,701):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'7_4':0.03,'6_2':0.0,'7_5':0.0},(303,700):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.0},(303,699):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0,'8_3':0.0},(303,698):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'7_4':0.0},(303,697):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_4':0.0},(303,696):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(303,695):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_4':0.0,'6_1':0.0},(303,694):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_2':0.0},(303,693):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(303,692):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(303,691):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_3':0.0,'5_1':0.0,'6_2':0.0},(303,690):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_3':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(303,689):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_2':0.0,'8_3':0.0},(303,688):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'7_4':0.0,'6_2':0.0},(303,687):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(303,686):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_3':0.0,'-3':0.0},(303,685):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_2':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0},(303,684):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(303,683):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(303,682):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_2':0.0,'6_1':0.0,'7_2':0.0,'8_3':0.0,'-3':0.0},(303,681):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(303,680):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(303,679):{'4_1':0.12,'5_2':0.12,'6_1':0.03,'3_1':0.03,'6_2':0.0},(303,678):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_2':0.0,'-3':0.0},(303,677):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_3':0.0,'-3':0.0},(303,676):{'4_1':0.15,'5_2':0.09,'6_1':0.03,'3_1':0.0,'5_1':0.0},(303,675):{'4_1':0.18,'5_2':0.15,'3_1':0.03,'6_1':0.03,'8_3':0.0,'6_2':0.0,'-3':0.0},(303,674):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_6':0.0},(303,673):{'4_1':0.18,'5_2':0.15,'3_1':0.06,'6_1':0.0,'-3':0.0},(303,672):{'4_1':0.12,'5_2':0.03,'6_1':0.03,'3_1':0.0,'5_1':0.0},(303,671):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(303,670):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_2':0.0},(303,669):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_3':0.0},(303,668):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.0},(303,667):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(303,666):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(303,665):{'4_1':0.21,'3_1':0.12,'5_2':0.09,'5_1':0.0,'6_2':0.0,'6_3':0.0},(303,664):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'6_1':0.03,'8_3':0.0,'7_4':0.0},(303,663):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(303,662):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_3':0.0},(303,661):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_1':0.0},(303,660):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'-3':0.0},(303,659):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0},(303,658):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(303,657):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(303,656):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0},(303,655):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(303,654):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_3':0.0},(303,653):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(303,652):{'4_1':0.18,'3_1':0.18,'5_1':0.0,'6_2':0.0,'7_1':0.0},(303,651):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(303,650):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'-3':0.0},(303,649):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0},(303,648):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(303,647):{'3_1':0.24,'4_1':0.09,'8_20|3_1#3_1':0.0},(303,646):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(303,645):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'6_2':0.0},(303,644):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(303,643):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(303,642):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(303,641):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(303,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'8_1':0.0,'5_2':0.0},(303,639):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0},(303,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(303,637):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(303,636):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(303,635):{'3_1':0.09,'4_1':0.0},(303,634):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(303,633):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(303,632):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(303,631):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(303,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(303,629):{'3_1':0.12,'4_1':0.0},(303,628):{'3_1':0.12,'4_1':0.0},(303,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(303,626):{'3_1':0.09,'5_1':0.0},(303,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(303,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(303,623):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(303,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(303,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(303,620):{'3_1':0.06,'4_1':0.0},(303,619):{'3_1':0.03,'4_1':0.0},(303,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(303,617):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(303,616):{'3_1':0.06,'4_1':0.0},(303,615):{'4_1':0.03,'3_1':0.03},(303,614):{'3_1':0.06,'4_1':0.03},(303,613):{'4_1':0.03,'3_1':0.0},(303,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(303,611):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(303,610):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(303,609):{'3_1':0.06,'4_1':0.0},(303,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(303,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_1':0.0},(303,606):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(303,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(303,604):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_3':0.0},(303,603):{'4_1':0.0,'3_1':0.0},(303,602):{'3_1':0.0},(303,601):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(303,600):{'3_1':0.0},(303,599):{'3_1':0.03,'4_1':0.0},(303,598):{'3_1':0.03,'4_1':0.0},(303,597):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(303,596):{'3_1':0.0},(303,595):{'3_1':0.09,'4_1':0.0},(303,594):{'3_1':0.03,'4_1':0.0},(303,593):{'3_1':0.03},(303,592):{'3_1':0.03},(303,591):{'3_1':0.03,'5_1':0.0},(303,590):{'3_1':0.0},(303,589):{'3_1':0.03},(303,588):{'3_1':0.03},(303,587):{'3_1':0.03},(303,586):{'3_1':0.03},(303,585):{'3_1':0.0,'5_2':0.0},(303,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(303,583):{'3_1':0.03,'4_1':0.0},(303,582):{'3_1':0.0},(303,581):{'3_1':0.06,'5_1':0.0},(303,580):{'3_1':0.0,'5_1':0.0},(303,579):{'3_1':0.03},(303,578):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(303,577):{'3_1':0.03},(303,576):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(303,575):{'3_1':0.03},(303,574):{'3_1':0.0,'4_1':0.0},(303,573):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(303,572):{'3_1':0.03,'5_2':0.0},(303,571):{'3_1':0.0,'4_1':0.0},(303,570):{'3_1':0.03,'4_1':0.0},(303,569):{'3_1':0.03,'4_1':0.0},(303,568):{'3_1':0.06},(303,567):{'3_1':0.0},(303,566):{'3_1':0.0},(303,565):{'3_1':0.0},(303,564):{'3_1':0.0,'5_2':0.0},(303,563):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(303,562):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(303,561):{'3_1':0.0,'5_2':0.0},(303,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(303,559):{'3_1':0.03,'5_2':0.0},(303,558):{'3_1':0.03,'4_1':0.0},(303,557):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(303,556):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(303,555):{'3_1':0.06},(303,554):{'3_1':0.03},(303,553):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(303,552):{'3_1':0.0,'5_2':0.0},(303,551):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(303,550):{'3_1':0.03},(303,549):{'3_1':0.0},(303,548):{'3_1':0.0,'5_2':0.0},(303,547):{'3_1':0.0,'5_2':0.0,'8_4':0.0},(303,546):{'3_1':0.03},(303,545):{'3_1':0.03,'4_1':0.0},(303,544):{'3_1':0.0,'6_1':0.0},(303,543):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(303,542):{'3_1':0.0,'4_1':0.0},(303,541):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(303,540):{'3_1':0.03},(303,539):{'3_1':0.0,'5_1':0.0},(303,538):{'3_1':0.03},(303,537):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(303,536):{'3_1':0.03,'4_1':0.0},(303,535):{'3_1':0.0},(303,534):{'3_1':0.0},(303,533):{'3_1':0.0,'6_2':0.0},(303,532):{'3_1':0.03},(303,531):{'3_1':0.03},(303,530):{'3_1':0.0,'4_1':0.0},(303,529):{'3_1':0.0},(303,528):{'3_1':0.0},(303,527):{'3_1':0.0},(303,526):{'3_1':0.0,'5_2':0.0},(303,525):{'3_1':0.0},(303,524):{'3_1':0.0},(303,523):{'3_1':0.03},(303,522):{'3_1':0.03,'5_1':0.0},(303,521):{'3_1':0.0},(303,520):{'3_1':0.0},(303,519):{'3_1':0.03},(303,518):{'3_1':0.03},(303,517):{'3_1':0.0},(303,516):{'3_1':0.0,'4_1':0.0},(303,515):{'5_1':0.0},(303,514):{'4_1':0.0,'3_1':0.0},(303,513):{'3_1':0.0,'5_2':0.0},(303,512):{'3_1':0.0},(303,511):{'3_1':0.0},(303,510):{'3_1':0.0},(303,509):{'3_1':0.0,'6_1':0.0},(303,508):{'4_1':0.0},(303,507):{'3_1':0.03},(303,506):{'3_1':0.0,'4_1':0.0},(303,505):{'3_1':0.03,'4_1':0.0},(303,504):{'3_1':0.0},(303,503):{'3_1':0.03,'5_2':0.0,'8_3':0.0},(303,502):{'3_1':0.0},(303,501):{'3_1':0.0},(303,500):{'3_1':0.0,'4_1':0.0},(303,499):{'4_1':0.0},(303,497):{'3_1':0.0},(303,496):{'3_1':0.0},(303,495):{'3_1':0.0},(303,494):{'3_1':0.0,'4_1':0.0},(303,493):{'3_1':0.0},(303,492):{'3_1':0.0},(303,491):{'3_1':0.0},(303,490):{'3_1':0.0,'5_1':0.0},(303,489):{'3_1':0.0},(303,488):{'3_1':0.0},(303,487):{'3_1':0.03},(303,486):{'3_1':0.0},(303,485):{'3_1':0.0},(303,484):{'3_1':0.03},(303,483):{'3_1':0.03},(303,482):{'3_1':0.03},(303,481):{'3_1':0.06,'4_1':0.0},(303,480):{'3_1':0.03},(303,479):{'3_1':0.06,'4_1':0.0},(303,478):{'3_1':0.0},(303,477):{'3_1':0.03},(303,476):{'3_1':0.06},(303,475):{'3_1':0.0},(303,473):{'3_1':0.03},(303,472):{'3_1':0.03},(303,471):{'3_1':0.0},(303,470):{'3_1':0.0},(303,469):{'3_1':0.0},(303,468):{'3_1':0.0},(303,467):{'3_1':0.0},(303,466):{'3_1':0.0},(303,465):{'3_1':0.0},(303,464):{'3_1':0.0},(303,462):{'3_1':0.0},(303,461):{'3_1':0.0},(303,460):{'3_1':0.0},(303,459):{'3_1':0.0},(303,458):{'3_1':0.0},(303,457):{'3_1':0.0},(303,456):{'3_1':0.0,'4_1':0.0},(303,455):{'3_1':0.0,'4_1':0.0},(303,453):{'3_1':0.0},(303,452):{'3_1':0.0},(303,451):{'3_1':0.0},(303,450):{'3_1':0.0},(303,448):{'3_1':0.0},(303,447):{'3_1':0.0},(303,446):{'3_1':0.0},(303,445):{'3_1':0.0},(303,442):{'3_1':0.0},(303,441):{'3_1':0.0},(303,440):{'3_1':0.0},(303,439):{'3_1':0.0},(303,438):{'3_1':0.0},(303,437):{'3_1':0.0},(303,436):{'3_1':0.0},(303,435):{'3_1':0.0},(303,434):{'3_1':0.03},(303,433):{'3_1':0.0},(303,432):{'3_1':0.0},(303,430):{'3_1':0.0},(303,429):{'5_2':0.0},(303,428):{'3_1':0.0,'5_2':0.0},(303,425):{'3_1':0.0},(303,424):{'3_1':0.0},(303,422):{'3_1':0.0},(303,421):{'3_1':0.0},(303,420):{'3_1':0.0},(303,419):{'3_1':0.0},(303,417):{'3_1':0.03},(303,416):{'3_1':0.0},(303,415):{'3_1':0.0},(303,414):{'3_1':0.0},(303,411):{'3_1':0.0},(303,410):{'5_1':0.0},(303,407):{'3_1':0.0},(303,406):{'3_1':0.0},(303,405):{'3_1':0.0},(303,403):{'3_1':0.0},(303,402):{'3_1':0.0},(303,400):{'3_1':0.0},(303,398):{'3_1':0.0,'4_1':0.0},(303,387):{'5_1':0.0},(303,384):{'3_1':0.0},(303,381):{'3_1':0.0},(303,380):{'3_1':0.0},(303,376):{'3_1':0.0},(303,375):{'3_1':0.0},(303,374):{'3_1':0.0},(303,373):{'3_1':0.0},(303,372):{'3_1':0.0},(303,371):{'3_1':0.0},(303,370):{'3_1':0.0},(303,368):{'3_1':0.0},(303,365):{'3_1':0.0},(303,364):{'3_1':0.0},(303,363):{'3_1':0.0},(303,316):{'5_2':0.0},(303,315):{'3_1':0.0},(303,311):{'5_2':0.0},(303,310):{'3_1':0.0},(304,727):{'4_1':0.12,'5_2':0.0,'3_1':0.0,'7_3':0.0,'7_4':0.0},(304,726):{'4_1':0.09,'3_1':0.03,'5_2':0.03},(304,725):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'7_5':0.0},(304,724):{'4_1':0.24,'5_2':0.03,'5_1':0.0,'8_1':0.0},(304,723):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0},(304,722):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0},(304,721):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(304,720):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0},(304,719):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(304,718):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_4':0.0,'6_2':0.0,'-3':0.0},(304,717):{'4_1':0.12,'3_1':0.03,'7_4':0.0},(304,716):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_6':0.0},(304,715):{'4_1':0.18,'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_2':0.0},(304,714):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0},(304,713):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(304,712):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0},(304,711):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_5':0.0,'5_1':0.0,'6_1':0.0},(304,710):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0},(304,709):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'6_2':0.0},(304,708):{'4_1':0.21,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_3':0.0},(304,707):{'4_1':0.24,'5_2':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0,'8_1':0.0},(304,706):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0,'8_3':0.0},(304,705):{'4_1':0.21,'5_2':0.06,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(304,704):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_4':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(304,703):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_2':0.0},(304,702):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_4':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(304,701):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(304,700):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_4':0.0,'8_1':0.0},(304,699):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'6_2':0.0},(304,698):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0},(304,697):{'4_1':0.24,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(304,696):{'4_1':0.21,'5_2':0.12,'3_1':0.06,'6_2':0.0},(304,695):{'4_1':0.24,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(304,694):{'4_1':0.24,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0},(304,693):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'7_4':0.03,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_4':0.0},(304,692):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(304,691):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.03,'6_1':0.0},(304,690):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(304,689):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_4':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(304,688):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0},(304,687):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0},(304,686):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(304,685):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(304,684):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'9_1':0.0},(304,683):{'4_1':0.27,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(304,682):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0,'-3':0.0},(304,681):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_2':0.0},(304,680):{'4_1':0.12,'5_2':0.09,'3_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'7_1':0.0,'8_3':0.0,'8_6':0.0},(304,679):{'4_1':0.18,'5_2':0.12,'3_1':0.0,'6_1':0.0,'8_6':0.0},(304,678):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_1':0.0},(304,677):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'8_6':0.0,'1':-0.03},(304,676):{'4_1':0.09,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0,'8_3':0.0,'8_1':0.0},(304,675):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(304,674):{'4_1':0.24,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(304,673):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_5':0.0},(304,672):{'4_1':0.21,'5_2':0.09,'3_1':0.09,'6_2':0.0,'8_1':0.0,'-3':0.0},(304,671):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'8_2':0.0},(304,670):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_2':0.0},(304,669):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'6_3':0.0},(304,668):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0},(304,667):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_2':0.0},(304,666):{'4_1':0.18,'5_2':0.09,'3_1':0.09,'6_2':0.0,'7_5':0.0,'8_1':0.0},(304,665):{'4_1':0.27,'3_1':0.12,'5_2':0.06,'6_2':0.0,'6_1':0.0},(304,664):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(304,663):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_3':0.0,'7_3':0.0},(304,662):{'4_1':0.27,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0},(304,661):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(304,660):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_1':0.0},(304,659):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0,'6_2':0.0},(304,658):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'1':-0.03},(304,657):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0},(304,656):{'3_1':0.12,'4_1':0.09,'7_3':0.0,'7_6':0.0},(304,655):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(304,654):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0},(304,653):{'4_1':0.18,'3_1':0.15,'5_1':0.0,'6_1':0.0,'6_2':0.0},(304,652):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(304,651):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(304,650):{'3_1':0.24,'4_1':0.12,'5_2':0.0},(304,649):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(304,648):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(304,647):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(304,646):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_1':0.0},(304,645):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(304,644):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(304,643):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(304,642):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_3':0.0},(304,641):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(304,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(304,639):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(304,638):{'3_1':0.18,'4_1':0.03,'5_1':0.03},(304,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(304,636):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(304,635):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0},(304,634):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(304,633):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(304,632):{'3_1':0.06,'5_1':0.03},(304,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(304,630):{'3_1':0.15,'4_1':0.0},(304,629):{'3_1':0.09,'4_1':0.0},(304,628):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(304,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(304,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(304,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(304,624):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0},(304,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(304,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(304,621):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(304,620):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(304,619):{'3_1':0.09,'5_1':0.0},(304,618):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(304,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(304,616):{'3_1':0.09,'4_1':0.0},(304,615):{'3_1':0.06,'4_1':0.03},(304,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(304,613):{'3_1':0.06,'4_1':0.0},(304,612):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'8_3':0.0},(304,611):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(304,610):{'3_1':0.03,'4_1':0.0},(304,609):{'3_1':0.06,'4_1':0.0,'8_3':0.0},(304,608):{'4_1':0.06,'3_1':0.0,'6_2':0.0},(304,607):{'3_1':0.03,'4_1':0.0},(304,606):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(304,605):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(304,604):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(304,603):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(304,602):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(304,601):{'3_1':0.0},(304,600):{'3_1':0.0},(304,599):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(304,598):{'3_1':0.0,'4_1':0.0},(304,597):{'3_1':0.03},(304,596):{'4_1':0.0,'3_1':0.0},(304,595):{'3_1':0.0},(304,594):{'3_1':0.03},(304,593):{'3_1':0.0,'4_1':0.0},(304,592):{'3_1':0.03},(304,591):{'3_1':0.0},(304,590):{'3_1':0.03,'4_1':0.0},(304,589):{'3_1':0.09},(304,588):{'3_1':0.03,'5_1':0.0},(304,587):{'3_1':0.0,'4_1':0.0},(304,586):{'3_1':0.0},(304,585):{'3_1':0.06,'4_1':0.0},(304,584):{'3_1':0.03},(304,583):{'3_1':0.0},(304,582):{'3_1':0.0},(304,581):{'3_1':0.06,'4_1':0.0},(304,580):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(304,579):{'3_1':0.03},(304,578):{'3_1':0.03},(304,577):{'3_1':0.0},(304,576):{'3_1':0.03,'5_2':0.0},(304,575):{'3_1':0.03},(304,574):{'3_1':0.03,'4_1':0.0},(304,573):{'3_1':0.03,'4_1':0.0},(304,572):{'3_1':0.03},(304,571):{'3_1':0.0},(304,570):{'3_1':0.0,'4_1':0.0},(304,569):{'3_1':0.0,'4_1':0.0},(304,568):{'3_1':0.0,'4_1':0.0},(304,567):{'3_1':0.0},(304,566):{'3_1':0.0},(304,565):{'3_1':0.0,'6_2':0.0},(304,564):{'3_1':0.0},(304,563):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(304,562):{'3_1':0.03},(304,561):{'3_1':0.03,'4_1':0.0},(304,560):{'3_1':0.0,'4_1':0.0},(304,559):{'4_1':0.0,'3_1':0.0},(304,558):{'3_1':0.03},(304,557):{'3_1':0.03},(304,556):{'3_1':0.03},(304,555):{'3_1':0.0,'4_1':0.0},(304,553):{'3_1':0.0},(304,552):{'4_1':0.0},(304,551):{'3_1':0.0},(304,550):{'3_1':0.03},(304,549):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(304,548):{'3_1':0.0,'5_2':0.0},(304,547):{'3_1':0.0},(304,546):{'3_1':0.0},(304,545):{'3_1':0.0},(304,544):{'3_1':0.03},(304,543):{'3_1':0.0,'4_1':0.0},(304,542):{'3_1':0.03,'6_1':0.0},(304,541):{'3_1':0.03,'4_1':0.0},(304,540):{'3_1':0.0},(304,539):{'3_1':0.03,'4_1':0.0},(304,538):{'3_1':0.0},(304,537):{'3_1':0.0},(304,535):{'3_1':0.0},(304,534):{'3_1':0.0},(304,533):{'3_1':0.03},(304,532):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(304,531):{'3_1':0.03},(304,530):{'3_1':0.0,'4_1':0.0},(304,529):{'3_1':0.0},(304,528):{'3_1':0.0,'4_1':0.0},(304,527):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(304,526):{'3_1':0.0},(304,525):{'3_1':0.0,'4_1':0.0},(304,524):{'3_1':0.0},(304,523):{'3_1':0.03},(304,522):{'3_1':0.0,'6_2':0.0},(304,521):{'3_1':0.0},(304,520):{'3_1':0.03},(304,519):{'3_1':0.0},(304,518):{'3_1':0.0},(304,517):{'3_1':0.0},(304,516):{'3_1':0.0},(304,514):{'4_1':0.0,'3_1':0.0},(304,513):{'3_1':0.0},(304,512):{'3_1':0.03,'5_2':0.0},(304,511):{'3_1':0.0},(304,510):{'3_1':0.0},(304,509):{'3_1':0.0,'4_1':0.0},(304,508):{'3_1':0.0},(304,507):{'3_1':0.0,'5_1':0.0},(304,506):{'3_1':0.0},(304,505):{'3_1':0.0},(304,504):{'3_1':0.0,'6_1':0.0},(304,503):{'3_1':0.0},(304,500):{'3_1':0.0},(304,498):{'5_1':0.0},(304,497):{'3_1':0.0},(304,496):{'3_1':0.0},(304,495):{'3_1':0.0},(304,494):{'3_1':0.0},(304,493):{'3_1':0.0,'6_1':0.0},(304,492):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(304,491):{'3_1':0.0},(304,490):{'3_1':0.0},(304,489):{'3_1':0.0},(304,488):{'3_1':0.0},(304,487):{'3_1':0.0},(304,486):{'3_1':0.0},(304,485):{'3_1':0.06,'6_2':0.0},(304,484):{'3_1':0.03},(304,483):{'3_1':0.03,'5_1':0.0},(304,482):{'3_1':0.06},(304,481):{'3_1':0.03},(304,480):{'3_1':0.06},(304,479):{'3_1':0.03},(304,478):{'3_1':0.03},(304,477):{'3_1':0.03,'5_1':0.0},(304,476):{'3_1':0.06},(304,475):{'3_1':0.0},(304,474):{'3_1':0.03},(304,473):{'3_1':0.03,'5_1':0.0},(304,472):{'3_1':0.0},(304,471):{'3_1':0.0},(304,469):{'3_1':0.03},(304,468):{'3_1':0.03},(304,467):{'3_1':0.0},(304,466):{'3_1':0.0},(304,463):{'3_1':0.0},(304,462):{'3_1':0.0},(304,460):{'3_1':0.0},(304,459):{'3_1':0.0},(304,458):{'3_1':0.0},(304,457):{'3_1':0.0},(304,456):{'3_1':0.0},(304,455):{'3_1':0.0},(304,454):{'3_1':0.0},(304,453):{'3_1':0.0},(304,451):{'3_1':0.0},(304,449):{'3_1':0.0},(304,448):{'3_1':0.0},(304,447):{'3_1':0.0},(304,446):{'3_1':0.0},(304,445):{'3_1':0.0},(304,444):{'3_1':0.0},(304,443):{'3_1':0.0},(304,442):{'3_1':0.0},(304,441):{'3_1':0.0},(304,440):{'3_1':0.0},(304,439):{'3_1':0.0},(304,438):{'3_1':0.0},(304,437):{'3_1':0.0},(304,436):{'3_1':0.0,'5_2':0.0},(304,435):{'4_1':0.0},(304,434):{'3_1':0.0},(304,433):{'3_1':0.0},(304,432):{'3_1':0.0},(304,431):{'3_1':0.0},(304,430):{'3_1':0.0,'4_1':0.0},(304,429):{'3_1':0.0},(304,428):{'3_1':0.0},(304,427):{'3_1':0.0},(304,425):{'3_1':0.0},(304,424):{'3_1':0.0},(304,423):{'3_1':0.0},(304,420):{'3_1':0.0},(304,419):{'3_1':0.0},(304,418):{'3_1':0.0,'5_1':0.0},(304,415):{'3_1':0.0,'4_1':0.0},(304,414):{'3_1':0.0,'5_1':0.0},(304,413):{'3_1':0.0,'7_1':0.0},(304,411):{'5_1':0.0},(304,410):{'3_1':0.0,'5_1':0.0},(304,407):{'3_1':0.0},(304,406):{'3_1':0.0},(304,404):{'3_1':0.0},(304,402):{'3_1':0.0},(304,398):{'3_1':0.0},(304,396):{'3_1':0.0},(304,395):{'3_1':0.0},(304,388):{'3_1':0.0},(304,386):{'3_1':0.0},(304,383):{'3_1':0.0},(304,380):{'3_1':0.0},(304,377):{'3_1':0.0,'4_1':0.0},(304,376):{'3_1':0.0},(304,375):{'3_1':0.0},(304,371):{'3_1':0.0},(304,370):{'4_1':0.0},(304,369):{'3_1':0.0},(304,366):{'3_1':0.0},(304,365):{'3_1':0.0},(304,309):{'3_1':0.0},(305,727):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'7_4':0.0},(305,726):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0},(305,725):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(305,724):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_1':0.0,'7_4':0.0},(305,723):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(305,722):{'4_1':0.15,'3_1':0.06,'5_2':0.03},(305,721):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0},(305,720):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(305,719):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_4':0.0},(305,718):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'7_4':0.0,'6_2':0.0},(305,717):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(305,716):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(305,715):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_2':0.0,'-3':0.0},(305,714):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_1':0.0,'-3':0.0},(305,713):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'7_3':0.0,'-3':0.0},(305,712):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'8_3':0.0},(305,711):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(305,710):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'8_3':0.0},(305,709):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0,'8_3':0.0,'-3':0.0},(305,708):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(305,707):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'7_4':0.0,'8_4':0.0,'5_1':0.0,'6_2':0.0,'8_12':0.0},(305,706):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'6_2':0.0,'6_1':0.0,'7_4':0.0},(305,705):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(305,704):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_4':0.0,'-3':0.0},(305,703):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(305,702):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0,'-3':0.0},(305,701):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(305,700):{'4_1':0.21,'5_2':0.09,'3_1':0.03,'7_4':0.0,'8_1':0.0},(305,699):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'5_1':0.0},(305,698):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(305,697):{'5_2':0.09,'4_1':0.09,'3_1':0.06,'7_4':0.0,'6_2':0.0,'7_5':0.0},(305,696):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'8_2':0.0},(305,695):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'7_4':0.0},(305,694):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_4':0.03,'6_1':0.0},(305,693):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'7_4':0.0,'-3':0.0},(305,692):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_4':0.0},(305,691):{'4_1':0.15,'5_2':0.12,'3_1':0.06,'7_4':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(305,690):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0},(305,689):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_5':0.0},(305,688):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_2':0.0,'7_4':0.0},(305,687):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0,'9_1':0.0},(305,686):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0},(305,685):{'4_1':0.15,'5_2':0.09,'3_1':0.03},(305,684):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.03,'7_4':0.0,'7_5':0.0},(305,683):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(305,682):{'4_1':0.18,'5_2':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(305,681):{'4_1':0.18,'5_2':0.09,'3_1':0.0,'6_1':0.0},(305,680):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_2':0.0,'7_5':0.0},(305,679):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'7_3':0.0},(305,678):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'5_1':0.0},(305,677):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'8_3':0.0},(305,676):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0},(305,675):{'4_1':0.24,'5_2':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0},(305,674):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(305,673):{'4_1':0.18,'5_2':0.09,'3_1':0.03,'6_1':0.0},(305,672):{'4_1':0.24,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_2':0.0},(305,671):{'4_1':0.21,'5_2':0.12,'3_1':0.03,'6_3':0.0,'7_3':0.0,'-3':0.0},(305,670):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_1':0.0},(305,669):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0},(305,668):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0},(305,667):{'4_1':0.21,'5_2':0.09,'3_1':0.0,'5_1':0.0},(305,666):{'4_1':0.12,'5_2':0.09,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(305,665):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_3':0.0,'5_1':0.0,'8_1':0.0},(305,664):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_2':0.0,'6_3':0.0,'7_4':0.0},(305,663):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_1':0.0},(305,662):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'6_3':0.0},(305,661):{'3_1':0.15,'4_1':0.15,'5_2':0.03},(305,660):{'3_1':0.18,'4_1':0.12,'5_2':0.03},(305,659):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0},(305,658):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_3':0.0},(305,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0},(305,656):{'4_1':0.09,'3_1':0.09,'5_1':0.0},(305,655):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(305,654):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'6_1':0.0},(305,653):{'3_1':0.15,'4_1':0.15,'5_1':0.0},(305,652):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0},(305,651):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'5_1':0.0},(305,650):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(305,649):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(305,648):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(305,647):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_3':0.0},(305,646):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(305,645):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0},(305,644):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0},(305,643):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0},(305,642):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(305,641):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(305,640):{'3_1':0.18,'5_1':0.03,'4_1':0.03,'7_1':0.0},(305,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(305,638):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(305,637):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(305,636):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(305,635):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(305,634):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'6_2':0.0},(305,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(305,632):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(305,631):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(305,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(305,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(305,628):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(305,627):{'3_1':0.09,'4_1':0.0},(305,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(305,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'8_1':0.0},(305,624):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(305,623):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(305,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(305,621):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(305,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(305,619):{'3_1':0.09,'4_1':0.03},(305,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(305,617):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(305,616):{'3_1':0.06,'4_1':0.0},(305,615):{'3_1':0.09,'4_1':0.0},(305,614):{'3_1':0.06,'4_1':0.0},(305,613):{'3_1':0.03,'4_1':0.0},(305,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(305,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(305,610):{'3_1':0.03,'4_1':0.03},(305,609):{'3_1':0.03,'4_1':0.0},(305,608):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(305,607):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(305,606):{'3_1':0.06,'4_1':0.03},(305,605):{'4_1':0.03,'3_1':0.0},(305,604):{'3_1':0.06,'4_1':0.0},(305,603):{'3_1':0.0,'5_2':0.0},(305,602):{'3_1':0.03,'4_1':0.0},(305,601):{'3_1':0.03,'4_1':0.0},(305,600):{'3_1':0.03,'4_1':0.0},(305,599):{'3_1':0.03,'4_1':0.0},(305,598):{'3_1':0.03},(305,597):{'3_1':0.03,'4_1':0.0},(305,596):{'3_1':0.0,'5_1':0.0},(305,595):{'3_1':0.03,'4_1':0.0},(305,594):{'3_1':0.03,'4_1':0.0},(305,593):{'3_1':0.0,'4_1':0.0},(305,592):{'3_1':0.03},(305,591):{'3_1':0.03},(305,590):{'3_1':0.03},(305,589):{'3_1':0.0},(305,588):{'3_1':0.0,'4_1':0.0},(305,587):{'3_1':0.06},(305,586):{'3_1':0.0,'4_1':0.0},(305,585):{'3_1':0.0},(305,584):{'3_1':0.0,'4_1':0.0},(305,583):{'3_1':0.03,'4_1':0.0},(305,582):{'3_1':0.06},(305,581):{'3_1':0.0,'5_1':0.0},(305,580):{'3_1':0.03},(305,579):{'3_1':0.0},(305,578):{'3_1':0.03},(305,577):{'3_1':0.0,'4_1':0.0},(305,575):{'3_1':0.03,'4_1':0.0},(305,574):{'3_1':0.03},(305,573):{'3_1':0.03},(305,572):{'3_1':0.0},(305,571):{'3_1':0.0,'4_1':0.0},(305,570):{'3_1':0.03},(305,567):{'3_1':0.03},(305,566):{'3_1':0.0},(305,565):{'3_1':0.0},(305,564):{'3_1':0.0,'5_1':0.0},(305,563):{'3_1':0.03,'4_1':0.0},(305,562):{'3_1':0.0},(305,561):{'5_1':0.0},(305,560):{'3_1':0.0},(305,559):{'3_1':0.0,'4_1':0.0},(305,558):{'3_1':0.0,'4_1':0.0},(305,557):{'3_1':0.0},(305,556):{'3_1':0.0},(305,555):{'3_1':0.0},(305,554):{'3_1':0.0},(305,553):{'3_1':0.03},(305,551):{'3_1':0.0},(305,550):{'3_1':0.06},(305,549):{'3_1':0.0},(305,547):{'3_1':0.0},(305,546):{'3_1':0.03,'4_1':0.0},(305,545):{'3_1':0.0},(305,544):{'3_1':0.03,'5_1':0.0},(305,543):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(305,542):{'3_1':0.06},(305,541):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(305,540):{'3_1':0.0,'5_1':0.0},(305,539):{'3_1':0.0,'5_1':0.0},(305,538):{'3_1':0.03},(305,537):{'3_1':0.0},(305,536):{'3_1':0.0},(305,535):{'3_1':0.03,'6_2':0.0},(305,534):{'3_1':0.0,'4_1':0.0},(305,533):{'3_1':0.0},(305,532):{'3_1':0.0,'5_2':0.0},(305,530):{'3_1':0.0},(305,529):{'3_1':0.0,'4_1':0.0},(305,528):{'3_1':0.03},(305,527):{'3_1':0.0},(305,526):{'3_1':0.03},(305,525):{'3_1':0.03,'4_1':0.0},(305,524):{'3_1':0.0},(305,523):{'3_1':0.0},(305,522):{'3_1':0.03},(305,521):{'3_1':0.03,'4_1':0.0},(305,520):{'3_1':0.03},(305,519):{'3_1':0.0},(305,518):{'3_1':0.03,'5_1':0.0},(305,517):{'3_1':0.0},(305,516):{'3_1':0.0,'6_1':0.0},(305,515):{'3_1':0.06,'4_1':0.0},(305,514):{'3_1':0.0},(305,513):{'3_1':0.0},(305,512):{'3_1':0.03},(305,511):{'3_1':0.03},(305,510):{'3_1':0.0,'5_1':0.0},(305,509):{'3_1':0.0,'5_1':0.0},(305,508):{'3_1':0.0},(305,506):{'3_1':0.0,'5_1':0.0},(305,505):{'3_1':0.0,'7_1':0.0},(305,504):{'3_1':0.0},(305,503):{'3_1':0.0},(305,502):{'5_1':0.0},(305,501):{'3_1':0.0},(305,500):{'3_1':0.03},(305,499):{'3_1':0.0,'4_1':0.0},(305,498):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(305,497):{'3_1':0.0,'5_1':0.0},(305,496):{'3_1':0.0},(305,495):{'3_1':0.0,'4_1':0.0},(305,494):{'3_1':0.0,'4_1':0.0},(305,492):{'3_1':0.0},(305,491):{'3_1':0.0},(305,490):{'3_1':0.06,'4_1':0.0},(305,489):{'3_1':0.03},(305,488):{'3_1':0.03,'5_1':0.0},(305,487):{'3_1':0.0},(305,486):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(305,485):{'3_1':0.06,'5_1':0.0},(305,484):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(305,483):{'3_1':0.0,'5_1':0.0},(305,482):{'3_1':0.0},(305,481):{'3_1':0.0,'5_1':0.0},(305,480):{'3_1':0.06},(305,479):{'3_1':0.03},(305,478):{'3_1':0.03},(305,477):{'3_1':0.03,'5_1':0.0},(305,476):{'3_1':0.0},(305,475):{'3_1':0.03},(305,474):{'3_1':0.06},(305,473):{'3_1':0.0},(305,472):{'3_1':0.03,'4_1':0.0},(305,471):{'3_1':0.0},(305,470):{'3_1':0.0},(305,469):{'3_1':0.0,'5_1':0.0},(305,468):{'3_1':0.0},(305,467):{'3_1':0.03},(305,466):{'3_1':0.0},(305,463):{'3_1':0.0},(305,462):{'3_1':0.0},(305,461):{'3_1':0.0},(305,459):{'3_1':0.0,'4_1':0.0},(305,458):{'3_1':0.0},(305,457):{'3_1':0.0},(305,456):{'3_1':0.0},(305,455):{'3_1':0.0},(305,454):{'3_1':0.0},(305,453):{'3_1':0.0},(305,452):{'3_1':0.0,'4_1':0.0},(305,451):{'3_1':0.0},(305,450):{'4_1':0.0},(305,448):{'3_1':0.0},(305,447):{'3_1':0.0},(305,445):{'3_1':0.0},(305,443):{'3_1':0.0},(305,442):{'3_1':0.0},(305,441):{'4_1':0.0},(305,438):{'3_1':0.0,'4_1':0.0},(305,437):{'3_1':0.0},(305,436):{'3_1':0.0},(305,431):{'3_1':0.0},(305,429):{'3_1':0.0},(305,428):{'3_1':0.0},(305,427):{'3_1':0.0},(305,426):{'3_1':0.03},(305,425):{'3_1':0.0},(305,424):{'3_1':0.0},(305,423):{'3_1':0.03},(305,422):{'3_1':0.0},(305,421):{'3_1':0.0},(305,420):{'3_1':0.0},(305,419):{'3_1':0.0},(305,418):{'3_1':0.0},(305,417):{'3_1':0.0},(305,414):{'3_1':0.0},(305,411):{'3_1':0.0,'4_1':0.0},(305,410):{'3_1':0.0},(305,408):{'3_1':0.0},(305,407):{'3_1':0.0},(305,406):{'3_1':0.0},(305,402):{'3_1':0.0},(305,400):{'3_1':0.0},(305,398):{'3_1':0.0},(305,396):{'3_1':0.0,'5_1':0.0},(305,395):{'3_1':0.0},(305,387):{'3_1':0.0},(305,379):{'3_1':0.0},(305,376):{'3_1':0.0},(305,373):{'3_1':0.0},(305,371):{'3_1':0.0},(305,368):{'3_1':0.0},(305,366):{'3_1':0.0},(305,364):{'3_1':0.0},(305,313):{'3_1':0.0},(305,309):{'3_1':0.0},(306,727):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_3':0.0,'5_1':0.0},(306,726):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_5':0.0,'7_6':0.0,'-3':0.0},(306,725):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'8_8':0.0},(306,724):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_3':0.0},(306,723):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'8_3':0.0},(306,722):{'4_1':0.12,'3_1':0.09,'5_2':0.03},(306,721):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0},(306,720):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(306,719):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(306,718):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(306,717):{'4_1':0.06,'5_2':0.03,'3_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0},(306,716):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(306,715):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_6':0.0},(306,714):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'-3':0.0,'7_5':0.0},(306,713):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'7_6':0.0,'6_2':0.0},(306,712):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(306,711):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'5_1':0.0,'-3':0.0},(306,710):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0},(306,709):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(306,708):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(306,707):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(306,706):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'7_4':0.03},(306,705):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_4':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(306,704):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'7_3':0.0},(306,703):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'-3':0.0},(306,702):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0},(306,701):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0},(306,700):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(306,699):{'4_1':0.15,'3_1':0.06,'7_4':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(306,698):{'4_1':0.21,'5_2':0.03,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_1':0.0,'6_1':0.0,'7_4':0.0},(306,697):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'7_4':0.0,'8_11':0.0},(306,696):{'4_1':0.18,'3_1':0.09,'7_4':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(306,695):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0},(306,694):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'7_4':0.0},(306,693):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0},(306,692):{'4_1':0.12,'5_2':0.12,'3_1':0.12,'7_4':0.0},(306,691):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'7_4':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0,'-3':0.0},(306,690):{'4_1':0.15,'3_1':0.12,'5_2':0.12,'6_2':0.0,'6_1':0.0,'7_3':0.0,'8_6':0.0},(306,689):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0},(306,688):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(306,687):{'4_1':0.21,'3_1':0.09,'5_2':0.06},(306,686):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(306,685):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(306,684):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(306,683):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_1':0.0,'7_5':0.0},(306,682):{'4_1':0.18,'5_2':0.12,'3_1':0.06,'5_1':0.0},(306,681):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(306,680):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(306,679):{'4_1':0.18,'5_2':0.15,'3_1':0.0,'5_1':0.0,'7_3':0.0},(306,678):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'6_2':0.0},(306,677):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0},(306,676):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_1':0.03,'7_3':0.0},(306,675):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0},(306,674):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'5_1':0.0,'6_3':0.0},(306,673):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(306,672):{'4_1':0.27,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(306,671):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(306,670):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(306,669):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(306,668):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_8':0.0},(306,667):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_7':0.0,'1':-0.03},(306,666):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_4':0.0,'8_2':0.0,'8_3':0.0,'-3':0.0},(306,665):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(306,664):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_3':0.0,'8_7':0.0},(306,663):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_2':0.0},(306,662):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_3':0.0,'7_4':0.0},(306,661):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'-3':0.0},(306,660):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0},(306,659):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'-3':0.0},(306,658):{'4_1':0.21,'3_1':0.09,'5_2':0.0},(306,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0},(306,656):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(306,655):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'9_1':0.0},(306,654):{'3_1':0.24,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(306,653):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(306,652):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(306,651):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'-3':0.0},(306,650):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_1':0.0},(306,649):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0},(306,648):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_2':0.0},(306,647):{'3_1':0.24,'4_1':0.09,'5_2':0.03,'6_2':0.0},(306,646):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(306,645):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_1':0.0},(306,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(306,643):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(306,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'-3':0.0},(306,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(306,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_1':0.0},(306,639):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(306,638):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0},(306,637):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(306,636):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(306,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(306,634):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'9_1':0.0},(306,633):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(306,632):{'3_1':0.06,'4_1':0.03,'7_1':0.0,'5_1':0.0},(306,631):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(306,630):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(306,629):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(306,628):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(306,627):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(306,626):{'3_1':0.06},(306,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(306,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(306,623):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_2':0.0,'8_6':0.0},(306,622):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0},(306,621):{'3_1':0.09,'4_1':0.03},(306,620):{'3_1':0.12,'4_1':0.0},(306,619):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(306,618):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(306,617):{'3_1':0.09,'4_1':0.0},(306,616):{'3_1':0.09,'4_1':0.0,'6_3':0.0,'7_1':0.0},(306,615):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(306,614):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_3':0.0},(306,613):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(306,612):{'4_1':0.06,'3_1':0.03,'6_2':0.0},(306,611):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(306,610):{'3_1':0.03,'4_1':0.0},(306,609):{'3_1':0.06},(306,608):{'4_1':0.06,'3_1':0.03},(306,607):{'4_1':0.06,'3_1':0.0},(306,606):{'3_1':0.03,'4_1':0.0},(306,605):{'3_1':0.03,'4_1':0.03},(306,604):{'3_1':0.03,'4_1':0.03},(306,603):{'4_1':0.0,'3_1':0.0},(306,602):{'3_1':0.0,'4_1':0.0},(306,601):{'3_1':0.0,'4_1':0.0},(306,600):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(306,599):{'3_1':0.0,'4_1':0.0},(306,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(306,597):{'3_1':0.0},(306,596):{'3_1':0.03},(306,595):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(306,594):{'3_1':0.06,'4_1':0.0},(306,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(306,592):{'3_1':0.0},(306,591):{'3_1':0.03,'4_1':0.0},(306,590):{'3_1':0.03,'4_1':0.0},(306,589):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(306,588):{'3_1':0.03,'5_1':0.0},(306,587):{'3_1':0.06},(306,586):{'3_1':0.0},(306,585):{'3_1':0.0},(306,584):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(306,583):{'3_1':0.0},(306,582):{'3_1':0.03},(306,581):{'3_1':0.03},(306,580):{'3_1':0.0,'4_1':0.0},(306,579):{'3_1':0.0,'7_4':0.0},(306,578):{'3_1':0.03},(306,577):{'3_1':0.06},(306,576):{'3_1':0.06},(306,575):{'3_1':0.0,'5_1':0.0},(306,574):{'3_1':0.0,'4_1':0.0},(306,573):{'3_1':0.0},(306,572):{'3_1':0.0},(306,571):{'3_1':0.03},(306,570):{'3_1':0.03},(306,569):{'5_1':0.0},(306,568):{'3_1':0.0,'5_1':0.0},(306,566):{'3_1':0.0},(306,565):{'3_1':0.0},(306,564):{'3_1':0.03},(306,563):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(306,562):{'3_1':0.0,'5_1':0.0},(306,561):{'3_1':0.0,'5_1':0.0},(306,560):{'3_1':0.06,'5_2':0.0},(306,559):{'3_1':0.0},(306,558):{'3_1':0.0},(306,557):{'3_1':0.0,'4_1':0.0},(306,555):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(306,554):{'3_1':0.0,'7_1':0.0},(306,553):{'3_1':0.0},(306,552):{'3_1':0.0},(306,551):{'3_1':0.0,'4_1':0.0},(306,550):{'3_1':0.0,'8_3':0.0},(306,549):{'3_1':0.0,'4_1':0.0},(306,548):{'8_3':0.0},(306,547):{'3_1':0.0},(306,546):{'3_1':0.0,'4_1':0.0},(306,545):{'5_1':0.0},(306,544):{'3_1':0.0,'5_1':0.0},(306,542):{'3_1':0.0,'5_1':0.0},(306,541):{'3_1':0.0,'7_1':0.0},(306,540):{'3_1':0.0,'5_1':0.0},(306,539):{'3_1':0.03,'4_1':0.0,'8_3':0.0},(306,538):{'3_1':0.0},(306,537):{'3_1':0.03,'4_1':0.0},(306,536):{'3_1':0.0,'5_1':0.0},(306,535):{'3_1':0.03},(306,534):{'3_1':0.0,'5_1':0.0},(306,533):{'3_1':0.03},(306,532):{'3_1':0.0},(306,531):{'3_1':0.0,'6_2':0.0},(306,530):{'3_1':0.0,'5_1':0.0},(306,529):{'3_1':0.0,'4_1':0.0},(306,528):{'3_1':0.03,'4_1':0.0},(306,527):{'3_1':0.03},(306,526):{'3_1':0.03},(306,525):{'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(306,524):{'3_1':0.03,'5_1':0.0},(306,523):{'3_1':0.0,'7_1':0.0},(306,522):{'3_1':0.0,'4_1':0.0},(306,521):{'3_1':0.03},(306,520):{'3_1':0.0,'8_1':0.0},(306,519):{'3_1':0.0,'5_1':0.0},(306,518):{'3_1':0.0},(306,517):{'3_1':0.03},(306,516):{'3_1':0.0,'4_1':0.0},(306,515):{'3_1':0.0},(306,514):{'3_1':0.0},(306,513):{'5_1':0.0,'3_1':0.0},(306,512):{'3_1':0.0,'5_1':0.0},(306,511):{'3_1':0.0},(306,510):{'3_1':0.0,'5_1':0.0},(306,509):{'3_1':0.0,'5_1':0.0},(306,507):{'5_1':0.0},(306,506):{'3_1':0.0},(306,505):{'3_1':0.03,'4_1':0.0},(306,504):{'3_1':0.0,'4_1':0.0},(306,503):{'3_1':0.0,'4_1':0.0},(306,502):{'3_1':0.03,'4_1':0.0},(306,501):{'3_1':0.0},(306,500):{'3_1':0.0},(306,499):{'3_1':0.0},(306,498):{'3_1':0.0},(306,497):{'3_1':0.03,'8_20|3_1#3_1':0.0},(306,496):{'3_1':0.0},(306,495):{'3_1':0.0},(306,494):{'3_1':0.0},(306,493):{'3_1':0.0},(306,492):{'3_1':0.03},(306,491):{'3_1':0.0,'5_1':0.0},(306,490):{'3_1':0.0},(306,489):{'3_1':0.03},(306,488):{'3_1':0.03},(306,487):{'3_1':0.0,'4_1':0.0},(306,486):{'3_1':0.0},(306,485):{'3_1':0.0},(306,484):{'3_1':0.0},(306,483):{'3_1':0.0},(306,482):{'3_1':0.0},(306,481):{'3_1':0.03},(306,480):{'3_1':0.03},(306,479):{'3_1':0.03},(306,478):{'3_1':0.0},(306,477):{'3_1':0.03},(306,476):{'3_1':0.03},(306,475):{'3_1':0.03,'4_1':0.0},(306,474):{'3_1':0.03},(306,473):{'3_1':0.0},(306,472):{'3_1':0.03},(306,471):{'3_1':0.0},(306,470):{'3_1':0.0},(306,469):{'3_1':0.0},(306,468):{'3_1':0.0},(306,467):{'3_1':0.0},(306,466):{'3_1':0.0},(306,465):{'3_1':0.0},(306,464):{'3_1':0.0},(306,461):{'3_1':0.0},(306,460):{'3_1':0.0},(306,459):{'3_1':0.0},(306,458):{'3_1':0.0},(306,457):{'3_1':0.0},(306,455):{'3_1':0.0},(306,454):{'3_1':0.0},(306,453):{'4_1':0.0,'3_1':0.0},(306,452):{'3_1':0.0},(306,450):{'3_1':0.0},(306,449):{'3_1':0.0},(306,447):{'3_1':0.0},(306,446):{'3_1':0.0},(306,445):{'3_1':0.03},(306,444):{'3_1':0.0},(306,443):{'3_1':0.0,'5_2':0.0},(306,442):{'3_1':0.0},(306,439):{'3_1':0.0},(306,437):{'3_1':0.0},(306,436):{'3_1':0.0},(306,435):{'3_1':0.0},(306,434):{'3_1':0.0},(306,432):{'3_1':0.0},(306,431):{'3_1':0.0},(306,429):{'3_1':0.0},(306,428):{'3_1':0.0},(306,427):{'3_1':0.0},(306,425):{'3_1':0.0},(306,424):{'3_1':0.0},(306,422):{'3_1':0.0},(306,420):{'3_1':0.0,'5_1':0.0},(306,418):{'3_1':0.03,'5_1':0.0},(306,417):{'3_1':0.0,'5_1':0.0},(306,416):{'3_1':0.0,'5_1':0.0},(306,415):{'3_1':0.0,'5_1':0.0},(306,414):{'3_1':0.0},(306,411):{'3_1':0.0},(306,409):{'3_1':0.0,'5_1':0.0},(306,407):{'3_1':0.0},(306,406):{'3_1':0.0},(306,403):{'3_1':0.0},(306,402):{'3_1':0.0},(306,399):{'3_1':0.0},(306,396):{'3_1':0.0},(306,395):{'3_1':0.0},(306,392):{'3_1':0.0},(306,388):{'3_1':0.0},(306,378):{'3_1':0.0},(306,377):{'3_1':0.0},(306,376):{'3_1':0.0},(306,375):{'3_1':0.0,'4_1':0.0},(306,373):{'3_1':0.0},(306,372):{'3_1':0.03},(306,370):{'3_1':0.0},(306,369):{'3_1':0.0},(306,368):{'3_1':0.0},(306,367):{'3_1':0.0},(306,366):{'3_1':0.0},(306,365):{'3_1':0.0},(306,363):{'3_1':0.0},(306,312):{'3_1':0.0},(306,310):{'3_1':0.0},(307,727):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(307,726):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_3':0.0},(307,725):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(307,724):{'4_1':0.12,'3_1':0.06,'5_2':0.06},(307,723):{'4_1':0.12,'5_2':0.06,'3_1':0.03},(307,722):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'-3':0.0},(307,721):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'7_4':0.0,'-3':0.0},(307,720):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_6':0.0},(307,719):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(307,718):{'4_1':0.15,'5_2':0.0,'5_1':0.0,'-3':0.0},(307,717):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_1':0.0},(307,716):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(307,715):{'4_1':0.24,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(307,714):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(307,713):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(307,712):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0},(307,711):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'5_1':0.0,'7_4':0.0},(307,710):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(307,709):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0},(307,708):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(307,707):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0},(307,706):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(307,705):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0},(307,704):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0,'-3':0.0},(307,703):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_1':0.0,'7_4':0.0,'9_1':0.0,'-3':0.0},(307,702):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0,'-3':0.0},(307,701):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'-3':0.0},(307,700):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(307,699):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_4':0.0,'6_1':0.0,'7_3':0.0},(307,698):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(307,697):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(307,696):{'3_1':0.12,'4_1':0.12,'5_2':0.09,'6_2':0.0},(307,695):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(307,694):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0},(307,693):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0,'7_4':0.0},(307,692):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(307,691):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0},(307,690):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(307,689):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0},(307,688):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_4':0.0,'8_4':0.0},(307,687):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(307,686):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0,'-3':0.0},(307,685):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_1':0.0},(307,684):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0},(307,683):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_4':0.0},(307,682):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'5_1':0.0},(307,681):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'5_1':0.0,'7_2':0.0},(307,680):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'7_3':0.0},(307,679):{'5_2':0.15,'4_1':0.12,'3_1':0.03,'6_1':0.0},(307,678):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'-3':0.0},(307,677):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_3':0.0,'8_6':0.0},(307,676):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.03,'7_3':0.0},(307,675):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'-3':0.0,'7_5':0.0,'8_3':0.0},(307,674):{'4_1':0.24,'5_2':0.03,'3_1':0.0,'5_1':0.0,'8_7':0.0},(307,673):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(307,672):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(307,671):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'5_1':0.0,'6_3':0.0,'-3':0.0},(307,670):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'8_2':0.0},(307,669):{'4_1':0.18,'5_2':0.06,'3_1':0.06,'-3':0.0},(307,668):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0,'-3':0.0},(307,667):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_3':0.0,'9_1':0.0},(307,666):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(307,665):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0},(307,664):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'7_1':0.0},(307,663):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0},(307,662):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0},(307,661):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_3':0.0},(307,660):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(307,659):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(307,658):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_1':0.0},(307,657):{'4_1':0.21,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(307,656):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_7':0.0,'-3':0.0},(307,655):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'8_1':0.0},(307,654):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_3':0.0},(307,653):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'6_3':0.0,'7_1':0.0},(307,652):{'4_1':0.18,'3_1':0.15,'5_2':0.0},(307,651):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'9_1':0.0},(307,650):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(307,649):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'6_1':0.0},(307,648):{'3_1':0.21,'4_1':0.12,'5_2':0.0},(307,647):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_3':0.0},(307,646):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(307,645):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(307,644):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(307,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_1':0.0},(307,642):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_3':0.0},(307,641):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'6_2':0.0},(307,640):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(307,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'-3':0.0},(307,638):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_2':0.0},(307,637):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(307,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(307,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(307,634):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(307,633):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(307,632):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(307,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(307,630):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(307,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(307,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(307,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(307,626):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(307,625):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(307,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(307,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(307,622):{'3_1':0.09,'4_1':0.0},(307,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(307,620):{'3_1':0.09,'4_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(307,619):{'3_1':0.09,'4_1':0.0},(307,618):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(307,617):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(307,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(307,615):{'3_1':0.12,'4_1':0.03,'7_1':0.0},(307,614):{'4_1':0.06,'3_1':0.06,'-3':0.0},(307,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(307,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(307,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(307,610):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(307,609):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(307,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(307,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(307,606):{'3_1':0.06,'4_1':0.03,'7_1':0.0},(307,605):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(307,604):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(307,603):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(307,602):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(307,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(307,600):{'3_1':0.0},(307,599):{'4_1':0.0},(307,598):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(307,597):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(307,596):{'3_1':0.06,'4_1':0.0,'-3':0.0},(307,595):{'3_1':0.03},(307,594):{'3_1':0.0,'4_1':0.0},(307,593):{'3_1':0.09},(307,592):{'3_1':0.0,'4_1':0.0},(307,591):{'3_1':0.03},(307,590):{'3_1':0.03},(307,589):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(307,588):{'3_1':0.0,'4_1':0.0},(307,587):{'3_1':0.03,'4_1':0.0},(307,586):{'3_1':0.0,'4_1':0.0},(307,585):{'3_1':0.03,'4_1':0.0},(307,584):{'3_1':0.03,'4_1':0.0},(307,583):{'3_1':0.0,'4_1':0.0},(307,582):{'3_1':0.0,'6_1':0.0},(307,581):{'3_1':0.0},(307,580):{'3_1':0.03,'4_1':0.0},(307,579):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(307,578):{'3_1':0.03},(307,577):{'3_1':0.0,'4_1':0.0},(307,576):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(307,575):{'3_1':0.0,'4_1':0.0},(307,574):{'3_1':0.03},(307,573):{'3_1':0.0,'4_1':0.0},(307,572):{'3_1':0.0},(307,571):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(307,570):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(307,569):{'3_1':0.0,'5_1':0.0,'8_3':0.0},(307,568):{'3_1':0.0,'5_1':0.0},(307,567):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(307,566):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(307,565):{'3_1':0.0,'5_1':0.0},(307,564):{'3_1':0.03},(307,563):{'3_1':0.03,'5_2':0.0},(307,562):{'5_1':0.0},(307,561):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(307,560):{'3_1':0.03},(307,559):{'3_1':0.0},(307,558):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(307,557):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(307,556):{'3_1':0.0},(307,555):{'3_1':0.0},(307,554):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(307,553):{'3_1':0.0},(307,552):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(307,551):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(307,550):{'3_1':0.0},(307,549):{'3_1':0.0},(307,548):{'3_1':0.03,'5_1':0.0,'8_9':0.0},(307,547):{'3_1':0.0},(307,546):{'3_1':0.06},(307,545):{'3_1':0.0,'4_1':0.0},(307,544):{'3_1':0.0},(307,542):{'3_1':0.0},(307,541):{'3_1':0.0},(307,540):{'3_1':0.03,'5_1':0.0},(307,539):{'3_1':0.03},(307,538):{'3_1':0.0,'5_1':0.0},(307,537):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(307,536):{'3_1':0.0,'7_1':0.0},(307,535):{'3_1':0.0},(307,534):{'3_1':0.03,'5_1':0.0},(307,533):{'3_1':0.03,'5_1':0.0,'8_9':0.0},(307,532):{'3_1':0.0,'5_1':0.0},(307,531):{'3_1':0.0},(307,530):{'3_1':0.03},(307,529):{'3_1':0.0},(307,528):{'3_1':0.0,'5_2':0.0},(307,527):{'3_1':0.0,'5_1':0.0},(307,526):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(307,525):{'3_1':0.03,'4_1':0.0},(307,524):{'3_1':0.0,'5_1':0.0},(307,523):{'3_1':0.03},(307,522):{'3_1':0.03,'5_1':0.0},(307,521):{'3_1':0.03,'4_1':0.0},(307,520):{'3_1':0.0},(307,519):{'3_1':0.0},(307,518):{'3_1':0.06,'7_1':0.0},(307,517):{'3_1':0.03},(307,516):{'4_1':0.0,'5_1':0.0,'7_1':0.0},(307,515):{'3_1':0.0,'5_1':0.0},(307,514):{'3_1':0.0},(307,513):{'3_1':0.03},(307,512):{'3_1':0.0,'5_1':0.0},(307,510):{'3_1':0.0,'4_1':0.0},(307,509):{'3_1':0.0},(307,508):{'3_1':0.0},(307,507):{'3_1':0.0},(307,506):{'3_1':0.0,'7_1':0.0},(307,505):{'3_1':0.0},(307,504):{'3_1':0.0},(307,503):{'3_1':0.0,'7_1':0.0},(307,502):{'3_1':0.0},(307,501):{'3_1':0.0},(307,500):{'3_1':0.03,'4_1':0.0},(307,499):{'3_1':0.0},(307,498):{'3_1':0.0},(307,497):{'3_1':0.0},(307,496):{'4_1':0.0,'3_1':0.0},(307,495):{'3_1':0.0},(307,494):{'3_1':0.0},(307,493):{'3_1':0.03},(307,492):{'3_1':0.0,'5_1':0.0},(307,491):{'3_1':0.0},(307,490):{'3_1':0.0},(307,489):{'3_1':0.03},(307,488):{'3_1':0.0},(307,487):{'3_1':0.0},(307,486):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(307,485):{'3_1':0.03},(307,484):{'3_1':0.03},(307,483):{'3_1':0.03},(307,482):{'3_1':0.06,'7_1':0.0},(307,481):{'3_1':0.0},(307,480):{'3_1':0.0,'7_1':0.0},(307,479):{'3_1':0.03},(307,478):{'3_1':0.03},(307,477):{'3_1':0.06},(307,476):{'3_1':0.03,'5_1':0.0},(307,475):{'3_1':0.0},(307,474):{'3_1':0.03},(307,473):{'3_1':0.0,'5_1':0.0},(307,472):{'3_1':0.0},(307,471):{'3_1':0.0},(307,470):{'3_1':0.0,'4_1':0.0},(307,469):{'3_1':0.03},(307,468):{'3_1':0.0},(307,467):{'3_1':0.03},(307,466):{'3_1':0.0},(307,464):{'3_1':0.0},(307,463):{'4_1':0.0},(307,461):{'3_1':0.0},(307,459):{'3_1':0.0},(307,458):{'3_1':0.0},(307,457):{'3_1':0.0},(307,456):{'3_1':0.0},(307,455):{'3_1':0.0},(307,454):{'3_1':0.0},(307,453):{'3_1':0.0},(307,451):{'3_1':0.0},(307,450):{'3_1':0.0},(307,449):{'4_1':0.0},(307,448):{'3_1':0.0},(307,447):{'3_1':0.0},(307,446):{'3_1':0.0},(307,445):{'3_1':0.0},(307,444):{'3_1':0.0},(307,443):{'3_1':0.0},(307,442):{'3_1':0.0},(307,441):{'3_1':0.0},(307,440):{'3_1':0.03,'4_1':0.0},(307,439):{'3_1':0.0},(307,437):{'3_1':0.03,'4_1':0.0},(307,436):{'3_1':0.0},(307,435):{'3_1':0.0},(307,434):{'3_1':0.0},(307,433):{'3_1':0.03},(307,432):{'3_1':0.0},(307,431):{'3_1':0.0},(307,430):{'3_1':0.0},(307,429):{'3_1':0.0},(307,428):{'3_1':0.03},(307,427):{'3_1':0.03},(307,425):{'3_1':0.0},(307,424):{'3_1':0.0},(307,423):{'3_1':0.0},(307,422):{'3_1':0.0},(307,421):{'3_1':0.0},(307,420):{'3_1':0.0},(307,419):{'3_1':0.0},(307,418):{'3_1':0.0,'5_1':0.0},(307,414):{'3_1':0.0},(307,413):{'3_1':0.0,'5_1':0.0},(307,411):{'3_1':0.0},(307,409):{'3_1':0.0},(307,408):{'3_1':0.0},(307,406):{'3_1':0.0},(307,404):{'3_1':0.0,'5_1':0.0},(307,403):{'3_1':0.0},(307,401):{'3_1':0.0},(307,398):{'3_1':0.0},(307,394):{'5_2':0.0},(307,381):{'3_1':0.0},(307,380):{'3_1':0.0},(307,379):{'3_1':0.0},(307,377):{'3_1':0.0},(307,375):{'3_1':0.0,'4_1':0.0},(307,373):{'3_1':0.0},(307,372):{'3_1':0.0},(307,370):{'3_1':0.0},(307,369):{'3_1':0.0},(307,360):{'3_1':0.0},(307,358):{'3_1':0.0},(307,316):{'3_1':0.0},(307,311):{'3_1':0.0},(307,310):{'3_1':0.0},(308,727):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'7_5':0.0},(308,726):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_3':0.0,'6_2':0.0},(308,725):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_4':0.0,'8_1':0.0},(308,724):{'4_1':0.09,'5_2':0.03,'3_1':0.0,'6_1':0.0,'8_1':0.0},(308,723):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(308,722):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_4':0.0},(308,721):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'8_6':0.0},(308,720):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(308,719):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(308,718):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(308,717):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(308,716):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0},(308,715):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(308,714):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'7_3':0.0,'-3':0.0},(308,713):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_4':0.0},(308,712):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(308,711):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(308,710):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0},(308,709):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'7_5':0.0,'-3':0.0},(308,708):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_3':0.0,'8_4':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(308,707):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_5':0.0},(308,706):{'4_1':0.18,'3_1':0.12,'5_2':0.03},(308,705):{'4_1':0.15,'5_2':0.03,'3_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(308,704):{'4_1':0.27,'3_1':0.03,'5_2':0.03,'7_3':0.0},(308,703):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.0},(308,702):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(308,701):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(308,700):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'7_1':0.0,'7_4':0.0},(308,699):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0},(308,698):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(308,697):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0},(308,696):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'7_4':0.0},(308,695):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(308,694):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_3':0.0,'6_2':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(308,693):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_3':0.0},(308,692):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0},(308,691):{'4_1':0.18,'3_1':0.15,'5_2':0.09,'5_1':0.0},(308,690):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(308,689):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'5_1':0.0},(308,688):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(308,687):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_5':0.0},(308,686):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'-3':0.0},(308,685):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(308,684):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'6_2':0.0,'7_4':0.0},(308,683):{'5_2':0.12,'3_1':0.12,'4_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(308,682):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'-3':0.0,'5_1':0.0},(308,681):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'-3':0.0},(308,680):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0},(308,679):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(308,678):{'5_2':0.09,'4_1':0.06,'3_1':0.06,'6_2':0.0,'8_6':0.0},(308,677):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'6_3':0.0,'8_3':0.0,'9_1':0.0},(308,676):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(308,675):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(308,674):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(308,673):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0},(308,672):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_3':0.0},(308,671):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_3':0.0},(308,670):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(308,669):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(308,668):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_3':0.0},(308,667):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0},(308,666):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(308,665):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_3':0.0,'7_4':0.0},(308,664):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(308,663):{'4_1':0.24,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_3':0.0},(308,662):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_3':0.0,'5_1':0.0,'9_1':0.0},(308,661):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'8_8':0.0},(308,660):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_3':0.0,'6_1':0.0,'6_2':0.0},(308,659):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0},(308,658):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(308,657):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(308,656):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0},(308,655):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'7_4':0.0,'6_1':0.0},(308,654):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0},(308,653):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'8_2':0.0},(308,652):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(308,651):{'3_1':0.21,'4_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(308,650):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(308,649):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(308,648):{'3_1':0.27,'4_1':0.06,'5_1':0.0,'5_2':0.0},(308,647):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(308,646):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(308,645):{'3_1':0.27,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(308,644):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(308,643):{'3_1':0.24,'4_1':0.03,'5_1':0.03},(308,642):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(308,641):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.03,'6_2':0.03},(308,640):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(308,639):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(308,638):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(308,637):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(308,636):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_2':0.0},(308,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(308,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(308,633):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_19':0.0},(308,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(308,631):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(308,630):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(308,629):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(308,628):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(308,627):{'3_1':0.12,'4_1':0.03,'7_1':0.0,'7_6':0.0},(308,626):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(308,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(308,624):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'7_5':0.0,'9_1':0.0},(308,623):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(308,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(308,621):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(308,620):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(308,619):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(308,618):{'3_1':0.09,'4_1':0.03},(308,617):{'3_1':0.06,'4_1':0.0},(308,616):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(308,615):{'3_1':0.03,'4_1':0.0},(308,614):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(308,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(308,612):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'5_1':0.0,'6_1':0.0},(308,611):{'3_1':0.06,'4_1':0.0},(308,610):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(308,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(308,608):{'4_1':0.03,'3_1':0.0},(308,607):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(308,606):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(308,605):{'3_1':0.06,'4_1':0.03},(308,604):{'3_1':0.06,'4_1':0.0},(308,603):{'3_1':0.03,'5_1':0.0},(308,602):{'5_1':0.0,'3_1':0.0},(308,601):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(308,600):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(308,599):{'3_1':0.06,'5_1':0.0},(308,598):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(308,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(308,596):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(308,595):{'3_1':0.03,'4_1':0.0},(308,594):{'3_1':0.0,'4_1':0.0},(308,593):{'3_1':0.0},(308,592):{'3_1':0.0},(308,591):{'3_1':0.03},(308,590):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(308,589):{'3_1':0.06},(308,588):{'3_1':0.03},(308,587):{'3_1':0.0},(308,586):{'3_1':0.06,'5_2':0.0},(308,585):{'3_1':0.03,'4_1':0.0},(308,584):{'3_1':0.0,'4_1':0.0},(308,583):{'3_1':0.0,'4_1':0.0},(308,582):{'3_1':0.03,'4_1':0.0},(308,581):{'3_1':0.03,'7_1':0.0,'9_1':0.0},(308,580):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(308,579):{'3_1':0.0},(308,578):{'3_1':0.03,'5_1':0.0},(308,577):{'3_1':0.06,'4_1':0.0},(308,576):{'3_1':0.0},(308,575):{'3_1':0.0,'7_1':0.0},(308,574):{'3_1':0.03},(308,573):{'3_1':0.03},(308,572):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(308,571):{'3_1':0.0,'4_1':0.0},(308,570):{'3_1':0.03},(308,569):{'9_1':0.0},(308,567):{'3_1':0.0,'4_1':0.0},(308,566):{'3_1':0.03},(308,565):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(308,564):{'3_1':0.0,'4_1':0.0},(308,563):{'3_1':0.0},(308,562):{'3_1':0.0},(308,561):{'7_1':0.0},(308,560):{'3_1':0.03},(308,559):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(308,558):{'3_1':0.0},(308,557):{'3_1':0.03,'5_2':0.0},(308,556):{'4_1':0.0,'3_1':0.0,'7_1':0.0},(308,555):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(308,554):{'3_1':0.0},(308,553):{'3_1':0.0},(308,552):{'3_1':0.03},(308,551):{'3_1':0.0,'7_1':0.0,'4_1':0.0},(308,550):{'3_1':0.0},(308,549):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(308,548):{'3_1':0.03},(308,547):{'3_1':0.03,'5_1':0.0},(308,546):{'3_1':0.03},(308,545):{'3_1':0.0,'7_1':0.0},(308,544):{'3_1':0.0},(308,543):{'3_1':0.0},(308,542):{'3_1':0.0,'7_1':0.0},(308,541):{'3_1':0.0,'4_1':0.0},(308,540):{'3_1':0.03,'5_1':0.0},(308,539):{'3_1':0.0},(308,538):{'3_1':0.03,'5_1':0.0},(308,537):{'3_1':0.03,'5_1':0.0},(308,536):{'3_1':0.0,'5_1':0.0},(308,535):{'3_1':0.0},(308,534):{'3_1':0.0},(308,533):{'3_1':0.03},(308,532):{'3_1':0.03,'6_3':0.0},(308,531):{'3_1':0.0},(308,530):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(308,529):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(308,528):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(308,527):{'3_1':0.0},(308,526):{'3_1':0.03,'6_3':0.0},(308,525):{'3_1':0.0,'7_1':0.0},(308,524):{'3_1':0.0,'5_1':0.0},(308,523):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(308,522):{'3_1':0.0,'5_1':0.0},(308,521):{'3_1':0.03},(308,520):{'3_1':0.0},(308,519):{'3_1':0.03},(308,518):{'3_1':0.0},(308,517):{'3_1':0.0},(308,516):{'3_1':0.0},(308,515):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(308,514):{'3_1':0.03},(308,513):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(308,512):{'3_1':0.0},(308,511):{'3_1':0.0,'7_1':0.0},(308,510):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(308,509):{'3_1':0.0},(308,508):{'3_1':0.03,'7_1':0.0},(308,507):{'3_1':0.0,'7_1':0.0},(308,506):{'3_1':0.03,'4_1':0.0},(308,505):{'3_1':0.03},(308,504):{'3_1':0.0},(308,503):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(308,502):{'3_1':0.0,'4_1':0.0},(308,501):{'3_1':0.03},(308,500):{'3_1':0.0,'7_1':0.0},(308,499):{'3_1':0.0},(308,498):{'3_1':0.0},(308,497):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(308,496):{'3_1':0.0},(308,495):{'3_1':0.0},(308,494):{'3_1':0.0,'4_1':0.0},(308,493):{'3_1':0.03},(308,492):{'3_1':0.0},(308,491):{'3_1':0.0,'5_1':0.0},(308,490):{'3_1':0.0},(308,489):{'3_1':0.06,'5_1':0.0},(308,488):{'3_1':0.03},(308,487):{'3_1':0.06},(308,486):{'3_1':0.0},(308,485):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(308,484):{'3_1':0.0},(308,483):{'3_1':0.0},(308,482):{'3_1':0.03},(308,481):{'3_1':0.03},(308,480):{'3_1':0.06,'5_1':0.0},(308,479):{'3_1':0.06},(308,478):{'3_1':0.03},(308,477):{'3_1':0.03,'5_1':0.0},(308,476):{'3_1':0.03,'7_6':0.0},(308,475):{'3_1':0.0},(308,474):{'3_1':0.03},(308,473):{'3_1':0.03},(308,472):{'3_1':0.03},(308,471):{'3_1':0.03,'4_1':0.0},(308,470):{'3_1':0.03},(308,469):{'3_1':0.03},(308,468):{'3_1':0.06},(308,466):{'3_1':0.0},(308,465):{'3_1':0.0},(308,464):{'3_1':0.0},(308,463):{'3_1':0.0},(308,461):{'3_1':0.0},(308,460):{'3_1':0.0},(308,459):{'3_1':0.0},(308,458):{'3_1':0.0},(308,457):{'3_1':0.0},(308,456):{'4_1':0.0},(308,455):{'3_1':0.0},(308,454):{'3_1':0.0},(308,453):{'3_1':0.0,'4_1':0.0},(308,451):{'3_1':0.0},(308,449):{'3_1':0.0},(308,448):{'4_1':0.0},(308,447):{'6_1':0.0},(308,446):{'3_1':0.0},(308,445):{'3_1':0.0},(308,443):{'3_1':0.0},(308,442):{'3_1':0.0},(308,441):{'4_1':0.0},(308,440):{'3_1':0.0},(308,439):{'3_1':0.0},(308,438):{'3_1':0.0},(308,437):{'3_1':0.0},(308,436):{'3_1':0.0,'4_1':0.0},(308,435):{'3_1':0.0},(308,434):{'3_1':0.0},(308,433):{'3_1':0.0},(308,432):{'3_1':0.0},(308,431):{'3_1':0.0},(308,430):{'3_1':0.0},(308,429):{'3_1':0.0},(308,428):{'3_1':0.0},(308,427):{'3_1':0.0},(308,426):{'3_1':0.0},(308,425):{'3_1':0.0},(308,424):{'3_1':0.0},(308,422):{'3_1':0.0},(308,419):{'3_1':0.0},(308,418):{'3_1':0.0},(308,416):{'3_1':0.0,'5_1':0.0},(308,415):{'3_1':0.0,'5_1':0.0},(308,414):{'3_1':0.03},(308,413):{'3_1':0.0},(308,411):{'3_1':0.0},(308,408):{'3_1':0.0},(308,407):{'3_1':0.0},(308,406):{'3_1':0.0},(308,403):{'3_1':0.0},(308,402):{'3_1':0.0},(308,401):{'3_1':0.0},(308,399):{'3_1':0.0},(308,398):{'3_1':0.0},(308,395):{'3_1':0.0},(308,378):{'3_1':0.0},(308,376):{'3_1':0.0},(308,374):{'3_1':0.0},(308,373):{'3_1':0.0},(308,372):{'3_1':0.0},(308,371):{'3_1':0.0},(308,370):{'3_1':0.0,'5_1':0.0},(308,369):{'3_1':0.0},(308,365):{'3_1':0.0},(308,363):{'3_1':0.0},(308,362):{'3_1':0.0},(308,360):{'3_1':0.0},(308,313):{'3_1':0.0},(309,727):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0},(309,726):{'4_1':0.15,'3_1':0.06,'-3':0.0,'5_2':0.0},(309,725):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0},(309,724):{'4_1':0.06,'3_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(309,723):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0},(309,722):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_4':0.0,'6_2':0.0,'7_6':0.0},(309,721):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0,'6_2':0.0,'7_6':0.0,'3_1#5_2':0.0},(309,720):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(309,719):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_4':0.0,'-3':0.0},(309,718):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'7_4':0.0,'-3':0.0},(309,717):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0},(309,716):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(309,715):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'-3':0.0,'6_2':0.0},(309,714):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(309,713):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'7_4':0.0},(309,712):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_2':0.0,'9_1':0.0},(309,711):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_5':0.0},(309,710):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'7_5':0.0},(309,709):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0},(309,708):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'8_4':0.0},(309,707):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'-3':0.0},(309,706):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_4':0.0,'5_1':0.0},(309,705):{'4_1':0.15,'3_1':0.03,'5_2':0.03},(309,704):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'7_4':0.0,'-3':0.0},(309,703):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(309,702):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0,'5_1':0.0,'-3':0.0},(309,701):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(309,700):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(309,699):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(309,698):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_4':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0},(309,697):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(309,696):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'7_3':0.0,'7_4':0.0},(309,695):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'7_5':0.0},(309,694):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(309,693):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'-3':0.0,'6_2':0.0},(309,692):{'4_1':0.12,'3_1':0.12,'5_2':0.09,'7_3':0.0},(309,691):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'7_4':0.0,'6_1':0.0},(309,690):{'4_1':0.18,'3_1':0.12,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(309,689):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'-3':0.0},(309,688):{'4_1':0.15,'3_1':0.09,'5_2':0.06},(309,687):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0},(309,686):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_4':0.0},(309,685):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(309,684):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(309,683):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'-3':0.0,'6_2':0.0,'9_1':0.0},(309,682):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_6':0.0,'-3':0.0},(309,681):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(309,680):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(309,679):{'4_1':0.15,'5_2':0.12,'3_1':0.03,'5_1':0.0,'6_1':0.0},(309,678):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'7_6':0.0,'5_1':0.0},(309,677):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_6':0.0,'-3':0.0},(309,676):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_3':0.0},(309,675):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'7_3':0.0,'6_2':0.0,'-3':0.0},(309,674):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'-3':0.0,'6_1':0.0,'8_3':0.0,'9_1':0.0},(309,673):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.0,'7_3':0.0},(309,672):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'6_1':0.0,'7_6':0.0},(309,671):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'-3':0.0},(309,670):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'-3':0.0},(309,669):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'-3':0.0},(309,668):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.0},(309,667):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_1':0.0},(309,666):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'-3':0.0},(309,665):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_6':0.0},(309,664):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'5_1':0.0,'7_1':0.0,'7_4':0.0,'-3':0.0,'6_2':0.0,'8_7':0.0},(309,663):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(309,662):{'4_1':0.21,'3_1':0.12,'5_2':0.06,'6_3':0.0,'7_6':0.0,'-3':0.0},(309,661):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_3':0.0,'7_6':0.0},(309,660):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(309,659):{'3_1':0.21,'4_1':0.12,'5_2':0.0},(309,658):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(309,657):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(309,656):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'6_1':0.0},(309,655):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0},(309,654):{'4_1':0.24,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(309,653):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(309,652):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_3':0.0,'-3':0.0},(309,651):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_6':0.0},(309,650):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(309,649):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'7_6':0.0,'-3':0.0},(309,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(309,647):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_6':0.0},(309,646):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(309,645):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(309,644):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0,'-3':0.0},(309,643):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(309,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(309,641):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(309,640):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(309,639):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(309,638):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0},(309,637):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(309,636):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(309,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(309,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'9_1':0.0},(309,633):{'3_1':0.12,'7_1':0.0,'4_1':0.0},(309,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(309,631):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(309,630):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(309,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(309,628):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0,'9_1':0.0},(309,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(309,626):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(309,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(309,624):{'3_1':0.06,'4_1':0.03},(309,623):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(309,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(309,621):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0},(309,620):{'3_1':0.03,'4_1':0.03,'7_1':0.0,'6_2':0.0,'-3':0.0},(309,619):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(309,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(309,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(309,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(309,615):{'3_1':0.03,'4_1':0.0},(309,614):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(309,613):{'3_1':0.06,'4_1':0.03},(309,612):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(309,611):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,610):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0},(309,609):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,608):{'3_1':0.06,'4_1':0.03},(309,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,605):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(309,604):{'4_1':0.06,'3_1':0.03,'5_1':0.0},(309,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,602):{'3_1':0.0,'4_1':0.0},(309,601):{'3_1':0.0,'4_1':0.0},(309,600):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(309,599):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(309,598):{'3_1':0.03,'4_1':0.0},(309,597):{'5_1':0.0,'4_1':0.0},(309,596):{'3_1':0.06},(309,595):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(309,594):{'3_1':0.0},(309,593):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(309,592):{'3_1':0.03,'5_1':0.0},(309,591):{'3_1':0.03,'7_1':0.0},(309,590):{'3_1':0.0,'4_1':0.0},(309,589):{'3_1':0.03,'5_1':0.0},(309,588):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(309,587):{'3_1':0.06},(309,586):{'3_1':0.03},(309,585):{'3_1':0.03,'5_1':0.0},(309,584):{'3_1':0.0,'9_1':0.0},(309,583):{'3_1':0.0},(309,582):{'3_1':0.0,'4_1':0.0,'8_3':0.0},(309,580):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(309,579):{'3_1':0.0,'7_1':0.0},(309,578):{'3_1':0.0,'8_1':0.0},(309,577):{'3_1':0.03},(309,576):{'3_1':0.03},(309,575):{'3_1':0.0},(309,574):{'3_1':0.0,'7_1':0.0},(309,573):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(309,572):{'3_1':0.0,'5_2':0.0},(309,571):{'7_1':0.0,'5_1':0.0},(309,570):{'7_1':0.0},(309,569):{'3_1':0.0},(309,568):{'3_1':0.0},(309,567):{'3_1':0.03,'5_1':0.0},(309,566):{'3_1':0.0,'5_1':0.0},(309,565):{'3_1':0.0,'5_1':0.0},(309,564):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(309,563):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(309,562):{'3_1':0.0},(309,561):{'5_1':0.0},(309,560):{'3_1':0.0,'4_1':0.0},(309,559):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,558):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(309,557):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(309,556):{'3_1':0.0,'5_2':0.0},(309,555):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(309,553):{'3_1':0.0,'4_1':0.0},(309,552):{'3_1':0.0,'6_2':0.0},(309,551):{'3_1':0.0},(309,550):{'3_1':0.0,'5_2':0.0},(309,549):{'5_1':0.0},(309,548):{'3_1':0.0,'5_1':0.0},(309,547):{'3_1':0.0},(309,546):{'3_1':0.0,'4_1':0.0},(309,545):{'3_1':0.0},(309,544):{'3_1':0.0},(309,543):{'3_1':0.03,'7_1':0.0,'9_1':0.0},(309,542):{'3_1':0.03,'7_1':0.0},(309,541):{'3_1':0.0,'5_1':0.0},(309,539):{'3_1':0.0,'5_1':0.0},(309,538):{'3_1':0.0},(309,536):{'3_1':0.0},(309,535):{'3_1':0.0},(309,534):{'3_1':0.06},(309,533):{'3_1':0.0,'6_2':0.0},(309,532):{'3_1':0.0,'7_1':0.0},(309,531):{'3_1':0.0},(309,530):{'3_1':0.0,'7_1':0.0},(309,529):{'4_1':0.0,'6_1':0.0},(309,528):{'3_1':0.0,'6_2':0.0},(309,527):{'3_1':0.0},(309,526):{'3_1':0.03},(309,525):{'3_1':0.0},(309,523):{'3_1':0.0},(309,522):{'3_1':0.03,'7_2':0.0},(309,521):{'3_1':0.0,'6_3':0.0},(309,519):{'3_1':0.0,'5_1':0.0},(309,518):{'3_1':0.0,'7_1':0.0},(309,517):{'7_1':0.0},(309,516):{'5_1':0.0},(309,515):{'3_1':0.0,'7_1':0.0},(309,514):{'3_1':0.0},(309,513):{'5_1':0.0},(309,512):{'7_1':0.0},(309,511):{'3_1':0.0},(309,510):{'3_1':0.0,'7_1':0.0},(309,509):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(309,508):{'3_1':0.0},(309,506):{'3_1':0.03},(309,505):{'3_1':0.0},(309,504):{'3_1':0.0},(309,503):{'3_1':0.03},(309,502):{'4_1':0.0,'7_1':0.0},(309,501):{'3_1':0.0},(309,500):{'7_1':0.0},(309,499):{'7_1':0.0},(309,498):{'3_1':0.0,'9_1':0.0},(309,497):{'9_1':0.0},(309,496):{'3_1':0.0},(309,495):{'4_1':0.0,'3_1':0.0},(309,494):{'3_1':0.0},(309,493):{'3_1':0.0},(309,492):{'3_1':0.0},(309,491):{'3_1':0.03},(309,490):{'3_1':0.0},(309,489):{'3_1':0.0,'7_1':0.0},(309,488):{'3_1':0.0,'5_1':0.0},(309,487):{'3_1':0.0,'4_1':0.0},(309,486):{'3_1':0.03,'9_1':0.0},(309,485):{'3_1':0.0},(309,484):{'3_1':0.03,'7_1':0.0,'9_1':0.0},(309,483):{'3_1':0.06,'6_1':0.0},(309,482):{'3_1':0.03,'5_2':0.0},(309,481):{'3_1':0.06},(309,480):{'3_1':0.06},(309,479):{'3_1':0.0,'5_2':0.0},(309,478):{'3_1':0.09},(309,477):{'3_1':0.0,'5_1':0.0},(309,476):{'3_1':0.03},(309,475):{'3_1':0.0,'5_1':0.0},(309,474):{'3_1':0.06},(309,473):{'3_1':0.03},(309,472):{'3_1':0.0},(309,471):{'3_1':0.0},(309,470):{'3_1':0.0},(309,469):{'3_1':0.0},(309,468):{'3_1':0.0},(309,467):{'3_1':0.0},(309,466):{'3_1':0.0},(309,464):{'5_2':0.0,'3_1':0.0},(309,463):{'3_1':0.0},(309,460):{'3_1':0.0},(309,459):{'3_1':0.0},(309,458):{'3_1':0.0},(309,457):{'3_1':0.0},(309,456):{'3_1':0.0},(309,455):{'3_1':0.0,'4_1':0.0},(309,454):{'3_1':0.0},(309,453):{'3_1':0.0},(309,452):{'3_1':0.0},(309,451):{'3_1':0.0},(309,448):{'3_1':0.0},(309,447):{'3_1':0.03},(309,446):{'3_1':0.0},(309,445):{'3_1':0.0},(309,444):{'3_1':0.0},(309,443):{'3_1':0.0},(309,442):{'3_1':0.0},(309,441):{'3_1':0.0},(309,440):{'3_1':0.0},(309,439):{'3_1':0.03},(309,438):{'3_1':0.0},(309,437):{'3_1':0.03},(309,436):{'3_1':0.0},(309,435):{'3_1':0.03},(309,434):{'3_1':0.0},(309,433):{'3_1':0.0},(309,431):{'3_1':0.0},(309,430):{'3_1':0.03},(309,429):{'3_1':0.0},(309,428):{'3_1':0.0},(309,427):{'3_1':0.03},(309,426):{'3_1':0.0},(309,425):{'3_1':0.0},(309,424):{'4_1':0.0},(309,422):{'3_1':0.0},(309,420):{'3_1':0.0},(309,419):{'3_1':0.0},(309,418):{'3_1':0.0},(309,417):{'3_1':0.03},(309,415):{'5_1':0.0},(309,414):{'3_1':0.0},(309,413):{'5_1':0.0},(309,410):{'5_1':0.0},(309,409):{'3_1':0.0},(309,408):{'3_1':0.0},(309,407):{'3_1':0.0},(309,404):{'3_1':0.0},(309,402):{'3_1':0.0},(309,399):{'3_1':0.0},(309,398):{'3_1':0.0},(309,397):{'3_1':0.0},(309,396):{'3_1':0.0,'5_2':0.0},(309,395):{'3_1':0.0},(309,392):{'3_1':0.0},(309,388):{'3_1':0.0},(309,380):{'4_1':0.0},(309,379):{'3_1':0.0},(309,376):{'3_1':0.0},(309,375):{'3_1':0.0},(309,374):{'3_1':0.0},(309,373):{'3_1':0.03,'5_1':0.0},(309,369):{'3_1':0.0},(309,367):{'5_1':0.0},(309,366):{'3_1':0.0},(309,365):{'3_1':0.0},(309,359):{'3_1':0.0},(309,316):{'3_1':0.0},(310,727):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_3':0.0},(310,726):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(310,725):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(310,724):{'4_1':0.24,'3_1':0.09,'5_2':0.06},(310,723):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'7_5':0.0},(310,722):{'4_1':0.15,'3_1':0.09,'6_2':0.0},(310,721):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0,'-3':0.0},(310,720):{'4_1':0.15,'3_1':0.09,'5_2':0.03},(310,719):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(310,718):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'7_3':0.0},(310,717):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(310,716):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0,'8_1':0.0},(310,715):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_4':0.0,'5_1':0.0,'7_3':0.0},(310,714):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_3':0.0,'7_5':0.0},(310,713):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.0},(310,712):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(310,711):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'7_3':0.0},(310,710):{'4_1':0.21,'3_1':0.06,'5_2':0.0,'-3':0.0,'7_1':0.0,'7_4':0.0},(310,709):{'4_1':0.21,'3_1':0.09,'5_2':0.03},(310,708):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(310,707):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_4':0.0},(310,706):{'4_1':0.12,'3_1':0.06,'5_2':0.06},(310,705):{'4_1':0.21,'5_2':0.06,'3_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(310,704):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'7_4':0.0,'6_1':0.0},(310,703):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0},(310,702):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(310,701):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(310,700):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0},(310,699):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0},(310,698):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0},(310,697):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(310,696):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_3':0.0},(310,695):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(310,694):{'4_1':0.18,'3_1':0.12,'5_2':0.0},(310,693):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'9_1':0.0},(310,692):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(310,691):{'4_1':0.18,'3_1':0.09,'5_2':0.09,'6_2':0.0,'-3':0.0},(310,690):{'4_1':0.12,'5_2':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(310,689):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(310,688):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_4':0.0},(310,687):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(310,686):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'-3':0.0},(310,685):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(310,684):{'4_1':0.27,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'-3':0.0},(310,683):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0},(310,682):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'9_1':0.0,'-3':0.0},(310,681):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(310,680):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0},(310,679):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'6_1':0.0,'8_3':0.0},(310,678):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(310,677):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'8_4':0.0,'-3':0.0},(310,676):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(310,675):{'5_2':0.15,'4_1':0.12,'3_1':0.09,'6_1':0.0,'7_3':0.0,'-3':0.0},(310,674):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'8_1':0.0,'8_4':0.0},(310,673):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(310,672):{'4_1':0.24,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(310,671):{'4_1':0.15,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(310,670):{'4_1':0.24,'3_1':0.06,'5_2':0.06,'6_1':0.0,'-3':0.0},(310,669):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'-3':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(310,668):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0},(310,667):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'-3':0.0},(310,666):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(310,665):{'4_1':0.21,'5_2':0.09,'3_1':0.06,'5_1':0.0},(310,664):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.0,'-3':0.0},(310,663):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_3':0.0},(310,662):{'4_1':0.21,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0},(310,661):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'-3':0.0},(310,660):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(310,659):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0},(310,658):{'3_1':0.24,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(310,657):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(310,656):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(310,655):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(310,654):{'3_1':0.21,'4_1':0.15,'5_1':0.0},(310,653):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(310,652):{'3_1':0.21,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0,'9_1':0.0},(310,651):{'3_1':0.3,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(310,650):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(310,649):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(310,648):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'5_2':0.0,'-3':0.0},(310,647):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(310,646):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(310,645):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(310,644):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(310,643):{'3_1':0.3,'4_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'9_1':0.0},(310,642):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(310,641):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'7_1':0.0},(310,640):{'3_1':0.24,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(310,639):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0},(310,638):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_6':0.0},(310,637):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(310,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(310,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(310,634):{'3_1':0.09,'4_1':0.03,'7_1':0.0},(310,633):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(310,632):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(310,631):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(310,630):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_4':0.0},(310,629):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(310,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(310,627):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(310,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(310,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(310,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(310,623):{'3_1':0.09,'4_1':0.0},(310,622):{'3_1':0.15,'4_1':0.0},(310,621):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(310,620):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(310,619):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(310,618):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(310,617):{'3_1':0.09},(310,616):{'3_1':0.09,'4_1':0.0},(310,615):{'3_1':0.06,'4_1':0.0},(310,614):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0},(310,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(310,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(310,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(310,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(310,609):{'3_1':0.03,'4_1':0.0},(310,608):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(310,607):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(310,606):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(310,605):{'3_1':0.06,'4_1':0.0},(310,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(310,603):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(310,602):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(310,601):{'4_1':0.0,'3_1':0.0},(310,600):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(310,599):{'3_1':0.0},(310,598):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(310,597):{'3_1':0.0,'8_20|3_1#3_1':0.0},(310,596):{'3_1':0.03,'6_2':0.0},(310,595):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'3_1#5_1':0.0},(310,594):{'3_1':0.0},(310,593):{'3_1':0.03,'5_1':0.0},(310,592):{'4_1':0.0},(310,591):{'3_1':0.0,'5_1':0.0},(310,590):{'3_1':0.03,'4_1':0.0},(310,589):{'3_1':0.03,'4_1':0.0},(310,588):{'3_1':0.0,'5_1':0.0},(310,587):{'3_1':0.03,'4_1':0.0},(310,586):{'3_1':0.0,'5_1':0.0},(310,585):{'3_1':0.03,'5_1':0.0},(310,584):{'3_1':0.03,'5_1':0.0},(310,583):{'3_1':0.0},(310,582):{'3_1':0.0},(310,581):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,580):{'3_1':0.06,'5_1':0.0},(310,579):{'3_1':0.03},(310,578):{'3_1':0.03},(310,577):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(310,576):{'3_1':0.0,'5_1':0.0},(310,575):{'4_1':0.0,'5_1':0.0},(310,574):{'3_1':0.0,'5_2':0.0},(310,573):{'3_1':0.0,'7_1':0.0},(310,572):{'3_1':0.03},(310,571):{'3_1':0.03},(310,570):{'3_1':0.0},(310,569):{'3_1':0.03},(310,568):{'3_1':0.0},(310,567):{'3_1':0.03},(310,566):{'3_1':0.0,'6_1':0.0},(310,565):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(310,564):{'3_1':0.03},(310,563):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(310,562):{'3_1':0.0},(310,561):{'3_1':0.0},(310,560):{'3_1':0.0,'4_1':0.0},(310,558):{'3_1':0.03},(310,556):{'3_1':0.0,'5_2':0.0},(310,555):{'3_1':0.03},(310,554):{'3_1':0.03,'4_1':0.0},(310,553):{'3_1':0.0,'9_1':0.0},(310,552):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(310,551):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(310,550):{'3_1':0.0,'5_1':0.0},(310,549):{'3_1':0.03},(310,548):{'3_1':0.0},(310,547):{'3_1':0.0,'9_1':0.0},(310,546):{'3_1':0.0,'5_1':0.0},(310,545):{'3_1':0.03,'7_1':0.0},(310,544):{'3_1':0.03},(310,543):{'3_1':0.0},(310,542):{'3_1':0.03,'4_1':0.0},(310,541):{'3_1':0.0},(310,540):{'3_1':0.0},(310,539):{'3_1':0.0,'5_1':0.0},(310,538):{'3_1':0.03},(310,537):{'3_1':0.0},(310,536):{'3_1':0.0,'4_1':0.0},(310,535):{'3_1':0.0},(310,534):{'3_1':0.0,'5_1':0.0},(310,533):{'3_1':0.03,'5_1':0.0,'8_2':0.0},(310,532):{'3_1':0.0},(310,531):{'3_1':0.03},(310,530):{'3_1':0.0,'4_1':0.0,'-3':0.0},(310,529):{'3_1':0.0,'5_1':0.0},(310,528):{'3_1':0.03,'5_1':0.0},(310,527):{'3_1':0.03},(310,526):{'3_1':0.03,'4_1':0.0,'8_9':0.0,'-3':0.0},(310,525):{'3_1':0.03,'4_1':0.0},(310,524):{'3_1':0.0},(310,523):{'3_1':0.0},(310,522):{'3_1':0.0},(310,521):{'3_1':0.0},(310,520):{'3_1':0.03},(310,519):{'3_1':0.03,'4_1':0.0},(310,518):{'9_1':0.0,'3_1':0.0},(310,517):{'3_1':0.0,'-3':0.0},(310,516):{'3_1':0.03},(310,515):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(310,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(310,513):{'3_1':0.0},(310,512):{'3_1':0.03},(310,509):{'5_1':0.0,'9_1':0.0},(310,508):{'3_1':0.0},(310,507):{'3_1':0.0,'5_1':0.0},(310,506):{'3_1':0.0,'5_1':0.0},(310,505):{'3_1':0.0,'4_1':0.0},(310,504):{'4_1':0.0,'9_1':0.0},(310,503):{'3_1':0.0,'5_1':0.0},(310,501):{'3_1':0.0},(310,500):{'3_1':0.03,'4_1':0.0},(310,499):{'3_1':0.0},(310,497):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(310,496):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(310,495):{'3_1':0.0},(310,494):{'3_1':0.0,'5_1':0.0},(310,493):{'4_1':0.0,'3_1':0.0},(310,492):{'3_1':0.0,'5_1':0.0,'-3':0.0},(310,491):{'3_1':0.0,'4_1':0.0},(310,490):{'5_1':0.0,'3_1':0.0},(310,489):{'3_1':0.03,'5_1':0.0},(310,488):{'3_1':0.03,'5_1':0.0},(310,486):{'3_1':0.03},(310,485):{'3_1':0.06},(310,484):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(310,483):{'3_1':0.09},(310,482):{'3_1':0.03},(310,481):{'3_1':0.03,'5_1':0.0},(310,480):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(310,479):{'3_1':0.06},(310,478):{'3_1':0.0},(310,477):{'3_1':0.0,'5_2':0.0},(310,476):{'3_1':0.03},(310,475):{'3_1':0.03},(310,474):{'3_1':0.0},(310,473):{'3_1':0.03,'5_1':0.0},(310,472):{'3_1':0.03},(310,471):{'3_1':0.03},(310,470):{'3_1':0.0},(310,469):{'3_1':0.0,'5_2':0.0},(310,468):{'3_1':0.0},(310,467):{'3_1':0.0},(310,465):{'3_1':0.0},(310,464):{'3_1':0.03},(310,463):{'3_1':0.0},(310,461):{'3_1':0.0},(310,460):{'3_1':0.0,'4_1':0.0},(310,458):{'3_1':0.0},(310,457):{'3_1':0.0},(310,456):{'3_1':0.0},(310,452):{'3_1':0.0},(310,451):{'3_1':0.0},(310,448):{'3_1':0.0,'4_1':0.0},(310,447):{'3_1':0.0},(310,446):{'3_1':0.0,'4_1':0.0},(310,445):{'3_1':0.0},(310,444):{'3_1':0.0},(310,442):{'3_1':0.03},(310,441):{'3_1':0.0},(310,438):{'3_1':0.0},(310,435):{'3_1':0.0},(310,434):{'3_1':0.0},(310,432):{'3_1':0.0},(310,430):{'3_1':0.0},(310,429):{'3_1':0.0},(310,427):{'3_1':0.0},(310,426):{'3_1':0.0},(310,424):{'3_1':0.0},(310,421):{'3_1':0.0},(310,419):{'3_1':0.0},(310,417):{'3_1':0.0},(310,416):{'3_1':0.0,'5_1':0.0},(310,415):{'3_1':0.0},(310,410):{'3_1':0.03},(310,409):{'3_1':0.0,'5_1':0.0},(310,408):{'3_1':0.0},(310,404):{'3_1':0.0},(310,403):{'3_1':0.0},(310,402):{'3_1':0.0},(310,401):{'3_1':0.03},(310,399):{'5_1':0.0},(310,398):{'3_1':0.0},(310,394):{'3_1':0.0},(310,391):{'3_1':0.0},(310,389):{'3_1':0.0},(310,380):{'3_1':0.0},(310,379):{'3_1':0.0,'4_1':0.0},(310,378):{'3_1':0.0},(310,377):{'3_1':0.0},(310,375):{'3_1':0.0},(310,374):{'3_1':0.0},(310,373):{'3_1':0.0},(310,371):{'3_1':0.0},(310,368):{'3_1':0.0,'4_1':0.0},(310,362):{'3_1':0.0},(310,359):{'3_1':0.0},(311,727):{'4_1':0.15,'3_1':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(311,726):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(311,725):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'-3':0.0},(311,724):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'8_3':0.0},(311,723):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_1':0.0,'-3':0.0},(311,722):{'4_1':0.18,'3_1':0.06,'5_2':0.03},(311,721):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0,'9_1':0.0},(311,720):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(311,719):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_1':0.0},(311,718):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_4':0.0},(311,717):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_1':0.0},(311,716):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'8_1':0.0},(311,715):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'8_1':0.0},(311,714):{'4_1':0.09,'3_1':0.03,'5_2':0.03},(311,713):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'8_1':0.0},(311,712):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0},(311,711):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0},(311,710):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0},(311,709):{'4_1':0.15,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(311,708):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_3':0.0},(311,707):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(311,706):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_3':0.0,'7_4':0.0,'-3':0.0},(311,705):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(311,704):{'4_1':0.12,'3_1':0.09,'5_2':0.03},(311,703):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'7_4':0.0,'-3':0.0},(311,702):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_3':0.0},(311,701):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_3':0.0,'7_4':0.0},(311,700):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0},(311,699):{'4_1':0.21,'3_1':0.18,'5_2':0.0,'6_1':0.0,'7_4':0.0},(311,698):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0},(311,697):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0,'7_6':0.0},(311,696):{'4_1':0.12,'5_2':0.09,'3_1':0.09},(311,695):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_4':0.0,'6_1':0.0},(311,694):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(311,693):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'-3':0.0},(311,692):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'7_3':0.0},(311,691):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(311,690):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(311,689):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_2':0.0,'-3':0.0},(311,688):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_4':0.0,'-3':0.0},(311,687):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'7_1':0.0,'7_3':0.0,'-3':0.0},(311,686):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0},(311,685):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_3':0.0,'6_2':0.0},(311,684):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(311,683):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'-3':0.0},(311,682):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(311,681):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_3':0.0},(311,680):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_11':0.0},(311,679):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0},(311,678):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(311,677):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'-3':0.0,'8_19':0.0},(311,676):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0},(311,675):{'4_1':0.27,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0},(311,674):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_5':0.0,'8_19':0.0},(311,673):{'4_1':0.24,'3_1':0.09,'5_2':0.03,'5_1':0.0},(311,672):{'4_1':0.24,'5_2':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'-3':0.0},(311,671):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(311,670):{'4_1':0.24,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(311,669):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'7_3':0.0,'8_2':0.0},(311,668):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0},(311,667):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(311,666):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_11':0.0,'-3':0.0},(311,665):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'7_6':0.0},(311,664):{'4_1':0.24,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0,'8_13':0.0},(311,663):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'-3':0.0},(311,662):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0},(311,661):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'-3':0.0},(311,660):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0},(311,659):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0},(311,658):{'4_1':0.21,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(311,657):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(311,656):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'-3':0.0,'9_1':0.0},(311,655):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(311,654):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(311,653):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(311,652):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(311,651):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_1':0.0,'6_1':0.0},(311,650):{'3_1':0.18,'4_1':0.15,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(311,649):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_1':0.0},(311,648):{'3_1':0.27,'4_1':0.09,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(311,647):{'3_1':0.27,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(311,646):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(311,645):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'7_1':0.0},(311,644):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(311,643):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(311,642):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0,'6_1':0.0},(311,641):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(311,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(311,639):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(311,638):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_1':0.0},(311,637):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0},(311,636):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(311,635):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(311,634):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'9_1':0.0},(311,633):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0},(311,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(311,631):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(311,630):{'3_1':0.12,'4_1':0.0},(311,629):{'3_1':0.09,'5_1':0.0,'7_3':0.0},(311,628):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(311,627):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(311,626):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0},(311,625):{'3_1':0.06,'4_1':0.03,'5_1':0.03},(311,624):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'7_1':0.0},(311,623):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(311,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'9_1':0.0},(311,621):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_1':0.0,'5_2':0.0},(311,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(311,619):{'4_1':0.06,'3_1':0.03},(311,618):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(311,617):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(311,616):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(311,615):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'5_1':0.0},(311,614):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(311,613):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'3_1#5_1':0.0},(311,612):{'3_1':0.0,'4_1':0.0},(311,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(311,610):{'3_1':0.06,'4_1':0.0},(311,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(311,608):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(311,607):{'3_1':0.0,'4_1':0.0},(311,606):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(311,605):{'3_1':0.0,'4_1':0.0},(311,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(311,603):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'7_1':0.0},(311,602):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(311,601):{'3_1':0.03},(311,600):{'3_1':0.0},(311,599):{'3_1':0.06,'5_1':0.0},(311,598):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(311,597):{'3_1':0.0},(311,596):{'3_1':0.0},(311,595):{'3_1':0.03,'4_1':0.0},(311,594):{'3_1':0.0},(311,593):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(311,592):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(311,591):{'3_1':0.06},(311,590):{'3_1':0.09},(311,589):{'3_1':0.06,'4_1':0.0},(311,588):{'3_1':0.0},(311,587):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(311,586):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(311,585):{'3_1':0.0},(311,584):{'3_1':0.0,'4_1':0.0},(311,583):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(311,582):{'3_1':0.0,'4_1':0.0},(311,581):{'3_1':0.0,'9_1':0.0},(311,580):{'3_1':0.0,'9_1':0.0},(311,579):{'3_1':0.0,'4_1':0.0},(311,578):{'3_1':0.03},(311,577):{'3_1':0.06,'4_1':0.0},(311,576):{'3_1':0.0},(311,575):{'3_1':0.03,'6_3':0.0},(311,574):{'3_1':0.0,'9_1':0.0},(311,573):{'9_1':0.0,'-3':0.0},(311,572):{'3_1':0.0,'4_1':0.0},(311,570):{'3_1':0.0},(311,569):{'3_1':0.0,'6_2':0.0},(311,568):{'3_1':0.0,'9_1':0.0},(311,567):{'3_1':0.0,'4_1':0.0},(311,566):{'3_1':0.03},(311,565):{'3_1':0.0},(311,564):{'4_1':0.0,'3_1':0.0,'9_1':0.0},(311,563):{'3_1':0.0,'5_1':0.0},(311,562):{'3_1':0.0},(311,561):{'3_1':0.0},(311,560):{'3_1':0.0},(311,559):{'3_1':0.0,'4_1':0.0},(311,558):{'3_1':0.0,'4_1':0.0},(311,557):{'5_2':0.0},(311,556):{'3_1':0.03,'9_1':0.0},(311,555):{'4_1':0.0},(311,554):{'3_1':0.03},(311,553):{'3_1':0.0},(311,552):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(311,551):{'3_1':0.06,'6_2':0.0},(311,550):{'3_1':0.0},(311,549):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(311,548):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(311,547):{'3_1':0.0,'4_1':0.0},(311,546):{'3_1':0.0},(311,545):{'3_1':0.03},(311,544):{'3_1':0.03},(311,543):{'3_1':0.0},(311,542):{'3_1':0.03,'7_1':0.0},(311,541):{'3_1':0.0},(311,540):{'3_1':0.0},(311,539):{'3_1':0.0,'5_1':0.0},(311,538):{'3_1':0.03},(311,537):{'3_1':0.0,'5_1':0.0},(311,536):{'3_1':0.0},(311,535):{'3_1':0.03,'5_1':0.0},(311,534):{'3_1':0.0,'5_1':0.0},(311,533):{'3_1':0.03,'5_1':0.0},(311,532):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(311,531):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(311,530):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(311,529):{'3_1':0.0,'7_1':0.0},(311,528):{'7_1':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0},(311,527):{'3_1':0.0},(311,526):{'3_1':0.03},(311,525):{'3_1':0.03,'8_9':0.0},(311,524):{'5_2':0.0,'7_1':0.0},(311,523):{'3_1':0.0,'5_1':0.0},(311,522):{'3_1':0.0,'7_1':0.0},(311,521):{'3_1':0.0},(311,520):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(311,519):{'3_1':0.03},(311,518):{'3_1':0.0,'4_1':0.0},(311,517):{'3_1':0.0,'5_1':0.0},(311,516):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(311,515):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(311,514):{'3_1':0.0},(311,513):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(311,512):{'7_1':0.0},(311,511):{'3_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0},(311,510):{'3_1':0.0},(311,508):{'7_1':0.0},(311,507):{'3_1':0.0},(311,506):{'3_1':0.0},(311,505):{'3_1':0.0},(311,504):{'3_1':0.0,'4_1':0.0,'-3':0.0},(311,503):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(311,502):{'3_1':0.0,'7_1':0.0},(311,501):{'7_1':0.0,'3_1':0.0},(311,500):{'3_1':0.0,'6_2':0.0},(311,499):{'3_1':0.0,'5_1':0.0},(311,498):{'3_1':0.0,'7_1':0.0},(311,497):{'3_1':0.0},(311,496):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(311,495):{'3_1':0.0,'5_1':0.0},(311,494):{'3_1':0.0,'5_1':0.0},(311,493):{'3_1':0.0},(311,492):{'3_1':0.0,'5_1':0.0},(311,491):{'3_1':0.0},(311,490):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(311,489):{'3_1':0.0,'4_1':0.0},(311,488):{'3_1':0.0},(311,487):{'3_1':0.0,'7_1':0.0,'-3':0.0},(311,486):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(311,485):{'3_1':0.0,'5_1':0.0},(311,484):{'3_1':0.03,'7_1':0.0},(311,483):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(311,482):{'3_1':0.0},(311,481):{'3_1':0.06},(311,480):{'3_1':0.03},(311,479):{'3_1':0.03,'5_1':0.0},(311,478):{'3_1':0.0,'5_1':0.0},(311,477):{'3_1':0.03,'5_1':0.0},(311,476):{'3_1':0.06},(311,475):{'3_1':0.0},(311,474):{'3_1':0.03},(311,473):{'3_1':0.03},(311,472):{'3_1':0.03},(311,471):{'3_1':0.03},(311,470):{'3_1':0.0},(311,469):{'3_1':0.03},(311,467):{'3_1':0.0},(311,466):{'3_1':0.0},(311,464):{'3_1':0.0},(311,463):{'3_1':0.0},(311,461):{'3_1':0.0},(311,460):{'4_1':0.0,'5_2':0.0},(311,459):{'3_1':0.0},(311,458):{'3_1':0.0},(311,457):{'3_1':0.0},(311,456):{'3_1':0.0,'4_1':0.0},(311,455):{'3_1':0.0,'4_1':0.0},(311,454):{'3_1':0.0},(311,453):{'3_1':0.0},(311,452):{'3_1':0.0},(311,451):{'3_1':0.0},(311,450):{'3_1':0.0},(311,448):{'3_1':0.0},(311,447):{'3_1':0.0},(311,446):{'3_1':0.0},(311,445):{'3_1':0.0},(311,443):{'3_1':0.0},(311,442):{'3_1':0.0},(311,440):{'3_1':0.0},(311,438):{'3_1':0.0},(311,437):{'3_1':0.03},(311,436):{'5_1':0.0},(311,435):{'3_1':0.0},(311,434):{'3_1':0.0},(311,433):{'3_1':0.03,'4_1':0.0},(311,432):{'3_1':0.0},(311,430):{'3_1':0.0},(311,428):{'3_1':0.0},(311,427):{'3_1':0.0,'5_2':0.0},(311,426):{'3_1':0.0},(311,425):{'3_1':0.0},(311,424):{'3_1':0.0},(311,423):{'3_1':0.0},(311,419):{'3_1':0.0},(311,417):{'3_1':0.0},(311,411):{'7_1':0.0},(311,409):{'3_1':0.0},(311,408):{'3_1':0.0},(311,407):{'3_1':0.0},(311,405):{'3_1':0.03},(311,402):{'3_1':0.0},(311,401):{'3_1':0.0},(311,399):{'5_1':0.0},(311,398):{'3_1':0.0},(311,397):{'3_1':0.0},(311,395):{'3_1':0.0},(311,392):{'3_1':0.0},(311,391):{'3_1':0.0},(311,371):{'3_1':0.0},(311,370):{'3_1':0.0},(311,367):{'3_1':0.0},(311,366):{'3_1':0.0},(311,365):{'3_1':0.0},(311,363):{'3_1':0.0},(311,362):{'3_1':0.0},(311,361):{'3_1':0.0},(312,727):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'7_1':0.0,'7_6':0.0},(312,726):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_3':0.0},(312,725):{'4_1':0.18,'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0,'8_1':0.0},(312,724):{'4_1':0.24,'3_1':0.06,'5_2':0.0},(312,723):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(312,722):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0},(312,721):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0},(312,720):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(312,719):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_4':0.0,'8_1':0.0},(312,718):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(312,717):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0},(312,716):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(312,715):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(312,714):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(312,713):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(312,712):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.0},(312,711):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0},(312,710):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'7_5':0.0},(312,709):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(312,708):{'4_1':0.15,'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(312,707):{'4_1':0.09,'3_1':0.09,'5_2':0.06},(312,706):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_6':0.0},(312,705):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(312,704):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(312,703):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(312,702):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.0},(312,701):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_21|3_1#4_1':0.0},(312,700):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0},(312,699):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0},(312,698):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'7_3':0.0,'7_4':0.0,'5_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(312,697):{'3_1':0.18,'4_1':0.15,'5_2':0.06,'6_1':0.0},(312,696):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(312,695):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'7_4':0.0},(312,694):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0},(312,693):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0},(312,692):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'7_4':0.0,'5_1':0.0,'6_1':0.0},(312,691):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0,'8_4':0.0},(312,690):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(312,689):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0},(312,688):{'4_1':0.18,'3_1':0.18,'5_2':0.0,'6_1':0.0,'6_2':0.0},(312,687):{'4_1':0.15,'3_1':0.12,'5_2':0.09,'8_11':0.0},(312,686):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_3':0.0},(312,685):{'4_1':0.18,'3_1':0.12,'5_2':0.03},(312,684):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_4':0.0,'6_2':0.0,'7_3':0.0},(312,683):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0,'9_1':0.0},(312,682):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'5_1':0.0},(312,681):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(312,680):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(312,679):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0},(312,678):{'3_1':0.18,'4_1':0.18,'5_2':0.09,'6_1':0.0,'5_1':0.0},(312,677):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(312,676):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'7_6':0.0},(312,675):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0},(312,674):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(312,673):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_2':0.0},(312,672):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'7_3':0.0,'6_1':0.0},(312,671):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_6':0.0},(312,670):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(312,669):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(312,668):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_3':0.0},(312,667):{'4_1':0.15,'3_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_3':0.0},(312,666):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'-3':0.0},(312,665):{'4_1':0.21,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_3':0.0,'-3':0.0},(312,664):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(312,663):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(312,662):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(312,661):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(312,660):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'7_3':0.0},(312,659):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'7_1':0.0,'-3':0.0},(312,658):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0},(312,657):{'4_1':0.21,'3_1':0.18,'7_3':0.0,'5_1':0.0,'5_2':0.0,'8_2':0.0},(312,656):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'7_3':0.0},(312,655):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(312,654):{'3_1':0.21,'4_1':0.15,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(312,653):{'3_1':0.18,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0},(312,652):{'3_1':0.21,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_2':0.0},(312,651):{'3_1':0.18,'4_1':0.12,'5_2':0.03},(312,650):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(312,649):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0},(312,648):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(312,647):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(312,646):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(312,645):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(312,644):{'3_1':0.3,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(312,643):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0},(312,642):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(312,641):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(312,640):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0},(312,639):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(312,638):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(312,637):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0},(312,636):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(312,635):{'3_1':0.15,'4_1':0.0},(312,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(312,633):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(312,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(312,631):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(312,630):{'3_1':0.12,'4_1':0.06,'6_2':0.0},(312,629):{'4_1':0.03,'3_1':0.03,'5_1':0.03,'5_2':0.0},(312,628):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(312,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(312,626):{'3_1':0.06,'4_1':0.06,'5_1':0.03,'5_2':0.0},(312,625):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(312,624):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(312,623):{'3_1':0.06,'4_1':0.0},(312,622):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0},(312,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(312,620):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(312,619):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(312,618):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(312,617):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(312,616):{'3_1':0.03,'4_1':0.0},(312,615):{'3_1':0.03,'4_1':0.0},(312,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(312,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(312,612):{'4_1':0.06,'3_1':0.0},(312,611):{'3_1':0.09,'4_1':0.0},(312,610):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(312,609):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(312,608):{'3_1':0.06,'4_1':0.0},(312,607):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(312,606):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(312,605):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(312,604):{'3_1':0.06,'4_1':0.0},(312,603):{'3_1':0.03},(312,602):{'3_1':0.0},(312,601):{'3_1':0.0,'4_1':0.0},(312,600):{'3_1':0.0,'4_1':0.0},(312,599):{'3_1':0.03},(312,598):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(312,597):{'3_1':0.03,'4_1':0.0},(312,596):{'3_1':0.03,'4_1':0.0},(312,595):{'3_1':0.03},(312,594):{'3_1':0.03},(312,593):{'3_1':0.03},(312,592):{'3_1':0.03,'5_2':0.0},(312,591):{'3_1':0.0},(312,590):{'3_1':0.0,'5_1':0.0},(312,589):{'3_1':0.0},(312,588):{'3_1':0.0},(312,587):{'3_1':0.03},(312,586):{'3_1':0.0},(312,585):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(312,584):{'3_1':0.0,'4_1':0.0},(312,583):{'3_1':0.0},(312,582):{'3_1':0.0,'4_1':0.0},(312,581):{'3_1':0.0,'4_1':0.0},(312,580):{'3_1':0.0},(312,579):{'3_1':0.03},(312,578):{'3_1':0.03},(312,576):{'4_1':0.0,'3_1':0.0},(312,575):{'3_1':0.0,'4_1':0.0},(312,574):{'3_1':0.0},(312,573):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(312,572):{'3_1':0.0,'5_1':0.0},(312,571):{'3_1':0.0},(312,570):{'3_1':0.0},(312,569):{'3_1':0.03},(312,568):{'3_1':0.0},(312,566):{'3_1':0.0},(312,565):{'3_1':0.0},(312,564):{'3_1':0.03},(312,563):{'3_1':0.0,'5_1':0.0},(312,561):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(312,560):{'3_1':0.0,'4_1':0.0},(312,559):{'3_1':0.0},(312,558):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(312,557):{'3_1':0.0},(312,556):{'3_1':0.06},(312,555):{'3_1':0.0,'6_1':0.0},(312,554):{'3_1':0.03,'4_1':0.0},(312,553):{'3_1':0.0},(312,552):{'3_1':0.0,'5_1':0.0},(312,551):{'3_1':0.0},(312,550):{'3_1':0.0,'4_1':0.0},(312,549):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(312,548):{'3_1':0.0},(312,547):{'3_1':0.0},(312,546):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(312,545):{'3_1':0.03,'6_1':0.0},(312,544):{'3_1':0.03},(312,543):{'3_1':0.0,'5_1':0.0},(312,542):{'3_1':0.03},(312,541):{'3_1':0.03},(312,540):{'3_1':0.0},(312,539):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(312,538):{'3_1':0.0},(312,537):{'3_1':0.0},(312,536):{'3_1':0.0,'4_1':0.0},(312,535):{'3_1':0.0,'5_1':0.0},(312,534):{'3_1':0.0},(312,533):{'3_1':0.03},(312,532):{'3_1':0.03},(312,531):{'3_1':0.03},(312,530):{'3_1':0.0,'4_1':0.0},(312,529):{'3_1':0.03,'4_1':0.0},(312,528):{'3_1':0.0},(312,527):{'3_1':0.03},(312,526):{'3_1':0.0,'5_1':0.0},(312,525):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(312,524):{'3_1':0.0,'6_2':0.0},(312,523):{'3_1':0.03},(312,522):{'3_1':0.06},(312,521):{'3_1':0.0},(312,520):{'3_1':0.0},(312,519):{'3_1':0.03,'7_1':0.0},(312,518):{'3_1':0.0},(312,517):{'3_1':0.0},(312,516):{'5_1':0.0,'3_1':0.0},(312,515):{'3_1':0.03},(312,514):{'3_1':0.0},(312,513):{'3_1':0.0},(312,512):{'3_1':0.0,'4_1':0.0},(312,511):{'3_1':0.03},(312,510):{'3_1':0.0,'7_2':0.0},(312,509):{'3_1':0.0,'4_1':0.0},(312,507):{'3_1':0.0},(312,506):{'3_1':0.0},(312,505):{'3_1':0.0},(312,504):{'3_1':0.0},(312,503):{'3_1':0.0,'6_1':0.0},(312,502):{'3_1':0.03},(312,501):{'3_1':0.0},(312,500):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(312,498):{'3_1':0.0},(312,497):{'3_1':0.03},(312,496):{'3_1':0.0},(312,495):{'3_1':0.0},(312,494):{'3_1':0.0,'4_1':0.0},(312,493):{'3_1':0.0},(312,492):{'3_1':0.0},(312,491):{'3_1':0.06},(312,490):{'3_1':0.0},(312,489):{'3_1':0.0},(312,488):{'3_1':0.0,'4_1':0.0},(312,487):{'3_1':0.0},(312,486):{'3_1':0.03,'4_1':0.0},(312,485):{'3_1':0.0},(312,484):{'3_1':0.03},(312,483):{'3_1':0.06},(312,482):{'3_1':0.0},(312,481):{'3_1':0.03},(312,480):{'3_1':0.06},(312,479):{'3_1':0.03},(312,478):{'3_1':0.0},(312,477):{'3_1':0.03},(312,476):{'3_1':0.03,'5_1':0.0},(312,475):{'3_1':0.0,'5_1':0.0},(312,474):{'3_1':0.0},(312,473):{'3_1':0.03},(312,472):{'3_1':0.03},(312,471):{'3_1':0.03},(312,470):{'3_1':0.06},(312,469):{'3_1':0.03},(312,468):{'3_1':0.06},(312,466):{'3_1':0.0},(312,465):{'3_1':0.03},(312,464):{'3_1':0.0},(312,463):{'3_1':0.0},(312,462):{'3_1':0.0},(312,461):{'3_1':0.0},(312,460):{'3_1':0.0},(312,459):{'3_1':0.0,'4_1':0.0},(312,458):{'3_1':0.0},(312,457):{'3_1':0.0,'5_1':0.0},(312,455):{'4_1':0.0},(312,452):{'3_1':0.0},(312,450):{'3_1':0.0},(312,449):{'3_1':0.0,'4_1':0.0},(312,448):{'4_1':0.0},(312,447):{'3_1':0.0},(312,446):{'3_1':0.0},(312,445):{'3_1':0.03},(312,444):{'3_1':0.0},(312,443):{'3_1':0.0},(312,442):{'3_1':0.0},(312,441):{'3_1':0.0},(312,440):{'3_1':0.0},(312,439):{'3_1':0.0},(312,438):{'3_1':0.0},(312,436):{'3_1':0.0},(312,435):{'3_1':0.0},(312,433):{'3_1':0.0},(312,432):{'3_1':0.0,'5_2':0.0},(312,430):{'3_1':0.0},(312,428):{'3_1':0.0},(312,427):{'3_1':0.0},(312,426):{'3_1':0.0},(312,425):{'3_1':0.0},(312,423):{'3_1':0.0},(312,422):{'3_1':0.0},(312,420):{'3_1':0.0},(312,419):{'3_1':0.0},(312,418):{'3_1':0.0},(312,417):{'3_1':0.0},(312,416):{'3_1':0.0},(312,415):{'3_1':0.0,'7_1':0.0},(312,411):{'3_1':0.0,'7_1':0.0,'-3':0.0},(312,407):{'3_1':0.0},(312,405):{'3_1':0.03},(312,403):{'3_1':0.0},(312,402):{'3_1':0.0},(312,401):{'3_1':0.0},(312,400):{'3_1':0.0},(312,398):{'3_1':0.0},(312,397):{'3_1':0.0},(312,396):{'3_1':0.0},(312,394):{'3_1':0.0},(312,389):{'3_1':0.0},(312,376):{'3_1':0.0},(312,375):{'5_1':0.0},(312,373):{'3_1':0.0},(312,372):{'3_1':0.0},(312,370):{'3_1':0.0},(312,369):{'3_1':0.0},(312,368):{'8_1':0.0},(312,366):{'5_1':0.0},(312,364):{'3_1':0.0},(312,363):{'3_1':0.0},(312,362):{'3_1':0.0},(312,361):{'3_1':0.0},(312,360):{'3_1':0.0},(312,358):{'3_1':0.0,'4_1':0.0},(312,357):{'3_1':0.0},(313,727):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_1':0.0},(313,726):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(313,725):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(313,724):{'4_1':0.12,'3_1':0.09,'5_1':0.0},(313,723):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(313,722):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(313,721):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(313,720):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'7_6':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(313,719):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_4':0.0},(313,718):{'4_1':0.12,'3_1':0.12,'5_2':0.03},(313,717):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0},(313,716):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0},(313,715):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(313,714):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(313,713):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(313,712):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'5_1':0.03},(313,711):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(313,710):{'3_1':0.18,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(313,709):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_6':0.0},(313,708):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(313,707):{'3_1':0.12,'4_1':0.09,'5_1':0.0},(313,706):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_6':0.0},(313,705):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(313,704):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'9_1':0.0},(313,703):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(313,702):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0},(313,701):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'7_4':0.0},(313,700):{'3_1':0.09,'4_1':0.06,'5_2':0.06,'7_6':0.0,'6_2':0.0,'7_4':0.0,'7_5':0.0},(313,699):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_1':0.0},(313,698):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0},(313,697):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(313,696):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0},(313,695):{'3_1':0.15,'4_1':0.12,'5_2':0.06},(313,694):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(313,693):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(313,692):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_4':0.0},(313,691):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0},(313,690):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0},(313,689):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(313,688):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0},(313,687):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(313,686):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'9_1':0.0},(313,685):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0},(313,684):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0},(313,683):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_6':0.0},(313,682):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0},(313,681):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.0,'-3':0.0},(313,680):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_6':0.0},(313,679):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(313,678):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(313,677):{'4_1':0.18,'3_1':0.15,'5_2':0.06,'6_1':0.0,'9_1':0.0},(313,676):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'8_2':0.0},(313,675):{'4_1':0.18,'5_2':0.09,'3_1':0.06,'6_2':0.0,'6_1':0.0,'8_1':0.0},(313,674):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'8_3':0.0},(313,673):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'6_1':0.0},(313,672):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(313,671):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(313,670):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(313,669):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_3':0.0},(313,668):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(313,667):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'5_1':0.0,'6_2':0.0,'8_6':0.0,'-3':0.0},(313,666):{'3_1':0.21,'4_1':0.09,'5_1':0.03,'5_2':0.0},(313,665):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(313,664):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(313,663):{'3_1':0.18,'4_1':0.12,'6_1':0.03,'5_2':0.0,'6_2':0.0},(313,662):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'7_3':0.0},(313,661):{'4_1':0.18,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(313,660):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(313,659):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.03},(313,658):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.03,'6_1':0.0,'7_3':0.0},(313,657):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_2':0.0},(313,656):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'6_2':0.0},(313,655):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(313,654):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(313,653):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_2':0.0},(313,652):{'3_1':0.21,'4_1':0.12,'5_1':0.0,'6_1':0.0,'7_1':0.0,'8_1':0.0},(313,651):{'4_1':0.12,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(313,650):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(313,649):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(313,648):{'4_1':0.15,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(313,647):{'3_1':0.21,'4_1':0.09,'5_1':0.0,'6_2':0.0},(313,646):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(313,645):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0},(313,644):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0},(313,643):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(313,642):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0,'8_9':0.0},(313,641):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(313,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(313,639):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(313,638):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(313,637):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(313,636):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(313,635):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(313,634):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(313,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(313,632):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0},(313,631):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(313,630):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(313,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(313,628):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(313,627):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(313,626):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_1':0.0},(313,625):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(313,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(313,623):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(313,622):{'3_1':0.15,'5_2':0.0},(313,621):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(313,620):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(313,619):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(313,618):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(313,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(313,616):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(313,615):{'3_1':0.03,'4_1':0.03},(313,614):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(313,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(313,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(313,611):{'3_1':0.03,'4_1':0.03},(313,610):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(313,609):{'3_1':0.09,'4_1':0.0},(313,608):{'4_1':0.03,'3_1':0.0},(313,607):{'4_1':0.06,'3_1':0.06,'6_2':0.0},(313,606):{'3_1':0.03,'4_1':0.03},(313,605):{'4_1':0.0,'3_1':0.0},(313,604):{'3_1':0.03,'4_1':0.03},(313,603):{'3_1':0.0,'4_1':0.0},(313,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(313,601):{'3_1':0.03,'4_1':0.0},(313,600):{'3_1':0.06},(313,599):{'3_1':0.0},(313,598):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(313,597):{'3_1':0.0,'4_1':0.0},(313,596):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(313,595):{'3_1':0.03,'4_1':0.03},(313,594):{'3_1':0.03,'4_1':0.0},(313,593):{'3_1':0.0},(313,592):{'3_1':0.0},(313,591):{'3_1':0.0},(313,590):{'3_1':0.0},(313,589):{'3_1':0.03,'4_1':0.0},(313,588):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(313,587):{'3_1':0.03,'4_1':0.0,'8_9':0.0},(313,586):{'3_1':0.0,'4_1':0.0},(313,585):{'4_1':0.0},(313,584):{'3_1':0.0},(313,583):{'3_1':0.0},(313,582):{'5_1':0.0},(313,581):{'3_1':0.03},(313,580):{'3_1':0.03},(313,579):{'3_1':0.0},(313,578):{'3_1':0.0},(313,577):{'3_1':0.0},(313,576):{'3_1':0.0},(313,575):{'3_1':0.0},(313,574):{'3_1':0.0},(313,573):{'3_1':0.03},(313,572):{'3_1':0.03,'5_2':0.0},(313,571):{'3_1':0.0},(313,570):{'3_1':0.0},(313,569):{'3_1':0.0,'4_1':0.0},(313,568):{'3_1':0.03},(313,567):{'3_1':0.03},(313,566):{'3_1':0.0,'4_1':0.0},(313,565):{'3_1':0.0,'4_1':0.0},(313,564):{'3_1':0.0},(313,563):{'3_1':0.0,'4_1':0.0},(313,562):{'3_1':0.0,'4_1':0.0},(313,561):{'3_1':0.0},(313,560):{'3_1':0.03},(313,559):{'3_1':0.0,'4_1':0.0},(313,558):{'3_1':0.0},(313,557):{'3_1':0.0},(313,556):{'3_1':0.03},(313,555):{'3_1':0.0},(313,554):{'3_1':0.0},(313,553):{'3_1':0.0},(313,552):{'3_1':0.0},(313,551):{'3_1':0.0},(313,550):{'3_1':0.03},(313,549):{'3_1':0.03},(313,548):{'3_1':0.0},(313,547):{'3_1':0.0,'4_1':0.0},(313,546):{'3_1':0.03},(313,545):{'3_1':0.03},(313,544):{'3_1':0.03},(313,543):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(313,542):{'3_1':0.03},(313,541):{'3_1':0.0},(313,540):{'3_1':0.0},(313,539):{'3_1':0.0},(313,538):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(313,537):{'3_1':0.03,'5_1':0.0},(313,536):{'3_1':0.0,'5_1':0.0},(313,535):{'3_1':0.0,'4_1':0.0},(313,534):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(313,533):{'3_1':0.03},(313,532):{'3_1':0.03},(313,531):{'3_1':0.0,'4_1':0.0},(313,530):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(313,529):{'3_1':0.0,'5_2':0.0},(313,528):{'3_1':0.03},(313,527):{'3_1':0.0},(313,526):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(313,525):{'3_1':0.0},(313,524):{'3_1':0.0},(313,523):{'3_1':0.03},(313,522):{'3_1':0.03},(313,521):{'3_1':0.0},(313,520):{'3_1':0.0},(313,519):{'3_1':0.03},(313,518):{'3_1':0.0},(313,517):{'3_1':0.0,'4_1':0.0},(313,516):{'3_1':0.0},(313,515):{'3_1':0.0,'4_1':0.0},(313,513):{'3_1':0.0},(313,512):{'3_1':0.0},(313,511):{'3_1':0.0,'4_1':0.0},(313,509):{'3_1':0.0,'5_2':0.0},(313,508):{'3_1':0.0},(313,506):{'3_1':0.0,'4_1':0.0},(313,505):{'3_1':0.0,'4_1':0.0},(313,504):{'3_1':0.0,'4_1':0.0},(313,503):{'5_2':0.0},(313,502):{'3_1':0.0,'4_1':0.0},(313,501):{'3_1':0.0},(313,500):{'3_1':0.0},(313,498):{'3_1':0.0,'4_1':0.0},(313,497):{'3_1':0.0,'4_1':0.0},(313,496):{'3_1':0.0},(313,495):{'3_1':0.0},(313,494):{'3_1':0.0},(313,492):{'4_1':0.0},(313,491):{'3_1':0.0},(313,490):{'3_1':0.0},(313,489):{'3_1':0.0},(313,488):{'3_1':0.0},(313,487):{'3_1':0.06},(313,486):{'3_1':0.0},(313,485):{'3_1':0.0},(313,484):{'3_1':0.03,'5_1':0.0},(313,483):{'3_1':0.0},(313,482):{'3_1':0.0},(313,481):{'3_1':0.0},(313,480):{'3_1':0.0},(313,479):{'3_1':0.03,'5_2':0.0},(313,478):{'3_1':0.0},(313,477):{'3_1':0.03},(313,476):{'3_1':0.06},(313,475):{'3_1':0.03},(313,474):{'3_1':0.0},(313,473):{'3_1':0.03,'5_1':0.0},(313,472):{'3_1':0.03},(313,471):{'3_1':0.0},(313,470):{'3_1':0.0},(313,469):{'3_1':0.0,'5_1':0.0},(313,468):{'3_1':0.0},(313,467):{'3_1':0.0},(313,464):{'3_1':0.0},(313,463):{'3_1':0.03},(313,462):{'3_1':0.0},(313,460):{'3_1':0.0},(313,459):{'3_1':0.0},(313,458):{'3_1':0.0},(313,457):{'3_1':0.0},(313,456):{'3_1':0.0},(313,455):{'4_1':0.0},(313,453):{'3_1':0.0},(313,451):{'3_1':0.0},(313,448):{'3_1':0.0},(313,446):{'3_1':0.0},(313,445):{'3_1':0.0},(313,444):{'3_1':0.0},(313,443):{'3_1':0.03},(313,442):{'3_1':0.0},(313,441):{'3_1':0.0},(313,440):{'3_1':0.0,'4_1':0.0},(313,439):{'3_1':0.0},(313,438):{'3_1':0.0},(313,437):{'3_1':0.0},(313,436):{'3_1':0.0},(313,435):{'3_1':0.0},(313,434):{'3_1':0.0},(313,433):{'3_1':0.0},(313,431):{'3_1':0.0},(313,428):{'3_1':0.0},(313,427):{'3_1':0.0},(313,425):{'3_1':0.0},(313,424):{'3_1':0.0},(313,422):{'3_1':0.0},(313,421):{'3_1':0.0},(313,420):{'3_1':0.0},(313,419):{'3_1':0.0},(313,418):{'3_1':0.0},(313,417):{'3_1':0.0},(313,416):{'3_1':0.03},(313,415):{'3_1':0.0},(313,413):{'5_1':0.0,'7_1':0.0},(313,409):{'3_1':0.0},(313,407):{'3_1':0.0},(313,406):{'3_1':0.0},(313,405):{'3_1':0.0},(313,404):{'3_1':0.0,'5_1':0.0},(313,401):{'3_1':0.0},(313,397):{'3_1':0.0},(313,395):{'3_1':0.0},(313,389):{'3_1':0.0},(313,376):{'3_1':0.0},(313,375):{'3_1':0.0},(313,374):{'3_1':0.0},(313,372):{'3_1':0.0},(313,365):{'3_1':0.0},(313,363):{'3_1':0.0},(313,358):{'3_1':0.0},(313,356):{'3_1':0.0},(313,354):{'3_1':0.0},(314,727):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,726):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(314,725):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(314,724):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(314,723):{'4_1':0.21,'3_1':0.06,'5_1':0.0,'6_2':0.0},(314,722):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'8_1':0.0,'5_2':0.0,'8_3':0.0},(314,721):{'3_1':0.18,'4_1':0.15,'5_1':0.0,'6_1':0.0},(314,720):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_6':0.0,'8_4':0.0},(314,719):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(314,718):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'8_1':0.0},(314,717):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'7_4':0.0},(314,716):{'4_1':0.15,'3_1':0.06,'6_1':0.0},(314,715):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'7_4':0.0},(314,714):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'-3':0.0,'5_1':0.0,'6_2':0.0},(314,713):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,712):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_2':0.0},(314,711):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(314,710):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0},(314,709):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(314,708):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(314,707):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(314,706):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'8_11':0.0},(314,705):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(314,704):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(314,703):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(314,702):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'9_1':0.0},(314,701):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_3':0.0},(314,700):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(314,699):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0},(314,698):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0},(314,697):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,696):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(314,695):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(314,694):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(314,693):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(314,692):{'4_1':0.21,'3_1':0.09,'5_2':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0},(314,691):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(314,690):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,689):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(314,688):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(314,687):{'3_1':0.15,'4_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(314,686):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(314,685):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(314,684):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(314,683):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(314,682):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(314,681):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(314,680):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'8_6':0.0,'8_20|3_1#3_1':0.0},(314,679):{'4_1':0.15,'3_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0,'8_3':0.0},(314,678):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(314,677):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0,'9_1':0.0},(314,676):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0},(314,675):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(314,674):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(314,673):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(314,672):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(314,671):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(314,670):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0,'8_11':0.0},(314,669):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(314,668):{'4_1':0.18,'3_1':0.12,'5_1':0.0,'6_1':0.0,'6_2':0.0},(314,667):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(314,666):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(314,665):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'6_1':0.0},(314,664):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(314,663):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.03,'7_3':0.0,'8_2':0.0},(314,662):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,661):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(314,660):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'5_2':0.0},(314,659):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(314,658):{'3_1':0.18,'4_1':0.15,'6_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(314,657):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'8_9':0.0},(314,656):{'3_1':0.21,'4_1':0.15,'6_1':0.0,'5_1':0.0,'5_2':0.0},(314,655):{'3_1':0.21,'4_1':0.12,'5_1':0.03,'6_1':0.0},(314,654):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(314,653):{'3_1':0.15,'4_1':0.09,'5_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(314,652):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(314,651):{'3_1':0.24,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(314,650):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0},(314,649):{'3_1':0.18,'4_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(314,648):{'3_1':0.27,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_4':0.0},(314,647):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_1':0.0},(314,646):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(314,645):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0},(314,644):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(314,643):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(314,642):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(314,641):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(314,640):{'3_1':0.24,'4_1':0.06,'6_2':0.0,'5_1':0.0,'5_2':0.0},(314,639):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(314,638):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(314,637):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(314,636):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(314,635):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(314,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(314,633):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_2':0.0},(314,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(314,631):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(314,630):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(314,629):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(314,628):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(314,627):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'6_1':0.0},(314,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(314,625):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(314,624):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(314,623):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(314,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(314,621):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(314,620):{'3_1':0.03,'4_1':0.03},(314,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(314,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(314,617):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(314,616):{'3_1':0.03,'4_1':0.0},(314,615):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(314,614):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(314,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(314,612):{'3_1':0.03,'4_1':0.0},(314,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(314,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(314,609):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(314,608):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(314,607):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(314,606):{'3_1':0.06,'4_1':0.0},(314,605):{'3_1':0.03,'4_1':0.03},(314,604):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(314,603):{'5_1':0.0},(314,602):{'3_1':0.0},(314,601):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(314,600):{'3_1':0.0,'5_2':0.0},(314,599):{'4_1':0.0},(314,598):{'3_1':0.0},(314,597):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(314,596):{'4_1':0.0,'3_1':0.0},(314,595):{'3_1':0.0,'6_1':0.0,'6_3':0.0},(314,594):{'3_1':0.03,'4_1':0.0},(314,593):{'3_1':0.0},(314,591):{'3_1':0.03,'6_3':0.0},(314,590):{'3_1':0.03,'4_1':0.0},(314,589):{'3_1':0.0,'6_3':0.0},(314,588):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(314,587):{'3_1':0.0,'4_1':0.0},(314,586):{'3_1':0.0,'6_3':0.0},(314,585):{'3_1':0.0},(314,584):{'3_1':0.03,'4_1':0.0},(314,583):{'3_1':0.03},(314,582):{'3_1':0.0,'6_3':0.0},(314,581):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(314,580):{'3_1':0.0},(314,579):{'3_1':0.0,'4_1':0.0},(314,578):{'3_1':0.03},(314,577):{'3_1':0.0},(314,576):{'3_1':0.0},(314,575):{'3_1':0.0},(314,574):{'3_1':0.03,'4_1':0.0},(314,573):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(314,572):{'3_1':0.0,'5_1':0.0},(314,571):{'3_1':0.03},(314,570):{'3_1':0.03},(314,569):{'3_1':0.03},(314,568):{'3_1':0.0},(314,567):{'3_1':0.0},(314,566):{'3_1':0.03},(314,564):{'3_1':0.03,'6_2':0.0},(314,563):{'3_1':0.0},(314,562):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(314,561):{'3_1':0.06},(314,560):{'3_1':0.0,'4_1':0.0},(314,559):{'3_1':0.03},(314,558):{'3_1':0.0},(314,557):{'3_1':0.0,'5_2':0.0},(314,556):{'3_1':0.03,'5_1':0.0},(314,555):{'3_1':0.0},(314,554):{'3_1':0.0,'5_1':0.0},(314,553):{'3_1':0.03},(314,552):{'3_1':0.0},(314,551):{'3_1':0.0,'5_1':0.0},(314,550):{'3_1':0.03,'4_1':0.0},(314,549):{'3_1':0.0},(314,548):{'3_1':0.0,'4_1':0.0},(314,547):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(314,546):{'3_1':0.0,'5_1':0.0},(314,545):{'3_1':0.03,'4_1':0.0},(314,544):{'3_1':0.0},(314,543):{'3_1':0.0},(314,542):{'3_1':0.0},(314,541):{'3_1':0.0,'4_1':0.0},(314,540):{'3_1':0.03},(314,539):{'3_1':0.0},(314,538):{'3_1':0.0},(314,537):{'3_1':0.0},(314,536):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(314,535):{'3_1':0.0},(314,534):{'3_1':0.0},(314,533):{'3_1':0.06},(314,532):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(314,531):{'3_1':0.0,'4_1':0.0},(314,530):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(314,529):{'3_1':0.0,'4_1':0.0},(314,528):{'3_1':0.0,'5_1':0.0},(314,527):{'3_1':0.0},(314,526):{'3_1':0.0,'4_1':0.0},(314,525):{'3_1':0.0,'4_1':0.0},(314,524):{'3_1':0.0,'4_1':0.0},(314,523):{'3_1':0.03},(314,522):{'3_1':0.0},(314,521):{'3_1':0.0,'4_1':0.0},(314,520):{'3_1':0.0,'4_1':0.0},(314,519):{'3_1':0.0},(314,518):{'3_1':0.0},(314,517):{'3_1':0.0},(314,516):{'5_1':0.0},(314,515):{'3_1':0.0,'4_1':0.0},(314,514):{'3_1':0.0,'5_1':0.0},(314,513):{'3_1':0.0},(314,512):{'4_1':0.0,'3_1':0.0},(314,511):{'3_1':0.03,'5_1':0.0},(314,510):{'3_1':0.03},(314,509):{'5_1':0.0},(314,508):{'3_1':0.0},(314,507):{'3_1':0.0,'5_1':0.0},(314,506):{'4_1':0.0},(314,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(314,504):{'3_1':0.03},(314,503):{'3_1':0.0,'5_1':0.0},(314,502):{'4_1':0.0,'5_1':0.0},(314,501):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(314,500):{'3_1':0.0},(314,499):{'4_1':0.0},(314,498):{'3_1':0.0,'5_1':0.0},(314,496):{'4_1':0.0},(314,495):{'3_1':0.0,'4_1':0.0},(314,494):{'3_1':0.0,'5_1':0.0},(314,493):{'3_1':0.0,'5_1':0.0},(314,492):{'3_1':0.0},(314,490):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(314,489):{'3_1':0.06,'4_1':0.0},(314,488):{'5_1':0.0},(314,487):{'3_1':0.03,'5_1':0.0},(314,486):{'3_1':0.03},(314,485):{'3_1':0.03},(314,484):{'3_1':0.0},(314,483):{'3_1':0.0,'5_1':0.0},(314,482):{'3_1':0.0,'5_2':0.0},(314,481):{'3_1':0.03},(314,480):{'3_1':0.0,'5_1':0.0},(314,479):{'3_1':0.0,'5_1':0.0},(314,478):{'3_1':0.03,'5_1':0.0},(314,477):{'3_1':0.03,'5_1':0.0},(314,476):{'3_1':0.0},(314,475):{'3_1':0.0},(314,474):{'3_1':0.0},(314,473):{'3_1':0.03,'5_1':0.0},(314,472):{'3_1':0.03},(314,471):{'3_1':0.0},(314,470):{'3_1':0.03,'5_1':0.0},(314,469):{'3_1':0.0},(314,468):{'3_1':0.03},(314,467):{'3_1':0.0},(314,466):{'3_1':0.0},(314,465):{'5_1':0.0},(314,464):{'3_1':0.0},(314,463):{'3_1':0.0},(314,462):{'3_1':0.0},(314,461):{'3_1':0.0,'5_1':0.0},(314,460):{'3_1':0.0},(314,457):{'3_1':0.0},(314,455):{'3_1':0.0},(314,453):{'3_1':0.0},(314,452):{'3_1':0.0},(314,451):{'3_1':0.0},(314,447):{'3_1':0.0},(314,446):{'3_1':0.0},(314,444):{'3_1':0.0},(314,443):{'3_1':0.0},(314,439):{'3_1':0.0},(314,438):{'3_1':0.03},(314,437):{'3_1':0.0},(314,435):{'5_1':0.0},(314,433):{'3_1':0.0},(314,432):{'3_1':0.0},(314,430):{'3_1':0.0},(314,429):{'3_1':0.06},(314,427):{'3_1':0.0},(314,424):{'3_1':0.0},(314,423):{'3_1':0.0},(314,421):{'3_1':0.0},(314,420):{'3_1':0.0},(314,419):{'3_1':0.0},(314,418):{'3_1':0.0},(314,416):{'3_1':0.0,'5_1':0.0},(314,415):{'3_1':0.0},(314,414):{'3_1':0.0},(314,411):{'3_1':0.0},(314,408):{'5_1':0.0},(314,407):{'3_1':0.0,'4_1':0.0},(314,404):{'3_1':0.0},(314,403):{'3_1':0.0,'5_1':0.0},(314,401):{'3_1':0.0},(314,400):{'3_1':0.0},(314,391):{'3_1':0.0},(314,372):{'3_1':0.0},(314,371):{'3_1':0.0},(314,370):{'3_1':0.0},(314,368):{'3_1':0.0},(314,366):{'3_1':0.0},(314,365):{'3_1':0.0},(314,363):{'3_1':0.0},(314,362):{'3_1':0.0},(314,361):{'3_1':0.0},(314,353):{'3_1':0.0},(314,352):{'3_1':0.0},(315,727):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0},(315,726):{'4_1':0.12,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0},(315,725):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(315,724):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_1':0.0,'8_6':0.0},(315,723):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0,'8_1':0.0},(315,722):{'3_1':0.12,'4_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0},(315,721):{'3_1':0.15,'4_1':0.09,'6_1':0.03,'5_2':0.0,'7_5':0.0},(315,720):{'4_1':0.15,'3_1':0.15,'6_1':0.03},(315,719):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0},(315,718):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(315,717):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(315,716):{'4_1':0.15,'3_1':0.09,'6_1':0.0},(315,715):{'4_1':0.15,'3_1':0.09,'7_4':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(315,714):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0,'8_11':0.0},(315,713):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(315,712):{'4_1':0.12,'3_1':0.12,'6_1':0.0,'5_2':0.0,'5_1':0.0},(315,711):{'3_1':0.18,'4_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'8_1':0.0,'3_1#5_2':0.0},(315,710):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_2':0.0},(315,709):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(315,708):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_3':0.0},(315,707):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(315,706):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'8_11':0.0},(315,705):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0},(315,704):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0},(315,703):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(315,702):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0},(315,701):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_3':0.0},(315,700):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_4':0.0,'7_1':0.0},(315,699):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_11':0.0},(315,698):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0,'7_4':0.0},(315,697):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(315,696):{'3_1':0.15,'4_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0},(315,695):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(315,694):{'4_1':0.09,'3_1':0.09,'5_2':0.09,'6_2':0.0,'6_1':0.0,'7_2':0.0},(315,693):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0},(315,692):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0},(315,691):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(315,690):{'3_1':0.18,'4_1':0.15,'5_2':0.03,'6_1':0.0,'6_2':0.0},(315,689):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.03,'6_2':0.0,'5_1':0.0},(315,688):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_4':0.0},(315,687):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(315,686):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_6':0.0},(315,685):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(315,684):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_2':0.0},(315,683):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.03,'7_4':0.0},(315,682):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(315,681):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(315,680):{'3_1':0.12,'4_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0},(315,679):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_1':0.0,'6_2':0.0,'8_3':0.0},(315,678):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'5_1':0.03,'6_1':0.0},(315,677):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(315,676):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_11':0.0},(315,675):{'4_1':0.18,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(315,674):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(315,673):{'4_1':0.21,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0},(315,672):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(315,671):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'8_6':0.0},(315,670):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(315,669):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0},(315,668):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(315,667):{'5_2':0.12,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'8_3':0.0},(315,666):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(315,665):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(315,664):{'3_1':0.18,'4_1':0.15,'6_1':0.0,'5_2':0.0,'5_1':0.0},(315,663):{'4_1':0.18,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_4':0.0},(315,662):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(315,661):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'5_1':0.0,'6_1':0.0},(315,660):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0},(315,659):{'3_1':0.15,'4_1':0.15,'6_1':0.03,'5_2':0.0},(315,658):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_4':0.0},(315,657):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(315,656):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(315,655):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(315,654):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(315,653):{'3_1':0.15,'4_1':0.09,'6_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0},(315,652):{'3_1':0.24,'4_1':0.06,'6_1':0.06,'5_2':0.0},(315,651):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(315,650):{'3_1':0.18,'4_1':0.15,'6_1':0.0,'5_1':0.0},(315,649):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0},(315,648):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(315,647):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(315,646):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.03,'5_2':0.0,'7_3':0.0},(315,645):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(315,644):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(315,643):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0},(315,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(315,641):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(315,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(315,639):{'3_1':0.24,'4_1':0.06,'5_1':0.06},(315,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(315,637):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_1':0.0},(315,636):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(315,635):{'3_1':0.15,'4_1':0.0},(315,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(315,633):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(315,632):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(315,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(315,630):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(315,629):{'3_1':0.09,'4_1':0.0},(315,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(315,627):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(315,626):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(315,625):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(315,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(315,623):{'3_1':0.18,'4_1':0.06,'6_2':0.0},(315,622):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_2':0.0},(315,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(315,620):{'3_1':0.03,'4_1':0.03,'8_20|3_1#3_1':0.0},(315,619):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_20|3_1#3_1':0.0},(315,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(315,617):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(315,616):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'7_1':0.0},(315,615):{'3_1':0.06,'4_1':0.0},(315,614):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(315,613):{'3_1':0.03,'4_1':0.03},(315,612):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(315,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(315,610):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(315,609):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(315,608):{'3_1':0.03,'4_1':0.0},(315,607):{'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_2':0.0},(315,606):{'3_1':0.06,'4_1':0.0},(315,605):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(315,604):{'3_1':0.0,'4_1':0.0},(315,603):{'4_1':0.03,'3_1':0.03},(315,602):{'3_1':0.0,'4_1':0.0},(315,601):{'3_1':0.0,'4_1':0.0},(315,600):{'3_1':0.03,'4_1':0.0},(315,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(315,598):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(315,597):{'3_1':0.0},(315,596):{'3_1':0.03,'6_3':0.0},(315,595):{'3_1':0.06,'4_1':0.0},(315,594):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(315,593):{'3_1':0.0,'4_1':0.0},(315,592):{'3_1':0.03},(315,591):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(315,590):{'3_1':0.03},(315,589):{'3_1':0.03,'4_1':0.0},(315,588):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(315,587):{'3_1':0.03,'6_2':0.0},(315,586):{'3_1':0.0,'4_1':0.0},(315,585):{'3_1':0.03,'6_2':0.0},(315,584):{'3_1':0.03,'5_2':0.0},(315,583):{'3_1':0.0,'5_2':0.0},(315,582):{'3_1':0.03,'4_1':0.0},(315,581):{'3_1':0.03,'4_1':0.0},(315,580):{'3_1':0.0,'4_1':0.0},(315,579):{'3_1':0.0,'5_2':0.0},(315,578):{'3_1':0.03},(315,577):{'3_1':0.03,'6_1':0.0},(315,576):{'3_1':0.0},(315,575):{'3_1':0.0},(315,574):{'3_1':0.0},(315,573):{'3_1':0.06,'5_1':0.0},(315,572):{'3_1':0.0,'5_2':0.0},(315,571):{'3_1':0.06},(315,570):{'3_1':0.0},(315,569):{'3_1':0.03,'4_1':0.0},(315,568):{'3_1':0.03},(315,567):{'3_1':0.0},(315,566):{'3_1':0.03},(315,565):{'3_1':0.0},(315,564):{'3_1':0.0},(315,563):{'3_1':0.0},(315,562):{'3_1':0.03,'6_1':0.0},(315,561):{'3_1':0.0},(315,560):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(315,559):{'3_1':0.0},(315,558):{'3_1':0.0,'4_1':0.0},(315,557):{'3_1':0.03,'4_1':0.0},(315,556):{'3_1':0.03,'6_1':0.0},(315,555):{'5_1':0.0},(315,554):{'3_1':0.03},(315,553):{'3_1':0.0,'5_2':0.0},(315,552):{'3_1':0.0},(315,551):{'3_1':0.06,'4_1':0.0},(315,550):{'3_1':0.0,'7_6':0.0},(315,549):{'3_1':0.03},(315,548):{'3_1':0.03,'6_1':0.0},(315,547):{'3_1':0.0},(315,546):{'3_1':0.03},(315,545):{'3_1':0.0,'4_1':0.0},(315,544):{'3_1':0.03},(315,543):{'3_1':0.03},(315,542):{'3_1':0.06,'5_2':0.0},(315,541):{'3_1':0.0},(315,540):{'3_1':0.03},(315,539):{'3_1':0.0,'5_2':0.0},(315,538):{'3_1':0.03},(315,537):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(315,536):{'3_1':0.0},(315,535):{'3_1':0.0,'5_2':0.0},(315,534):{'3_1':0.03,'4_1':0.0},(315,533):{'3_1':0.03,'5_1':0.0},(315,532):{'3_1':0.06,'6_3':0.0},(315,531):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(315,530):{'3_1':0.06},(315,529):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(315,528):{'4_1':0.0,'3_1':0.0,'6_3':0.0},(315,527):{'4_1':0.0,'3_1':0.0},(315,526):{'3_1':0.03,'4_1':0.0},(315,525):{'3_1':0.03},(315,524):{'3_1':0.0,'4_1':0.0},(315,523):{'3_1':0.0},(315,522):{'3_1':0.06},(315,521):{'3_1':0.03,'5_1':0.0},(315,520):{'3_1':0.03},(315,519):{'3_1':0.0},(315,518):{'3_1':0.0},(315,517):{'3_1':0.0},(315,516):{'3_1':0.0,'4_1':0.0},(315,515):{'3_1':0.0},(315,514):{'3_1':0.0,'4_1':0.0},(315,513):{'3_1':0.03,'4_1':0.0},(315,512):{'3_1':0.0},(315,511):{'3_1':0.03},(315,510):{'3_1':0.03,'4_1':0.0},(315,509):{'3_1':0.0},(315,508):{'3_1':0.0,'4_1':0.0},(315,507):{'3_1':0.0},(315,506):{'3_1':0.03},(315,504):{'3_1':0.0},(315,503):{'3_1':0.0},(315,502):{'3_1':0.0,'4_1':0.0},(315,501):{'3_1':0.03},(315,500):{'3_1':0.0},(315,499):{'3_1':0.0},(315,498):{'3_1':0.03},(315,497):{'3_1':0.03,'4_1':0.0},(315,496):{'3_1':0.0,'6_3':0.0},(315,495):{'3_1':0.03},(315,494):{'3_1':0.03,'4_1':0.0},(315,493):{'3_1':0.0,'4_1':0.0},(315,492):{'3_1':0.0},(315,491):{'3_1':0.0},(315,490):{'3_1':0.0,'4_1':0.0},(315,489):{'3_1':0.0},(315,488):{'3_1':0.0},(315,487):{'3_1':0.03,'4_1':0.0},(315,486):{'3_1':0.0},(315,485):{'3_1':0.03},(315,484):{'3_1':0.0},(315,483):{'3_1':0.03},(315,482):{'3_1':0.03},(315,481):{'3_1':0.03},(315,480):{'3_1':0.0},(315,479):{'3_1':0.0},(315,478):{'3_1':0.03},(315,477):{'3_1':0.03},(315,476):{'3_1':0.03},(315,475):{'3_1':0.0},(315,474):{'3_1':0.03},(315,473):{'3_1':0.06},(315,472):{'3_1':0.03},(315,471):{'3_1':0.0},(315,470):{'3_1':0.0},(315,469):{'3_1':0.03},(315,468):{'3_1':0.0},(315,467):{'3_1':0.0},(315,466):{'3_1':0.0},(315,465):{'3_1':0.0},(315,463):{'3_1':0.0},(315,462):{'3_1':0.0},(315,461):{'3_1':0.0},(315,460):{'3_1':0.0},(315,459):{'3_1':0.0},(315,457):{'3_1':0.0},(315,456):{'3_1':0.0},(315,455):{'3_1':0.0},(315,454):{'4_1':0.0},(315,451):{'3_1':0.0},(315,450):{'4_1':0.0,'5_2':0.0},(315,449):{'3_1':0.03,'4_1':0.0},(315,448):{'3_1':0.0},(315,447):{'3_1':0.0},(315,446):{'3_1':0.03},(315,444):{'3_1':0.0},(315,443):{'3_1':0.0},(315,441):{'3_1':0.03},(315,439):{'3_1':0.0},(315,438):{'3_1':0.0},(315,437):{'3_1':0.03},(315,434):{'3_1':0.0},(315,433):{'3_1':0.03},(315,432):{'3_1':0.0},(315,431):{'3_1':0.03},(315,429):{'3_1':0.0},(315,427):{'3_1':0.0},(315,425):{'3_1':0.0},(315,424):{'3_1':0.0},(315,421):{'3_1':0.0},(315,420):{'3_1':0.0},(315,418):{'3_1':0.0},(315,417):{'3_1':0.0},(315,416):{'3_1':0.0},(315,411):{'3_1':0.0},(315,408):{'5_1':0.0},(315,407):{'3_1':0.0},(315,405):{'3_1':0.0},(315,404):{'3_1':0.0},(315,400):{'3_1':0.0,'5_1':0.0},(315,398):{'3_1':0.0},(315,397):{'3_1':0.0},(315,396):{'3_1':0.0},(315,394):{'3_1':0.0},(315,391):{'3_1':0.0},(315,389):{'3_1':0.0},(315,388):{'3_1':0.0},(315,376):{'3_1':0.0},(315,375):{'3_1':0.0},(315,373):{'4_1':0.0},(315,371):{'3_1':0.0},(315,370):{'3_1':0.0},(315,369):{'3_1':0.0},(315,368):{'3_1':0.0},(315,366):{'3_1':0.0},(315,365):{'3_1':0.0},(315,363):{'3_1':0.0},(315,362):{'3_1':0.0},(315,361):{'3_1':0.0},(315,360):{'3_1':0.0},(315,358):{'4_1':0.0},(315,355):{'3_1':0.0},(315,352):{'3_1':0.0},(315,351):{'3_1':0.0},(315,349):{'3_1':0.0},(315,348):{'3_1':0.0},(316,727):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(316,726):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(316,725):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'8_1':0.0},(316,724):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_1':0.0},(316,723):{'4_1':0.18,'3_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(316,722):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(316,721):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(316,720):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_1':0.0},(316,719):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(316,718):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(316,717):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(316,716):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(316,715):{'4_1':0.12,'5_2':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(316,714):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0},(316,713):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_6':0.0},(316,712):{'4_1':0.15,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(316,711):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(316,710):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_6':0.0},(316,709):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0},(316,708):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'8_1':0.0},(316,707):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(316,706):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(316,705):{'3_1':0.15,'4_1':0.15,'7_4':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(316,704):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(316,703):{'4_1':0.21,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(316,702):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'9_1':0.0},(316,701):{'4_1':0.06,'5_2':0.06,'3_1':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(316,700):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(316,699):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(316,698):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'7_4':0.0},(316,697):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'5_1':0.0},(316,696):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(316,695):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(316,694):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0},(316,693):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(316,692):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0,'8_11':0.0},(316,691):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(316,690):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(316,689):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(316,688):{'4_1':0.21,'3_1':0.15,'5_2':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(316,687):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(316,686):{'4_1':0.18,'3_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0},(316,685):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(316,684):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(316,683):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(316,682):{'3_1':0.15,'4_1':0.15,'6_2':0.0,'5_2':0.0,'-3':0.0,'5_1':0.0,'6_1':0.0},(316,681):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'6_1':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(316,680):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0,'6_1':0.0},(316,679):{'3_1':0.15,'4_1':0.15,'5_2':0.03,'6_1':0.03},(316,678):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(316,677):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_1':0.03,'6_2':0.0,'7_3':0.0},(316,676):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(316,675):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(316,674):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(316,673):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0},(316,672):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_3':0.0,'-3':0.0},(316,671):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(316,670):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_1':0.0,'8_6':0.0},(316,669):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0},(316,668):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'6_2':0.0},(316,667):{'4_1':0.12,'3_1':0.09,'5_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(316,666):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'8_1':0.0},(316,665):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_3':0.0},(316,664):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0},(316,663):{'4_1':0.18,'3_1':0.12,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_3':0.0},(316,662):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0},(316,661):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(316,660):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(316,659):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(316,658):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(316,657):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_6':0.0},(316,656):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(316,655):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(316,654):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(316,653):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(316,652):{'3_1':0.18,'4_1':0.06,'6_1':0.03,'6_2':0.0},(316,651):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0},(316,650):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'6_1':0.0},(316,649):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(316,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(316,647):{'3_1':0.21,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(316,646):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(316,645):{'3_1':0.15,'4_1':0.12,'6_2':0.0,'5_1':0.0,'5_2':0.0},(316,644):{'3_1':0.27,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(316,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(316,642):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0},(316,641):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(316,640):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'8_1':0.0},(316,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(316,638):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(316,637):{'3_1':0.12,'4_1':0.06,'5_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(316,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(316,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(316,634):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(316,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(316,632):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(316,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(316,630):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(316,629):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(316,628):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(316,627):{'3_1':0.12,'4_1':0.03},(316,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_4':0.0},(316,625):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(316,624):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(316,623):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(316,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(316,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(316,620):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(316,619):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(316,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(316,617):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(316,616):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(316,615):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(316,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(316,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(316,612):{'3_1':0.06,'4_1':0.0},(316,611):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(316,610):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(316,609):{'3_1':0.0},(316,608):{'3_1':0.03,'4_1':0.0},(316,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(316,606):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(316,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(316,604):{'3_1':0.0,'4_1':0.0},(316,603):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(316,602):{'3_1':0.03,'4_1':0.0},(316,601):{'3_1':0.03},(316,600):{'3_1':0.03,'4_1':0.0},(316,599):{'3_1':0.03,'4_1':0.0},(316,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(316,597):{'3_1':0.03,'4_1':0.0},(316,596):{'3_1':0.06},(316,595):{'3_1':0.03,'4_1':0.0},(316,594):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(316,592):{'3_1':0.03},(316,591):{'3_1':0.0,'4_1':0.0},(316,590):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(316,589):{'3_1':0.03},(316,588):{'3_1':0.0,'6_1':0.0},(316,587):{'3_1':0.0,'5_2':0.0},(316,586):{'3_1':0.0},(316,585):{'3_1':0.03,'4_1':0.0},(316,584):{'3_1':0.0},(316,583):{'3_1':0.06,'4_1':0.0},(316,582):{'3_1':0.03},(316,581):{'3_1':0.0},(316,580):{'3_1':0.03},(316,579):{'3_1':0.03,'5_2':0.0},(316,578):{'3_1':0.0,'4_1':0.0},(316,576):{'3_1':0.03,'4_1':0.0},(316,575):{'3_1':0.0},(316,574):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(316,573):{'3_1':0.0},(316,572):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(316,571):{'3_1':0.0},(316,570):{'3_1':0.0,'6_1':0.0},(316,569):{'3_1':0.0,'6_1':0.0},(316,568):{'3_1':0.03},(316,567):{'3_1':0.0,'4_1':0.0},(316,566):{'3_1':0.0},(316,565):{'3_1':0.03,'4_1':0.0},(316,564):{'3_1':0.0,'5_2':0.0},(316,563):{'3_1':0.03},(316,560):{'3_1':0.03,'4_1':0.0},(316,559):{'3_1':0.0},(316,558):{'3_1':0.0,'6_3':0.0},(316,557):{'3_1':0.03},(316,556):{'3_1':0.0,'4_1':0.0},(316,555):{'3_1':0.0,'6_2':0.0},(316,554):{'3_1':0.03},(316,553):{'3_1':0.0},(316,552):{'3_1':0.0},(316,551):{'3_1':0.0},(316,550):{'3_1':0.03,'4_1':0.0},(316,549):{'3_1':0.0},(316,548):{'3_1':0.0,'4_1':0.0},(316,547):{'3_1':0.0},(316,546):{'3_1':0.0},(316,545):{'3_1':0.0},(316,544):{'3_1':0.0},(316,543):{'3_1':0.03,'4_1':0.0},(316,542):{'3_1':0.03},(316,541):{'3_1':0.0},(316,540):{'3_1':0.03,'4_1':0.0},(316,539):{'3_1':0.03},(316,538):{'3_1':0.0},(316,537):{'3_1':0.06},(316,536):{'3_1':0.0},(316,535):{'3_1':0.0},(316,534):{'3_1':0.03,'4_1':0.0},(316,533):{'3_1':0.03},(316,532):{'3_1':0.0},(316,531):{'3_1':0.0,'4_1':0.0},(316,530):{'3_1':0.0},(316,528):{'3_1':0.03},(316,527):{'3_1':0.03,'4_1':0.0},(316,526):{'3_1':0.03,'4_1':0.0},(316,525):{'3_1':0.0},(316,524):{'3_1':0.0},(316,522):{'3_1':0.03},(316,521):{'3_1':0.03},(316,520):{'3_1':0.03},(316,519):{'3_1':0.0,'5_1':0.0},(316,518):{'3_1':0.0,'4_1':0.0},(316,517):{'3_1':0.0},(316,516):{'3_1':0.0},(316,515):{'3_1':0.0,'4_1':0.0},(316,514):{'3_1':0.0,'4_1':0.0},(316,513):{'3_1':0.0},(316,512):{'3_1':0.03,'4_1':0.0},(316,511):{'3_1':0.03,'4_1':0.0},(316,510):{'4_1':0.0,'3_1':0.0},(316,509):{'3_1':0.0,'4_1':0.0},(316,508):{'3_1':0.0,'4_1':0.0},(316,507):{'3_1':0.0,'5_2':0.0},(316,506):{'3_1':0.0},(316,505):{'3_1':0.0},(316,504):{'3_1':0.0},(316,503):{'3_1':0.0,'4_1':0.0},(316,502):{'3_1':0.0,'4_1':0.0},(316,501):{'4_1':0.0,'3_1':0.0},(316,500):{'3_1':0.0},(316,499):{'3_1':0.0,'4_1':0.0},(316,498):{'3_1':0.0},(316,497):{'4_1':0.0,'6_2':0.0},(316,496):{'3_1':0.03},(316,495):{'3_1':0.03,'6_2':0.0},(316,494):{'3_1':0.0,'4_1':0.0},(316,493):{'3_1':0.03},(316,492):{'3_1':0.03,'5_1':0.0},(316,491):{'3_1':0.03},(316,490):{'3_1':0.03},(316,489):{'3_1':0.0},(316,488):{'3_1':0.0,'5_1':0.0},(316,487):{'3_1':0.03},(316,486):{'3_1':0.0},(316,485):{'3_1':0.03},(316,484):{'3_1':0.0},(316,483):{'3_1':0.03,'5_1':0.0},(316,482):{'3_1':0.06,'5_1':0.0},(316,481):{'3_1':0.03},(316,480):{'3_1':0.03},(316,479):{'3_1':0.03},(316,478):{'3_1':0.03},(316,477):{'3_1':0.03},(316,476):{'3_1':0.03,'5_2':0.0},(316,475):{'3_1':0.03},(316,474):{'3_1':0.03},(316,473):{'3_1':0.0},(316,472):{'3_1':0.03},(316,470):{'3_1':0.0},(316,469):{'3_1':0.0},(316,468):{'3_1':0.0},(316,467):{'3_1':0.03},(316,466):{'3_1':0.0,'4_1':0.0},(316,465):{'3_1':0.0},(316,463):{'3_1':0.0},(316,462):{'3_1':0.0},(316,461):{'3_1':0.0},(316,459):{'3_1':0.0},(316,458):{'3_1':0.0},(316,456):{'3_1':0.0},(316,454):{'3_1':0.0},(316,453):{'3_1':0.0},(316,452):{'3_1':0.0},(316,451):{'3_1':0.0},(316,450):{'3_1':0.0},(316,449):{'3_1':0.0},(316,448):{'3_1':0.0},(316,447):{'3_1':0.0},(316,446):{'3_1':0.0},(316,444):{'3_1':0.0},(316,443):{'3_1':0.0},(316,442):{'3_1':0.0},(316,441):{'3_1':0.0},(316,440):{'3_1':0.0},(316,439):{'3_1':0.0},(316,438):{'3_1':0.0},(316,437):{'3_1':0.0},(316,436):{'3_1':0.03},(316,435):{'3_1':0.0,'4_1':0.0},(316,434):{'3_1':0.0},(316,433):{'3_1':0.0},(316,432):{'3_1':0.0},(316,430):{'3_1':0.0,'5_1':0.0},(316,428):{'3_1':0.0},(316,427):{'3_1':0.0},(316,425):{'3_1':0.0},(316,424):{'3_1':0.0},(316,423):{'3_1':0.0},(316,422):{'3_1':0.0},(316,419):{'3_1':0.0},(316,417):{'3_1':0.0},(316,416):{'5_1':0.0},(316,414):{'3_1':0.0},(316,413):{'3_1':0.0},(316,405):{'3_1':0.0},(316,403):{'3_1':0.0},(316,402):{'3_1':0.0},(316,374):{'3_1':0.0},(316,373):{'3_1':0.0},(316,372):{'3_1':0.0},(316,371):{'3_1':0.0},(316,368):{'3_1':0.0},(316,367):{'3_1':0.0},(316,366):{'3_1':0.0},(316,365):{'3_1':0.0},(316,364):{'3_1':0.0},(316,363):{'3_1':0.0},(316,361):{'3_1':0.0},(316,360):{'3_1':0.0},(316,359):{'3_1':0.0},(316,358):{'3_1':0.0,'5_1':0.0},(316,357):{'3_1':0.0},(316,355):{'3_1':0.0},(316,354):{'3_1':0.0},(316,353):{'3_1':0.0},(316,352):{'3_1':0.0},(316,350):{'3_1':0.0},(317,727):{'4_1':0.12,'3_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(317,726):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(317,725):{'4_1':0.09,'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(317,724):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,723):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0},(317,722):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(317,721):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0},(317,720):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,719):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0},(317,718):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(317,717):{'4_1':0.09,'6_1':0.03,'3_1':0.03,'5_2':0.0,'5_1':0.0},(317,716):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0},(317,715):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.03,'5_1':0.0,'7_1':0.0},(317,714):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(317,713):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(317,712):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(317,711):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(317,710):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_6':0.0},(317,709):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0},(317,708):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(317,707):{'4_1':0.18,'3_1':0.06,'6_1':0.0,'7_4':0.0},(317,706):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'7_2':0.0},(317,705):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0},(317,704):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(317,703):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0},(317,702):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0},(317,701):{'3_1':0.15,'4_1':0.12,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0},(317,700):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0},(317,699):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(317,698):{'3_1':0.12,'4_1':0.09,'5_2':0.06},(317,697):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(317,696):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0,'7_4':0.0},(317,695):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(317,694):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'7_3':0.0},(317,693):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_3':0.0},(317,692):{'3_1':0.21,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0},(317,691):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(317,690):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'8_6':0.0},(317,689):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(317,688):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0},(317,687):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'6_1':0.0,'7_4':0.0},(317,686):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_2':0.0,'9_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(317,685):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(317,684):{'4_1':0.21,'3_1':0.09,'5_2':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0},(317,683):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(317,682):{'3_1':0.21,'4_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0,'8_1':0.0,'8_3':0.0},(317,681):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0},(317,680):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(317,679):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'8_1':0.0},(317,678):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(317,677):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0,'8_12':0.0,'-3':0.0},(317,676):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(317,675):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(317,674):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(317,673):{'4_1':0.12,'3_1':0.12,'6_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(317,672):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0},(317,671):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'7_4':0.0},(317,670):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0},(317,669):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,668):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(317,667):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0},(317,666):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_4':0.0},(317,665):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_6':0.0},(317,664):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(317,663):{'4_1':0.21,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(317,662):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0},(317,661):{'4_1':0.18,'3_1':0.12,'5_2':0.09,'6_1':0.0,'5_1':0.0,'6_2':0.0},(317,660):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0},(317,659):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(317,658):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(317,657):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(317,656):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(317,655):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(317,654):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'5_2':0.0},(317,653):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,652):{'3_1':0.18,'4_1':0.12,'5_2':0.0,'6_1':0.0,'5_1':0.0},(317,651):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(317,650):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(317,649):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,648):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,647):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(317,646):{'3_1':0.21,'4_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(317,645):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,644):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.03},(317,643):{'3_1':0.12,'4_1':0.09,'5_1':0.03,'6_1':0.0,'5_2':0.0},(317,642):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(317,641):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(317,640):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'6_1':0.0},(317,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(317,638):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(317,637):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'6_1':0.0},(317,636):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(317,635):{'3_1':0.06,'5_1':0.03,'4_1':0.03},(317,634):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_2':0.0},(317,633):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(317,632):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(317,631):{'3_1':0.15,'4_1':0.0},(317,630):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(317,629):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(317,628):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(317,627):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(317,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(317,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(317,624):{'3_1':0.09,'4_1':0.03},(317,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(317,622):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(317,621):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(317,620):{'3_1':0.06,'4_1':0.03},(317,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(317,618):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'8_6':0.0},(317,617):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(317,616):{'3_1':0.06,'5_1':0.0},(317,615):{'3_1':0.0,'4_1':0.0},(317,614):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(317,613):{'3_1':0.03,'4_1':0.03},(317,612):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(317,611):{'3_1':0.03,'4_1':0.0},(317,610):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(317,609):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(317,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(317,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(317,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(317,605):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(317,604):{'4_1':0.03,'3_1':0.0},(317,603):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(317,602):{'3_1':0.03},(317,601):{'3_1':0.0,'6_1':0.0},(317,600):{'3_1':0.0},(317,599):{'3_1':0.03,'5_1':0.0},(317,598):{'3_1':0.0},(317,597):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(317,596):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(317,595):{'3_1':0.06,'4_1':0.0},(317,594):{'3_1':0.0,'4_1':0.0},(317,593):{'3_1':0.03,'5_2':0.0},(317,592):{'3_1':0.0,'4_1':0.0},(317,591):{'3_1':0.03,'5_2':0.0},(317,590):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(317,589):{'3_1':0.0,'5_1':0.0},(317,588):{'3_1':0.03},(317,587):{'3_1':0.06},(317,586):{'3_1':0.03,'5_2':0.0},(317,585):{'3_1':0.0},(317,584):{'3_1':0.0,'4_1':0.0},(317,583):{'3_1':0.0},(317,582):{'3_1':0.0},(317,581):{'3_1':0.06},(317,580):{'3_1':0.0},(317,579):{'3_1':0.03},(317,578):{'3_1':0.0,'5_2':0.0},(317,577):{'3_1':0.06,'4_1':0.0},(317,576):{'3_1':0.0,'4_1':0.0},(317,575):{'6_1':0.0},(317,574):{'3_1':0.03,'4_1':0.0},(317,573):{'3_1':0.0,'4_1':0.0},(317,572):{'3_1':0.06,'5_2':0.0},(317,571):{'3_1':0.0,'4_1':0.0},(317,570):{'3_1':0.03},(317,569):{'3_1':0.03},(317,568):{'3_1':0.0,'5_2':0.0},(317,567):{'3_1':0.0,'4_1':0.0},(317,566):{'3_1':0.03},(317,565):{'3_1':0.03},(317,564):{'3_1':0.0},(317,563):{'3_1':0.0},(317,562):{'3_1':0.0},(317,561):{'3_1':0.0,'4_1':0.0},(317,560):{'4_1':0.0},(317,559):{'3_1':0.03,'4_1':0.0},(317,558):{'3_1':0.0},(317,557):{'3_1':0.03},(317,556):{'3_1':0.0,'4_1':0.0},(317,555):{'3_1':0.0},(317,554):{'3_1':0.0,'4_1':0.0},(317,553):{'3_1':0.0},(317,552):{'3_1':0.0,'4_1':0.0},(317,551):{'3_1':0.0},(317,550):{'3_1':0.0},(317,549):{'3_1':0.0},(317,548):{'3_1':0.03},(317,547):{'3_1':0.0,'4_1':0.0},(317,546):{'3_1':0.03},(317,545):{'3_1':0.03,'4_1':0.0},(317,544):{'4_1':0.0,'3_1':0.0},(317,543):{'3_1':0.0,'4_1':0.0},(317,542):{'3_1':0.0,'4_1':0.0},(317,541):{'3_1':0.0},(317,540):{'3_1':0.03,'4_1':0.0},(317,539):{'3_1':0.0,'4_1':0.0},(317,538):{'3_1':0.0},(317,537):{'3_1':0.0,'4_1':0.0},(317,536):{'3_1':0.0,'4_1':0.0},(317,535):{'3_1':0.0},(317,534):{'3_1':0.0,'5_1':0.0},(317,533):{'3_1':0.03},(317,532):{'3_1':0.03,'4_1':0.0},(317,531):{'3_1':0.03},(317,530):{'3_1':0.0},(317,529):{'3_1':0.03},(317,528):{'3_1':0.03},(317,527):{'3_1':0.03},(317,526):{'3_1':0.0,'4_1':0.0},(317,525):{'3_1':0.0,'4_1':0.0},(317,524):{'3_1':0.0},(317,523):{'3_1':0.0,'5_1':0.0},(317,522):{'3_1':0.0,'4_1':0.0},(317,521):{'3_1':0.03,'4_1':0.0},(317,520):{'3_1':0.0},(317,519):{'3_1':0.0,'4_1':0.0},(317,518):{'3_1':0.03,'4_1':0.0},(317,517):{'3_1':0.03},(317,516):{'3_1':0.0},(317,515):{'3_1':0.0,'4_1':0.0},(317,514):{'3_1':0.0,'4_1':0.0},(317,513):{'3_1':0.0},(317,512):{'3_1':0.0},(317,511):{'3_1':0.0},(317,510):{'3_1':0.0},(317,509):{'3_1':0.03},(317,508):{'3_1':0.03},(317,507):{'3_1':0.0},(317,506):{'3_1':0.0,'4_1':0.0},(317,505):{'3_1':0.0,'4_1':0.0},(317,503):{'3_1':0.0,'6_2':0.0},(317,502):{'5_1':0.0},(317,501):{'3_1':0.0},(317,500):{'3_1':0.03,'4_1':0.0},(317,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(317,498):{'3_1':0.0,'4_1':0.0},(317,497):{'3_1':0.0},(317,496):{'3_1':0.0,'4_1':0.0},(317,495):{'3_1':0.0},(317,494):{'4_1':0.0},(317,493):{'3_1':0.0},(317,492):{'3_1':0.0},(317,491):{'3_1':0.0},(317,490):{'3_1':0.0,'4_1':0.0},(317,489):{'3_1':0.03},(317,488):{'3_1':0.0,'5_1':0.0},(317,486):{'3_1':0.0,'4_1':0.0},(317,485):{'3_1':0.03},(317,484):{'3_1':0.03,'4_1':0.0},(317,483):{'3_1':0.03,'4_1':0.0},(317,482):{'3_1':0.03},(317,481):{'3_1':0.0},(317,480):{'3_1':0.03},(317,479):{'3_1':0.03},(317,478):{'3_1':0.0},(317,477):{'3_1':0.0},(317,476):{'3_1':0.0},(317,475):{'3_1':0.0},(317,474):{'3_1':0.06},(317,473):{'3_1':0.0},(317,472):{'3_1':0.0,'4_1':0.0},(317,471):{'3_1':0.0},(317,470):{'3_1':0.0},(317,469):{'3_1':0.0},(317,467):{'3_1':0.0},(317,466):{'3_1':0.0},(317,465):{'4_1':0.0},(317,464):{'3_1':0.0},(317,463):{'3_1':0.0},(317,462):{'3_1':0.0},(317,460):{'3_1':0.0},(317,459):{'3_1':0.0},(317,458):{'3_1':0.0},(317,457):{'3_1':0.0},(317,456):{'3_1':0.0},(317,455):{'3_1':0.0},(317,453):{'3_1':0.0},(317,452):{'3_1':0.0,'4_1':0.0},(317,451):{'4_1':0.0},(317,450):{'3_1':0.0},(317,449):{'3_1':0.03},(317,448):{'3_1':0.0},(317,446):{'3_1':0.0},(317,445):{'3_1':0.0},(317,443):{'3_1':0.0},(317,442):{'3_1':0.0},(317,441):{'3_1':0.0},(317,440):{'3_1':0.0},(317,438):{'3_1':0.0},(317,436):{'3_1':0.0},(317,435):{'3_1':0.0},(317,433):{'3_1':0.0,'4_1':0.0},(317,431):{'3_1':0.0},(317,430):{'3_1':0.0},(317,429):{'3_1':0.0},(317,428):{'3_1':0.0},(317,427):{'3_1':0.0},(317,426):{'3_1':0.0},(317,425):{'3_1':0.0},(317,423):{'3_1':0.0},(317,422):{'3_1':0.0},(317,421):{'3_1':0.0},(317,420):{'3_1':0.0},(317,418):{'3_1':0.0},(317,417):{'3_1':0.0},(317,416):{'3_1':0.0},(317,415):{'3_1':0.0},(317,413):{'3_1':0.0},(317,412):{'3_1':0.0},(317,407):{'3_1':0.0},(317,405):{'3_1':0.0},(317,402):{'3_1':0.0},(317,401):{'3_1':0.0},(317,395):{'3_1':0.0},(317,394):{'3_1':0.0},(317,393):{'3_1':0.0},(317,369):{'3_1':0.0},(317,368):{'3_1':0.0},(317,363):{'3_1':0.0},(317,361):{'3_1':0.0},(317,359):{'3_1':0.0},(317,357):{'3_1':0.0},(317,356):{'3_1':0.0},(317,355):{'3_1':0.0},(317,351):{'3_1':0.0},(318,727):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(318,726):{'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_2':0.0},(318,725):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(318,724):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0},(318,723):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(318,722):{'4_1':0.09,'3_1':0.06,'6_1':0.0},(318,721):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(318,720):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(318,719):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0,'7_6':0.0},(318,718):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0},(318,717):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(318,716):{'4_1':0.24,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(318,715):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(318,714):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_6':0.0},(318,713):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(318,712):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_4':0.0},(318,711):{'3_1':0.15,'4_1':0.15,'5_2':0.0,'5_1':0.0,'7_1':0.0},(318,710):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'7_1':0.0},(318,709):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0},(318,708):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_1':0.0},(318,707):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_2':0.0},(318,706):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(318,705):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'7_1':0.0,'6_1':0.0,'7_4':0.0},(318,704):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(318,703):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_1':0.0},(318,702):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'3_1#5_2':0.0},(318,701):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'7_4':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'-3':0.0},(318,700):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0},(318,699):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_6':0.0},(318,698):{'4_1':0.15,'3_1':0.12,'6_1':0.0},(318,697):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0},(318,696):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(318,695):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0},(318,694):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_4':0.0},(318,693):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0},(318,692):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0},(318,691):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0},(318,690):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0},(318,689):{'4_1':0.09,'3_1':0.06,'5_2':0.06},(318,688):{'4_1':0.15,'3_1':0.12,'6_1':0.03,'5_2':0.0},(318,687):{'4_1':0.12,'3_1':0.09,'6_1':0.03,'5_2':0.0,'6_2':0.0},(318,686):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0},(318,685):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'5_1':0.0},(318,684):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'9_1':0.0,'6_2':0.0},(318,683):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.03},(318,682):{'3_1':0.12,'4_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0,'-3':0.0},(318,681):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(318,680):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(318,679):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0},(318,678):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(318,677):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_2':0.0},(318,676):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_2':0.0},(318,675):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(318,674):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0},(318,673):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(318,672):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(318,671):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.03,'6_2':0.0},(318,670):{'4_1':0.18,'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(318,669):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(318,668):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(318,667):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03},(318,666):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0},(318,665):{'4_1':0.18,'3_1':0.09,'6_2':0.0},(318,664):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(318,663):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0},(318,662):{'3_1':0.12,'4_1':0.12,'5_2':0.0},(318,661):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_1':0.0},(318,660):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.03},(318,659):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(318,658):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0,'8_11':0.0},(318,657):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0},(318,656):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0},(318,655):{'4_1':0.12,'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_1':0.0},(318,654):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(318,653):{'4_1':0.15,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(318,652):{'3_1':0.15,'4_1':0.15,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(318,651):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(318,650):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(318,649):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(318,648):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(318,647):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'6_1':0.0,'7_5':0.0},(318,646):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0},(318,645):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(318,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_1':0.0},(318,643):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0},(318,642):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(318,641):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(318,640):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0},(318,639):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(318,638):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'8_4':0.0},(318,637):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(318,636):{'3_1':0.15,'5_1':0.0,'6_2':0.0,'4_1':0.0},(318,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(318,634):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(318,633):{'3_1':0.12,'6_1':0.0},(318,632):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(318,631):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(318,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(318,629):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(318,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(318,627):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(318,626):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(318,625):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(318,624):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(318,623):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(318,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(318,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(318,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(318,619):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(318,618):{'3_1':0.12,'4_1':0.03},(318,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(318,616):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(318,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(318,614):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(318,613):{'3_1':0.09,'4_1':0.0},(318,612):{'3_1':0.06,'4_1':0.03},(318,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(318,610):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(318,609):{'4_1':0.03,'3_1':0.0},(318,608):{'3_1':0.03,'5_1':0.0},(318,607):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(318,606):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(318,605):{'4_1':0.0,'3_1':0.0},(318,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(318,603):{'3_1':0.03,'6_1':0.0},(318,602):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(318,601):{'3_1':0.03,'4_1':0.0},(318,600):{'3_1':0.03,'5_2':0.0},(318,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(318,598):{'3_1':0.03},(318,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(318,596):{'3_1':0.06,'5_2':0.0},(318,595):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(318,594):{'3_1':0.03},(318,593):{'3_1':0.03,'4_1':0.0},(318,592):{'3_1':0.03,'4_1':0.0},(318,591):{'3_1':0.0},(318,590):{'3_1':0.0,'4_1':0.0},(318,589):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(318,588):{'3_1':0.0},(318,587):{'3_1':0.0,'8_21|3_1#4_1':0.0},(318,586):{'3_1':0.0,'5_1':0.0},(318,585):{'3_1':0.03,'4_1':0.0},(318,584):{'3_1':0.03,'5_2':0.0},(318,583):{'3_1':0.0},(318,582):{'3_1':0.0,'5_2':0.0},(318,581):{'3_1':0.0,'4_1':0.0},(318,580):{'3_1':0.03,'4_1':0.0},(318,579):{'3_1':0.0},(318,578):{'4_1':0.0,'3_1':0.0},(318,577):{'3_1':0.03,'4_1':0.0},(318,576):{'3_1':0.0,'6_1':0.0},(318,575):{'3_1':0.03,'4_1':0.0},(318,574):{'3_1':0.0},(318,573):{'4_1':0.0,'3_1':0.0},(318,572):{'3_1':0.0,'5_2':0.0},(318,571):{'3_1':0.0},(318,570):{'3_1':0.0},(318,569):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(318,568):{'3_1':0.0},(318,567):{'3_1':0.06},(318,566):{'3_1':0.0},(318,565):{'3_1':0.03},(318,564):{'3_1':0.0,'5_2':0.0},(318,563):{'3_1':0.0,'4_1':0.0},(318,562):{'3_1':0.0},(318,561):{'3_1':0.0},(318,560):{'3_1':0.03},(318,559):{'3_1':0.0},(318,558):{'3_1':0.03,'5_2':0.0},(318,557):{'3_1':0.03,'4_1':0.0},(318,556):{'3_1':0.0,'6_1':0.0},(318,554):{'3_1':0.0},(318,553):{'3_1':0.0},(318,552):{'4_1':0.0,'3_1':0.0},(318,551):{'3_1':0.0,'4_1':0.0},(318,550):{'3_1':0.03},(318,549):{'3_1':0.03},(318,548):{'3_1':0.0,'5_1':0.0},(318,547):{'3_1':0.0,'4_1':0.0},(318,546):{'3_1':0.03},(318,545):{'3_1':0.0,'4_1':0.0},(318,544):{'3_1':0.0},(318,543):{'4_1':0.03,'3_1':0.0},(318,542):{'3_1':0.03},(318,541):{'3_1':0.0},(318,540):{'3_1':0.0,'4_1':0.0},(318,539):{'3_1':0.0,'4_1':0.0},(318,538):{'3_1':0.03,'4_1':0.0},(318,537):{'3_1':0.03},(318,536):{'3_1':0.03,'4_1':0.0},(318,535):{'3_1':0.0},(318,534):{'3_1':0.0,'4_1':0.0},(318,533):{'4_1':0.03,'3_1':0.0},(318,532):{'3_1':0.0},(318,531):{'3_1':0.0},(318,530):{'3_1':0.0},(318,529):{'3_1':0.0,'4_1':0.0},(318,528):{'3_1':0.0,'4_1':0.0},(318,527):{'3_1':0.0},(318,526):{'3_1':0.0,'4_1':0.0},(318,525):{'3_1':0.03},(318,524):{'3_1':0.0},(318,523):{'3_1':0.03,'4_1':0.0},(318,522):{'3_1':0.0},(318,521):{'3_1':0.0,'4_1':0.0},(318,520):{'3_1':0.0},(318,519):{'3_1':0.0},(318,518):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(318,517):{'3_1':0.0,'4_1':0.0},(318,516):{'3_1':0.0,'4_1':0.0},(318,515):{'4_1':0.0,'3_1':0.0},(318,514):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(318,513):{'3_1':0.0},(318,512):{'3_1':0.0},(318,511):{'3_1':0.0},(318,509):{'3_1':0.0,'4_1':0.0},(318,508):{'3_1':0.0,'4_1':0.0},(318,507):{'3_1':0.0,'4_1':0.0},(318,506):{'3_1':0.0,'4_1':0.0},(318,505):{'3_1':0.0,'4_1':0.0},(318,504):{'3_1':0.0},(318,503):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(318,502):{'3_1':0.0},(318,501):{'3_1':0.03,'4_1':0.0},(318,500):{'4_1':0.0},(318,499):{'3_1':0.0},(318,498):{'3_1':0.0,'5_2':0.0},(318,497):{'3_1':0.0},(318,496):{'3_1':0.0},(318,495):{'3_1':0.0,'4_1':0.0},(318,494):{'3_1':0.0,'4_1':0.0},(318,493):{'3_1':0.0,'4_1':0.0},(318,492):{'3_1':0.0,'6_2':0.0},(318,491):{'3_1':0.0,'4_1':0.0},(318,490):{'3_1':0.0,'4_1':0.0},(318,489):{'3_1':0.06},(318,488):{'3_1':0.03,'5_1':0.0},(318,487):{'3_1':0.0},(318,486):{'3_1':0.0,'4_1':0.0},(318,485):{'3_1':0.06,'4_1':0.0},(318,484):{'3_1':0.0},(318,483):{'3_1':0.03,'5_1':0.0},(318,482):{'3_1':0.0},(318,481):{'3_1':0.03},(318,480):{'3_1':0.03,'5_2':0.0},(318,479):{'3_1':0.03},(318,478):{'3_1':0.03},(318,477):{'3_1':0.03},(318,476):{'3_1':0.03},(318,475):{'3_1':0.0},(318,474):{'3_1':0.03},(318,473):{'3_1':0.0},(318,472):{'3_1':0.0},(318,471):{'3_1':0.0},(318,469):{'3_1':0.03},(318,468):{'3_1':0.0},(318,467):{'3_1':0.0},(318,465):{'3_1':0.0,'4_1':0.0},(318,464):{'3_1':0.0},(318,463):{'3_1':0.03},(318,462):{'3_1':0.0},(318,459):{'4_1':0.0},(318,456):{'3_1':0.0},(318,455):{'3_1':0.0},(318,452):{'3_1':0.0},(318,451):{'3_1':0.0},(318,450):{'3_1':0.0},(318,449):{'3_1':0.0,'4_1':0.0},(318,447):{'3_1':0.0},(318,445):{'3_1':0.0},(318,444):{'3_1':0.0},(318,443):{'3_1':0.03},(318,442):{'3_1':0.0},(318,441):{'3_1':0.03},(318,438):{'3_1':0.0},(318,437):{'3_1':0.0},(318,436):{'3_1':0.0},(318,435):{'3_1':0.0},(318,434):{'3_1':0.0},(318,433):{'3_1':0.0},(318,432):{'3_1':0.0},(318,431):{'3_1':0.0},(318,430):{'3_1':0.0},(318,428):{'3_1':0.0,'5_2':0.0},(318,427):{'3_1':0.0},(318,426):{'3_1':0.0,'5_2':0.0},(318,425):{'3_1':0.0},(318,424):{'3_1':0.0},(318,423):{'3_1':0.0},(318,417):{'3_1':0.0},(318,416):{'3_1':0.0},(318,415):{'3_1':0.0,'7_1':0.0},(318,414):{'3_1':0.0},(318,413):{'3_1':0.0,'5_1':0.0},(318,410):{'3_1':0.0},(318,405):{'3_1':0.0},(318,401):{'3_1':0.0},(318,399):{'3_1':0.0},(318,392):{'3_1':0.0},(318,376):{'3_1':0.0},(318,374):{'3_1':0.0},(318,372):{'3_1':0.0},(318,369):{'3_1':0.0},(318,368):{'3_1':0.0},(318,367):{'3_1':0.0},(318,366):{'3_1':0.0},(318,365):{'3_1':0.0},(318,363):{'3_1':0.0},(318,361):{'3_1':0.0},(318,358):{'3_1':0.0},(318,357):{'3_1':0.0},(318,355):{'3_1':0.0},(318,352):{'3_1':0.0},(318,349):{'3_1':0.0},(318,348):{'3_1':0.0},(319,727):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(319,726):{'4_1':0.15,'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(319,725):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(319,724):{'4_1':0.12,'6_1':0.0,'6_2':0.0,'3_1':0.0,'5_2':0.0},(319,723):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(319,722):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'8_11':0.0},(319,721):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_1':0.0,'5_1':0.0},(319,720):{'4_1':0.15,'3_1':0.03,'6_1':0.0,'5_2':0.0},(319,719):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(319,718):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(319,717):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_11':0.0},(319,716):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(319,715):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0,'8_11':0.0},(319,714):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_4':0.0,'7_1':0.0},(319,713):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'-3':0.0},(319,712):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0},(319,711):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'5_1':0.0,'8_1':0.0,'6_1':0.0,'8_11':0.0},(319,710):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_3':0.0,'8_1':0.0},(319,709):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(319,708):{'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(319,707):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(319,706):{'4_1':0.21,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_4':0.0,'7_6':0.0},(319,705):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0},(319,704):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(319,703):{'4_1':0.09,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_4':0.0,'7_6':0.0,'7_7':0.0,'8_1':0.0},(319,702):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'7_4':0.0,'8_1':0.0,'9_1':0.0},(319,701):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_1':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0},(319,700):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0},(319,699):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0},(319,698):{'3_1':0.15,'4_1':0.12,'5_2':0.06,'5_1':0.0,'6_1':0.0},(319,697):{'4_1':0.12,'3_1':0.06,'6_1':0.03,'5_2':0.0},(319,696):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_11':0.0},(319,695):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(319,694):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_2':0.0,'8_1':0.0},(319,693):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0,'8_1':0.0,'5_1':0.0,'-3':0.0},(319,692):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(319,691):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(319,690):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(319,689):{'4_1':0.18,'5_2':0.03,'3_1':0.0,'8_1':0.0,'6_1':0.0,'8_11':0.0},(319,688):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(319,687):{'4_1':0.15,'3_1':0.12,'5_2':0.06,'6_1':0.03,'8_11':0.0},(319,686):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'8_1':0.0,'8_11':0.0},(319,685):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_4':0.0},(319,684):{'4_1':0.18,'6_1':0.03,'3_1':0.0,'5_2':0.0,'-3':0.0},(319,683):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.03,'6_2':0.0,'8_1':0.0},(319,682):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_6':0.0},(319,681):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'8_1':0.0,'7_4':0.0},(319,680):{'4_1':0.15,'3_1':0.12,'6_1':0.0,'5_2':0.0,'8_11':0.0},(319,679):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(319,678):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_1':0.0,'5_2':0.0},(319,677):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(319,676):{'4_1':0.24,'3_1':0.03,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_1':0.0},(319,675):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(319,674):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_1':0.0},(319,673):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0},(319,672):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(319,671):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0},(319,670):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(319,669):{'4_1':0.18,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(319,668):{'4_1':0.21,'3_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0},(319,667):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.03},(319,666):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0},(319,665):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(319,664):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_6':0.0},(319,663):{'4_1':0.18,'3_1':0.12,'6_1':0.03,'5_2':0.0,'8_1':0.0},(319,662):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(319,661):{'3_1':0.12,'4_1':0.12,'6_1':0.0,'5_2':0.0},(319,660):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0},(319,659):{'4_1':0.09,'3_1':0.06,'6_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(319,658):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0},(319,657):{'4_1':0.15,'3_1':0.15,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(319,656):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(319,655):{'3_1':0.12,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(319,654):{'4_1':0.18,'3_1':0.09,'5_2':0.0,'7_3':0.0},(319,653):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(319,652):{'4_1':0.18,'3_1':0.15,'5_2':0.0,'6_1':0.0,'-3':0.0},(319,651):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(319,650):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(319,649):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(319,648):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'5_1':0.0},(319,647):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(319,646):{'3_1':0.12,'4_1':0.12,'5_1':0.03,'5_2':0.03,'6_2':0.0},(319,645):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(319,644):{'3_1':0.21,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(319,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03},(319,642):{'3_1':0.21,'4_1':0.03,'6_1':0.0},(319,641):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(319,640):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0},(319,639):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(319,638):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(319,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(319,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(319,635):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(319,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(319,633):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(319,632):{'3_1':0.15,'4_1':0.0},(319,631):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0},(319,630):{'3_1':0.12,'5_1':0.0},(319,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(319,628):{'3_1':0.06,'6_2':0.0,'4_1':0.0},(319,627):{'3_1':0.09,'8_20|3_1#3_1':0.0},(319,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(319,625):{'3_1':0.09,'4_1':0.03,'5_1':0.03,'6_1':0.0},(319,624):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(319,623):{'3_1':0.09},(319,622):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(319,621):{'3_1':0.09,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_1':0.0},(319,620):{'3_1':0.12,'4_1':0.03},(319,619):{'3_1':0.09},(319,618):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(319,617):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(319,616):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'7_1':0.0},(319,615):{'3_1':0.03,'4_1':0.0},(319,614):{'3_1':0.03,'4_1':0.0},(319,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(319,612):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(319,611):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(319,610):{'4_1':0.03,'3_1':0.0},(319,609):{'3_1':0.03,'4_1':0.0},(319,608):{'3_1':0.0,'4_1':0.0},(319,607):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(319,606):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(319,605):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0},(319,604):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0},(319,603):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(319,602):{'3_1':0.03,'4_1':0.0},(319,601):{'3_1':0.03,'4_1':0.0},(319,600):{'3_1':0.0,'4_1':0.0},(319,599):{'3_1':0.03},(319,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(319,597):{'3_1':0.03,'4_1':0.0},(319,596):{'3_1':0.03,'4_1':0.0},(319,595):{'3_1':0.03,'4_1':0.0},(319,594):{'3_1':0.0,'4_1':0.0},(319,593):{'3_1':0.0},(319,592):{'3_1':0.03},(319,591):{'3_1':0.03,'4_1':0.0},(319,590):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(319,589):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(319,588):{'3_1':0.0},(319,587):{'3_1':0.0},(319,586):{'3_1':0.0,'4_1':0.0,'8_9':0.0},(319,585):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(319,584):{'3_1':0.03},(319,583):{'3_1':0.0,'4_1':0.0},(319,582):{'3_1':0.0,'4_1':0.0},(319,581):{'3_1':0.0,'4_1':0.0},(319,580):{'3_1':0.03},(319,579):{'3_1':0.0},(319,578):{'3_1':0.06,'4_1':0.0},(319,577):{'3_1':0.0},(319,576):{'3_1':0.06,'4_1':0.0},(319,575):{'3_1':0.03},(319,574):{'3_1':0.0,'5_1':0.0},(319,573):{'3_1':0.0,'6_2':0.0},(319,572):{'3_1':0.03},(319,571):{'3_1':0.0},(319,570):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(319,569):{'3_1':0.0,'4_1':0.0},(319,568):{'3_1':0.03},(319,567):{'3_1':0.03},(319,566):{'3_1':0.03},(319,565):{'3_1':0.03},(319,564):{'3_1':0.03},(319,563):{'3_1':0.0,'4_1':0.0},(319,562):{'3_1':0.0},(319,561):{'3_1':0.0},(319,560):{'3_1':0.03},(319,559):{'3_1':0.03},(319,558):{'3_1':0.0,'5_1':0.0},(319,557):{'3_1':0.0,'5_1':0.0},(319,556):{'3_1':0.03,'4_1':0.0},(319,555):{'3_1':0.03,'4_1':0.0},(319,554):{'3_1':0.0,'4_1':0.0},(319,553):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(319,552):{'3_1':0.0,'4_1':0.0},(319,551):{'3_1':0.0},(319,550):{'3_1':0.03},(319,549):{'3_1':0.03,'4_1':0.0},(319,548):{'3_1':0.0,'4_1':0.0},(319,547):{'3_1':0.03},(319,546):{'3_1':0.0,'5_2':0.0},(319,545):{'3_1':0.0},(319,544):{'3_1':0.0},(319,543):{'3_1':0.03,'6_1':0.0},(319,542):{'3_1':0.03,'4_1':0.0},(319,541):{'3_1':0.0},(319,540):{'3_1':0.06,'4_1':0.0},(319,539):{'3_1':0.0,'4_1':0.0},(319,538):{'3_1':0.0,'4_1':0.0},(319,536):{'3_1':0.0},(319,535):{'3_1':0.0},(319,534):{'3_1':0.0,'5_2':0.0},(319,533):{'3_1':0.0},(319,532):{'3_1':0.03},(319,531):{'3_1':0.0,'4_1':0.0},(319,530):{'3_1':0.03},(319,528):{'3_1':0.03,'5_2':0.0},(319,527):{'3_1':0.03,'4_1':0.0},(319,526):{'3_1':0.03},(319,525):{'4_1':0.0,'3_1':0.0},(319,524):{'3_1':0.0,'4_1':0.0},(319,523):{'3_1':0.0,'4_1':0.0},(319,522):{'3_1':0.03,'4_1':0.0},(319,521):{'3_1':0.0},(319,520):{'3_1':0.03,'4_1':0.0},(319,519):{'3_1':0.0},(319,518):{'3_1':0.0},(319,517):{'3_1':0.03,'4_1':0.0},(319,516):{'3_1':0.03,'4_1':0.0},(319,515):{'3_1':0.0,'4_1':0.0},(319,514):{'3_1':0.06},(319,513):{'3_1':0.0},(319,512):{'3_1':0.0,'4_1':0.0},(319,511):{'3_1':0.03,'5_2':0.0},(319,510):{'3_1':0.0},(319,509):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(319,508):{'3_1':0.03,'4_1':0.0},(319,506):{'3_1':0.0},(319,505):{'3_1':0.0},(319,504):{'3_1':0.0},(319,503):{'3_1':0.0,'4_1':0.0},(319,502):{'3_1':0.0,'4_1':0.0},(319,501):{'3_1':0.0},(319,500):{'3_1':0.0,'4_1':0.0},(319,499):{'3_1':0.0,'4_1':0.0},(319,498):{'3_1':0.0},(319,497):{'3_1':0.0,'4_1':0.0},(319,496):{'3_1':0.03,'4_1':0.0},(319,495):{'3_1':0.0,'4_1':0.0},(319,494):{'3_1':0.0},(319,493):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(319,492):{'5_2':0.0},(319,491):{'3_1':0.03},(319,490):{'3_1':0.0},(319,489):{'3_1':0.0,'4_1':0.0},(319,488):{'3_1':0.03,'4_1':0.0},(319,487):{'3_1':0.03},(319,486):{'3_1':0.03},(319,485):{'3_1':0.03,'4_1':0.0},(319,484):{'3_1':0.03,'4_1':0.0},(319,483):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(319,482):{'3_1':0.0},(319,481):{'3_1':0.0},(319,480):{'3_1':0.03},(319,479):{'3_1':0.0},(319,478):{'3_1':0.0},(319,477):{'3_1':0.0},(319,476):{'3_1':0.03},(319,474):{'3_1':0.03},(319,473):{'3_1':0.03},(319,471):{'3_1':0.0},(319,470):{'3_1':0.0},(319,469):{'3_1':0.03},(319,467):{'3_1':0.0},(319,466):{'3_1':0.0,'4_1':0.0},(319,465):{'3_1':0.03},(319,464):{'3_1':0.0},(319,463):{'3_1':0.0},(319,462):{'3_1':0.0,'4_1':0.0},(319,460):{'3_1':0.0,'4_1':0.0},(319,457):{'4_1':0.0},(319,455):{'4_1':0.0},(319,454):{'3_1':0.0},(319,451):{'3_1':0.0},(319,450):{'3_1':0.0,'4_1':0.0},(319,449):{'3_1':0.0},(319,448):{'3_1':0.0},(319,446):{'3_1':0.0},(319,445):{'3_1':0.0},(319,444):{'3_1':0.0,'5_2':0.0},(319,443):{'3_1':0.0},(319,442):{'3_1':0.0},(319,441):{'3_1':0.0},(319,440):{'3_1':0.0},(319,439):{'3_1':0.0,'8_20|3_1#3_1':0.0},(319,438):{'3_1':0.0},(319,437):{'3_1':0.0},(319,436):{'3_1':0.0},(319,435):{'3_1':0.0},(319,434):{'3_1':0.0},(319,433):{'3_1':0.03},(319,431):{'3_1':0.0,'5_1':0.0},(319,430):{'3_1':0.0,'5_2':0.0},(319,429):{'3_1':0.0},(319,428):{'3_1':0.0},(319,427):{'3_1':0.0},(319,425):{'3_1':0.0},(319,424):{'3_1':0.0,'4_1':0.0},(319,421):{'3_1':0.0},(319,420):{'3_1':0.0},(319,419):{'3_1':0.0},(319,418):{'3_1':0.0},(319,417):{'3_1':0.0},(319,416):{'3_1':0.0},(319,415):{'3_1':0.0},(319,414):{'3_1':0.0},(319,409):{'3_1':0.0,'4_1':0.0},(319,408):{'3_1':0.0,'5_1':0.0},(319,405):{'3_1':0.03},(319,404):{'3_1':0.0},(319,400):{'3_1':0.0},(319,395):{'3_1':0.0},(319,394):{'3_1':0.0},(319,393):{'3_1':0.0},(319,390):{'3_1':0.0},(319,376):{'3_1':0.0},(319,373):{'6_1':0.0},(319,372):{'3_1':0.0},(319,371):{'3_1':0.0},(319,370):{'3_1':0.0},(319,368):{'3_1':0.0},(319,367):{'3_1':0.0},(319,365):{'3_1':0.03},(319,364):{'5_1':0.0},(319,361):{'3_1':0.0},(319,360):{'3_1':0.0},(319,359):{'3_1':0.0},(319,358):{'3_1':0.0},(319,355):{'3_1':0.0},(319,352):{'3_1':0.0},(319,332):{'3_1':0.0},(319,331):{'3_1':0.0},(319,330):{'3_1':0.0},(320,727):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(320,726):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,725):{'4_1':0.15,'3_1':0.03,'5_2':0.0},(320,724):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,723):{'4_1':0.09,'3_1':0.03,'6_2':0.0,'5_2':0.0,'5_1':0.0},(320,722):{'4_1':0.12,'3_1':0.03,'5_2':0.03},(320,721):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_6':0.0},(320,720):{'4_1':0.06,'3_1':0.03,'6_2':0.03,'5_2':0.0,'6_1':0.0},(320,719):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(320,718):{'4_1':0.12,'3_1':0.09,'6_2':0.0,'6_1':0.0},(320,717):{'4_1':0.15,'3_1':0.06,'5_1':0.0},(320,716):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(320,715):{'4_1':0.12,'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,714):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0},(320,713):{'4_1':0.18,'3_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0},(320,712):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,711):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_2':0.0},(320,710):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(320,709):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(320,708):{'4_1':0.12,'5_2':0.06,'3_1':0.06,'5_1':0.0,'6_2':0.0,'8_3':0.0},(320,707):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,706):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_2':0.0,'8_18':0.0},(320,705):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(320,704):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,703):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(320,702):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(320,701):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'-3':0.0},(320,700):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_6':0.0},(320,699):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0},(320,698):{'3_1':0.09,'4_1':0.09,'5_2':0.03},(320,697):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0},(320,696):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(320,695):{'3_1':0.09,'4_1':0.09,'5_2':0.09,'6_1':0.0},(320,694):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(320,693):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0},(320,692):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0,'7_7':0.0},(320,691):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(320,690):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(320,689):{'3_1':0.15,'4_1':0.09,'6_2':0.0,'5_1':0.0,'5_2':0.0},(320,688):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0},(320,687):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_7':0.0,'8_21|3_1#4_1':0.0},(320,686):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0},(320,685):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(320,684):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,683):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0},(320,682):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(320,681):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,680):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(320,679):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(320,678):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(320,677):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0,'6_2':0.0},(320,676):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_4':0.0},(320,675):{'4_1':0.15,'3_1':0.06,'6_1':0.03,'5_2':0.0,'6_2':0.0},(320,674):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'7_3':0.0,'8_1':0.0},(320,673):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(320,672):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,671):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_1':0.0},(320,670):{'4_1':0.18,'3_1':0.03,'6_1':0.03,'5_2':0.0,'6_2':0.0,'-3':0.0},(320,669):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0},(320,668):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,667):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'5_2':0.0,'7_3':0.0,'8_3':0.0},(320,666):{'4_1':0.21,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'5_1':0.0},(320,665):{'4_1':0.12,'3_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(320,664):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(320,663):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'6_2':0.03,'6_1':0.0},(320,662):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_4':0.0},(320,661):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(320,660):{'4_1':0.15,'3_1':0.09,'6_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_3':0.0},(320,659):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(320,658):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0},(320,657):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(320,656):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.03,'5_1':0.0},(320,655):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(320,654):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(320,653):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0},(320,652):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(320,651):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0},(320,650):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'5_2':0.0},(320,649):{'3_1':0.18,'4_1':0.12,'5_2':0.03,'6_1':0.0},(320,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(320,647):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(320,646):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0},(320,645):{'3_1':0.21,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(320,644):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(320,643):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'6_1':0.0},(320,642):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(320,641):{'3_1':0.27,'4_1':0.03,'5_2':0.0},(320,640):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(320,639):{'3_1':0.12,'4_1':0.03,'5_1':0.03},(320,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(320,637):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(320,636):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(320,635):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(320,634):{'3_1':0.21,'5_1':0.0,'6_2':0.0},(320,633):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(320,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(320,631):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(320,630):{'3_1':0.06,'6_1':0.0,'8_9':0.0},(320,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(320,628):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(320,627):{'3_1':0.12,'4_1':0.03},(320,626):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(320,625):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(320,624):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(320,623):{'3_1':0.06,'4_1':0.0},(320,622):{'3_1':0.09,'4_1':0.0},(320,621):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(320,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(320,619):{'3_1':0.06,'6_1':0.0},(320,618):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(320,617):{'3_1':0.12,'4_1':0.0},(320,616):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(320,615):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(320,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(320,613):{'3_1':0.06,'5_2':0.0},(320,612):{'3_1':0.06,'4_1':0.0},(320,611):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(320,610):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(320,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(320,608):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'5_1':0.0},(320,607):{'3_1':0.03,'5_1':0.0},(320,606):{'3_1':0.06,'4_1':0.03,'5_1':0.03},(320,605):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(320,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(320,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(320,602):{'3_1':0.03},(320,601):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(320,600):{'3_1':0.03,'4_1':0.0},(320,599):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(320,598):{'3_1':0.0,'5_1':0.0},(320,597):{'3_1':0.0,'5_2':0.0},(320,596):{'3_1':0.03},(320,595):{'3_1':0.03,'4_1':0.0},(320,594):{'3_1':0.03},(320,593):{'3_1':0.06},(320,592):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(320,591):{'3_1':0.03,'4_1':0.0},(320,590):{'3_1':0.03,'4_1':0.0},(320,589):{'3_1':0.03,'4_1':0.0},(320,588):{'3_1':0.0},(320,587):{'3_1':0.0,'6_1':0.0},(320,586):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(320,585):{'3_1':0.03,'4_1':0.0},(320,584):{'3_1':0.03},(320,583):{'3_1':0.03},(320,582):{'3_1':0.0,'4_1':0.0},(320,581):{'4_1':0.0},(320,580):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(320,579):{'3_1':0.0},(320,578):{'3_1':0.0},(320,577):{'3_1':0.03},(320,576):{'3_1':0.0,'5_2':0.0},(320,575):{'3_1':0.0},(320,574):{'3_1':0.0,'5_2':0.0},(320,573):{'3_1':0.0,'5_2':0.0},(320,572):{'3_1':0.0},(320,571):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(320,570):{'3_1':0.0},(320,569):{'3_1':0.06},(320,568):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(320,567):{'3_1':0.0},(320,566):{'3_1':0.0},(320,565):{'3_1':0.0,'4_1':0.0},(320,564):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(320,563):{'3_1':0.0},(320,562):{'3_1':0.03},(320,561):{'3_1':0.03},(320,560):{'3_1':0.0},(320,559):{'3_1':0.0,'4_1':0.0},(320,558):{'3_1':0.0},(320,557):{'3_1':0.0},(320,556):{'3_1':0.03,'4_1':0.0},(320,555):{'3_1':0.03,'5_1':0.0},(320,553):{'3_1':0.03,'4_1':0.0},(320,552):{'3_1':0.06},(320,551):{'3_1':0.0},(320,550):{'3_1':0.0},(320,549):{'3_1':0.0},(320,548):{'3_1':0.0,'4_1':0.0},(320,547):{'3_1':0.0},(320,546):{'3_1':0.0},(320,545):{'3_1':0.03},(320,544):{'3_1':0.03,'5_2':0.0},(320,543):{'3_1':0.0},(320,542):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(320,541):{'3_1':0.03},(320,540):{'3_1':0.0},(320,539):{'3_1':0.03},(320,538):{'3_1':0.0},(320,537):{'3_1':0.03},(320,536):{'3_1':0.0},(320,535):{'3_1':0.0},(320,534):{'3_1':0.0},(320,533):{'3_1':0.03},(320,532):{'3_1':0.0,'4_1':0.0},(320,531):{'3_1':0.0,'4_1':0.0},(320,530):{'3_1':0.03},(320,529):{'3_1':0.03,'4_1':0.0},(320,528):{'4_1':0.0},(320,527):{'3_1':0.03},(320,526):{'3_1':0.0},(320,525):{'3_1':0.03,'4_1':0.0},(320,524):{'3_1':0.0},(320,523):{'3_1':0.0},(320,522):{'3_1':0.0},(320,521):{'3_1':0.0},(320,520):{'4_1':0.0,'3_1':0.0},(320,519):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(320,518):{'3_1':0.03},(320,516):{'3_1':0.0},(320,515):{'3_1':0.0},(320,513):{'3_1':0.03},(320,512):{'3_1':0.0,'4_1':0.0},(320,510):{'3_1':0.0},(320,509):{'3_1':0.0,'5_2':0.0},(320,507):{'3_1':0.0,'4_1':0.0},(320,506):{'3_1':0.0,'4_1':0.0},(320,505):{'3_1':0.03},(320,504):{'3_1':0.0,'4_1':0.0},(320,503):{'5_1':0.0},(320,502):{'3_1':0.0},(320,501):{'3_1':0.0},(320,500):{'3_1':0.0},(320,499):{'3_1':0.0},(320,498):{'3_1':0.0},(320,497):{'3_1':0.0,'4_1':0.0},(320,496):{'3_1':0.0,'5_2':0.0},(320,495):{'3_1':0.03},(320,494):{'3_1':0.0,'5_1':0.0},(320,493):{'3_1':0.0,'4_1':0.0},(320,492):{'3_1':0.0},(320,491):{'3_1':0.03},(320,490):{'4_1':0.0,'5_1':0.0},(320,489):{'3_1':0.0},(320,488):{'5_2':0.0},(320,487):{'3_1':0.03},(320,486):{'3_1':0.0,'4_1':0.0},(320,485):{'3_1':0.0},(320,484):{'3_1':0.0},(320,483):{'3_1':0.0},(320,482):{'3_1':0.0},(320,481):{'3_1':0.06},(320,480):{'3_1':0.06},(320,479):{'3_1':0.03,'5_1':0.0},(320,478):{'3_1':0.0},(320,476):{'3_1':0.03},(320,475):{'3_1':0.0},(320,474):{'3_1':0.0},(320,473):{'3_1':0.0,'5_1':0.0},(320,471):{'3_1':0.03},(320,470):{'3_1':0.0},(320,469):{'3_1':0.0},(320,468):{'3_1':0.0},(320,467):{'3_1':0.0},(320,466):{'3_1':0.0},(320,465):{'3_1':0.0,'5_2':0.0},(320,463):{'3_1':0.0},(320,462):{'3_1':0.0},(320,460):{'3_1':0.0},(320,458):{'3_1':0.0},(320,457):{'3_1':0.0},(320,456):{'3_1':0.0},(320,454):{'4_1':0.0},(320,452):{'3_1':0.0},(320,451):{'3_1':0.0,'4_1':0.0},(320,450):{'3_1':0.0},(320,449):{'3_1':0.0,'6_1':0.0},(320,445):{'3_1':0.0},(320,444):{'3_1':0.0},(320,443):{'3_1':0.0},(320,441):{'3_1':0.03},(320,440):{'3_1':0.0},(320,439):{'3_1':0.0},(320,438):{'3_1':0.0},(320,437):{'3_1':0.0,'4_1':0.0},(320,435):{'3_1':0.0,'4_1':0.0},(320,432):{'3_1':0.0,'5_1':0.0},(320,430):{'3_1':0.0},(320,429):{'3_1':0.0},(320,428):{'3_1':0.0},(320,426):{'3_1':0.0},(320,425):{'3_1':0.0},(320,422):{'3_1':0.0},(320,421):{'3_1':0.0},(320,419):{'3_1':0.0},(320,418):{'3_1':0.0},(320,417):{'3_1':0.0},(320,416):{'3_1':0.0},(320,412):{'3_1':0.0},(320,409):{'3_1':0.0,'5_1':0.0},(320,408):{'5_1':0.0},(320,407):{'3_1':0.0},(320,401):{'3_1':0.0},(320,400):{'3_1':0.0},(320,399):{'3_1':0.0},(320,398):{'3_1':0.0},(320,396):{'3_1':0.0},(320,375):{'3_1':0.0},(320,371):{'3_1':0.0},(320,370):{'3_1':0.0},(320,368):{'3_1':0.0},(320,367):{'3_1':0.0},(320,363):{'3_1':0.0},(320,361):{'3_1':0.0},(320,360):{'3_1':0.0},(320,358):{'3_1':0.0},(320,356):{'3_1':0.0},(320,354):{'3_1':0.0},(320,353):{'3_1':0.0},(320,352):{'3_1':0.0},(320,334):{'3_1':0.0},(320,333):{'3_1':0.0},(321,727):{'4_1':0.06,'3_1':0.0,'6_2':0.0},(321,726):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0},(321,725):{'3_1':0.06,'4_1':0.06},(321,724):{'4_1':0.09,'3_1':0.06,'6_1':0.0},(321,723):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_4':0.0},(321,722):{'4_1':0.09,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(321,721):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_1':0.0},(321,720):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(321,719):{'3_1':0.06,'4_1':0.03,'6_1':0.0,'6_2':0.0},(321,718):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(321,717):{'4_1':0.12,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'-3':0.0},(321,716):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(321,715):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(321,714):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(321,713):{'3_1':0.12,'4_1':0.06},(321,712):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_1':0.0},(321,711):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(321,710):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.03},(321,709):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(321,708):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(321,707):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0},(321,706):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(321,705):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(321,704):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'7_4':0.0},(321,703):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0},(321,702):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0},(321,701):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(321,700):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(321,699):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0},(321,698):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(321,697):{'3_1':0.18,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(321,696):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_1':0.0},(321,695):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(321,694):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0},(321,693):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_1':0.0},(321,692):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(321,691):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(321,690):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(321,689):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(321,688):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(321,687):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0},(321,686):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.0},(321,685):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_2':0.0},(321,684):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'9_1':0.0},(321,683):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0},(321,682):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(321,681):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0},(321,680):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(321,679):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'8_11':0.0},(321,678):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(321,677):{'3_1':0.15,'5_2':0.06,'4_1':0.06,'6_2':0.0,'6_1':0.0},(321,676):{'3_1':0.06,'4_1':0.06,'6_1':0.03,'5_2':0.0},(321,675):{'3_1':0.15,'4_1':0.12,'5_2':0.0,'6_1':0.0},(321,674):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(321,673):{'3_1':0.12,'4_1':0.03,'6_1':0.03,'5_2':0.0,'5_1':0.0},(321,672):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'8_1':0.0},(321,671):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(321,670):{'3_1':0.09,'4_1':0.09,'6_1':0.03,'5_2':0.0,'7_1':0.0},(321,669):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(321,668):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(321,667):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(321,666):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(321,665):{'3_1':0.24,'4_1':0.06,'6_1':0.0,'5_2':0.0},(321,664):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_3':0.0},(321,663):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(321,662):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(321,661):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(321,660):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0,'5_1':0.0},(321,659):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(321,658):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0},(321,657):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.03,'6_2':0.0,'5_1':0.0,'8_4':0.0},(321,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(321,655):{'3_1':0.18,'4_1':0.09,'5_2':0.0},(321,654):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(321,653):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(321,652):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_4':0.0},(321,651):{'3_1':0.18,'5_2':0.06,'4_1':0.0},(321,650):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0},(321,649):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(321,648):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(321,647):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(321,646):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(321,645):{'3_1':0.27,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(321,644):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(321,643):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(321,642):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(321,641):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(321,640):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0},(321,639):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(321,638):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(321,637):{'3_1':0.12,'4_1':0.0},(321,636):{'3_1':0.09,'4_1':0.0},(321,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(321,634):{'3_1':0.12,'5_1':0.0},(321,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(321,632):{'3_1':0.03,'6_1':0.0},(321,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(321,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(321,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(321,628):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(321,627):{'3_1':0.06,'5_1':0.0},(321,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(321,625):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(321,624):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(321,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(321,622):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(321,621):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(321,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(321,619):{'3_1':0.12,'4_1':0.0},(321,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(321,617):{'3_1':0.06,'4_1':0.0},(321,616):{'3_1':0.06,'4_1':0.0},(321,615):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(321,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(321,613):{'3_1':0.06,'4_1':0.0},(321,612):{'3_1':0.03,'4_1':0.0},(321,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(321,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(321,609):{'3_1':0.06,'4_1':0.03},(321,608):{'3_1':0.03,'4_1':0.0},(321,607):{'3_1':0.03,'4_1':0.03},(321,606):{'3_1':0.0},(321,605):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(321,604):{'3_1':0.03,'4_1':0.03},(321,603):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(321,602):{'3_1':0.0},(321,601):{'3_1':0.03,'4_1':0.0},(321,600):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(321,599):{'3_1':0.0},(321,598):{'3_1':0.0,'4_1':0.0},(321,597):{'3_1':0.0,'6_2':0.0},(321,596):{'3_1':0.0,'4_1':0.0},(321,595):{'3_1':0.0,'4_1':0.0},(321,594):{'3_1':0.06},(321,593):{'3_1':0.03,'5_2':0.0},(321,592):{'3_1':0.0,'5_2':0.0},(321,591):{'3_1':0.0,'4_1':0.0},(321,590):{'3_1':0.0},(321,589):{'3_1':0.0},(321,588):{'3_1':0.0,'6_2':0.0},(321,587):{'3_1':0.0,'6_1':0.0},(321,586):{'3_1':0.03},(321,585):{'3_1':0.0,'4_1':0.0},(321,584):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(321,583):{'3_1':0.03},(321,582):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(321,581):{'3_1':0.0},(321,579):{'3_1':0.0},(321,578):{'3_1':0.0},(321,577):{'3_1':0.0},(321,576):{'3_1':0.0},(321,575):{'3_1':0.0,'4_1':0.0},(321,574):{'3_1':0.0,'5_2':0.0},(321,573):{'3_1':0.0,'6_1':0.0},(321,572):{'3_1':0.0},(321,571):{'3_1':0.03},(321,570):{'3_1':0.0,'5_2':0.0},(321,569):{'3_1':0.03,'5_2':0.0},(321,568):{'3_1':0.03,'4_1':0.0},(321,567):{'3_1':0.0,'5_1':0.0},(321,566):{'3_1':0.03},(321,565):{'3_1':0.0},(321,564):{'3_1':0.03,'6_1':0.0},(321,563):{'3_1':0.0},(321,562):{'3_1':0.0},(321,561):{'3_1':0.03},(321,560):{'3_1':0.0,'4_1':0.0},(321,559):{'3_1':0.0,'6_2':0.0},(321,558):{'3_1':0.0},(321,557):{'3_1':0.0,'5_2':0.0},(321,556):{'3_1':0.03,'4_1':0.0},(321,555):{'3_1':0.0,'4_1':0.0},(321,554):{'3_1':0.0,'4_1':0.0},(321,553):{'3_1':0.0,'4_1':0.0},(321,552):{'3_1':0.0},(321,551):{'3_1':0.0},(321,550):{'4_1':0.0},(321,549):{'3_1':0.0},(321,548):{'4_1':0.0},(321,547):{'3_1':0.0},(321,546):{'3_1':0.0},(321,545):{'3_1':0.03},(321,544):{'3_1':0.0},(321,543):{'4_1':0.0},(321,542):{'4_1':0.03,'3_1':0.0},(321,541):{'3_1':0.0},(321,540):{'3_1':0.0},(321,539):{'3_1':0.0,'4_1':0.0},(321,538):{'3_1':0.03},(321,537):{'3_1':0.0},(321,536):{'3_1':0.0},(321,535):{'3_1':0.0},(321,534):{'3_1':0.0,'4_1':0.0},(321,533):{'3_1':0.03,'4_1':0.0},(321,532):{'4_1':0.0,'3_1':0.0},(321,531):{'3_1':0.0,'4_1':0.0},(321,530):{'3_1':0.0},(321,529):{'3_1':0.0},(321,528):{'3_1':0.0},(321,527):{'3_1':0.03},(321,526):{'3_1':0.0},(321,525):{'3_1':0.0},(321,524):{'3_1':0.0},(321,523):{'3_1':0.03},(321,522):{'4_1':0.0,'3_1':0.0},(321,521):{'3_1':0.0,'4_1':0.0},(321,520):{'3_1':0.03},(321,518):{'3_1':0.0},(321,517):{'3_1':0.0,'4_1':0.0},(321,516):{'4_1':0.0,'3_1':0.0},(321,515):{'3_1':0.0},(321,514):{'3_1':0.0},(321,513):{'3_1':0.0,'4_1':0.0},(321,509):{'3_1':0.0},(321,508):{'3_1':0.0},(321,507):{'3_1':0.0},(321,506):{'4_1':0.0,'3_1':0.0},(321,505):{'3_1':0.0},(321,504):{'3_1':0.0,'4_1':0.0},(321,503):{'3_1':0.0},(321,501):{'3_1':0.0},(321,500):{'3_1':0.03},(321,497):{'3_1':0.0},(321,496):{'3_1':0.0,'4_1':0.0},(321,495):{'3_1':0.0},(321,494):{'3_1':0.0},(321,493):{'3_1':0.0,'5_1':0.0},(321,492):{'3_1':0.0},(321,491):{'3_1':0.0},(321,490):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(321,489):{'3_1':0.03,'4_1':0.0},(321,488):{'3_1':0.0,'5_1':0.0},(321,487):{'3_1':0.0},(321,486):{'3_1':0.0},(321,485):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(321,484):{'3_1':0.0},(321,483):{'3_1':0.03},(321,482):{'3_1':0.0},(321,481):{'3_1':0.03},(321,480):{'3_1':0.0},(321,479):{'3_1':0.0},(321,478):{'3_1':0.03},(321,477):{'3_1':0.0},(321,476):{'3_1':0.03},(321,475):{'3_1':0.0},(321,474):{'3_1':0.0},(321,473):{'3_1':0.0},(321,472):{'3_1':0.0},(321,470):{'3_1':0.03},(321,469):{'3_1':0.0,'4_1':0.0},(321,467):{'3_1':0.0},(321,466):{'3_1':0.0},(321,465):{'3_1':0.0},(321,461):{'3_1':0.0},(321,460):{'3_1':0.0},(321,457):{'3_1':0.0},(321,456):{'3_1':0.0},(321,452):{'3_1':0.0},(321,450):{'4_1':0.0},(321,449):{'3_1':0.0},(321,445):{'3_1':0.0},(321,444):{'3_1':0.0},(321,443):{'3_1':0.0},(321,442):{'3_1':0.0},(321,441):{'3_1':0.0},(321,439):{'3_1':0.0},(321,438):{'3_1':0.0},(321,435):{'3_1':0.0},(321,434):{'3_1':0.0},(321,433):{'3_1':0.03},(321,432):{'3_1':0.0},(321,431):{'3_1':0.0,'4_1':0.0},(321,430):{'3_1':0.0},(321,429):{'3_1':0.0},(321,428):{'3_1':0.0},(321,421):{'3_1':0.0},(321,418):{'3_1':0.0},(321,417):{'3_1':0.0},(321,416):{'3_1':0.0},(321,415):{'5_1':0.0},(321,414):{'3_1':0.0},(321,412):{'3_1':0.0},(321,410):{'3_1':0.0},(321,407):{'5_1':0.0},(321,406):{'3_1':0.0},(321,404):{'3_1':0.0},(321,403):{'3_1':0.0},(321,394):{'5_2':0.0},(321,392):{'3_1':0.0},(321,376):{'3_1':0.0},(321,375):{'3_1':0.0},(321,372):{'3_1':0.0},(321,370):{'3_1':0.0},(321,369):{'3_1':0.0},(321,361):{'3_1':0.0},(321,360):{'3_1':0.0},(321,358):{'3_1':0.0},(321,357):{'3_1':0.0},(321,354):{'3_1':0.0},(321,352):{'3_1':0.0},(321,332):{'5_1':0.0},(321,331):{'5_1':0.0},(321,329):{'3_1':0.0},(322,727):{'4_1':0.06,'5_2':0.03,'3_1':0.03,'6_2':0.0,'6_1':0.0},(322,726):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0},(322,725):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(322,724):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0,'7_2':0.0},(322,723):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_2':0.0},(322,722):{'4_1':0.06,'3_1':0.06,'6_2':0.0},(322,721):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0},(322,720):{'4_1':0.09,'3_1':0.06,'5_2':0.03},(322,719):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0},(322,718):{'4_1':0.09,'3_1':0.06,'5_1':0.0},(322,717):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0},(322,716):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'6_2':0.0},(322,715):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0,'8_1':0.0},(322,714):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_7':0.0,'-3':0.0},(322,713):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_1':0.0},(322,712):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(322,711):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_1':0.0,'8_21|3_1#4_1':0.0},(322,710):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0},(322,709):{'3_1':0.09,'4_1':0.09,'5_2':0.03},(322,708):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(322,707):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(322,706):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(322,705):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0},(322,704):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(322,703):{'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'5_2':0.0},(322,702):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'7_4':0.0,'8_1':0.0},(322,701):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'7_4':0.0},(322,700):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'5_1':0.0},(322,699):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_3':0.0,'8_1':0.0,'-3':0.0},(322,698):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(322,697):{'5_2':0.03,'4_1':0.03,'3_1':0.03,'5_1':0.0,'6_1':0.0},(322,696):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_6':0.0},(322,695):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0},(322,694):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(322,693):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(322,692):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'6_1':0.0,'5_1':0.0},(322,691):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(322,690):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_4':0.0},(322,689):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0},(322,688):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_2':0.0},(322,687):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(322,686):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_1':0.0},(322,685):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_14':0.0},(322,684):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_4':0.0},(322,683):{'3_1':0.09,'4_1':0.06,'6_2':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0},(322,682):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'9_1':0.0},(322,681):{'4_1':0.09,'3_1':0.03,'5_2':0.03,'6_1':0.0,'8_1':0.0},(322,680):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(322,679):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0,'8_1':0.0},(322,678):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_3':0.0,'8_3':0.0},(322,677):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(322,676):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(322,675):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_2':0.0,'6_1':0.0},(322,674):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(322,673):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(322,672):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(322,671):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(322,670):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(322,669):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'6_2':0.0,'6_1':0.0},(322,668):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_2':0.0,'8_1':0.0},(322,667):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(322,666):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0},(322,665):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'8_1':0.0,'8_14':0.0},(322,664):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(322,663):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_6':0.0},(322,662):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(322,661):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(322,660):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(322,659):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(322,658):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'5_1':0.0},(322,657):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(322,656):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(322,655):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0,'6_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(322,654):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(322,653):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(322,652):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(322,651):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(322,650):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0},(322,649):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(322,648):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_5':0.0},(322,647):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0,'3_1#5_1':0.0},(322,646):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(322,645):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0},(322,644):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(322,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(322,642):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(322,641):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(322,640):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(322,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(322,638):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(322,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(322,636):{'3_1':0.09,'4_1':0.03},(322,635):{'3_1':0.06,'8_20|3_1#3_1':0.0},(322,634):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(322,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(322,632):{'3_1':0.06,'4_1':0.0},(322,631):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(322,630):{'3_1':0.12},(322,629):{'3_1':0.06,'4_1':0.0},(322,628):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(322,627):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(322,626):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0},(322,625):{'3_1':0.03,'5_1':0.0},(322,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(322,623):{'3_1':0.03,'4_1':0.0},(322,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(322,621):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(322,620):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(322,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(322,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(322,617):{'3_1':0.09,'4_1':0.0},(322,616):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(322,615):{'3_1':0.09,'4_1':0.0},(322,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(322,613):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(322,612):{'3_1':0.03,'4_1':0.0},(322,611):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(322,610):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(322,609):{'3_1':0.03,'4_1':0.03},(322,608):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(322,607):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(322,606):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'8_4':0.0},(322,605):{'3_1':0.03,'4_1':0.0},(322,604):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(322,603):{'3_1':0.0,'4_1':0.0},(322,602):{'3_1':0.0,'4_1':0.0},(322,601):{'3_1':0.03},(322,600):{'3_1':0.06,'4_1':0.0},(322,599):{'3_1':0.03,'4_1':0.0},(322,598):{'3_1':0.03,'5_1':0.0},(322,597):{'3_1':0.03},(322,596):{'4_1':0.0,'6_2':0.0},(322,595):{'3_1':0.03},(322,594):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(322,593):{'3_1':0.0},(322,592):{'3_1':0.0},(322,591):{'3_1':0.03,'4_1':0.0},(322,590):{'3_1':0.06},(322,589):{'3_1':0.03,'4_1':0.0},(322,588):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(322,587):{'3_1':0.06,'4_1':0.0},(322,586):{'3_1':0.0},(322,585):{'3_1':0.0},(322,584):{'3_1':0.03},(322,583):{'3_1':0.03,'4_1':0.0},(322,582):{'3_1':0.03,'5_2':0.0},(322,581):{'3_1':0.0},(322,580):{'3_1':0.03,'4_1':0.0},(322,579):{'3_1':0.0},(322,578):{'3_1':0.0,'5_2':0.0},(322,577):{'3_1':0.03},(322,576):{'3_1':0.03},(322,575):{'3_1':0.0,'4_1':0.0},(322,574):{'3_1':0.0},(322,573):{'3_1':0.03},(322,572):{'3_1':0.0,'5_2':0.0},(322,571):{'3_1':0.0,'5_2':0.0},(322,570):{'3_1':0.03},(322,569):{'3_1':0.03,'6_1':0.0},(322,568):{'3_1':0.0,'4_1':0.0},(322,567):{'3_1':0.03,'4_1':0.0},(322,566):{'3_1':0.03,'4_1':0.0},(322,565):{'3_1':0.03},(322,564):{'3_1':0.0},(322,563):{'3_1':0.0,'4_1':0.0},(322,562):{'3_1':0.0,'5_2':0.0},(322,561):{'6_1':0.0},(322,560):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(322,559):{'3_1':0.0,'6_1':0.0},(322,558):{'3_1':0.0,'4_1':0.0},(322,557):{'3_1':0.0},(322,556):{'3_1':0.0,'4_1':0.0},(322,555):{'3_1':0.0},(322,554):{'3_1':0.0,'4_1':0.0},(322,553):{'3_1':0.0},(322,552):{'3_1':0.0},(322,551):{'3_1':0.0},(322,550):{'3_1':0.03,'4_1':0.0},(322,549):{'3_1':0.03},(322,548):{'3_1':0.0,'4_1':0.0},(322,547):{'3_1':0.0},(322,546):{'3_1':0.0},(322,545):{'3_1':0.0},(322,544):{'3_1':0.06},(322,543):{'3_1':0.03},(322,542):{'3_1':0.0,'4_1':0.0},(322,541):{'3_1':0.03},(322,540):{'3_1':0.0,'4_1':0.0},(322,539):{'3_1':0.0},(322,538):{'3_1':0.0},(322,537):{'3_1':0.03},(322,536):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(322,535):{'3_1':0.0,'4_1':0.0},(322,534):{'3_1':0.0},(322,533):{'3_1':0.0,'4_1':0.0},(322,532):{'3_1':0.0},(322,531):{'3_1':0.0},(322,530):{'3_1':0.0,'4_1':0.0},(322,529):{'3_1':0.0},(322,528):{'3_1':0.03,'4_1':0.0},(322,527):{'3_1':0.03},(322,526):{'3_1':0.0,'4_1':0.0},(322,525):{'3_1':0.03},(322,524):{'3_1':0.0,'4_1':0.0},(322,523):{'3_1':0.0},(322,522):{'3_1':0.0,'4_1':0.0},(322,521):{'3_1':0.0,'4_1':0.0},(322,520):{'3_1':0.03},(322,519):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(322,518):{'3_1':0.03},(322,516):{'3_1':0.0},(322,515):{'3_1':0.0},(322,514):{'4_1':0.0},(322,513):{'3_1':0.0},(322,512):{'3_1':0.03,'4_1':0.0},(322,511):{'3_1':0.0,'4_1':0.0},(322,510):{'3_1':0.03},(322,509):{'3_1':0.0,'4_1':0.0},(322,508):{'3_1':0.0},(322,507):{'3_1':0.0},(322,506):{'3_1':0.0},(322,505):{'3_1':0.0,'5_2':0.0},(322,504):{'3_1':0.0},(322,503):{'3_1':0.0},(322,502):{'3_1':0.0,'4_1':0.0},(322,501):{'3_1':0.03,'4_1':0.0},(322,500):{'3_1':0.03},(322,498):{'3_1':0.0,'5_1':0.0},(322,497):{'3_1':0.06,'4_1':0.0},(322,496):{'3_1':0.0},(322,495):{'3_1':0.0,'4_1':0.0},(322,494):{'3_1':0.03,'4_1':0.0},(322,493):{'3_1':0.0,'5_1':0.0},(322,492):{'3_1':0.03},(322,491):{'3_1':0.03},(322,490):{'3_1':0.0},(322,489):{'3_1':0.0},(322,488):{'3_1':0.03},(322,486):{'3_1':0.0,'5_2':0.0},(322,485):{'3_1':0.0},(322,484):{'3_1':0.03},(322,483):{'3_1':0.0},(322,482):{'3_1':0.03},(322,481):{'3_1':0.0},(322,480):{'3_1':0.0},(322,479):{'3_1':0.0},(322,478):{'3_1':0.03},(322,477):{'3_1':0.0},(322,476):{'3_1':0.0},(322,475):{'3_1':0.03},(322,474):{'3_1':0.03},(322,473):{'3_1':0.0},(322,472):{'3_1':0.0},(322,471):{'3_1':0.0,'5_2':0.0},(322,470):{'3_1':0.0},(322,466):{'3_1':0.0},(322,463):{'3_1':0.0},(322,459):{'3_1':0.0},(322,458):{'4_1':0.0},(322,456):{'3_1':0.0},(322,455):{'3_1':0.0},(322,453):{'3_1':0.0},(322,452):{'3_1':0.0},(322,449):{'3_1':0.03},(322,448):{'3_1':0.0},(322,447):{'3_1':0.0},(322,445):{'3_1':0.0},(322,436):{'7_2':0.0},(322,435):{'3_1':0.0},(322,434):{'3_1':0.0},(322,433):{'3_1':0.0},(322,432):{'3_1':0.0},(322,430):{'3_1':0.0},(322,429):{'3_1':0.0},(322,426):{'3_1':0.0},(322,425):{'3_1':0.03},(322,424):{'3_1':0.0},(322,423):{'3_1':0.03},(322,422):{'3_1':0.0},(322,419):{'3_1':0.0},(322,418):{'3_1':0.0},(322,412):{'3_1':0.0},(322,411):{'5_2':0.0},(322,408):{'3_1':0.0},(322,407):{'3_1':0.0,'5_1':0.0},(322,404):{'5_1':0.0},(322,400):{'5_1':0.0},(322,399):{'3_1':0.0},(322,398):{'3_1':0.0},(322,389):{'3_1':0.03},(322,383):{'3_1':0.0},(322,381):{'3_1':0.0},(322,380):{'3_1':0.0},(322,379):{'3_1':0.0},(322,377):{'3_1':0.0},(322,376):{'3_1':0.0},(322,375):{'3_1':0.0},(322,373):{'3_1':0.0},(322,372):{'3_1':0.0},(322,371):{'3_1':0.0},(322,370):{'3_1':0.0},(322,369):{'3_1':0.0},(322,368):{'3_1':0.0,'5_1':0.0},(322,364):{'3_1':0.0},(322,363):{'3_1':0.0},(322,362):{'3_1':0.0},(322,360):{'3_1':0.0},(322,357):{'3_1':0.0,'5_1':0.0},(322,353):{'3_1':0.0},(322,352):{'3_1':0.0},(322,350):{'3_1':0.0},(322,344):{'3_1':0.0},(322,339):{'3_1':0.0},(322,337):{'3_1':0.0},(322,329):{'3_1':0.0},(323,727):{'4_1':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0},(323,726):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(323,725):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(323,724):{'4_1':0.06,'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_1':0.0},(323,723):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(323,722):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_4':0.0},(323,721):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_2':0.0},(323,720):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'7_1':0.0},(323,719):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_2':0.0},(323,718):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0},(323,717):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'5_1':0.0},(323,716):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'8_1':0.0},(323,715):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0},(323,714):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(323,713):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_1':0.0},(323,712):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(323,711):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(323,710):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(323,709):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'5_1':0.0,'7_5':0.0},(323,708):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(323,707):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_4':0.0},(323,706):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'7_5':0.0},(323,705):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'6_1':0.0,'6_2':0.0,'7_4':0.0},(323,704):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_1':0.0},(323,703):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(323,702):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(323,701):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_4':0.0},(323,700):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(323,699):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0},(323,698):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(323,697):{'3_1':0.12,'4_1':0.09,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(323,696):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(323,695):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(323,694):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'5_1':0.0},(323,693):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0,'8_1':0.0},(323,692):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'8_1':0.0},(323,691):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(323,690):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'6_1':0.0,'6_2':0.0,'8_1':0.0},(323,689):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0,'5_2':0.0},(323,688):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(323,687):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0},(323,686):{'3_1':0.09,'4_1':0.06,'6_1':0.0,'5_2':0.0},(323,685):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(323,684):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0,'-3':0.0},(323,683):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(323,682):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'-3':0.0},(323,681):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(323,680):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(323,679):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(323,678):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_1':0.0},(323,677):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0},(323,676):{'4_1':0.12,'3_1':0.12,'6_1':0.0},(323,675):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0},(323,674):{'3_1':0.21,'4_1':0.09,'6_1':0.0},(323,673):{'3_1':0.12,'4_1':0.06,'6_1':0.03},(323,672):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_4':0.0,'8_2':0.0},(323,671):{'4_1':0.15,'3_1':0.09,'6_1':0.03,'5_2':0.0,'5_1':0.0},(323,670):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0},(323,669):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'8_1':0.0,'8_3':0.0},(323,668):{'3_1':0.09,'4_1':0.09,'6_1':0.0,'5_2':0.0,'8_1':0.0},(323,667):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(323,666):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'8_3':0.0},(323,665):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(323,664):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'8_1':0.0},(323,663):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(323,662):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(323,661):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_2':0.0},(323,660):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_2':0.0},(323,659):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0,'7_3':0.0},(323,658):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(323,657):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(323,656):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'6_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(323,655):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(323,654):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0},(323,653):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(323,652):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0,'7_4':0.0,'6_2':0.0},(323,651):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_1':0.03},(323,650):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(323,649):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(323,648):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(323,647):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0},(323,646):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(323,645):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(323,644):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(323,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(323,642):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(323,641):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0,'9_1':0.0},(323,640):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_11':0.0},(323,639):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(323,638):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(323,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(323,636):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(323,635):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(323,634):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(323,633):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(323,632):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(323,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(323,630):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_9':0.0},(323,629):{'3_1':0.06,'5_1':0.0},(323,628):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(323,627):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(323,626):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0},(323,625):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(323,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_6':0.0},(323,623):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(323,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(323,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(323,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(323,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(323,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(323,617):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(323,616):{'3_1':0.06,'4_1':0.0},(323,615):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(323,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(323,613):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(323,612):{'3_1':0.03,'4_1':0.0},(323,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(323,610):{'3_1':0.06,'4_1':0.0},(323,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(323,608):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(323,607):{'4_1':0.0,'3_1':0.0},(323,606):{'4_1':0.06,'3_1':0.03},(323,605):{'3_1':0.03,'4_1':0.0},(323,604):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(323,603):{'3_1':0.06,'4_1':0.0},(323,602):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(323,601):{'3_1':0.03,'4_1':0.0},(323,600):{'3_1':0.0,'4_1':0.0},(323,599):{'3_1':0.0},(323,598):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(323,597):{'3_1':0.0,'4_1':0.0},(323,596):{'3_1':0.03},(323,595):{'3_1':0.06,'4_1':0.0},(323,594):{'3_1':0.0},(323,593):{'3_1':0.03},(323,592):{'3_1':0.0},(323,591):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(323,590):{'3_1':0.03,'4_1':0.0},(323,589):{'3_1':0.03,'4_1':0.0},(323,588):{'3_1':0.0},(323,587):{'3_1':0.03},(323,586):{'3_1':0.06},(323,585):{'3_1':0.0},(323,584):{'3_1':0.03},(323,583):{'3_1':0.0,'6_1':0.0},(323,582):{'3_1':0.0},(323,581):{'3_1':0.03},(323,580):{'3_1':0.0,'4_1':0.0},(323,579):{'3_1':0.03},(323,578):{'3_1':0.06},(323,577):{'3_1':0.06,'5_2':0.0},(323,576):{'3_1':0.0},(323,575):{'3_1':0.0},(323,574):{'3_1':0.03},(323,573):{'3_1':0.0},(323,572):{'3_1':0.0},(323,571):{'3_1':0.03},(323,570):{'3_1':0.0,'4_1':0.0},(323,569):{'3_1':0.0,'4_1':0.0},(323,568):{'3_1':0.0},(323,567):{'4_1':0.0},(323,566):{'3_1':0.03},(323,565):{'3_1':0.0,'4_1':0.0},(323,564):{'3_1':0.03},(323,563):{'3_1':0.0},(323,562):{'3_1':0.0},(323,561):{'3_1':0.03},(323,560):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(323,559):{'3_1':0.0},(323,558):{'3_1':0.0},(323,557):{'4_1':0.0},(323,556):{'3_1':0.0},(323,555):{'3_1':0.0,'4_1':0.0},(323,554):{'3_1':0.03},(323,553):{'3_1':0.0},(323,552):{'3_1':0.0},(323,551):{'4_1':0.0},(323,550):{'3_1':0.0},(323,549):{'3_1':0.0,'4_1':0.0},(323,548):{'3_1':0.0,'4_1':0.0},(323,547):{'3_1':0.0,'4_1':0.0},(323,546):{'3_1':0.03},(323,545):{'3_1':0.0,'4_1':0.0},(323,544):{'3_1':0.0,'5_2':0.0},(323,543):{'3_1':0.0,'4_1':0.0},(323,542):{'3_1':0.0,'4_1':0.0},(323,540):{'3_1':0.0},(323,539):{'3_1':0.0,'4_1':0.0},(323,538):{'3_1':0.0},(323,537):{'3_1':0.0},(323,536):{'3_1':0.03},(323,535):{'4_1':0.0},(323,534):{'3_1':0.0},(323,533):{'3_1':0.0,'4_1':0.0},(323,532):{'3_1':0.0,'4_1':0.0},(323,531):{'3_1':0.0},(323,530):{'3_1':0.03},(323,529):{'3_1':0.0},(323,528):{'4_1':0.0},(323,527):{'3_1':0.0},(323,526):{'3_1':0.03,'4_1':0.0},(323,525):{'3_1':0.0,'4_1':0.0},(323,524):{'3_1':0.0},(323,523):{'3_1':0.0,'4_1':0.0},(323,522):{'3_1':0.0},(323,521):{'3_1':0.0,'4_1':0.0},(323,520):{'4_1':0.0},(323,519):{'3_1':0.0,'4_1':0.0},(323,518):{'3_1':0.0},(323,517):{'3_1':0.0,'4_1':0.0},(323,516):{'3_1':0.0,'4_1':0.0},(323,515):{'3_1':0.03,'4_1':0.0},(323,514):{'3_1':0.03,'6_2':0.0},(323,513):{'3_1':0.0},(323,512):{'3_1':0.0},(323,511):{'3_1':0.03},(323,510):{'3_1':0.0},(323,509):{'3_1':0.0,'4_1':0.0},(323,508):{'3_1':0.0},(323,507):{'3_1':0.0},(323,506):{'3_1':0.0,'5_1':0.0},(323,505):{'3_1':0.0},(323,504):{'3_1':0.0},(323,503):{'4_1':0.0},(323,502):{'3_1':0.0},(323,501):{'4_1':0.0,'6_2':0.0},(323,500):{'3_1':0.0},(323,499):{'3_1':0.0,'5_2':0.0},(323,498):{'3_1':0.0},(323,497):{'3_1':0.0},(323,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(323,495):{'3_1':0.0},(323,494):{'3_1':0.03,'4_1':0.0},(323,493):{'3_1':0.03},(323,492):{'3_1':0.0},(323,491):{'3_1':0.0},(323,490):{'3_1':0.03},(323,489):{'3_1':0.03},(323,488):{'3_1':0.06},(323,487):{'3_1':0.03},(323,486):{'3_1':0.0},(323,485):{'3_1':0.0},(323,484):{'3_1':0.0},(323,483):{'3_1':0.0},(323,482):{'3_1':0.0},(323,481):{'3_1':0.0},(323,480):{'3_1':0.0},(323,479):{'3_1':0.0},(323,478):{'3_1':0.03},(323,477):{'3_1':0.03},(323,476):{'3_1':0.03},(323,475):{'3_1':0.03},(323,474):{'3_1':0.03},(323,473):{'3_1':0.0,'5_1':0.0},(323,472):{'3_1':0.0},(323,471):{'3_1':0.0},(323,470):{'3_1':0.03},(323,469):{'3_1':0.03},(323,468):{'3_1':0.0,'5_2':0.0},(323,467):{'3_1':0.0,'4_1':0.0},(323,466):{'3_1':0.0},(323,465):{'3_1':0.0},(323,464):{'3_1':0.0},(323,461):{'3_1':0.0},(323,460):{'3_1':0.0,'4_1':0.0},(323,458):{'3_1':0.0},(323,457):{'3_1':0.0},(323,455):{'3_1':0.0},(323,454):{'3_1':0.0},(323,451):{'3_1':0.0,'4_1':0.0},(323,450):{'3_1':0.0},(323,449):{'3_1':0.0},(323,445):{'3_1':0.0},(323,444):{'3_1':0.03},(323,443):{'3_1':0.0},(323,442):{'3_1':0.0},(323,439):{'3_1':0.0,'5_2':0.0},(323,438):{'3_1':0.0,'5_1':0.0},(323,436):{'3_1':0.0,'4_1':0.0},(323,434):{'3_1':0.0,'4_1':0.0},(323,432):{'4_1':0.0},(323,431):{'3_1':0.0},(323,430):{'3_1':0.0},(323,429):{'3_1':0.0},(323,423):{'3_1':0.0},(323,422):{'3_1':0.0},(323,420):{'3_1':0.0},(323,419):{'3_1':0.0},(323,418):{'3_1':0.0},(323,417):{'3_1':0.0},(323,414):{'3_1':0.0},(323,413):{'3_1':0.0},(323,412):{'3_1':0.0,'5_1':0.0},(323,411):{'3_1':0.0},(323,409):{'3_1':0.0},(323,408):{'3_1':0.0},(323,407):{'3_1':0.0},(323,401):{'3_1':0.0},(323,396):{'3_1':0.0},(323,395):{'3_1':0.0},(323,385):{'3_1':0.0},(323,379):{'3_1':0.0},(323,378):{'3_1':0.0},(323,376):{'4_1':0.0},(323,369):{'3_1':0.0},(323,366):{'3_1':0.0},(323,365):{'3_1':0.0},(323,364):{'3_1':0.0},(323,363):{'3_1':0.0,'4_1':0.0},(323,361):{'3_1':0.0},(323,360):{'3_1':0.0},(323,359):{'3_1':0.0},(323,358):{'3_1':0.0},(323,355):{'3_1':0.0},(323,354):{'3_1':0.0},(323,353):{'3_1':0.0},(323,347):{'3_1':0.0},(323,345):{'3_1':0.0},(323,338):{'3_1':0.0},(323,335):{'3_1':0.0},(323,332):{'3_1':0.0},(323,331):{'3_1':0.0},(323,330):{'3_1':0.0},(324,727):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0},(324,726):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_2':0.0},(324,725):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(324,724):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(324,723):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(324,722):{'3_1':0.06,'4_1':0.06},(324,721):{'3_1':0.03,'4_1':0.03,'5_2':0.03},(324,720):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(324,719):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(324,718):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(324,717):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(324,716):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(324,715):{'4_1':0.12,'3_1':0.12,'5_2':0.03},(324,714):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(324,713):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(324,712):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(324,711):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(324,710):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0},(324,709):{'3_1':0.15,'4_1':0.06},(324,708):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,707):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(324,706):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0},(324,705):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_1':0.0},(324,704):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'8_20|3_1#3_1':0.0,'9_1':0.0},(324,703):{'3_1':0.15,'4_1':0.09,'5_2':0.03},(324,702):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(324,701):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(324,700):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(324,699):{'3_1':0.18,'4_1':0.03,'5_2':0.03},(324,698):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,697):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_1':0.0},(324,696):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(324,695):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(324,694):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(324,693):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(324,692):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,691):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,690):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0},(324,689):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(324,688):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(324,687):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(324,686):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(324,685):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_1':0.0},(324,684):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_4':0.0},(324,683):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_1':0.0,'5_1':0.0},(324,682):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(324,681):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(324,680):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_1':0.0,'5_1':0.0},(324,679):{'3_1':0.12,'6_1':0.03,'4_1':0.03,'5_2':0.0},(324,678):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'5_2':0.0,'5_1':0.0},(324,677):{'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_1':0.0},(324,676):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0,'8_2':0.0},(324,675):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(324,674):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'7_1':0.0},(324,673):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(324,672):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'-3':0.0},(324,671):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0},(324,670):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(324,669):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_2':0.0},(324,668):{'3_1':0.18,'4_1':0.09,'5_1':0.0,'5_2':0.0,'-3':0.0},(324,667):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,666):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(324,665):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(324,664):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0,'8_1':0.0,'6_1':0.0,'7_1':0.0,'7_4':0.0},(324,663):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0},(324,662):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(324,661):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(324,660):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(324,659):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(324,658):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(324,657):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(324,656):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_1':0.0},(324,655):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0,'8_1':0.0},(324,654):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0},(324,653):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(324,652):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'6_1':0.0,'5_1':0.0},(324,651):{'3_1':0.12,'5_2':0.06,'4_1':0.03,'5_1':0.0},(324,650):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.03,'6_1':0.0},(324,649):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(324,648):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0},(324,647):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(324,646):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,645):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(324,644):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(324,643):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(324,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(324,641):{'3_1':0.18,'4_1':0.03,'7_1':0.0,'7_2':0.0},(324,640):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(324,639):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(324,638):{'3_1':0.18,'5_1':0.0},(324,637):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(324,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(324,635):{'3_1':0.12,'4_1':0.0,'-3':0.0},(324,634):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(324,633):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(324,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(324,631):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(324,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(324,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(324,628):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(324,627):{'3_1':0.09,'8_20|3_1#3_1':0.0},(324,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(324,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(324,624):{'3_1':0.06,'5_1':0.0},(324,623):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(324,622):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(324,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(324,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(324,619):{'3_1':0.12,'4_1':0.0},(324,618):{'3_1':0.15,'5_1':0.0,'6_1':0.0,'7_3':0.0},(324,617):{'3_1':0.03,'4_1':0.03},(324,616):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'7_4':0.0},(324,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(324,614):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(324,613):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(324,612):{'3_1':0.0,'4_1':0.0},(324,611):{'3_1':0.03,'4_1':0.0},(324,610):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(324,609):{'3_1':0.03,'6_1':0.0,'4_1':0.0},(324,608):{'3_1':0.03,'4_1':0.0},(324,607):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_4':0.0},(324,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(324,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(324,604):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(324,603):{'3_1':0.0},(324,602):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(324,601):{'3_1':0.06},(324,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(324,599):{'5_1':0.03,'3_1':0.0},(324,598):{'3_1':0.06,'5_2':0.0},(324,597):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(324,596):{'3_1':0.03,'4_1':0.0},(324,595):{'3_1':0.0},(324,594):{'3_1':0.03},(324,593):{'3_1':0.0},(324,592):{'5_2':0.0,'3_1':0.0},(324,591):{'3_1':0.0,'6_1':0.0},(324,590):{'3_1':0.03,'4_1':0.0},(324,589):{'3_1':0.0,'4_1':0.0},(324,588):{'3_1':0.0},(324,586):{'3_1':0.03},(324,585):{'3_1':0.0},(324,584):{'3_1':0.0,'4_1':0.0},(324,583):{'5_2':0.0},(324,582):{'3_1':0.0},(324,580):{'3_1':0.0},(324,579):{'3_1':0.0,'4_1':0.0},(324,578):{'5_2':0.0},(324,577):{'3_1':0.03},(324,576):{'3_1':0.03},(324,575):{'3_1':0.03},(324,574):{'3_1':0.0},(324,573):{'3_1':0.0},(324,572):{'3_1':0.0},(324,571):{'3_1':0.0,'4_1':0.0},(324,570):{'3_1':0.0,'5_2':0.0},(324,569):{'3_1':0.0},(324,568):{'3_1':0.03},(324,566):{'3_1':0.0,'4_1':0.0},(324,565):{'3_1':0.03,'4_1':0.0},(324,563):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(324,562):{'3_1':0.0},(324,561):{'3_1':0.0,'6_1':0.0},(324,560):{'3_1':0.0},(324,559):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(324,558):{'3_1':0.0},(324,557):{'3_1':0.0},(324,556):{'3_1':0.0},(324,555):{'3_1':0.0},(324,554):{'3_1':0.0},(324,553):{'3_1':0.03},(324,552):{'3_1':0.0},(324,551):{'3_1':0.0},(324,550):{'4_1':0.0,'3_1':0.0},(324,549):{'3_1':0.0,'4_1':0.0},(324,548):{'3_1':0.0},(324,547):{'3_1':0.0},(324,546):{'3_1':0.03,'4_1':0.0},(324,545):{'3_1':0.0},(324,544):{'3_1':0.0,'4_1':0.0},(324,543):{'3_1':0.0},(324,542):{'3_1':0.0,'4_1':0.0},(324,541):{'4_1':0.0},(324,540):{'3_1':0.03},(324,539):{'3_1':0.0},(324,538):{'3_1':0.0},(324,537):{'3_1':0.0,'4_1':0.0},(324,536):{'3_1':0.0,'4_1':0.0},(324,535):{'3_1':0.0,'4_1':0.0},(324,533):{'3_1':0.0,'4_1':0.0},(324,532):{'3_1':0.0,'4_1':0.0},(324,531):{'3_1':0.03},(324,530):{'3_1':0.0,'4_1':0.0},(324,529):{'3_1':0.0,'4_1':0.0},(324,528):{'3_1':0.0,'4_1':0.0},(324,527):{'3_1':0.0,'4_1':0.0},(324,526):{'3_1':0.0},(324,525):{'3_1':0.0,'4_1':0.0},(324,524):{'3_1':0.0,'4_1':0.0},(324,523):{'3_1':0.0,'4_1':0.0},(324,522):{'3_1':0.0},(324,521):{'3_1':0.03},(324,520):{'3_1':0.0},(324,519):{'3_1':0.03,'4_1':0.0},(324,518):{'3_1':0.0,'4_1':0.0},(324,516):{'3_1':0.0,'8_20|3_1#3_1':0.0},(324,515):{'3_1':0.0},(324,514):{'3_1':0.0},(324,513):{'3_1':0.0},(324,512):{'3_1':0.0,'4_1':0.0},(324,510):{'3_1':0.03},(324,509):{'3_1':0.0},(324,508):{'3_1':0.0},(324,507):{'3_1':0.0},(324,506):{'3_1':0.0},(324,505):{'4_1':0.0,'3_1':0.0},(324,504):{'3_1':0.0},(324,503):{'3_1':0.0},(324,502):{'3_1':0.0},(324,501):{'3_1':0.0},(324,500):{'3_1':0.0},(324,499):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(324,498):{'3_1':0.0},(324,497):{'4_1':0.0},(324,496):{'3_1':0.0,'5_2':0.0},(324,495):{'3_1':0.0,'5_1':0.0},(324,494):{'3_1':0.0,'4_1':0.0},(324,493):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(324,491):{'3_1':0.0},(324,490):{'3_1':0.03},(324,489):{'3_1':0.0,'4_1':0.0},(324,488):{'3_1':0.0},(324,487):{'3_1':0.0},(324,486):{'3_1':0.0},(324,485):{'3_1':0.0,'5_1':0.0},(324,484):{'3_1':0.0},(324,483):{'3_1':0.03},(324,482):{'3_1':0.0},(324,481):{'3_1':0.0},(324,480):{'3_1':0.0},(324,479):{'3_1':0.03},(324,478):{'3_1':0.0},(324,477):{'3_1':0.03,'5_2':0.0},(324,476):{'3_1':0.03},(324,475):{'3_1':0.0},(324,473):{'3_1':0.0},(324,472):{'3_1':0.0},(324,471):{'3_1':0.03},(324,470):{'3_1':0.0},(324,467):{'3_1':0.0},(324,465):{'3_1':0.0},(324,464):{'3_1':0.0,'5_2':0.0},(324,463):{'3_1':0.0},(324,461):{'3_1':0.0},(324,459):{'3_1':0.0},(324,457):{'3_1':0.03},(324,453):{'3_1':0.0},(324,452):{'3_1':0.0,'4_1':0.0},(324,451):{'3_1':0.0},(324,450):{'3_1':0.0},(324,449):{'3_1':0.0},(324,445):{'3_1':0.0},(324,444):{'3_1':0.0},(324,441):{'3_1':0.0},(324,439):{'3_1':0.0},(324,438):{'3_1':0.0},(324,436):{'3_1':0.0,'4_1':0.0},(324,435):{'3_1':0.0},(324,434):{'3_1':0.0},(324,433):{'3_1':0.0},(324,431):{'3_1':0.0},(324,430):{'3_1':0.0},(324,429):{'3_1':0.0},(324,426):{'3_1':0.0},(324,425):{'3_1':0.0},(324,424):{'3_1':0.0},(324,423):{'3_1':0.0},(324,422):{'3_1':0.0},(324,420):{'3_1':0.0},(324,416):{'3_1':0.0},(324,408):{'3_1':0.0},(324,405):{'3_1':0.0},(324,404):{'4_1':0.0},(324,400):{'3_1':0.0},(324,399):{'3_1':0.0},(324,398):{'3_1':0.0},(324,394):{'3_1':0.0},(324,391):{'3_1':0.0},(324,390):{'3_1':0.0},(324,385):{'3_1':0.0},(324,380):{'3_1':0.0},(324,379):{'3_1':0.0},(324,376):{'3_1':0.0},(324,374):{'3_1':0.0},(324,371):{'3_1':0.0},(324,370):{'3_1':0.0},(324,369):{'3_1':0.0},(324,368):{'3_1':0.0,'4_1':0.0},(324,365):{'3_1':0.0,'5_1':0.0},(324,364):{'3_1':0.0},(324,363):{'3_1':0.0},(324,362):{'4_1':0.0},(324,361):{'3_1':0.0},(324,360):{'3_1':0.0},(324,357):{'3_1':0.0},(324,355):{'3_1':0.0},(324,354):{'3_1':0.03},(324,353):{'3_1':0.0},(324,352):{'3_1':0.0},(324,349):{'3_1':0.0},(324,332):{'3_1':0.03},(324,331):{'3_1':0.0},(324,329):{'3_1':0.0},(324,328):{'3_1':0.0},(325,727):{'3_1':0.12,'4_1':0.03,'7_7':0.0},(325,726):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(325,725):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'7_4':0.0,'7_5':0.0},(325,724):{'3_1':0.06,'4_1':0.03},(325,723):{'3_1':0.12,'4_1':0.0},(325,722):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_5':0.0},(325,721):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(325,720):{'4_1':0.06,'3_1':0.03,'5_2':0.03,'5_1':0.0},(325,719):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(325,718):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(325,717):{'4_1':0.03,'3_1':0.03,'5_1':0.0,'5_2':0.0},(325,716):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(325,715):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(325,714):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(325,713):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(325,712):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(325,711):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_5':0.0},(325,710):{'3_1':0.15,'4_1':0.0,'7_4':0.0,'6_1':0.0},(325,709):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(325,708):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(325,707):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(325,706):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(325,705):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(325,704):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(325,703):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(325,702):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0},(325,701):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(325,700):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(325,699):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(325,698):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'3_1#5_2':0.0},(325,697):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(325,696):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(325,695):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(325,694):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(325,693):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(325,692):{'3_1':0.09,'4_1':0.03},(325,691):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'-3':0.0},(325,690):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0},(325,689):{'3_1':0.06,'4_1':0.03,'5_2':0.03},(325,688):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(325,687):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0},(325,686):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_1':0.0},(325,685):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(325,684):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(325,683):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_21|3_1#4_1':0.0},(325,682):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(325,681):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(325,680):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(325,679):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_2':0.0},(325,678):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(325,677):{'3_1':0.12,'4_1':0.03,'7_4':0.0},(325,676):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(325,675):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_1':0.0},(325,674):{'3_1':0.18,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(325,673):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(325,672):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0},(325,671):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0},(325,670):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(325,669):{'3_1':0.15,'4_1':0.06,'6_1':0.0,'5_2':0.0,'5_1':0.0},(325,668):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(325,667):{'3_1':0.09,'6_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(325,666):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(325,665):{'3_1':0.06,'4_1':0.06,'6_2':0.0,'7_5':0.0},(325,664):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(325,663):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(325,662):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_6':0.0},(325,661):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(325,660):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(325,659):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(325,658):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(325,657):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_2':0.0},(325,656):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(325,655):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(325,654):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(325,653):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(325,652):{'3_1':0.18,'4_1':0.0},(325,651):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(325,650):{'3_1':0.15,'4_1':0.06,'5_1':0.03,'5_2':0.03,'6_1':0.0},(325,649):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(325,648):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(325,647):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_5':0.0},(325,646):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0},(325,645):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(325,644):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(325,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(325,642):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(325,641):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(325,640):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(325,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(325,638):{'3_1':0.12,'5_1':0.0},(325,637):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(325,636):{'3_1':0.12,'4_1':0.0},(325,635):{'3_1':0.15,'5_1':0.0},(325,634):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(325,633):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(325,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(325,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(325,630):{'3_1':0.12,'4_1':0.0},(325,629):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(325,628):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(325,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(325,626):{'3_1':0.09,'5_1':0.0},(325,625):{'3_1':0.12,'4_1':0.0},(325,624):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(325,623):{'3_1':0.12,'4_1':0.0},(325,622):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(325,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(325,620):{'3_1':0.0,'4_1':0.0},(325,619):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(325,618):{'3_1':0.06,'5_1':0.0,'6_1':0.0,'4_1':0.0,'5_2':0.0},(325,617):{'3_1':0.09},(325,616):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(325,615):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(325,614):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(325,613):{'3_1':0.03,'4_1':0.0},(325,612):{'3_1':0.03,'4_1':0.0},(325,611):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(325,610):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(325,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(325,608):{'3_1':0.03,'4_1':0.03},(325,607):{'3_1':0.03,'4_1':0.0},(325,606):{'3_1':0.03,'4_1':0.03},(325,605):{'3_1':0.03,'4_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(325,604):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(325,603):{'3_1':0.0,'4_1':0.0},(325,602):{'3_1':0.0},(325,601):{'4_1':0.0,'3_1':0.0},(325,600):{'3_1':0.03,'4_1':0.0},(325,599):{'3_1':0.03,'5_1':0.0},(325,598):{'3_1':0.0,'6_1':0.0},(325,597):{'3_1':0.0,'4_1':0.0},(325,596):{'3_1':0.03},(325,595):{'3_1':0.03,'4_1':0.0},(325,594):{'3_1':0.0,'4_1':0.0},(325,593):{'3_1':0.03,'6_2':0.0},(325,592):{'3_1':0.0},(325,591):{'3_1':0.0,'4_1':0.0},(325,590):{'3_1':0.03},(325,589):{'3_1':0.03,'6_1':0.0},(325,588):{'3_1':0.03},(325,587):{'3_1':0.0,'4_1':0.0},(325,586):{'3_1':0.0,'4_1':0.0},(325,585):{'3_1':0.0},(325,584):{'3_1':0.0},(325,583):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(325,582):{'3_1':0.0},(325,581):{'3_1':0.0,'4_1':0.0},(325,580):{'3_1':0.0},(325,579):{'3_1':0.0},(325,578):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(325,577):{'3_1':0.0,'5_2':0.0},(325,576):{'3_1':0.0,'4_1':0.0},(325,575):{'3_1':0.06,'4_1':0.0},(325,574):{'3_1':0.03},(325,573):{'3_1':0.0,'6_1':0.0},(325,572):{'4_1':0.0,'3_1':0.0},(325,571):{'3_1':0.0,'4_1':0.0},(325,570):{'3_1':0.0},(325,569):{'3_1':0.0},(325,568):{'3_1':0.0},(325,567):{'3_1':0.03},(325,566):{'3_1':0.0,'4_1':0.0},(325,565):{'3_1':0.0,'5_2':0.0},(325,564):{'3_1':0.03},(325,563):{'3_1':0.0},(325,562):{'3_1':0.03,'4_1':0.0},(325,561):{'3_1':0.0,'4_1':0.0},(325,559):{'3_1':0.0},(325,558):{'3_1':0.0,'4_1':0.0},(325,557):{'3_1':0.03,'4_1':0.0},(325,556):{'3_1':0.0},(325,555):{'3_1':0.0},(325,554):{'3_1':0.0,'4_1':0.0},(325,553):{'3_1':0.0,'4_1':0.0},(325,552):{'3_1':0.0},(325,551):{'3_1':0.03},(325,550):{'3_1':0.0},(325,549):{'4_1':0.0},(325,548):{'3_1':0.03,'4_1':0.0},(325,547):{'3_1':0.0},(325,546):{'3_1':0.03,'4_1':0.0},(325,545):{'3_1':0.0,'4_1':0.0},(325,544):{'3_1':0.0,'4_1':0.0},(325,543):{'3_1':0.0,'4_1':0.0},(325,542):{'3_1':0.0},(325,541):{'3_1':0.0},(325,540):{'3_1':0.0},(325,539):{'3_1':0.0,'5_1':0.0},(325,538):{'3_1':0.0},(325,537):{'3_1':0.03},(325,535):{'4_1':0.0},(325,534):{'3_1':0.03},(325,533):{'3_1':0.0},(325,532):{'3_1':0.0},(325,531):{'3_1':0.0},(325,530):{'3_1':0.03,'4_1':0.0},(325,529):{'4_1':0.0},(325,528):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(325,527):{'3_1':0.0,'4_1':0.0},(325,526):{'3_1':0.03},(325,525):{'3_1':0.0},(325,524):{'3_1':0.0,'4_1':0.0},(325,523):{'3_1':0.0},(325,522):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(325,521):{'3_1':0.0},(325,520):{'3_1':0.0},(325,519):{'3_1':0.03,'4_1':0.0},(325,518):{'3_1':0.03,'4_1':0.0},(325,517):{'3_1':0.0},(325,516):{'4_1':0.0},(325,515):{'3_1':0.0,'5_1':0.0},(325,514):{'4_1':0.0},(325,513):{'3_1':0.03},(325,512):{'3_1':0.0},(325,511):{'3_1':0.0},(325,509):{'3_1':0.0},(325,508):{'3_1':0.0},(325,507):{'3_1':0.0},(325,506):{'3_1':0.0},(325,505):{'3_1':0.03},(325,504):{'3_1':0.0},(325,503):{'3_1':0.0,'5_2':0.0},(325,502):{'3_1':0.0},(325,501):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(325,500):{'3_1':0.0},(325,499):{'3_1':0.0,'4_1':0.0},(325,498):{'3_1':0.03,'4_1':0.0},(325,497):{'3_1':0.06,'4_1':0.0},(325,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(325,495):{'3_1':0.03,'4_1':0.0},(325,494):{'3_1':0.0},(325,493):{'3_1':0.0,'4_1':0.0},(325,492):{'3_1':0.0},(325,491):{'3_1':0.0},(325,490):{'3_1':0.03},(325,489):{'3_1':0.0},(325,488):{'3_1':0.0,'4_1':0.0},(325,487):{'3_1':0.0},(325,486):{'3_1':0.03},(325,485):{'3_1':0.0,'4_1':0.0},(325,484):{'3_1':0.0},(325,483):{'3_1':0.03},(325,482):{'3_1':0.0},(325,481):{'3_1':0.0},(325,480):{'3_1':0.0},(325,478):{'3_1':0.0,'5_1':0.0},(325,477):{'3_1':0.03},(325,476):{'3_1':0.0},(325,475):{'3_1':0.0,'4_1':0.0},(325,474):{'3_1':0.0},(325,473):{'3_1':0.0},(325,472):{'3_1':0.0},(325,471):{'3_1':0.0},(325,467):{'3_1':0.0},(325,464):{'3_1':0.03},(325,463):{'3_1':0.0},(325,459):{'3_1':0.0},(325,458):{'3_1':0.0},(325,453):{'3_1':0.0},(325,452):{'3_1':0.0},(325,450):{'3_1':0.0},(325,448):{'3_1':0.0},(325,447):{'3_1':0.0},(325,446):{'3_1':0.0},(325,442):{'3_1':0.0},(325,441):{'3_1':0.0},(325,440):{'3_1':0.0},(325,439):{'3_1':0.0},(325,438):{'3_1':0.03},(325,436):{'3_1':0.0},(325,435):{'3_1':0.0},(325,431):{'3_1':0.0},(325,429):{'3_1':0.0},(325,428):{'3_1':0.0},(325,425):{'3_1':0.0},(325,423):{'3_1':0.03},(325,422):{'3_1':0.0},(325,421):{'3_1':0.0},(325,417):{'3_1':0.0},(325,416):{'3_1':0.0},(325,415):{'3_1':0.0},(325,414):{'3_1':0.0},(325,410):{'3_1':0.0},(325,409):{'3_1':0.0},(325,408):{'3_1':0.0},(325,404):{'3_1':0.0},(325,403):{'3_1':0.0},(325,402):{'3_1':0.0},(325,401):{'3_1':0.0},(325,398):{'3_1':0.0},(325,396):{'3_1':0.0},(325,395):{'3_1':0.0},(325,392):{'3_1':0.0},(325,389):{'3_1':0.0},(325,388):{'3_1':0.0},(325,383):{'3_1':0.0},(325,382):{'3_1':0.0},(325,368):{'3_1':0.0},(325,365):{'5_1':0.0},(325,363):{'3_1':0.0},(325,362):{'3_1':0.0},(325,361):{'3_1':0.0},(325,360):{'3_1':0.0},(325,359):{'3_1':0.0},(325,358):{'3_1':0.0},(325,357):{'3_1':0.0,'5_1':0.0},(325,354):{'3_1':0.0},(325,353):{'3_1':0.0},(325,352):{'3_1':0.0},(325,345):{'3_1':0.0},(325,338):{'3_1':0.0},(325,337):{'3_1':0.0},(325,336):{'3_1':0.0},(325,335):{'3_1':0.03},(325,334):{'3_1':0.0},(325,332):{'3_1':0.0},(325,330):{'3_1':0.0},(325,329):{'3_1':0.0},(326,727):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(326,726):{'3_1':0.09,'4_1':0.0,'7_2':0.0},(326,725):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(326,724):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(326,723):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(326,722):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(326,721):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_4':0.0},(326,720):{'3_1':0.03,'4_1':0.03,'7_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(326,719):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(326,718):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(326,717):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0},(326,716):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(326,715):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(326,714):{'3_1':0.12,'4_1':0.0},(326,713):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(326,712):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(326,711):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'5_2':0.0,'6_1':0.0},(326,710):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(326,709):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(326,708):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(326,707):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(326,706):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(326,705):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(326,704):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'7_5':0.0},(326,703):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'5_1':0.0},(326,702):{'5_2':0.06,'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_4':0.0},(326,701):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(326,700):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,699):{'3_1':0.12,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(326,698):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'7_4':0.0},(326,697):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(326,696):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(326,695):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0},(326,694):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(326,693):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(326,692):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(326,691):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_4':0.0},(326,690):{'3_1':0.12,'4_1':0.03},(326,689):{'3_1':0.12,'5_2':0.03,'4_1':0.03},(326,688):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_4':0.0},(326,687):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(326,686):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(326,685):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(326,684):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'9_1':0.0,'-3':0.0},(326,683):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(326,682):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(326,681):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,680):{'3_1':0.09,'4_1':0.03},(326,679):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(326,678):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(326,677):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_7':0.0},(326,676):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(326,675):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(326,674):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(326,673):{'3_1':0.15,'4_1':0.03},(326,672):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(326,671):{'3_1':0.06,'4_1':0.06,'5_2':0.03,'8_20|3_1#3_1':0.0,'5_1':0.0},(326,670):{'4_1':0.09,'3_1':0.06,'5_2':0.03},(326,669):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(326,668):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(326,667):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(326,666):{'3_1':0.06,'4_1':0.03},(326,665):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,664):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,663):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(326,662):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(326,661):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(326,660):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,659):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(326,658):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(326,657):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0,'7_1':0.0},(326,656):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(326,655):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(326,654):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(326,653):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(326,652):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(326,651):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(326,650):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(326,649):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(326,648):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(326,647):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_5':0.0},(326,646):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0},(326,645):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(326,644):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(326,643):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(326,642):{'3_1':0.15,'5_1':0.0},(326,641):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(326,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(326,639):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'3_1#5_1':0.0},(326,638):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(326,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(326,636):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(326,635):{'3_1':0.09,'5_1':0.0,'8_2':0.0},(326,634):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(326,633):{'3_1':0.15},(326,632):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(326,631):{'3_1':0.06},(326,630):{'3_1':0.12,'4_1':0.0},(326,629):{'3_1':0.18},(326,628):{'3_1':0.12,'4_1':0.0},(326,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(326,626):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(326,625):{'3_1':0.12,'5_2':0.0},(326,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(326,623):{'3_1':0.06,'4_1':0.0},(326,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(326,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(326,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(326,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(326,618):{'3_1':0.15,'4_1':0.03},(326,617):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(326,616):{'3_1':0.06,'4_1':0.0},(326,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(326,614):{'3_1':0.09,'5_1':0.0},(326,613):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(326,612):{'3_1':0.03,'6_1':0.0},(326,611):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(326,610):{'3_1':0.06},(326,609):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(326,608):{'3_1':0.0,'4_1':0.0},(326,607):{'3_1':0.0,'4_1':0.0},(326,606):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'6_1':0.0},(326,605):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(326,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(326,603):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(326,602):{'3_1':0.0,'4_1':0.0},(326,601):{'3_1':0.0,'6_1':0.0},(326,600):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(326,599):{'3_1':0.0,'5_1':0.0},(326,598):{'3_1':0.0},(326,597):{'3_1':0.03},(326,596):{'3_1':0.03,'6_1':0.0},(326,595):{'3_1':0.03},(326,594):{'3_1':0.03,'4_1':0.0},(326,593):{'3_1':0.0},(326,592):{'3_1':0.03,'6_2':0.0},(326,591):{'3_1':0.0,'4_1':0.0},(326,590):{'3_1':0.03},(326,589):{'3_1':0.0,'4_1':0.0},(326,588):{'3_1':0.03,'5_2':0.0},(326,587):{'3_1':0.03,'4_1':0.0},(326,586):{'3_1':0.06},(326,585):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(326,584):{'3_1':0.0},(326,583):{'3_1':0.0},(326,582):{'3_1':0.03},(326,581):{'3_1':0.03,'4_1':0.0},(326,580):{'3_1':0.03},(326,579):{'3_1':0.0,'4_1':0.0},(326,578):{'3_1':0.0},(326,577):{'3_1':0.03},(326,576):{'3_1':0.0},(326,575):{'3_1':0.0,'6_2':0.0},(326,574):{'3_1':0.03},(326,573):{'3_1':0.03},(326,572):{'3_1':0.0},(326,571):{'3_1':0.0},(326,570):{'3_1':0.0},(326,569):{'3_1':0.03},(326,568):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(326,567):{'3_1':0.0,'4_1':0.0},(326,566):{'3_1':0.0,'4_1':0.0},(326,565):{'3_1':0.03,'5_1':0.0},(326,564):{'3_1':0.03,'4_1':0.0},(326,563):{'3_1':0.03},(326,562):{'3_1':0.0},(326,561):{'3_1':0.0},(326,560):{'3_1':0.03,'4_1':0.0},(326,559):{'3_1':0.0},(326,558):{'3_1':0.03},(326,557):{'3_1':0.0},(326,556):{'3_1':0.03},(326,555):{'3_1':0.0},(326,554):{'3_1':0.0},(326,553):{'3_1':0.03,'4_1':0.0},(326,552):{'3_1':0.0,'6_1':0.0},(326,551):{'3_1':0.0,'4_1':0.0},(326,550):{'3_1':0.0},(326,549):{'3_1':0.03},(326,548):{'3_1':0.0},(326,547):{'3_1':0.0},(326,546):{'3_1':0.0},(326,545):{'3_1':0.03,'4_1':0.0},(326,544):{'3_1':0.03},(326,543):{'3_1':0.03,'4_1':0.0},(326,542):{'3_1':0.03,'4_1':0.0},(326,541):{'3_1':0.0},(326,540):{'3_1':0.03},(326,539):{'3_1':0.0},(326,538):{'3_1':0.0,'4_1':0.0},(326,537):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(326,536):{'3_1':0.03},(326,535):{'3_1':0.0},(326,534):{'3_1':0.03,'4_1':0.0},(326,533):{'3_1':0.0},(326,532):{'3_1':0.0},(326,531):{'3_1':0.0},(326,530):{'3_1':0.0},(326,529):{'3_1':0.0,'4_1':0.0},(326,528):{'3_1':0.0,'4_1':0.0},(326,527):{'4_1':0.0,'3_1':0.0},(326,526):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(326,525):{'3_1':0.0,'4_1':0.0},(326,524):{'3_1':0.0,'4_1':0.0},(326,523):{'3_1':0.06,'4_1':0.0},(326,522):{'3_1':0.03},(326,521):{'3_1':0.0},(326,520):{'3_1':0.0,'4_1':0.0},(326,519):{'3_1':0.0,'4_1':0.0},(326,518):{'3_1':0.03,'4_1':0.0},(326,517):{'4_1':0.0,'3_1':0.0},(326,516):{'3_1':0.0},(326,515):{'3_1':0.0,'4_1':0.0},(326,514):{'3_1':0.0},(326,513):{'4_1':0.0},(326,512):{'4_1':0.03,'3_1':0.0},(326,511):{'3_1':0.03,'4_1':0.0},(326,510):{'3_1':0.03,'4_1':0.0},(326,509):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(326,508):{'3_1':0.03},(326,507):{'3_1':0.0,'4_1':0.0},(326,506):{'3_1':0.0},(326,505):{'3_1':0.03},(326,504):{'3_1':0.03,'4_1':0.0},(326,503):{'3_1':0.0,'4_1':0.0},(326,502):{'3_1':0.0},(326,501):{'3_1':0.0,'4_1':0.0},(326,500):{'3_1':0.0,'4_1':0.0},(326,498):{'3_1':0.0,'4_1':0.0},(326,497):{'3_1':0.0,'4_1':0.0},(326,496):{'3_1':0.0},(326,495):{'3_1':0.03,'4_1':0.0},(326,494):{'3_1':0.03,'4_1':0.0,'8_3':0.0},(326,493):{'3_1':0.0},(326,492):{'3_1':0.0},(326,491):{'3_1':0.03,'4_1':0.0},(326,490):{'3_1':0.0,'4_1':0.0},(326,489):{'3_1':0.0},(326,488):{'3_1':0.03},(326,487):{'3_1':0.0,'7_2':0.0},(326,486):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(326,485):{'3_1':0.03,'4_1':0.0},(326,484):{'3_1':0.03},(326,483):{'3_1':0.0},(326,482):{'3_1':0.03},(326,481):{'3_1':0.03},(326,480):{'3_1':0.03,'4_1':0.0},(326,479):{'3_1':0.0},(326,478):{'3_1':0.03},(326,477):{'3_1':0.0},(326,476):{'3_1':0.0},(326,475):{'3_1':0.0},(326,473):{'5_1':0.0,'3_1':0.0},(326,472):{'3_1':0.0},(326,471):{'3_1':0.0},(326,470):{'3_1':0.0},(326,469):{'3_1':0.03},(326,468):{'3_1':0.0},(326,467):{'3_1':0.0,'7_2':0.0},(326,465):{'3_1':0.0,'4_1':0.0},(326,464):{'3_1':0.03},(326,460):{'3_1':0.0},(326,458):{'3_1':0.0},(326,457):{'3_1':0.0},(326,456):{'3_1':0.0},(326,454):{'4_1':0.0},(326,453):{'3_1':0.0},(326,452):{'4_1':0.0},(326,451):{'3_1':0.0},(326,450):{'3_1':0.0},(326,449):{'4_1':0.0},(326,448):{'3_1':0.0},(326,447):{'3_1':0.0},(326,446):{'3_1':0.0},(326,443):{'4_1':0.0,'3_1':0.0},(326,442):{'3_1':0.0},(326,441):{'3_1':0.0},(326,440):{'3_1':0.0},(326,426):{'3_1':0.0},(326,425):{'3_1':0.0},(326,424):{'3_1':0.0},(326,422):{'4_1':0.0},(326,421):{'5_2':0.0},(326,419):{'3_1':0.0},(326,405):{'3_1':0.0},(326,404):{'3_1':0.0},(326,402):{'3_1':0.0},(326,385):{'3_1':0.0},(326,383):{'3_1':0.0},(326,382):{'3_1':0.0},(326,381):{'3_1':0.0},(326,378):{'3_1':0.0},(326,377):{'3_1':0.0},(326,374):{'3_1':0.0},(326,372):{'3_1':0.0},(326,371):{'3_1':0.0},(326,370):{'3_1':0.0},(326,369):{'3_1':0.0,'5_1':0.0},(326,368):{'3_1':0.0},(326,366):{'3_1':0.0},(326,365):{'3_1':0.0},(326,364):{'3_1':0.0},(326,363):{'3_1':0.0},(326,362):{'3_1':0.0},(326,361):{'3_1':0.0},(326,360):{'3_1':0.0},(326,357):{'3_1':0.03},(326,356):{'3_1':0.0},(326,354):{'3_1':0.03},(326,351):{'3_1':0.0},(326,350):{'3_1':0.0},(326,349):{'5_2':0.0},(326,347):{'3_1':0.0},(326,345):{'3_1':0.0},(326,344):{'3_1':0.0},(326,338):{'3_1':0.0},(326,337):{'5_1':0.0},(326,335):{'3_1':0.0},(327,727):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(327,726):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(327,725):{'3_1':0.06,'4_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(327,724):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(327,723):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(327,722):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(327,721):{'4_1':0.06,'3_1':0.0,'5_1':0.0,'5_2':0.0},(327,720):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'6_2':0.0},(327,719):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_2':0.0},(327,718):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(327,717):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(327,716):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(327,715):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(327,714):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(327,713):{'3_1':0.12,'4_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(327,712):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(327,711):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(327,710):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(327,709):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(327,708):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(327,707):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(327,706):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'6_2':0.0,'5_1':0.0},(327,705):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(327,704):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'9_1':0.0},(327,703):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'7_1':0.0},(327,702):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0},(327,701):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(327,700):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(327,699):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'7_2':0.0,'9_1':0.0},(327,698):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_1':0.0},(327,697):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(327,696):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(327,695):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(327,694):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_2':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(327,693):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(327,692):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_1':0.0},(327,691):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(327,690):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(327,689):{'3_1':0.18,'4_1':0.03,'6_2':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(327,688):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(327,687):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_1':0.0},(327,686):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'6_1':0.0},(327,685):{'3_1':0.15,'4_1':0.06,'6_2':0.0,'5_1':0.0,'6_1':0.0},(327,684):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_5':0.0},(327,683):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(327,682):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(327,681):{'3_1':0.15,'4_1':0.03,'6_1':0.0,'6_2':0.0},(327,680):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0},(327,679):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(327,678):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0},(327,677):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0},(327,676):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(327,675):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(327,674):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(327,673):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(327,672):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(327,671):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(327,670):{'3_1':0.12,'4_1':0.06,'6_1':0.0,'5_2':0.0},(327,669):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'-3':0.0},(327,668):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0,'8_3':0.0},(327,667):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(327,666):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(327,665):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(327,664):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'4_1':0.0,'6_2':0.0},(327,663):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'-3':0.0},(327,662):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(327,661):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'-3':0.0},(327,660):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(327,659):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(327,658):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_1':0.0},(327,657):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.03},(327,656):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(327,655):{'3_1':0.21,'4_1':0.03},(327,654):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(327,653):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(327,652):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(327,651):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(327,650):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(327,649):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(327,648):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(327,647):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0},(327,646):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(327,645):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_7':0.0},(327,644):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(327,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(327,642):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(327,641):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(327,640):{'3_1':0.24,'4_1':0.03,'5_1':0.03,'5_2':0.0},(327,639):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(327,638):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(327,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(327,636):{'3_1':0.09,'4_1':0.0},(327,635):{'3_1':0.09,'4_1':0.0},(327,634):{'3_1':0.09,'5_2':0.0},(327,633):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(327,632):{'3_1':0.06,'4_1':0.0},(327,631):{'3_1':0.09,'4_1':0.0},(327,630):{'3_1':0.12,'5_1':0.0},(327,629):{'3_1':0.12,'5_1':0.0},(327,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(327,627):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(327,626):{'3_1':0.12,'5_1':0.0},(327,625):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(327,624):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(327,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(327,622):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(327,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(327,620):{'3_1':0.09,'4_1':0.0},(327,619):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(327,618):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(327,617):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(327,616):{'3_1':0.03},(327,615):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(327,614):{'3_1':0.06,'4_1':0.0},(327,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(327,612):{'3_1':0.03,'4_1':0.0},(327,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(327,610):{'3_1':0.03},(327,609):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(327,608):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(327,607):{'3_1':0.03,'4_1':0.0},(327,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(327,605):{'4_1':0.03,'3_1':0.0},(327,604):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(327,603):{'3_1':0.0,'4_1':0.0},(327,602):{'3_1':0.03,'4_1':0.0},(327,601):{'4_1':0.03,'3_1':0.0},(327,600):{'3_1':0.0,'4_1':0.0},(327,599):{'3_1':0.03,'4_1':0.0},(327,598):{'3_1':0.03,'5_2':0.0},(327,597):{'3_1':0.0},(327,596):{'3_1':0.03,'5_2':0.0},(327,595):{'3_1':0.03,'4_1':0.0},(327,594):{'3_1':0.03,'4_1':0.0},(327,593):{'3_1':0.03},(327,592):{'3_1':0.0},(327,591):{'3_1':0.0,'5_2':0.0},(327,590):{'3_1':0.03},(327,589):{'3_1':0.03},(327,588):{'3_1':0.03,'4_1':0.0},(327,587):{'3_1':0.03,'6_2':0.0},(327,586):{'3_1':0.03,'5_2':0.0},(327,585):{'3_1':0.03},(327,584):{'3_1':0.03},(327,583):{'3_1':0.0,'6_1':0.0},(327,582):{'3_1':0.0},(327,581):{'3_1':0.0,'5_1':0.0},(327,580):{'3_1':0.0},(327,579):{'3_1':0.0},(327,578):{'3_1':0.0,'4_1':0.0},(327,577):{'6_2':0.0},(327,576):{'3_1':0.0},(327,575):{'3_1':0.0},(327,574):{'3_1':0.03},(327,573):{'3_1':0.0,'4_1':0.0},(327,572):{'3_1':0.0},(327,571):{'3_1':0.0},(327,570):{'3_1':0.0,'4_1':0.0},(327,569):{'3_1':0.0,'4_1':0.0},(327,567):{'3_1':0.03,'4_1':0.0},(327,566):{'3_1':0.0},(327,565):{'3_1':0.0},(327,564):{'3_1':0.03,'4_1':0.0},(327,563):{'3_1':0.0},(327,562):{'3_1':0.0},(327,561):{'3_1':0.0,'4_1':0.0},(327,560):{'3_1':0.0},(327,559):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(327,558):{'3_1':0.0,'4_1':0.0},(327,557):{'3_1':0.0,'4_1':0.0},(327,556):{'3_1':0.0},(327,555):{'3_1':0.03},(327,554):{'3_1':0.0},(327,552):{'4_1':0.0},(327,551):{'3_1':0.0,'4_1':0.0},(327,550):{'3_1':0.0},(327,549):{'3_1':0.03},(327,548):{'3_1':0.03},(327,547):{'3_1':0.0,'4_1':0.0},(327,546):{'3_1':0.03},(327,545):{'3_1':0.0,'4_1':0.0},(327,544):{'3_1':0.0},(327,543):{'5_1':0.0,'5_2':0.0},(327,542):{'3_1':0.0,'4_1':0.0},(327,540):{'3_1':0.0,'4_1':0.0},(327,539):{'3_1':0.0},(327,538):{'3_1':0.0},(327,537):{'3_1':0.0},(327,536):{'3_1':0.0},(327,535):{'3_1':0.0},(327,534):{'3_1':0.0},(327,533):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(327,532):{'3_1':0.0},(327,531):{'3_1':0.0},(327,530):{'3_1':0.0},(327,529):{'3_1':0.0,'6_2':0.0},(327,528):{'3_1':0.0,'4_1':0.0},(327,527):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(327,526):{'3_1':0.0,'4_1':0.0},(327,525):{'3_1':0.03,'4_1':0.0},(327,524):{'4_1':0.0},(327,523):{'3_1':0.03},(327,521):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(327,520):{'3_1':0.0},(327,519):{'3_1':0.0,'4_1':0.0},(327,518):{'3_1':0.0,'4_1':0.0},(327,517):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(327,516):{'3_1':0.0,'5_1':0.0},(327,514):{'3_1':0.03},(327,513):{'3_1':0.0},(327,512):{'3_1':0.0},(327,511):{'3_1':0.0},(327,510):{'3_1':0.0},(327,509):{'3_1':0.03,'4_1':0.0},(327,507):{'3_1':0.0,'4_1':0.0},(327,506):{'3_1':0.0,'4_1':0.0},(327,505):{'3_1':0.0},(327,504):{'3_1':0.0},(327,503):{'3_1':0.0},(327,502):{'3_1':0.0,'5_1':0.0},(327,501):{'4_1':0.0,'3_1':0.0},(327,500):{'3_1':0.0},(327,499):{'3_1':0.0},(327,498):{'3_1':0.03},(327,496):{'3_1':0.03,'4_1':0.0},(327,495):{'3_1':0.0},(327,494):{'3_1':0.03,'4_1':0.0},(327,493):{'3_1':0.03},(327,492):{'3_1':0.0,'4_1':0.0},(327,491):{'3_1':0.0},(327,490):{'3_1':0.0},(327,488):{'3_1':0.03,'5_1':0.0},(327,487):{'3_1':0.03},(327,486):{'3_1':0.03},(327,485):{'3_1':0.0},(327,484):{'3_1':0.0},(327,483):{'3_1':0.03},(327,482):{'3_1':0.0},(327,481):{'3_1':0.03},(327,480):{'3_1':0.03},(327,478):{'3_1':0.03,'4_1':0.0},(327,477):{'3_1':0.0},(327,476):{'3_1':0.0},(327,475):{'3_1':0.03},(327,474):{'3_1':0.0},(327,473):{'3_1':0.0},(327,472):{'3_1':0.03},(327,471):{'3_1':0.03},(327,470):{'3_1':0.0},(327,469):{'3_1':0.0},(327,468):{'3_1':0.0},(327,467):{'3_1':0.0},(327,466):{'3_1':0.0},(327,465):{'3_1':0.0},(327,461):{'3_1':0.0},(327,460):{'3_1':0.0},(327,459):{'3_1':0.0,'4_1':0.0},(327,458):{'3_1':0.0},(327,455):{'3_1':0.0},(327,453):{'3_1':0.0},(327,452):{'3_1':0.0},(327,451):{'3_1':0.0},(327,450):{'3_1':0.0},(327,449):{'4_1':0.0},(327,446):{'3_1':0.0},(327,444):{'3_1':0.0},(327,441):{'3_1':0.0},(327,440):{'3_1':0.0},(327,439):{'3_1':0.0},(327,434):{'3_1':0.0},(327,430):{'3_1':0.0},(327,428):{'3_1':0.0},(327,425):{'3_1':0.0},(327,424):{'3_1':0.0},(327,423):{'3_1':0.0},(327,420):{'3_1':0.0},(327,415):{'3_1':0.0},(327,413):{'3_1':0.0},(327,412):{'3_1':0.0},(327,408):{'3_1':0.0},(327,403):{'3_1':0.0},(327,402):{'3_1':0.0},(327,401):{'3_1':0.0},(327,385):{'3_1':0.0},(327,380):{'3_1':0.0},(327,379):{'3_1':0.0},(327,377):{'3_1':0.0},(327,376):{'3_1':0.03},(327,373):{'3_1':0.0},(327,371):{'3_1':0.0},(327,370):{'3_1':0.0},(327,366):{'3_1':0.0},(327,365):{'3_1':0.0},(327,362):{'3_1':0.0},(327,361):{'3_1':0.0},(327,359):{'3_1':0.0},(327,358):{'4_1':0.0},(327,357):{'3_1':0.0},(327,356):{'3_1':0.0},(327,354):{'3_1':0.0},(327,353):{'3_1':0.0},(327,351):{'3_1':0.0},(327,336):{'3_1':0.0},(327,334):{'3_1':0.0},(328,727):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,726):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(328,725):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,724):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(328,723):{'3_1':0.09,'4_1':0.03},(328,722):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(328,721):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0,'7_6':0.0},(328,720):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,719):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(328,718):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(328,717):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0},(328,716):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(328,715):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(328,714):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,713):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(328,712):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(328,711):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,710):{'3_1':0.09,'4_1':0.06},(328,709):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(328,708):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(328,707):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(328,706):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(328,705):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(328,704):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(328,703):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_1':0.0},(328,702):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(328,701):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(328,700):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(328,699):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(328,698):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(328,697):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(328,696):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(328,695):{'3_1':0.12,'4_1':0.09,'5_2':0.03},(328,694):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(328,693):{'3_1':0.24,'4_1':0.06,'5_2':0.06,'5_1':0.0},(328,692):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(328,691):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'6_1':0.0},(328,690):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(328,689):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(328,688):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_2':0.0},(328,687):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_4':0.0},(328,686):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(328,685):{'3_1':0.15,'4_1':0.09},(328,684):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'7_3':0.0},(328,683):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(328,682):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(328,681):{'3_1':0.21,'4_1':0.09,'6_2':0.0},(328,680):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0},(328,679):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,678):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,677):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(328,676):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(328,675):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_2':0.0},(328,674):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(328,673):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(328,672):{'3_1':0.12,'6_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(328,671):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,670):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,669):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(328,668):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(328,667):{'3_1':0.18,'4_1':0.03,'6_1':0.0},(328,666):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(328,665):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_1':0.0},(328,664):{'3_1':0.12,'5_2':0.03,'4_1':0.03,'6_1':0.0},(328,663):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(328,662):{'3_1':0.12,'4_1':0.03},(328,661):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(328,660):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(328,659):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(328,658):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(328,657):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,656):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(328,655):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(328,654):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,653):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0},(328,652):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(328,651):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(328,650):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(328,649):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(328,648):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0},(328,647):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(328,646):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(328,645):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(328,644):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(328,643):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(328,642):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(328,641):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_4':0.0},(328,640):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'6_1':0.0},(328,639):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(328,638):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_1':0.0},(328,637):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(328,636):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(328,635):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(328,634):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(328,633):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(328,632):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(328,631):{'3_1':0.09,'8_20|3_1#3_1':0.0},(328,630):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(328,629):{'3_1':0.18,'5_1':0.0},(328,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(328,627):{'3_1':0.06,'4_1':0.0},(328,626):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0},(328,625):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(328,624):{'3_1':0.12,'5_1':0.0,'8_20|3_1#3_1':0.0},(328,623):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(328,622):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(328,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(328,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(328,619):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(328,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(328,617):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(328,616):{'3_1':0.03},(328,615):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(328,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(328,613):{'3_1':0.06,'4_1':0.0},(328,612):{'3_1':0.03,'4_1':0.0},(328,611):{'3_1':0.06},(328,610):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(328,609):{'3_1':0.06,'5_1':0.0},(328,608):{'3_1':0.03,'4_1':0.03},(328,607):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(328,606):{'3_1':0.03,'4_1':0.0},(328,605):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(328,604):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(328,603):{'3_1':0.0},(328,602):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(328,601):{'3_1':0.03,'4_1':0.0},(328,600):{'3_1':0.0,'4_1':0.0},(328,599):{'3_1':0.03,'4_1':0.0},(328,598):{'3_1':0.03},(328,597):{'3_1':0.03,'4_1':0.0},(328,596):{'3_1':0.0,'6_1':0.0},(328,595):{'3_1':0.0,'4_1':0.0},(328,594):{'3_1':0.03},(328,593):{'3_1':0.03},(328,592):{'3_1':0.0,'6_1':0.0},(328,591):{'3_1':0.0,'4_1':0.0},(328,590):{'3_1':0.0,'4_1':0.0},(328,589):{'3_1':0.03,'4_1':0.0},(328,588):{'3_1':0.03,'5_1':0.0},(328,587):{'3_1':0.0},(328,586):{'3_1':0.03,'4_1':0.0},(328,585):{'3_1':0.0},(328,584):{'3_1':0.0,'4_1':0.0},(328,583):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(328,582):{'3_1':0.0},(328,581):{'3_1':0.0},(328,580):{'3_1':0.06},(328,579):{'3_1':0.0},(328,578):{'3_1':0.0,'4_1':0.0},(328,576):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(328,574):{'3_1':0.03,'5_1':0.0},(328,573):{'3_1':0.03},(328,572):{'3_1':0.0},(328,571):{'3_1':0.0},(328,570):{'3_1':0.0},(328,569):{'3_1':0.0,'5_1':0.0},(328,567):{'3_1':0.0,'4_1':0.0},(328,566):{'3_1':0.0,'5_2':0.0},(328,565):{'3_1':0.0,'4_1':0.0},(328,564):{'3_1':0.03},(328,563):{'3_1':0.0},(328,562):{'3_1':0.0},(328,561):{'3_1':0.0},(328,560):{'3_1':0.0,'5_2':0.0},(328,559):{'6_2':0.0},(328,557):{'3_1':0.0},(328,556):{'3_1':0.0},(328,555):{'3_1':0.0},(328,554):{'3_1':0.0,'4_1':0.0},(328,553):{'3_1':0.0},(328,551):{'3_1':0.03},(328,550):{'3_1':0.0,'5_2':0.0},(328,549):{'3_1':0.0},(328,548):{'3_1':0.0},(328,547):{'3_1':0.0,'4_1':0.0},(328,546):{'3_1':0.0},(328,544):{'3_1':0.0},(328,542):{'3_1':0.0},(328,541):{'3_1':0.0},(328,540):{'3_1':0.0,'5_1':0.0},(328,539):{'3_1':0.0},(328,538):{'3_1':0.0},(328,537):{'3_1':0.0},(328,536):{'3_1':0.03,'4_1':0.0},(328,535):{'3_1':0.03},(328,534):{'3_1':0.03},(328,533):{'3_1':0.03,'4_1':0.0},(328,532):{'3_1':0.0},(328,531):{'3_1':0.0},(328,530):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(328,529):{'3_1':0.0},(328,528):{'3_1':0.0},(328,527):{'3_1':0.03,'4_1':0.0},(328,526):{'3_1':0.03,'4_1':0.0},(328,525):{'3_1':0.0},(328,523):{'3_1':0.0,'4_1':0.0},(328,522):{'3_1':0.0},(328,521):{'3_1':0.0},(328,520):{'3_1':0.0,'5_2':0.0},(328,518):{'3_1':0.03},(328,517):{'3_1':0.03,'4_1':0.0},(328,516):{'3_1':0.06,'4_1':0.0},(328,515):{'3_1':0.0},(328,514):{'3_1':0.03,'4_1':0.0},(328,513):{'3_1':0.03,'4_1':0.0},(328,511):{'3_1':0.0,'4_1':0.0},(328,510):{'3_1':0.03,'4_1':0.0},(328,509):{'3_1':0.03,'4_1':0.0},(328,508):{'3_1':0.0},(328,507):{'3_1':0.0},(328,506):{'3_1':0.0},(328,505):{'3_1':0.0},(328,504):{'3_1':0.0},(328,503):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(328,502):{'3_1':0.0},(328,501):{'3_1':0.0},(328,500):{'3_1':0.0},(328,499):{'3_1':0.0,'4_1':0.0},(328,498):{'3_1':0.0},(328,497):{'3_1':0.0},(328,496):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(328,495):{'3_1':0.0},(328,494):{'3_1':0.03},(328,493):{'3_1':0.0},(328,492):{'3_1':0.0},(328,491):{'3_1':0.0},(328,490):{'3_1':0.0},(328,489):{'3_1':0.0},(328,488):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(328,487):{'3_1':0.03},(328,486):{'3_1':0.03},(328,485):{'3_1':0.03},(328,484):{'3_1':0.03},(328,483):{'3_1':0.03},(328,482):{'3_1':0.03},(328,481):{'3_1':0.0},(328,480):{'3_1':0.0},(328,479):{'3_1':0.03},(328,478):{'3_1':0.03},(328,476):{'3_1':0.0},(328,475):{'3_1':0.0},(328,474):{'3_1':0.0},(328,473):{'3_1':0.03},(328,471):{'3_1':0.0},(328,470):{'3_1':0.0},(328,468):{'3_1':0.0},(328,467):{'3_1':0.0},(328,466):{'3_1':0.0},(328,465):{'3_1':0.0},(328,464):{'3_1':0.0},(328,463):{'3_1':0.0},(328,460):{'3_1':0.0},(328,459):{'3_1':0.0},(328,458):{'3_1':0.0},(328,455):{'3_1':0.0},(328,453):{'3_1':0.0},(328,451):{'3_1':0.0},(328,450):{'3_1':0.0},(328,448):{'3_1':0.0},(328,447):{'3_1':0.0},(328,441):{'3_1':0.0},(328,440):{'3_1':0.0},(328,439):{'3_1':0.0},(328,436):{'3_1':0.0},(328,434):{'3_1':0.0},(328,433):{'3_1':0.0,'4_1':0.0},(328,431):{'3_1':0.0},(328,429):{'3_1':0.0},(328,426):{'3_1':0.0},(328,423):{'3_1':0.0},(328,415):{'3_1':0.0},(328,412):{'3_1':0.0},(328,400):{'3_1':0.0},(328,398):{'3_1':0.0},(328,382):{'3_1':0.0},(328,379):{'3_1':0.0},(328,378):{'3_1':0.0},(328,377):{'3_1':0.0},(328,370):{'3_1':0.0},(328,368):{'4_1':0.0},(328,367):{'4_1':0.0},(328,366):{'3_1':0.0},(328,365):{'3_1':0.0,'5_1':0.0},(328,364):{'3_1':0.0},(328,363):{'3_1':0.0},(328,357):{'3_1':0.0},(328,356):{'3_1':0.0},(328,352):{'3_1':0.0},(328,350):{'3_1':0.0},(328,349):{'3_1':0.0},(328,348):{'3_1':0.0},(328,343):{'3_1':0.0},(328,334):{'3_1':0.0},(329,727):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(329,726):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(329,725):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(329,724):{'4_1':0.06,'3_1':0.06,'6_2':0.0},(329,723):{'3_1':0.06,'4_1':0.03,'6_2':0.0},(329,722):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,721):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(329,720):{'3_1':0.09,'4_1':0.0},(329,719):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(329,718):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_2':0.0},(329,717):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_1':0.0},(329,716):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_1':0.0},(329,715):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0},(329,714):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(329,713):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(329,712):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_7':0.0},(329,711):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_5':0.0},(329,710):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(329,709):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(329,708):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(329,707):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(329,706):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(329,705):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(329,704):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(329,703):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,702):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(329,701):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_1':0.0},(329,700):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'3_1#5_2':0.0},(329,699):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(329,698):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,697):{'3_1':0.15,'5_2':0.06,'4_1':0.03},(329,696):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,695):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(329,694):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(329,693):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(329,692):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(329,691):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'8_3':0.0},(329,690):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(329,689):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_4':0.0},(329,688):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,687):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(329,686):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(329,685):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(329,684):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(329,683):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(329,682):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'-3':0.0},(329,681):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,680):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,679):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,678):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(329,677):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(329,676):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,675):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,674):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(329,673):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(329,672):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,671):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(329,670):{'3_1':0.09,'4_1':0.03},(329,669):{'3_1':0.09,'6_1':0.0},(329,668):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(329,667):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(329,666):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(329,665):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(329,664):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(329,663):{'3_1':0.24,'4_1':0.03,'5_2':0.0,'5_1':0.0},(329,662):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0},(329,661):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'7_1':0.0,'5_2':0.0},(329,660):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(329,659):{'3_1':0.09,'4_1':0.03},(329,658):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(329,657):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(329,656):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(329,655):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(329,654):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(329,653):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(329,652):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(329,651):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(329,650):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(329,649):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(329,648):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(329,647):{'3_1':0.24,'7_1':0.0,'1':-0.03},(329,646):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'7_1':0.0},(329,645):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(329,644):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(329,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(329,642):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(329,641):{'3_1':0.27,'5_1':0.03,'4_1':0.0},(329,640):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(329,639):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(329,638):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(329,637):{'3_1':0.12,'5_1':0.0},(329,636):{'3_1':0.03,'5_1':0.03},(329,635):{'3_1':0.09,'5_1':0.0},(329,634):{'3_1':0.06},(329,633):{'3_1':0.09,'5_2':0.0},(329,632):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(329,631):{'3_1':0.12,'5_1':0.0},(329,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(329,629):{'3_1':0.06,'4_1':0.0},(329,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(329,627):{'3_1':0.09,'5_1':0.0},(329,626):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(329,625):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(329,624):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(329,623):{'3_1':0.09,'5_1':0.0,'7_2':0.0,'-3':0.0},(329,622):{'3_1':0.15,'4_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(329,621):{'3_1':0.09,'4_1':0.0},(329,620):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(329,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(329,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(329,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(329,616):{'3_1':0.03,'4_1':0.0},(329,615):{'3_1':0.12,'5_2':0.0,'7_2':0.0},(329,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(329,613):{'3_1':0.03,'4_1':0.0},(329,612):{'3_1':0.09,'5_1':0.0},(329,611):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(329,610):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(329,609):{'3_1':0.03,'4_1':0.0},(329,608):{'3_1':0.03,'4_1':0.0},(329,607):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(329,606):{'3_1':0.0,'5_1':0.0},(329,605):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(329,604):{'3_1':0.03,'4_1':0.0},(329,603):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(329,602):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(329,601):{'3_1':0.0,'4_1':0.0},(329,600):{'3_1':0.03,'4_1':0.0},(329,599):{'3_1':0.0,'5_1':0.0},(329,598):{'5_1':0.0},(329,597):{'3_1':0.0,'4_1':0.0},(329,596):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(329,595):{'3_1':0.0},(329,594):{'3_1':0.0,'4_1':0.0},(329,593):{'3_1':0.0,'4_1':0.0},(329,592):{'3_1':0.0,'4_1':0.0},(329,591):{'3_1':0.03,'6_2':0.0},(329,590):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(329,589):{'3_1':0.0,'4_1':0.0},(329,588):{'3_1':0.0,'5_2':0.0},(329,587):{'3_1':0.03,'4_1':0.0},(329,586):{'3_1':0.03,'4_1':0.0},(329,585):{'3_1':0.03},(329,584):{'3_1':0.0,'4_1':0.0},(329,583):{'3_1':0.0},(329,582):{'3_1':0.03,'4_1':0.0},(329,581):{'3_1':0.03},(329,580):{'3_1':0.0},(329,579):{'3_1':0.0,'5_2':0.0},(329,578):{'3_1':0.0},(329,577):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(329,575):{'3_1':0.0,'4_1':0.0},(329,573):{'3_1':0.0},(329,572):{'3_1':0.0},(329,571):{'3_1':0.0,'4_1':0.0},(329,570):{'3_1':0.0},(329,569):{'3_1':0.0},(329,568):{'3_1':0.0},(329,565):{'3_1':0.0,'4_1':0.0},(329,564):{'3_1':0.03,'4_1':0.0},(329,563):{'3_1':0.0},(329,562):{'3_1':0.03},(329,561):{'3_1':0.0},(329,560):{'3_1':0.03,'4_1':0.0},(329,559):{'3_1':0.0},(329,558):{'3_1':0.0,'4_1':0.0},(329,557):{'3_1':0.0},(329,556):{'3_1':0.0,'4_1':0.0},(329,555):{'3_1':0.0,'4_1':0.0},(329,554):{'3_1':0.0},(329,553):{'3_1':0.03,'4_1':0.0},(329,552):{'3_1':0.0,'4_1':0.0},(329,551):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(329,550):{'3_1':0.03},(329,549):{'3_1':0.0,'6_2':0.0},(329,548):{'3_1':0.0},(329,547):{'3_1':0.0},(329,546):{'3_1':0.0,'6_1':0.0},(329,545):{'3_1':0.03},(329,544):{'3_1':0.0},(329,543):{'3_1':0.0},(329,542):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(329,540):{'3_1':0.0},(329,539):{'3_1':0.0},(329,538):{'3_1':0.0},(329,537):{'3_1':0.0},(329,536):{'3_1':0.0},(329,535):{'3_1':0.0,'4_1':0.0},(329,534):{'3_1':0.0},(329,533):{'3_1':0.0,'4_1':0.0},(329,532):{'3_1':0.0,'4_1':0.0},(329,531):{'3_1':0.0},(329,530):{'3_1':0.0},(329,529):{'3_1':0.0},(329,528):{'3_1':0.0,'4_1':0.0},(329,527):{'3_1':0.0,'7_2':0.0},(329,526):{'3_1':0.03,'4_1':0.0},(329,525):{'3_1':0.0},(329,524):{'3_1':0.0},(329,523):{'3_1':0.0},(329,522):{'3_1':0.0,'4_1':0.0},(329,521):{'3_1':0.03,'4_1':0.0},(329,520):{'3_1':0.0,'4_1':0.0},(329,519):{'3_1':0.0},(329,518):{'3_1':0.0,'4_1':0.0},(329,517):{'3_1':0.0,'4_1':0.0},(329,516):{'3_1':0.0},(329,515):{'3_1':0.0},(329,514):{'3_1':0.0,'4_1':0.0},(329,513):{'3_1':0.0,'4_1':0.0},(329,512):{'3_1':0.0},(329,511):{'3_1':0.0},(329,510):{'3_1':0.0,'4_1':0.0},(329,509):{'3_1':0.0},(329,507):{'3_1':0.03,'4_1':0.0},(329,506):{'3_1':0.0,'4_1':0.0},(329,505):{'3_1':0.0},(329,504):{'3_1':0.03},(329,503):{'3_1':0.0},(329,502):{'3_1':0.03},(329,501):{'3_1':0.0,'4_1':0.0},(329,500):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(329,499):{'3_1':0.0},(329,498):{'3_1':0.03},(329,497):{'3_1':0.0},(329,496):{'3_1':0.03,'5_1':0.0},(329,495):{'3_1':0.0},(329,494):{'3_1':0.0,'4_1':0.0},(329,493):{'3_1':0.0},(329,492):{'3_1':0.03},(329,491):{'3_1':0.0},(329,490):{'3_1':0.03},(329,489):{'3_1':0.0},(329,488):{'3_1':0.0},(329,487):{'3_1':0.0},(329,486):{'3_1':0.0},(329,485):{'3_1':0.06,'4_1':0.0},(329,484):{'3_1':0.03},(329,483):{'3_1':0.0,'5_1':0.0},(329,482):{'3_1':0.0},(329,481):{'3_1':0.0},(329,479):{'3_1':0.0},(329,478):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(329,476):{'3_1':0.0},(329,475):{'3_1':0.0},(329,474):{'3_1':0.0},(329,473):{'3_1':0.0,'5_1':0.0},(329,471):{'3_1':0.0},(329,470):{'3_1':0.0},(329,469):{'3_1':0.0},(329,468):{'3_1':0.0},(329,467):{'3_1':0.0},(329,466):{'3_1':0.0},(329,465):{'3_1':0.0},(329,464):{'3_1':0.0},(329,463):{'3_1':0.0},(329,459):{'3_1':0.0},(329,456):{'3_1':0.0},(329,453):{'3_1':0.0},(329,451):{'3_1':0.0},(329,450):{'3_1':0.0},(329,446):{'3_1':0.0},(329,443):{'3_1':0.0},(329,442):{'3_1':0.0},(329,438):{'3_1':0.0},(329,435):{'3_1':0.0},(329,433):{'3_1':0.0},(329,431):{'3_1':0.0},(329,430):{'3_1':0.0},(329,429):{'3_1':0.0},(329,428):{'3_1':0.0},(329,425):{'3_1':0.0},(329,424):{'3_1':0.0},(329,422):{'3_1':0.0},(329,420):{'3_1':0.03},(329,419):{'3_1':0.0},(329,417):{'3_1':0.0,'7_4':0.0},(329,415):{'3_1':0.0},(329,414):{'3_1':0.0,'4_1':0.0},(329,410):{'3_1':0.0},(329,407):{'3_1':0.0},(329,406):{'3_1':0.0},(329,403):{'3_1':0.0},(329,402):{'3_1':0.0},(329,401):{'3_1':0.0,'4_1':0.0},(329,390):{'3_1':0.0},(329,389):{'3_1':0.0},(329,381):{'3_1':0.0},(329,374):{'3_1':0.0},(329,372):{'3_1':0.0},(329,370):{'3_1':0.0},(329,368):{'3_1':0.0},(329,366):{'3_1':0.0},(329,365):{'3_1':0.03,'4_1':0.0},(329,361):{'3_1':0.03},(329,359):{'3_1':0.0},(329,357):{'4_1':0.0},(329,356):{'5_1':0.0},(329,354):{'5_2':0.0},(329,353):{'3_1':0.0},(329,352):{'3_1':0.0},(329,350):{'3_1':0.0},(329,345):{'3_1':0.0},(329,341):{'3_1':0.0},(329,338):{'5_1':0.0},(329,337):{'5_1':0.0},(329,335):{'3_1':0.0},(329,333):{'3_1':0.0},(330,727):{'3_1':0.03,'5_1':0.0},(330,726):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(330,725):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(330,724):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(330,723):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(330,722):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(330,721):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(330,720):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(330,719):{'3_1':0.06,'4_1':0.03,'8_20|3_1#3_1':0.0},(330,718):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(330,717):{'3_1':0.09,'4_1':0.03},(330,716):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(330,715):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(330,714):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(330,713):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_5':0.0},(330,712):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,711):{'3_1':0.03,'4_1':0.0},(330,710):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(330,709):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(330,708):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(330,707):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(330,706):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(330,705):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,704):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,703):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(330,702):{'3_1':0.18,'5_2':0.0,'7_4':0.0},(330,701):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(330,700):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(330,699):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,698):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(330,697):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0},(330,696):{'3_1':0.09,'5_2':0.03},(330,695):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(330,694):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(330,693):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(330,692):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(330,691):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_2':0.0},(330,690):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'3_1#5_2':0.0},(330,689):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0},(330,688):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(330,687):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(330,686):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_5':0.0},(330,685):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,684):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(330,683):{'3_1':0.15,'4_1':0.0},(330,682):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(330,681):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'9_1':0.0},(330,680):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(330,679):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(330,678):{'3_1':0.18,'4_1':0.0},(330,677):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'7_1':0.0},(330,676):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(330,675):{'3_1':0.06,'4_1':0.0},(330,674):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_1':0.0},(330,673):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(330,672):{'3_1':0.06,'4_1':0.03,'5_2':0.03},(330,671):{'3_1':0.12,'4_1':0.0},(330,670):{'3_1':0.09,'4_1':0.0,'8_2':0.0},(330,669):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,668):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_3':0.0},(330,667):{'3_1':0.12,'4_1':0.0},(330,666):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(330,665):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(330,664):{'3_1':0.09,'4_1':0.03,'8_11':0.0},(330,663):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(330,662):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'5_2':0.0},(330,661):{'3_1':0.24,'5_2':0.0},(330,660):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(330,659):{'3_1':0.12,'5_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(330,658):{'3_1':0.15,'5_1':0.0},(330,657):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(330,656):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(330,655):{'3_1':0.12,'6_1':0.0},(330,654):{'3_1':0.15,'5_2':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0},(330,653):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(330,652):{'3_1':0.24,'5_2':0.03,'4_1':0.0},(330,651):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(330,650):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0},(330,649):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(330,648):{'3_1':0.15,'5_2':0.03},(330,647):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0,'7_2':0.0},(330,646):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(330,645):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0},(330,644):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(330,643):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(330,642):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'7_2':0.0},(330,641):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(330,640):{'3_1':0.36,'5_2':0.0,'4_1':0.0,'5_1':0.0},(330,639):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_1':0.0},(330,638):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(330,637):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(330,636):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(330,635):{'3_1':0.09,'5_1':0.0},(330,634):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(330,633):{'3_1':0.15,'5_1':0.0},(330,632):{'3_1':0.09,'7_4':0.0},(330,631):{'3_1':0.09,'5_1':0.0},(330,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(330,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(330,628):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(330,627):{'3_1':0.09,'4_1':0.0},(330,626):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(330,625):{'3_1':0.09,'5_1':0.0},(330,624):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(330,623):{'3_1':0.12,'5_2':0.0},(330,622):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(330,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(330,620):{'3_1':0.06,'4_1':0.0},(330,619):{'3_1':0.09,'5_2':0.0},(330,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(330,617):{'3_1':0.09,'4_1':0.0},(330,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(330,615):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(330,614):{'3_1':0.09,'5_1':0.0},(330,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(330,612):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(330,611):{'3_1':0.09,'4_1':0.0},(330,610):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(330,609):{'3_1':0.06,'4_1':0.03},(330,608):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(330,607):{'4_1':0.03,'3_1':0.0},(330,606):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(330,605):{'3_1':0.03,'4_1':0.0},(330,604):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(330,603):{'3_1':0.03,'4_1':0.0},(330,602):{'3_1':0.0,'4_1':0.0},(330,601):{'3_1':0.0,'4_1':0.0},(330,600):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(330,599):{'3_1':0.03},(330,598):{'3_1':0.0,'5_2':0.0},(330,597):{'3_1':0.06},(330,596):{'3_1':0.0},(330,595):{'3_1':0.0},(330,594):{'3_1':0.06,'4_1':0.0},(330,593):{'3_1':0.03},(330,592):{'3_1':0.0},(330,591):{'3_1':0.0,'4_1':0.0},(330,590):{'3_1':0.03,'6_2':0.0},(330,589):{'3_1':0.0,'4_1':0.0},(330,588):{'3_1':0.03},(330,587):{'3_1':0.0,'4_1':0.0},(330,586):{'3_1':0.0,'8_20|3_1#3_1':0.0},(330,585):{'3_1':0.0,'4_1':0.0},(330,584):{'3_1':0.03,'4_1':0.0},(330,583):{'3_1':0.0,'4_1':0.0},(330,582):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(330,581):{'3_1':0.0},(330,580):{'3_1':0.0},(330,579):{'3_1':0.0,'4_1':0.0},(330,578):{'3_1':0.0,'4_1':0.0},(330,577):{'3_1':0.0},(330,575):{'3_1':0.03},(330,574):{'3_1':0.0},(330,573):{'3_1':0.0,'4_1':0.0},(330,572):{'3_1':0.0},(330,571):{'3_1':0.0,'4_1':0.0},(330,570):{'3_1':0.0},(330,569):{'3_1':0.0},(330,568):{'3_1':0.0},(330,566):{'3_1':0.0,'4_1':0.0},(330,565):{'3_1':0.0},(330,564):{'3_1':0.0},(330,563):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(330,562):{'3_1':0.0},(330,561):{'3_1':0.0,'4_1':0.0},(330,560):{'3_1':0.0,'4_1':0.0},(330,559):{'3_1':0.0,'4_1':0.0},(330,558):{'3_1':0.0},(330,557):{'3_1':0.03},(330,556):{'3_1':0.0,'4_1':0.0},(330,555):{'3_1':0.03,'4_1':0.0},(330,554):{'3_1':0.0},(330,553):{'3_1':0.03,'4_1':0.0},(330,552):{'3_1':0.0},(330,551):{'3_1':0.0},(330,550):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(330,549):{'3_1':0.03},(330,548):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(330,547):{'3_1':0.0},(330,545):{'3_1':0.0},(330,542):{'3_1':0.0,'4_1':0.0},(330,541):{'3_1':0.03,'4_1':0.0},(330,540):{'3_1':0.0},(330,539):{'3_1':0.0,'4_1':0.0},(330,538):{'3_1':0.0},(330,537):{'3_1':0.0},(330,536):{'3_1':0.0,'4_1':0.0},(330,535):{'3_1':0.0},(330,534):{'3_1':0.0},(330,532):{'4_1':0.0},(330,531):{'3_1':0.0,'4_1':0.0},(330,529):{'3_1':0.0,'4_1':0.0},(330,527):{'3_1':0.0,'4_1':0.0},(330,526):{'4_1':0.0},(330,525):{'4_1':0.0,'3_1':0.0},(330,524):{'3_1':0.0},(330,523):{'3_1':0.0,'4_1':0.0},(330,522):{'3_1':0.0,'4_1':0.0},(330,521):{'3_1':0.0,'4_1':0.0},(330,520):{'3_1':0.0,'4_1':0.0},(330,519):{'3_1':0.0,'4_1':0.0},(330,518):{'3_1':0.0,'4_1':0.0},(330,517):{'3_1':0.03,'4_1':0.0},(330,516):{'3_1':0.0},(330,514):{'3_1':0.0},(330,513):{'4_1':0.0},(330,511):{'4_1':0.0,'3_1':0.0},(330,510):{'4_1':0.0},(330,509):{'3_1':0.0,'4_1':0.0},(330,508):{'3_1':0.0},(330,507):{'3_1':0.0},(330,506):{'3_1':0.0},(330,505):{'3_1':0.0},(330,504):{'3_1':0.0},(330,503):{'3_1':0.0},(330,502):{'3_1':0.0},(330,501):{'3_1':0.0},(330,500):{'3_1':0.0},(330,499):{'3_1':0.03,'4_1':0.0},(330,498):{'3_1':0.0,'4_1':0.0},(330,496):{'3_1':0.0,'4_1':0.0},(330,495):{'3_1':0.0,'4_1':0.0},(330,494):{'3_1':0.0,'4_1':0.0},(330,493):{'3_1':0.03,'4_1':0.0},(330,492):{'3_1':0.03,'4_1':0.0},(330,491):{'3_1':0.0,'4_1':0.0},(330,490):{'3_1':0.0},(330,489):{'3_1':0.0},(330,488):{'3_1':0.03},(330,487):{'3_1':0.0,'4_1':0.0},(330,486):{'3_1':0.03},(330,485):{'3_1':0.0},(330,484):{'3_1':0.0,'4_1':0.0},(330,483):{'3_1':0.03},(330,482):{'3_1':0.03},(330,481):{'3_1':0.0},(330,480):{'3_1':0.03},(330,479):{'3_1':0.03},(330,478):{'3_1':0.0},(330,477):{'3_1':0.0},(330,476):{'3_1':0.03},(330,475):{'3_1':0.0},(330,473):{'3_1':0.03},(330,472):{'3_1':0.03},(330,471):{'3_1':0.0},(330,470):{'3_1':0.0},(330,469):{'3_1':0.0},(330,468):{'3_1':0.0},(330,466):{'3_1':0.0},(330,465):{'3_1':0.0},(330,464):{'3_1':0.0},(330,463):{'3_1':0.0},(330,461):{'3_1':0.0},(330,460):{'3_1':0.0},(330,459):{'3_1':0.0,'4_1':0.0},(330,458):{'3_1':0.0},(330,456):{'3_1':0.0},(330,454):{'3_1':0.0},(330,450):{'3_1':0.0},(330,448):{'3_1':0.0},(330,446):{'3_1':0.0},(330,445):{'3_1':0.0},(330,441):{'3_1':0.0},(330,438):{'3_1':0.0},(330,436):{'3_1':0.0},(330,432):{'3_1':0.0,'7_2':0.0},(330,430):{'3_1':0.0},(330,428):{'3_1':0.0},(330,426):{'3_1':0.0},(330,424):{'3_1':0.0},(330,422):{'3_1':0.0},(330,420):{'3_1':0.0,'7_2':0.0},(330,416):{'3_1':0.0},(330,413):{'3_1':0.0},(330,409):{'3_1':0.0},(330,407):{'3_1':0.0},(330,401):{'3_1':0.0},(330,400):{'7_2':0.0},(330,398):{'3_1':0.0},(330,390):{'3_1':0.0},(330,387):{'3_1':0.0},(330,385):{'3_1':0.0},(330,383):{'3_1':0.0},(330,382):{'3_1':0.0},(330,380):{'3_1':0.0},(330,379):{'3_1':0.0},(330,376):{'3_1':0.0},(330,375):{'3_1':0.0},(330,374):{'3_1':0.0},(330,368):{'3_1':0.0},(330,364):{'3_1':0.0},(330,363):{'3_1':0.0},(330,362):{'3_1':0.0},(330,361):{'3_1':0.0},(330,360):{'3_1':0.0},(330,359):{'3_1':0.0},(330,358):{'3_1':0.0,'4_1':0.0},(330,357):{'3_1':0.0},(330,355):{'3_1':0.0},(330,354):{'3_1':0.0},(330,353):{'3_1':0.03},(330,351):{'3_1':0.0},(330,350):{'3_1':0.0},(330,347):{'3_1':0.0},(330,336):{'3_1':0.0},(330,335):{'3_1':0.0},(330,334):{'3_1':0.0},(331,727):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(331,726):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(331,725):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(331,724):{'3_1':0.06},(331,723):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0},(331,722):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(331,721):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(331,720):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(331,719):{'3_1':0.12,'4_1':0.0},(331,718):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(331,717):{'3_1':0.09,'5_2':0.0},(331,716):{'3_1':0.09,'4_1':0.0,'7_5':0.0,'8_1':0.0},(331,715):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(331,714):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(331,713):{'3_1':0.12,'4_1':0.0},(331,712):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(331,711):{'3_1':0.09,'4_1':0.0,'8_21|3_1#4_1':0.0},(331,710):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(331,709):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_7':0.0},(331,708):{'3_1':0.06,'5_2':0.0},(331,707):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0},(331,706):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(331,705):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(331,704):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(331,703):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(331,702):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(331,701):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0},(331,700):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(331,699):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(331,698):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(331,697):{'3_1':0.09,'5_2':0.03},(331,696):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'6_1':0.0},(331,695):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(331,694):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(331,693):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(331,692):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(331,691):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(331,690):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(331,689):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(331,688):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'4_1':0.0,'7_3':0.0},(331,687):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(331,686):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(331,685):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(331,684):{'3_1':0.18,'4_1':0.0,'9_1':0.0},(331,683):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(331,682):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(331,681):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(331,680):{'3_1':0.24,'5_2':0.0,'6_1':0.0},(331,679):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(331,678):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(331,677):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(331,676):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(331,675):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(331,674):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(331,673):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(331,672):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(331,671):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(331,670):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(331,669):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(331,668):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(331,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(331,666):{'3_1':0.12,'4_1':0.0},(331,665):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(331,664):{'3_1':0.12,'4_1':0.0},(331,663):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_1':0.0},(331,662):{'3_1':0.21,'4_1':0.0,'6_1':0.0,'7_1':0.0},(331,661):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(331,660):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(331,659):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(331,658):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(331,657):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(331,656):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(331,655):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_2':0.0},(331,654):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(331,653):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'6_2':0.0},(331,652):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'6_1':0.0},(331,651):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_2':0.0},(331,650):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(331,649):{'3_1':0.24,'5_1':0.0},(331,648):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(331,647):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(331,646):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(331,645):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(331,644):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(331,643):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_1':0.0},(331,642):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(331,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(331,640):{'3_1':0.21,'5_1':0.06},(331,639):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(331,638):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(331,637):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(331,636):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(331,635):{'3_1':0.15,'5_1':0.0},(331,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(331,633):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(331,632):{'3_1':0.15,'4_1':0.0},(331,631):{'3_1':0.09,'4_1':0.0},(331,630):{'3_1':0.18},(331,629):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(331,628):{'3_1':0.09,'4_1':0.0},(331,627):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(331,626):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(331,625):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(331,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(331,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(331,622):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(331,621):{'3_1':0.12,'5_1':0.06,'4_1':0.0},(331,620):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(331,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(331,618):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(331,617):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(331,616):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(331,615):{'3_1':0.12,'4_1':0.0},(331,614):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(331,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(331,612):{'3_1':0.06},(331,611):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(331,610):{'3_1':0.09,'4_1':0.0},(331,609):{'3_1':0.03},(331,608):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(331,607):{'3_1':0.06,'4_1':0.0},(331,606):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(331,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'-3':0.0},(331,604):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(331,603):{'3_1':0.03},(331,602):{'3_1':0.06,'4_1':0.0},(331,601):{'3_1':0.03,'4_1':0.0},(331,600):{'3_1':0.0,'4_1':0.0},(331,599):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(331,598):{'3_1':0.03},(331,597):{'3_1':0.09,'6_2':0.0},(331,595):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(331,594):{'3_1':0.0,'6_2':0.0},(331,593):{'4_1':0.0,'3_1':0.0},(331,592):{'3_1':0.0},(331,591):{'3_1':0.0},(331,590):{'4_1':0.0},(331,589):{'3_1':0.0},(331,588):{'3_1':0.03},(331,587):{'3_1':0.03},(331,586):{'3_1':0.03,'4_1':0.0},(331,585):{'3_1':0.0},(331,584):{'3_1':0.0,'4_1':0.0},(331,583):{'3_1':0.06},(331,582):{'3_1':0.0},(331,581):{'3_1':0.0,'4_1':0.0},(331,580):{'3_1':0.0},(331,579):{'3_1':0.0},(331,578):{'3_1':0.0,'4_1':0.0},(331,577):{'3_1':0.03},(331,576):{'3_1':0.03},(331,575):{'3_1':0.0},(331,574):{'3_1':0.0},(331,573):{'3_1':0.0,'4_1':0.0},(331,572):{'3_1':0.0,'4_1':0.0},(331,571):{'3_1':0.0,'4_1':0.0},(331,570):{'3_1':0.0},(331,569):{'3_1':0.0},(331,568):{'3_1':0.0,'6_2':0.0},(331,566):{'3_1':0.0},(331,565):{'3_1':0.0,'4_1':0.0},(331,564):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(331,563):{'3_1':0.0},(331,562):{'3_1':0.0},(331,561):{'3_1':0.0,'4_1':0.0},(331,559):{'3_1':0.0,'4_1':0.0},(331,558):{'3_1':0.0,'4_1':0.0},(331,557):{'3_1':0.03,'4_1':0.0},(331,556):{'3_1':0.0},(331,555):{'3_1':0.0,'4_1':0.0},(331,554):{'3_1':0.0,'4_1':0.0},(331,553):{'3_1':0.0},(331,552):{'3_1':0.0,'4_1':0.0},(331,550):{'3_1':0.0},(331,549):{'3_1':0.0},(331,548):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(331,547):{'3_1':0.0},(331,546):{'3_1':0.0},(331,545):{'3_1':0.03},(331,544):{'3_1':0.0},(331,543):{'3_1':0.0},(331,542):{'3_1':0.0},(331,541):{'3_1':0.0},(331,540):{'3_1':0.03,'4_1':0.0},(331,539):{'7_2':0.0},(331,538):{'3_1':0.03},(331,537):{'3_1':0.0},(331,536):{'3_1':0.0,'4_1':0.0},(331,535):{'3_1':0.0,'4_1':0.0},(331,534):{'3_1':0.03,'4_1':0.0},(331,533):{'3_1':0.03,'4_1':0.0},(331,532):{'3_1':0.03},(331,531):{'3_1':0.03},(331,530):{'3_1':0.0},(331,529):{'3_1':0.0},(331,528):{'3_1':0.0,'4_1':0.0},(331,527):{'4_1':0.0},(331,526):{'3_1':0.0},(331,525):{'3_1':0.0,'4_1':0.0},(331,524):{'3_1':0.0},(331,523):{'3_1':0.03,'4_1':0.0},(331,522):{'5_1':0.0},(331,521):{'3_1':0.03},(331,520):{'3_1':0.03},(331,519):{'3_1':0.0},(331,518):{'3_1':0.0,'4_1':0.0},(331,517):{'3_1':0.0},(331,516):{'3_1':0.0,'4_1':0.0},(331,515):{'3_1':0.0,'4_1':0.0},(331,514):{'3_1':0.0},(331,513):{'3_1':0.0,'4_1':0.0},(331,512):{'3_1':0.0},(331,511):{'3_1':0.03},(331,510):{'3_1':0.0},(331,509):{'3_1':0.0},(331,508):{'3_1':0.0,'4_1':0.0},(331,507):{'3_1':0.0,'4_1':0.0},(331,506):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(331,505):{'3_1':0.0},(331,503):{'3_1':0.0},(331,502):{'3_1':0.0,'4_1':0.0},(331,501):{'3_1':0.0,'8_3':0.0},(331,500):{'3_1':0.0},(331,499):{'3_1':0.0},(331,497):{'3_1':0.0},(331,496):{'3_1':0.0,'4_1':0.0},(331,495):{'3_1':0.0},(331,494):{'3_1':0.0},(331,493):{'3_1':0.0},(331,491):{'3_1':0.0},(331,490):{'3_1':0.03},(331,489):{'3_1':0.0},(331,488):{'3_1':0.03},(331,487):{'3_1':0.0,'4_1':0.0},(331,486):{'3_1':0.03},(331,485):{'3_1':0.0,'5_2':0.0},(331,484):{'3_1':0.0},(331,482):{'3_1':0.0},(331,481):{'3_1':0.0},(331,480):{'3_1':0.0},(331,479):{'3_1':0.0},(331,478):{'3_1':0.0},(331,477):{'3_1':0.0},(331,476):{'3_1':0.03,'5_2':0.0},(331,475):{'3_1':0.0},(331,474):{'3_1':0.0},(331,473):{'3_1':0.0},(331,472):{'3_1':0.0},(331,471):{'3_1':0.0},(331,470):{'3_1':0.03},(331,469):{'3_1':0.0},(331,468):{'3_1':0.0},(331,467):{'3_1':0.0},(331,466):{'3_1':0.0},(331,465):{'3_1':0.0},(331,463):{'3_1':0.0},(331,460):{'3_1':0.0},(331,459):{'3_1':0.0},(331,457):{'3_1':0.0},(331,456):{'3_1':0.0},(331,454):{'3_1':0.0},(331,451):{'3_1':0.0},(331,450):{'3_1':0.0},(331,449):{'3_1':0.0},(331,448):{'4_1':0.0},(331,447):{'3_1':0.0},(331,446):{'5_2':0.0},(331,445):{'3_1':0.0},(331,435):{'3_1':0.0},(331,434):{'3_1':0.0},(331,432):{'3_1':0.0},(331,430):{'3_1':0.0},(331,429):{'3_1':0.0},(331,428):{'3_1':0.0},(331,421):{'3_1':0.0},(331,417):{'3_1':0.0},(331,415):{'3_1':0.0},(331,414):{'3_1':0.0},(331,412):{'3_1':0.0},(331,409):{'3_1':0.0},(331,407):{'3_1':0.0},(331,406):{'3_1':0.0},(331,405):{'3_1':0.0},(331,404):{'3_1':0.0},(331,390):{'3_1':0.0},(331,387):{'3_1':0.0},(331,378):{'3_1':0.0},(331,377):{'3_1':0.0},(331,373):{'3_1':0.0},(331,368):{'3_1':0.0},(331,367):{'3_1':0.0},(331,365):{'3_1':0.0},(331,362):{'3_1':0.0},(331,358):{'3_1':0.0},(331,357):{'3_1':0.0},(331,356):{'3_1':0.0},(331,355):{'3_1':0.0},(331,354):{'3_1':0.0},(331,353):{'3_1':0.0},(331,352):{'3_1':0.0,'4_1':0.0},(331,351):{'3_1':0.0},(331,350):{'3_1':0.0},(331,349):{'3_1':0.0},(332,727):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(332,726):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(332,725):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(332,724):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(332,723):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(332,722):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(332,721):{'3_1':0.06,'4_1':0.0},(332,720):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,719):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(332,718):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(332,717):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(332,716):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(332,715):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(332,714):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(332,713):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,712):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(332,711):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(332,710):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_2':0.0,'6_2':0.0},(332,709):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,708):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_1':0.0},(332,707):{'3_1':0.06,'5_2':0.0,'8_1':0.0},(332,706):{'3_1':0.15,'4_1':0.0},(332,705):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(332,704):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'9_1':0.0},(332,703):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(332,702):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'6_1':0.0},(332,701):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(332,700):{'3_1':0.15,'5_2':0.0,'8_3':0.0},(332,699):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'-3':0.0},(332,698):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0},(332,697):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0},(332,696):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(332,695):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(332,694):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'8_1':0.0},(332,693):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,692):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(332,691):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(332,690):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(332,689):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(332,688):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(332,687):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(332,686):{'3_1':0.21,'4_1':0.0},(332,685):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(332,684):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(332,683):{'3_1':0.18,'4_1':0.03},(332,682):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(332,681):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(332,680):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0},(332,679):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(332,678):{'3_1':0.21,'4_1':0.0,'6_1':0.0},(332,677):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(332,676):{'3_1':0.18,'4_1':0.03},(332,675):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_1':0.0},(332,674):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(332,673):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(332,672):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,671):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,670):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(332,669):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0},(332,668):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(332,667):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(332,666):{'3_1':0.21},(332,665):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(332,664):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(332,663):{'3_1':0.09,'4_1':0.0},(332,662):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(332,661):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(332,660):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(332,659):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_2':0.0},(332,658):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(332,657):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(332,656):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'-3':0.0},(332,655):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(332,654):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0},(332,653):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_5':0.0},(332,652):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(332,651):{'3_1':0.15,'5_2':0.03},(332,650):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(332,649):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(332,648):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(332,647):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'9_1':0.0},(332,646):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(332,645):{'3_1':0.12,'5_2':0.0},(332,644):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(332,643):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(332,642):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,641):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(332,640):{'3_1':0.21,'5_1':0.06,'5_2':0.0},(332,639):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(332,638):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(332,637):{'3_1':0.15,'4_1':0.0},(332,636):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(332,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(332,634):{'3_1':0.12,'5_1':0.0},(332,633):{'3_1':0.09,'4_1':0.0},(332,632):{'3_1':0.15},(332,631):{'3_1':0.06},(332,630):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(332,629):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(332,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(332,627):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(332,626):{'3_1':0.09,'5_2':0.0},(332,625):{'3_1':0.12,'4_1':0.0},(332,624):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(332,623):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(332,622):{'3_1':0.12},(332,621):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,620):{'3_1':0.06,'5_1':0.0},(332,619):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(332,618):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(332,617):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(332,616):{'3_1':0.09,'4_1':0.0},(332,615):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(332,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(332,613):{'3_1':0.09,'5_1':0.0},(332,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(332,611):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(332,610):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(332,609):{'3_1':0.03,'4_1':0.0},(332,608):{'3_1':0.0,'4_1':0.0},(332,607):{'3_1':0.06,'6_2':0.0},(332,606):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(332,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(332,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(332,603):{'3_1':0.06,'4_1':0.0},(332,602):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(332,601):{'3_1':0.0},(332,600):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(332,599):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(332,598):{'3_1':0.0},(332,597):{'3_1':0.03},(332,596):{'3_1':0.03},(332,595):{'3_1':0.06},(332,594):{'3_1':0.0,'5_2':0.0},(332,593):{'3_1':0.0},(332,592):{'3_1':0.03},(332,591):{'3_1':0.0},(332,590):{'3_1':0.0},(332,589):{'3_1':0.03},(332,588):{'3_1':0.06},(332,587):{'3_1':0.0},(332,586):{'3_1':0.03},(332,585):{'3_1':0.0,'4_1':0.0},(332,584):{'3_1':0.03,'4_1':0.0},(332,583):{'3_1':0.0},(332,582):{'3_1':0.0},(332,581):{'3_1':0.0},(332,580):{'3_1':0.0},(332,579):{'3_1':0.0},(332,577):{'3_1':0.06,'5_1':0.0},(332,575):{'3_1':0.0},(332,574):{'3_1':0.0},(332,573):{'3_1':0.0,'4_1':0.0},(332,572):{'3_1':0.03},(332,571):{'3_1':0.0,'4_1':0.0},(332,570):{'3_1':0.0},(332,569):{'3_1':0.0},(332,568):{'3_1':0.0,'4_1':0.0},(332,567):{'3_1':0.0},(332,566):{'3_1':0.03,'4_1':0.0},(332,565):{'3_1':0.0},(332,564):{'3_1':0.0},(332,563):{'3_1':0.03,'4_1':0.0},(332,562):{'3_1':0.0},(332,560):{'3_1':0.0,'4_1':0.0},(332,559):{'3_1':0.0},(332,558):{'3_1':0.0},(332,557):{'3_1':0.0},(332,556):{'3_1':0.0},(332,555):{'3_1':0.0,'4_1':0.0},(332,554):{'3_1':0.03},(332,553):{'3_1':0.0,'4_1':0.0},(332,552):{'3_1':0.03},(332,551):{'3_1':0.0,'4_1':0.0},(332,550):{'3_1':0.0},(332,548):{'3_1':0.0},(332,547):{'3_1':0.0,'4_1':0.0},(332,543):{'3_1':0.0,'4_1':0.0},(332,540):{'3_1':0.03,'4_1':0.0},(332,539):{'3_1':0.03},(332,538):{'3_1':0.0,'4_1':0.0},(332,537):{'3_1':0.0,'4_1':0.0},(332,536):{'3_1':0.0},(332,535):{'3_1':0.03},(332,534):{'3_1':0.0},(332,533):{'3_1':0.0},(332,532):{'3_1':0.0},(332,531):{'3_1':0.0},(332,530):{'3_1':0.0,'4_1':0.0},(332,529):{'3_1':0.0,'4_1':0.0},(332,528):{'3_1':0.0},(332,527):{'3_1':0.0,'6_1':0.0},(332,526):{'3_1':0.0},(332,525):{'3_1':0.0,'4_1':0.0},(332,524):{'4_1':0.0},(332,523):{'3_1':0.03},(332,522):{'3_1':0.0},(332,521):{'3_1':0.0,'4_1':0.0},(332,520):{'3_1':0.0,'4_1':0.0},(332,519):{'3_1':0.0,'4_1':0.0},(332,518):{'3_1':0.03,'4_1':0.0},(332,516):{'3_1':0.0},(332,515):{'3_1':0.0,'4_1':0.0},(332,514):{'3_1':0.0},(332,512):{'3_1':0.0,'4_1':0.0},(332,511):{'3_1':0.0},(332,510):{'3_1':0.03},(332,509):{'4_1':0.0,'5_2':0.0},(332,508):{'3_1':0.03},(332,507):{'3_1':0.03},(332,506):{'3_1':0.03},(332,505):{'5_2':0.0},(332,504):{'3_1':0.0,'8_3':0.0},(332,503):{'3_1':0.0,'4_1':0.0},(332,502):{'3_1':0.0},(332,501):{'3_1':0.0},(332,500):{'3_1':0.0},(332,499):{'3_1':0.0},(332,498):{'3_1':0.0},(332,497):{'3_1':0.0},(332,496):{'3_1':0.0,'4_1':0.0},(332,495):{'4_1':0.0},(332,494):{'3_1':0.0},(332,493):{'4_1':0.0},(332,492):{'3_1':0.0,'4_1':0.0},(332,491):{'3_1':0.03},(332,490):{'3_1':0.0},(332,489):{'3_1':0.0,'4_1':0.0},(332,487):{'4_1':0.0},(332,486):{'3_1':0.0},(332,485):{'3_1':0.0},(332,484):{'3_1':0.03},(332,483):{'3_1':0.03},(332,482):{'3_1':0.03},(332,481):{'3_1':0.0},(332,480):{'3_1':0.0},(332,479):{'3_1':0.0},(332,478):{'3_1':0.03},(332,477):{'7_4':0.0},(332,476):{'3_1':0.03},(332,475):{'3_1':0.0},(332,474):{'3_1':0.0},(332,472):{'3_1':0.0},(332,471):{'3_1':0.0},(332,470):{'3_1':0.03},(332,469):{'3_1':0.0},(332,468):{'3_1':0.0},(332,467):{'3_1':0.0},(332,460):{'3_1':0.0},(332,459):{'3_1':0.0},(332,456):{'3_1':0.0},(332,446):{'4_1':0.0},(332,445):{'3_1':0.0},(332,443):{'3_1':0.0},(332,436):{'3_1':0.0},(332,434):{'3_1':0.0},(332,431):{'3_1':0.0},(332,429):{'3_1':0.0},(332,421):{'3_1':0.0},(332,419):{'3_1':0.0},(332,418):{'3_1':0.0},(332,416):{'3_1':0.0},(332,415):{'3_1':0.0},(332,414):{'3_1':0.0},(332,413):{'3_1':0.0},(332,412):{'3_1':0.0},(332,409):{'3_1':0.0},(332,406):{'3_1':0.0},(332,403):{'3_1':0.0},(332,388):{'3_1':0.0},(332,383):{'3_1':0.0},(332,376):{'3_1':0.0},(332,371):{'3_1':0.0},(332,369):{'3_1':0.0},(332,368):{'3_1':0.0},(332,366):{'3_1':0.0},(332,361):{'3_1':0.0},(332,360):{'3_1':0.0},(332,359):{'3_1':0.0},(332,358):{'3_1':0.03},(332,357):{'3_1':0.0},(332,356):{'3_1':0.0},(332,355):{'3_1':0.0},(332,354):{'3_1':0.0},(332,353):{'3_1':0.0},(332,352):{'3_1':0.03},(332,351):{'3_1':0.0},(332,349):{'3_1':0.0},(332,344):{'3_1':0.0},(332,342):{'3_1':0.0},(333,727):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(333,726):{'3_1':0.06,'4_1':0.0},(333,725):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_2':0.0},(333,724):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(333,723):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(333,722):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(333,721):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(333,720):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(333,719):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(333,718):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(333,717):{'3_1':0.06,'4_1':0.03},(333,716):{'3_1':0.06,'4_1':0.0,'7_1':0.0,'7_3':0.0},(333,715):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(333,714):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(333,713):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(333,712):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(333,711):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(333,710):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(333,709):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(333,708):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(333,707):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(333,706):{'3_1':0.18,'5_2':0.0},(333,705):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(333,704):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(333,703):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'6_1':0.0},(333,702):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(333,701):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(333,700):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(333,699):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(333,698):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(333,697):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(333,696):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(333,695):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(333,694):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(333,693):{'3_1':0.18,'4_1':0.0},(333,692):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(333,691):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(333,690):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(333,689):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(333,688):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0},(333,687):{'3_1':0.12,'4_1':0.03,'7_1':0.0},(333,686):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(333,685):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(333,684):{'3_1':0.12,'4_1':0.0},(333,683):{'3_1':0.15,'4_1':0.03},(333,682):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'7_6':0.0},(333,681):{'3_1':0.15,'4_1':0.0},(333,680):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(333,679):{'3_1':0.18,'4_1':0.0},(333,678):{'3_1':0.15,'4_1':0.0},(333,677):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(333,676):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(333,675):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(333,674):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(333,673):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(333,672):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(333,671):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(333,670):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(333,669):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(333,668):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(333,667):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(333,666):{'3_1':0.21,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_2':0.0},(333,665):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(333,664):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(333,663):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(333,662):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(333,661):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(333,660):{'3_1':0.12,'6_1':0.0,'5_2':0.0,'8_3':0.0},(333,659):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(333,658):{'3_1':0.18,'4_1':0.03,'7_5':0.0},(333,657):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(333,656):{'3_1':0.12,'4_1':0.0},(333,655):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(333,654):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(333,653):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(333,652):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_1':0.0},(333,651):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(333,650):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(333,649):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(333,648):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(333,647):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(333,646):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(333,645):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(333,644):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'6_2':0.0},(333,643):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'3_1#5_1':0.0},(333,642):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(333,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(333,640):{'3_1':0.27,'5_1':0.03,'4_1':0.0},(333,639):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(333,638):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(333,637):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(333,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(333,635):{'3_1':0.12,'5_1':0.0},(333,634):{'3_1':0.15,'5_1':0.0},(333,633):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(333,632):{'3_1':0.09,'5_1':0.0},(333,631):{'3_1':0.09,'5_1':0.0},(333,630):{'3_1':0.18,'5_1':0.0},(333,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(333,628):{'3_1':0.09,'5_1':0.0},(333,627):{'3_1':0.06},(333,626):{'3_1':0.12,'5_2':0.0},(333,625):{'3_1':0.09,'4_1':0.0},(333,624):{'3_1':0.09,'5_1':0.03},(333,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(333,622):{'3_1':0.12,'5_1':0.03,'8_20|3_1#3_1':0.0},(333,621):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(333,620):{'3_1':0.06,'5_1':0.0},(333,619):{'3_1':0.09,'5_1':0.0},(333,618):{'3_1':0.09,'5_1':0.03,'7_1':0.0},(333,617):{'3_1':0.15},(333,616):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(333,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(333,614):{'3_1':0.06},(333,613):{'3_1':0.09},(333,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(333,611):{'3_1':0.06},(333,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(333,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(333,608):{'3_1':0.03,'5_1':0.0},(333,607):{'3_1':0.03,'4_1':0.0},(333,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(333,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(333,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(333,603):{'3_1':0.03,'5_1':0.0},(333,602):{'3_1':0.03},(333,601):{'3_1':0.03},(333,600):{'3_1':0.0},(333,599):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(333,598):{'3_1':0.03},(333,597):{'3_1':0.0},(333,596):{'3_1':0.03},(333,595):{'3_1':0.03,'4_1':0.0},(333,594):{'3_1':0.0,'4_1':0.0},(333,593):{'3_1':0.03,'5_2':0.0},(333,592):{'3_1':0.0,'4_1':0.0},(333,591):{'3_1':0.0,'4_1':0.0},(333,590):{'3_1':0.03},(333,589):{'3_1':0.0},(333,588):{'3_1':0.03,'4_1':0.0},(333,587):{'3_1':0.03},(333,586):{'3_1':0.0},(333,585):{'3_1':0.0},(333,584):{'3_1':0.03,'5_2':0.0},(333,583):{'3_1':0.0,'5_2':0.0},(333,582):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(333,580):{'3_1':0.0},(333,579):{'3_1':0.03,'4_1':0.0},(333,577):{'3_1':0.0,'4_1':0.0},(333,576):{'3_1':0.0,'4_1':0.0},(333,575):{'3_1':0.0},(333,574):{'3_1':0.0},(333,573):{'3_1':0.0,'4_1':0.0},(333,572):{'3_1':0.0,'6_1':0.0},(333,571):{'3_1':0.0,'5_2':0.0},(333,570):{'3_1':0.0},(333,569):{'3_1':0.0},(333,568):{'6_1':0.0},(333,567):{'3_1':0.0},(333,566):{'3_1':0.0},(333,565):{'3_1':0.0,'4_1':0.0},(333,564):{'4_1':0.0},(333,563):{'3_1':0.0},(333,562):{'3_1':0.0},(333,561):{'4_1':0.0},(333,560):{'3_1':0.0},(333,559):{'6_1':0.0},(333,557):{'4_1':0.0},(333,555):{'3_1':0.0},(333,554):{'3_1':0.03,'4_1':0.0},(333,553):{'3_1':0.0,'6_1':0.0},(333,551):{'3_1':0.0,'4_1':0.0},(333,550):{'3_1':0.0},(333,549):{'4_1':0.0,'3_1':0.0},(333,548):{'3_1':0.0},(333,547):{'3_1':0.0},(333,546):{'3_1':0.0},(333,545):{'4_1':0.0},(333,543):{'3_1':0.03,'4_1':0.0},(333,542):{'3_1':0.0},(333,541):{'3_1':0.0},(333,540):{'3_1':0.03},(333,539):{'3_1':0.0},(333,538):{'3_1':0.0,'4_1':0.0},(333,537):{'3_1':0.0,'4_1':0.0},(333,536):{'3_1':0.0},(333,535):{'3_1':0.0,'4_1':0.0},(333,534):{'3_1':0.0},(333,533):{'3_1':0.0,'4_1':0.0},(333,532):{'3_1':0.0,'4_1':0.0},(333,531):{'3_1':0.0},(333,530):{'3_1':0.0},(333,529):{'3_1':0.0,'4_1':0.0},(333,528):{'3_1':0.03},(333,527):{'3_1':0.0},(333,526):{'3_1':0.0},(333,525):{'3_1':0.0},(333,524):{'3_1':0.0,'4_1':0.0},(333,523):{'3_1':0.0},(333,522):{'3_1':0.0,'4_1':0.0},(333,521):{'3_1':0.0,'4_1':0.0},(333,520):{'3_1':0.0},(333,519):{'3_1':0.0},(333,518):{'3_1':0.03},(333,516):{'3_1':0.0},(333,515):{'3_1':0.03,'4_1':0.0},(333,514):{'3_1':0.0,'4_1':0.0},(333,513):{'3_1':0.0},(333,512):{'4_1':0.0,'3_1':0.0},(333,511):{'3_1':0.0,'4_1':0.0},(333,510):{'3_1':0.0},(333,509):{'3_1':0.03},(333,508):{'3_1':0.0,'4_1':0.0},(333,507):{'3_1':0.03},(333,506):{'3_1':0.0,'4_1':0.0},(333,504):{'3_1':0.0},(333,503):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(333,502):{'3_1':0.0},(333,501):{'3_1':0.0,'4_1':0.0},(333,500):{'3_1':0.0},(333,499):{'3_1':0.0},(333,498):{'3_1':0.0},(333,496):{'3_1':0.0,'4_1':0.0},(333,495):{'3_1':0.0},(333,494):{'3_1':0.0},(333,492):{'3_1':0.0,'4_1':0.0},(333,491):{'3_1':0.0},(333,489):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(333,488):{'3_1':0.0,'4_1':0.0},(333,487):{'3_1':0.0,'4_1':0.0},(333,486):{'3_1':0.0},(333,485):{'3_1':0.0},(333,484):{'3_1':0.0},(333,483):{'3_1':0.03},(333,482):{'3_1':0.0},(333,481):{'3_1':0.0},(333,480):{'3_1':0.0},(333,479):{'3_1':0.0},(333,478):{'3_1':0.0},(333,477):{'3_1':0.0},(333,476):{'3_1':0.03},(333,475):{'3_1':0.0},(333,474):{'3_1':0.0},(333,473):{'3_1':0.0},(333,472):{'3_1':0.0},(333,470):{'3_1':0.0},(333,468):{'3_1':0.0},(333,467):{'3_1':0.03},(333,466):{'3_1':0.0},(333,464):{'3_1':0.0},(333,460):{'3_1':0.0},(333,459):{'3_1':0.0},(333,453):{'3_1':0.0},(333,450):{'3_1':0.0},(333,447):{'3_1':0.0},(333,445):{'3_1':0.0},(333,444):{'3_1':0.0},(333,434):{'3_1':0.0},(333,432):{'3_1':0.0},(333,431):{'3_1':0.0},(333,430):{'3_1':0.0},(333,428):{'3_1':0.0},(333,426):{'3_1':0.0},(333,425):{'3_1':0.0},(333,423):{'3_1':0.0},(333,421):{'3_1':0.0},(333,416):{'3_1':0.0},(333,415):{'3_1':0.0},(333,414):{'3_1':0.0},(333,413):{'3_1':0.0},(333,408):{'4_1':0.0},(333,406):{'7_4':0.0},(333,405):{'3_1':0.0},(333,404):{'3_1':0.0},(333,387):{'3_1':0.0},(333,385):{'3_1':0.0},(333,380):{'3_1':0.0},(333,377):{'3_1':0.0},(333,376):{'3_1':0.0},(333,373):{'3_1':0.0},(333,371):{'3_1':0.0},(333,369):{'3_1':0.0},(333,368):{'3_1':0.0},(333,366):{'3_1':0.0},(333,364):{'3_1':0.0},(333,362):{'3_1':0.0},(333,360):{'3_1':0.0},(333,359):{'3_1':0.0},(333,358):{'3_1':0.0},(333,357):{'3_1':0.03},(333,356):{'3_1':0.0},(333,355):{'3_1':0.0},(333,354):{'3_1':0.0},(333,353):{'3_1':0.0},(333,350):{'3_1':0.0},(333,342):{'3_1':0.0},(333,341):{'3_1':0.0},(334,727):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(334,726):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'3_1#5_2':0.0},(334,725):{'3_1':0.06,'4_1':0.0},(334,724):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,723):{'3_1':0.06,'5_1':0.0},(334,722):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(334,721):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(334,720):{'3_1':0.06,'4_1':0.0},(334,719):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(334,718):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0,'5_2':0.0},(334,717):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(334,716):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(334,715):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(334,714):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_7':0.0},(334,713):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(334,712):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,711):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(334,710):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,709):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(334,708):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(334,707):{'3_1':0.12},(334,706):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(334,705):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(334,704):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_1':0.0,'3_1#5_2':0.0},(334,703):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(334,702):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(334,701):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_1':0.0},(334,700):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0},(334,699):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(334,698):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(334,697):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(334,696):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(334,695):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_4':0.0},(334,694):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0},(334,693):{'3_1':0.15,'5_2':0.0},(334,692):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(334,691):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(334,690):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(334,689):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(334,688):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(334,687):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(334,686):{'3_1':0.15,'4_1':0.06,'5_2':0.03},(334,685):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(334,684):{'3_1':0.15,'5_2':0.0,'-3':0.0},(334,683):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(334,682):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0},(334,681):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(334,680):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(334,679):{'3_1':0.18,'8_3':0.0},(334,678):{'3_1':0.09,'6_1':0.0,'4_1':0.0,'5_2':0.0},(334,677):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(334,676):{'3_1':0.12,'4_1':0.0},(334,675):{'3_1':0.21,'5_1':0.0},(334,674):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(334,673):{'3_1':0.15,'4_1':0.0},(334,672):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(334,671):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(334,670):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,669):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(334,668):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(334,667):{'3_1':0.12,'5_2':0.0,'6_1':0.0,'7_3':0.0},(334,666):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(334,665):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(334,664):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(334,663):{'3_1':0.12,'4_1':0.0},(334,662):{'3_1':0.12,'4_1':0.0},(334,661):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(334,660):{'3_1':0.21,'5_2':0.0,'6_1':0.0,'4_1':0.0,'5_1':0.0},(334,659):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(334,658):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(334,657):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_1':0.0},(334,656):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(334,655):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(334,654):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(334,653):{'3_1':0.09},(334,652):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_1':0.0},(334,651):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(334,650):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(334,649):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(334,648):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,647):{'3_1':0.27,'5_2':0.03},(334,646):{'3_1':0.15,'5_1':0.0},(334,645):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,644):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,643):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(334,642):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(334,641):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'3_1#5_1':0.0,'3_1#5_2':0.0},(334,640):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,639):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(334,638):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(334,637):{'3_1':0.15,'5_1':0.0},(334,636):{'3_1':0.09,'5_1':0.0},(334,635):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(334,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(334,633):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(334,632):{'3_1':0.09,'5_1':0.0},(334,631):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(334,630):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'-3':0.0},(334,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(334,628):{'3_1':0.06,'5_1':0.0},(334,627):{'3_1':0.15,'4_1':0.0},(334,626):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(334,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(334,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,623):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(334,622):{'3_1':0.06,'5_1':0.0},(334,621):{'3_1':0.06,'5_1':0.0},(334,620):{'3_1':0.09,'4_1':0.0},(334,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,618):{'3_1':0.12,'5_1':0.0},(334,617):{'3_1':0.09,'5_1':0.0},(334,616):{'3_1':0.12,'5_1':0.0},(334,615):{'3_1':0.06},(334,614):{'3_1':0.09,'8_20|3_1#3_1':0.0,'5_1':0.0},(334,613):{'3_1':0.06},(334,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(334,611):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(334,610):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(334,609):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(334,608):{'3_1':0.03},(334,607):{'3_1':0.09},(334,606):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(334,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(334,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(334,603):{'3_1':0.03,'4_1':0.0},(334,602):{'3_1':0.0,'4_1':0.0},(334,601):{'3_1':0.03,'5_2':0.0},(334,600):{'3_1':0.0,'5_1':0.0},(334,599):{'3_1':0.0},(334,598):{'3_1':0.06},(334,597):{'3_1':0.03},(334,596):{'3_1':0.03},(334,595):{'3_1':0.0},(334,594):{'3_1':0.0,'4_1':0.0},(334,593):{'3_1':0.03},(334,592):{'3_1':0.0,'4_1':0.0},(334,591):{'3_1':0.03},(334,590):{'3_1':0.06,'5_2':0.0},(334,589):{'3_1':0.03,'4_1':0.0},(334,588):{'3_1':0.03},(334,587):{'3_1':0.0},(334,586):{'3_1':0.0,'4_1':0.0},(334,585):{'3_1':0.0},(334,584):{'3_1':0.03},(334,583):{'3_1':0.0},(334,582):{'3_1':0.03},(334,581):{'3_1':0.0},(334,580):{'3_1':0.0},(334,579):{'3_1':0.0},(334,578):{'3_1':0.03,'5_2':0.0},(334,577):{'3_1':0.0},(334,576):{'3_1':0.0,'4_1':0.0},(334,575):{'3_1':0.0},(334,574):{'3_1':0.0},(334,573):{'3_1':0.0},(334,572):{'3_1':0.0},(334,571):{'3_1':0.0,'4_1':0.0},(334,570):{'3_1':0.03},(334,569):{'3_1':0.0},(334,568):{'3_1':0.06},(334,567):{'3_1':0.0,'4_1':0.0},(334,566):{'3_1':0.0},(334,564):{'3_1':0.0},(334,563):{'3_1':0.0,'4_1':0.0},(334,562):{'3_1':0.0},(334,561):{'3_1':0.0},(334,560):{'3_1':0.0},(334,559):{'3_1':0.0},(334,558):{'3_1':0.0},(334,557):{'3_1':0.0},(334,556):{'3_1':0.0},(334,555):{'3_1':0.03},(334,554):{'3_1':0.0},(334,553):{'3_1':0.0,'4_1':0.0},(334,552):{'3_1':0.0,'4_1':0.0},(334,551):{'3_1':0.0,'4_1':0.0},(334,550):{'3_1':0.03},(334,549):{'3_1':0.0,'4_1':0.0},(334,548):{'3_1':0.0},(334,547):{'3_1':0.0},(334,546):{'3_1':0.0,'4_1':0.0},(334,544):{'4_1':0.0},(334,543):{'3_1':0.03},(334,542):{'3_1':0.0},(334,541):{'3_1':0.0},(334,540):{'3_1':0.0},(334,539):{'4_1':0.0,'3_1':0.0},(334,538):{'3_1':0.0},(334,537):{'3_1':0.0,'4_1':0.0},(334,536):{'3_1':0.03,'4_1':0.0},(334,535):{'3_1':0.03,'4_1':0.0},(334,534):{'3_1':0.0,'4_1':0.0},(334,533):{'3_1':0.0},(334,532):{'3_1':0.0,'4_1':0.0},(334,531):{'4_1':0.0,'3_1':0.0},(334,530):{'3_1':0.0,'4_1':0.0},(334,529):{'3_1':0.03},(334,528):{'3_1':0.0},(334,527):{'3_1':0.0,'5_2':0.0},(334,525):{'3_1':0.0,'4_1':0.0},(334,524):{'3_1':0.0},(334,523):{'3_1':0.0,'4_1':0.0},(334,522):{'4_1':0.0,'3_1':0.0},(334,521):{'4_1':0.0,'3_1':0.0},(334,520):{'3_1':0.03,'4_1':0.0},(334,519):{'3_1':0.03,'4_1':0.0},(334,518):{'3_1':0.0},(334,517):{'3_1':0.0,'4_1':0.0},(334,515):{'3_1':0.0,'4_1':0.0},(334,514):{'3_1':0.03},(334,513):{'3_1':0.0},(334,512):{'3_1':0.0},(334,511):{'3_1':0.0},(334,510):{'3_1':0.0},(334,509):{'3_1':0.0,'4_1':0.0},(334,508):{'3_1':0.0,'4_1':0.0},(334,507):{'3_1':0.0},(334,506):{'3_1':0.0},(334,505):{'3_1':0.0},(334,504):{'3_1':0.0},(334,503):{'3_1':0.0},(334,502):{'3_1':0.0},(334,501):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'-3':0.0},(334,500):{'3_1':0.03},(334,499):{'3_1':0.0},(334,498):{'3_1':0.0},(334,497):{'3_1':0.03},(334,496):{'3_1':0.03},(334,495):{'3_1':0.0},(334,494):{'3_1':0.0,'4_1':0.0},(334,493):{'3_1':0.0,'4_1':0.0},(334,491):{'3_1':0.0},(334,490):{'3_1':0.0,'4_1':0.0},(334,489):{'3_1':0.0},(334,488):{'3_1':0.0},(334,487):{'4_1':0.0},(334,486):{'3_1':0.0,'4_1':0.0},(334,485):{'3_1':0.0},(334,484):{'3_1':0.0},(334,483):{'3_1':0.03,'4_1':0.0},(334,482):{'3_1':0.0,'5_1':0.0},(334,481):{'3_1':0.0},(334,480):{'3_1':0.03},(334,479):{'3_1':0.0,'5_2':0.0},(334,477):{'3_1':0.0},(334,476):{'3_1':0.0},(334,475):{'3_1':0.0},(334,474):{'3_1':0.0},(334,473):{'3_1':0.0},(334,472):{'3_1':0.0},(334,471):{'3_1':0.0},(334,470):{'3_1':0.0},(334,469):{'3_1':0.0},(334,467):{'3_1':0.0},(334,466):{'3_1':0.0},(334,465):{'3_1':0.0},(334,458):{'3_1':0.0},(334,457):{'3_1':0.0},(334,456):{'3_1':0.0},(334,451):{'3_1':0.0},(334,449):{'3_1':0.0},(334,448):{'3_1':0.0},(334,446):{'3_1':0.0},(334,436):{'3_1':0.0},(334,433):{'3_1':0.0},(334,432):{'3_1':0.0},(334,431):{'3_1':0.0},(334,430):{'3_1':0.0},(334,429):{'3_1':0.0},(334,428):{'3_1':0.0},(334,427):{'3_1':0.0},(334,418):{'3_1':0.0},(334,417):{'3_1':0.0},(334,416):{'3_1':0.0},(334,414):{'3_1':0.0},(334,413):{'3_1':0.0},(334,412):{'3_1':0.0},(334,407):{'3_1':0.0},(334,406):{'3_1':0.0},(334,390):{'3_1':0.0},(334,386):{'3_1':0.0},(334,380):{'3_1':0.0},(334,379):{'3_1':0.0},(334,375):{'3_1':0.0},(334,374):{'3_1':0.0},(334,373):{'3_1':0.0},(334,369):{'3_1':0.0},(334,367):{'3_1':0.0},(334,366):{'3_1':0.0},(334,365):{'3_1':0.0},(334,363):{'3_1':0.0},(334,359):{'3_1':0.0},(334,358):{'3_1':0.0},(334,357):{'3_1':0.0},(334,356):{'3_1':0.03},(334,355):{'3_1':0.0},(334,354):{'3_1':0.0},(334,353):{'3_1':0.0},(334,352):{'3_1':0.0},(334,348):{'3_1':0.0},(334,346):{'3_1':0.0},(334,338):{'3_1':0.0},(335,727):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(335,726):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(335,725):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(335,724):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(335,723):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(335,722):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(335,721):{'3_1':0.15,'4_1':0.0},(335,720):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(335,719):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(335,718):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(335,717):{'3_1':0.09,'4_1':0.03},(335,716):{'3_1':0.09,'4_1':0.0},(335,715):{'3_1':0.03,'4_1':0.03},(335,714):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(335,713):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(335,712):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(335,711):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(335,710):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(335,709):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(335,708):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(335,707):{'3_1':0.15,'4_1':0.0,'6_2':0.0,'7_2':0.0},(335,706):{'3_1':0.15,'4_1':0.0},(335,705):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(335,704):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(335,703):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(335,702):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'6_1':0.0},(335,701):{'3_1':0.18,'5_2':0.06,'5_1':0.0},(335,700):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(335,699):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_2':0.0,'9_1':0.0},(335,698):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(335,697):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(335,696):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'4_1':0.0},(335,695):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(335,694):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_1':0.0},(335,693):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(335,692):{'3_1':0.09,'5_2':0.06,'6_1':0.0},(335,691):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(335,690):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(335,689):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(335,688):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(335,687):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'8_4':0.0},(335,686):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_11':0.0},(335,685):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'9_1':0.0},(335,684):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(335,683):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(335,682):{'3_1':0.21,'6_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'9_1':0.0},(335,681):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(335,680):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(335,679):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(335,678):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(335,677):{'3_1':0.15,'5_2':0.0},(335,676):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(335,675):{'3_1':0.18,'4_1':0.0},(335,674):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(335,673):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(335,672):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(335,671):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(335,670):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(335,669):{'3_1':0.15,'6_2':0.0},(335,668):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_1':0.0,'5_2':0.0},(335,667):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(335,666):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(335,665):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(335,664):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(335,663):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(335,662):{'3_1':0.15,'6_1':0.0},(335,661):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(335,660):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(335,659):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(335,658):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(335,657):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(335,656):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(335,655):{'3_1':0.21},(335,654):{'3_1':0.21,'4_1':0.03,'5_2':0.03},(335,653):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(335,652):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_7':0.0},(335,651):{'3_1':0.21,'4_1':0.0},(335,650):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(335,649):{'3_1':0.15,'5_2':0.03},(335,648):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(335,647):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_4':0.0},(335,646):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(335,645):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(335,644):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(335,643):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(335,642):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(335,641):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(335,640):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'7_3':0.0},(335,639):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(335,638):{'3_1':0.12},(335,637):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(335,636):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(335,635):{'3_1':0.09,'5_1':0.0},(335,634):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(335,633):{'3_1':0.12},(335,632):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(335,631):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(335,630):{'3_1':0.12,'5_1':0.0},(335,629):{'3_1':0.09},(335,628):{'3_1':0.12},(335,627):{'3_1':0.09,'5_1':0.0},(335,626):{'3_1':0.09,'4_1':0.0},(335,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(335,624):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(335,623):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(335,622):{'3_1':0.15,'4_1':0.0},(335,621):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(335,620):{'3_1':0.09,'4_1':0.0},(335,619):{'3_1':0.09,'4_1':0.0},(335,618):{'3_1':0.09,'5_1':0.0},(335,617):{'3_1':0.15},(335,616):{'3_1':0.09,'5_1':0.0},(335,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(335,614):{'3_1':0.09,'4_1':0.0},(335,613):{'3_1':0.09,'5_1':0.0},(335,612):{'3_1':0.03,'4_1':0.0},(335,611):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(335,610):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(335,609):{'3_1':0.0},(335,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(335,607):{'3_1':0.06},(335,606):{'3_1':0.03,'6_2':0.0},(335,605):{'3_1':0.06,'4_1':0.0},(335,604):{'3_1':0.03},(335,603):{'3_1':0.0,'5_2':0.0},(335,602):{'3_1':0.0},(335,601):{'3_1':0.03,'4_1':0.0},(335,600):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(335,599):{'3_1':0.0},(335,598):{'3_1':0.06},(335,597):{'3_1':0.06},(335,596):{'3_1':0.03,'4_1':0.0},(335,595):{'3_1':0.03,'4_1':0.0},(335,594):{'3_1':0.03,'4_1':0.0},(335,593):{'3_1':0.03},(335,591):{'3_1':0.03},(335,590):{'3_1':0.06},(335,589):{'3_1':0.03,'4_1':0.0},(335,588):{'3_1':0.0},(335,587):{'3_1':0.0},(335,586):{'3_1':0.0},(335,585):{'3_1':0.0,'4_1':0.0},(335,583):{'3_1':0.0},(335,582):{'3_1':0.03},(335,581):{'3_1':0.0},(335,580):{'3_1':0.03},(335,579):{'3_1':0.0},(335,578):{'3_1':0.0,'4_1':0.0},(335,577):{'3_1':0.0,'4_1':0.0},(335,576):{'3_1':0.0},(335,575):{'3_1':0.0,'4_1':0.0},(335,574):{'3_1':0.0},(335,573):{'3_1':0.0},(335,572):{'3_1':0.0},(335,571):{'3_1':0.0,'4_1':0.0},(335,568):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(335,566):{'4_1':0.0},(335,565):{'3_1':0.0},(335,564):{'3_1':0.0},(335,563):{'3_1':0.0},(335,562):{'3_1':0.0},(335,561):{'4_1':0.0},(335,560):{'3_1':0.0},(335,559):{'3_1':0.0},(335,558):{'3_1':0.0},(335,557):{'3_1':0.0},(335,556):{'3_1':0.0},(335,554):{'3_1':0.0},(335,553):{'3_1':0.0},(335,552):{'3_1':0.0},(335,551):{'3_1':0.0},(335,550):{'3_1':0.0},(335,549):{'3_1':0.0,'4_1':0.0},(335,548):{'4_1':0.0},(335,546):{'3_1':0.0,'6_1':0.0},(335,545):{'3_1':0.0},(335,544):{'3_1':0.03},(335,543):{'3_1':0.0},(335,542):{'3_1':0.0,'4_1':0.0},(335,541):{'3_1':0.0},(335,540):{'3_1':0.0},(335,539):{'3_1':0.0},(335,538):{'3_1':0.0,'4_1':0.0},(335,537):{'3_1':0.0,'6_2':0.0},(335,536):{'3_1':0.0},(335,535):{'3_1':0.0},(335,534):{'3_1':0.03,'4_1':0.0},(335,533):{'3_1':0.03},(335,532):{'3_1':0.03,'4_1':0.0},(335,531):{'3_1':0.0,'4_1':0.0},(335,530):{'3_1':0.0,'4_1':0.0},(335,529):{'3_1':0.03},(335,528):{'3_1':0.0,'4_1':0.0},(335,527):{'3_1':0.0},(335,526):{'3_1':0.0,'5_2':0.0},(335,525):{'3_1':0.0},(335,524):{'3_1':0.0,'4_1':0.0},(335,523):{'3_1':0.0},(335,522):{'3_1':0.0,'4_1':0.0},(335,521):{'3_1':0.0},(335,520):{'3_1':0.0,'4_1':0.0},(335,519):{'3_1':0.0,'4_1':0.0},(335,518):{'3_1':0.03,'4_1':0.0},(335,517):{'3_1':0.0,'4_1':0.0},(335,516):{'3_1':0.0},(335,515):{'3_1':0.0,'5_1':0.0},(335,514):{'3_1':0.0,'4_1':0.0},(335,513):{'3_1':0.0,'4_1':0.0},(335,511):{'3_1':0.0},(335,510):{'3_1':0.0},(335,509):{'3_1':0.0},(335,508):{'3_1':0.0,'4_1':0.0},(335,507):{'3_1':0.03},(335,506):{'3_1':0.0},(335,505):{'3_1':0.0},(335,504):{'3_1':0.0},(335,503):{'3_1':0.03},(335,502):{'3_1':0.0},(335,501):{'3_1':0.0,'4_1':0.0},(335,500):{'3_1':0.03},(335,499):{'3_1':0.0},(335,498):{'3_1':0.0},(335,497):{'3_1':0.0},(335,495):{'3_1':0.0},(335,494):{'3_1':0.0,'6_2':0.0},(335,493):{'3_1':0.0},(335,491):{'3_1':0.0},(335,490):{'3_1':0.0},(335,489):{'3_1':0.0},(335,488):{'3_1':0.03},(335,487):{'3_1':0.0},(335,486):{'3_1':0.0},(335,485):{'3_1':0.0},(335,484):{'3_1':0.0},(335,483):{'3_1':0.0},(335,482):{'3_1':0.0,'5_2':0.0},(335,481):{'3_1':0.0,'4_1':0.0},(335,480):{'3_1':0.0},(335,479):{'3_1':0.0},(335,478):{'3_1':0.0},(335,477):{'3_1':0.0},(335,476):{'3_1':0.03},(335,475):{'3_1':0.0},(335,474):{'3_1':0.0},(335,472):{'3_1':0.03},(335,471):{'3_1':0.0},(335,469):{'3_1':0.0},(335,468):{'3_1':0.0},(335,467):{'3_1':0.0},(335,466):{'3_1':0.0,'4_1':0.0},(335,465):{'3_1':0.0},(335,464):{'3_1':0.0},(335,463):{'3_1':0.0},(335,460):{'3_1':0.0},(335,457):{'3_1':0.0},(335,456):{'3_1':0.0},(335,455):{'3_1':0.0},(335,454):{'3_1':0.0},(335,450):{'3_1':0.0},(335,444):{'3_1':0.0},(335,435):{'3_1':0.0},(335,434):{'3_1':0.0},(335,433):{'3_1':0.0},(335,430):{'3_1':0.0},(335,428):{'3_1':0.0},(335,423):{'3_1':0.0},(335,416):{'3_1':0.0},(335,408):{'3_1':0.0},(335,378):{'3_1':0.0},(335,377):{'3_1':0.0},(335,371):{'3_1':0.0},(335,368):{'3_1':0.0},(335,365):{'3_1':0.0},(335,363):{'3_1':0.0},(335,360):{'3_1':0.0},(335,359):{'3_1':0.0},(335,358):{'3_1':0.0},(335,357):{'3_1':0.0},(335,356):{'3_1':0.0},(335,355):{'3_1':0.0},(335,354):{'3_1':0.0},(335,353):{'3_1':0.03},(335,352):{'3_1':0.0},(335,351):{'3_1':0.0},(335,350):{'3_1':0.0},(335,349):{'3_1':0.0},(335,346):{'3_1':0.0},(335,343):{'3_1':0.0},(336,727):{'3_1':0.06,'4_1':0.0},(336,726):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(336,725):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(336,724):{'3_1':0.03,'4_1':0.0},(336,723):{'3_1':0.06,'4_1':0.0},(336,722):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(336,721):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'6_1':0.0},(336,720):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(336,719):{'3_1':0.06,'4_1':0.03},(336,718):{'3_1':0.12,'4_1':0.03},(336,717):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(336,716):{'3_1':0.09,'4_1':0.0},(336,715):{'3_1':0.06,'5_1':0.0},(336,714):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(336,713):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(336,712):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(336,711):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(336,710):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(336,709):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(336,708):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_2':0.0},(336,707):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(336,706):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(336,705):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0},(336,704):{'3_1':0.12,'5_2':0.03},(336,703):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(336,702):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(336,701):{'3_1':0.18,'5_2':0.0,'7_1':0.0},(336,700):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'5_2':0.0},(336,699):{'3_1':0.18,'5_2':0.03,'9_1':0.0},(336,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(336,697):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(336,696):{'3_1':0.09,'5_2':0.0,'8_21|3_1#4_1':0.0},(336,695):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(336,694):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(336,693):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(336,692):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(336,691):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(336,690):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(336,689):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(336,688):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(336,687):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(336,686):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(336,685):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(336,684):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(336,683):{'3_1':0.21,'4_1':0.0,'7_7':0.0},(336,682):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(336,681):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(336,680):{'3_1':0.18,'5_1':0.0},(336,679):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_2':0.0},(336,678):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(336,677):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(336,676):{'3_1':0.12,'5_2':0.0},(336,675):{'3_1':0.12,'4_1':0.03,'6_1':0.0,'5_2':0.0},(336,674):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(336,673):{'3_1':0.18,'4_1':0.03},(336,672):{'3_1':0.18,'4_1':0.0,'6_1':0.0,'5_2':0.0},(336,671):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(336,670):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(336,669):{'3_1':0.09,'4_1':0.0},(336,668):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(336,667):{'3_1':0.12,'4_1':0.03},(336,666):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(336,665):{'3_1':0.09,'5_2':0.0},(336,664):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(336,663):{'3_1':0.12,'4_1':0.0},(336,662):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(336,661):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(336,660):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(336,659):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(336,658):{'3_1':0.12,'4_1':0.0},(336,657):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(336,656):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(336,655):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(336,654):{'3_1':0.09,'4_1':0.0},(336,653):{'3_1':0.21,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(336,652):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(336,651):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(336,650):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(336,649):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(336,648):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(336,647):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(336,646):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(336,645):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(336,644):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(336,643):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(336,642):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(336,641):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(336,640):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(336,639):{'3_1':0.15,'5_1':0.0},(336,638):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(336,637):{'3_1':0.12,'5_1':0.03},(336,636):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(336,635):{'3_1':0.18,'4_1':0.0,'7_2':0.0},(336,634):{'3_1':0.15,'4_1':0.0},(336,633):{'3_1':0.12,'4_1':0.0},(336,632):{'3_1':0.15,'4_1':0.0},(336,631):{'3_1':0.12,'5_1':0.0},(336,630):{'3_1':0.12,'4_1':0.0},(336,629):{'3_1':0.06,'5_1':0.0},(336,628):{'3_1':0.06,'5_1':0.0},(336,627):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(336,626):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(336,625):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(336,624):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(336,623):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(336,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(336,621):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(336,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(336,619):{'3_1':0.06,'4_1':0.0},(336,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(336,617):{'3_1':0.06},(336,616):{'3_1':0.12},(336,615):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(336,614):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(336,613):{'3_1':0.06,'4_1':0.0},(336,612):{'3_1':0.03,'5_1':0.0},(336,611):{'3_1':0.06,'4_1':0.0},(336,610):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(336,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(336,608):{'3_1':0.0},(336,607):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(336,606):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(336,605):{'3_1':0.0,'4_1':0.0},(336,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(336,603):{'3_1':0.03,'4_1':0.0},(336,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(336,601):{'3_1':0.0,'5_2':0.0},(336,600):{'3_1':0.0},(336,599):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(336,598):{'3_1':0.0,'4_1':0.0},(336,597):{'3_1':0.0},(336,596):{'3_1':0.0,'4_1':0.0},(336,595):{'3_1':0.0,'4_1':0.0},(336,594):{'3_1':0.03},(336,593):{'3_1':0.0},(336,592):{'3_1':0.0},(336,591):{'3_1':0.0},(336,590):{'3_1':0.0},(336,589):{'3_1':0.0},(336,588):{'3_1':0.03},(336,587):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_7':0.0},(336,586):{'3_1':0.0},(336,585):{'3_1':0.0,'4_1':0.0},(336,584):{'3_1':0.0},(336,583):{'4_1':0.0},(336,582):{'3_1':0.0},(336,581):{'3_1':0.0},(336,580):{'3_1':0.0},(336,578):{'3_1':0.0},(336,577):{'3_1':0.0,'4_1':0.0},(336,576):{'3_1':0.0},(336,575):{'3_1':0.06},(336,574):{'3_1':0.0,'4_1':0.0},(336,573):{'4_1':0.0,'5_1':0.0},(336,572):{'4_1':0.0},(336,571):{'3_1':0.0},(336,570):{'3_1':0.0},(336,569):{'3_1':0.0},(336,568):{'3_1':0.0},(336,567):{'3_1':0.0},(336,566):{'3_1':0.0},(336,565):{'3_1':0.0},(336,564):{'3_1':0.0},(336,563):{'3_1':0.0,'5_2':0.0},(336,562):{'3_1':0.0},(336,561):{'3_1':0.0,'4_1':0.0},(336,560):{'3_1':0.03},(336,559):{'3_1':0.0,'6_1':0.0},(336,558):{'3_1':0.0,'4_1':0.0},(336,557):{'3_1':0.0},(336,556):{'3_1':0.0,'5_2':0.0},(336,555):{'3_1':0.0},(336,554):{'3_1':0.0},(336,553):{'3_1':0.0,'4_1':0.0},(336,552):{'3_1':0.0,'4_1':0.0},(336,550):{'3_1':0.0},(336,548):{'3_1':0.0},(336,547):{'3_1':0.03,'4_1':0.0},(336,546):{'3_1':0.0},(336,545):{'3_1':0.0},(336,544):{'5_2':0.0},(336,543):{'4_1':0.0,'6_1':0.0},(336,541):{'3_1':0.0},(336,540):{'3_1':0.0,'4_1':0.0},(336,537):{'3_1':0.03},(336,535):{'3_1':0.0},(336,534):{'3_1':0.0,'4_1':0.0},(336,533):{'3_1':0.0},(336,532):{'3_1':0.0,'4_1':0.0},(336,531):{'3_1':0.0,'4_1':0.0},(336,530):{'3_1':0.0,'4_1':0.0},(336,529):{'3_1':0.0,'4_1':0.0},(336,528):{'3_1':0.0},(336,527):{'3_1':0.0,'4_1':0.0},(336,526):{'3_1':0.0,'5_1':0.0},(336,525):{'4_1':0.0,'3_1':0.0},(336,524):{'4_1':0.0},(336,523):{'3_1':0.03,'4_1':0.0},(336,522):{'3_1':0.0},(336,521):{'3_1':0.0,'4_1':0.0},(336,520):{'4_1':0.0},(336,518):{'3_1':0.03},(336,517):{'3_1':0.0},(336,516):{'3_1':0.0},(336,515):{'3_1':0.03},(336,514):{'3_1':0.0},(336,513):{'3_1':0.0},(336,512):{'3_1':0.03},(336,511):{'3_1':0.0},(336,509):{'3_1':0.0},(336,508):{'3_1':0.03,'4_1':0.0},(336,507):{'3_1':0.0,'6_2':0.0},(336,505):{'3_1':0.0},(336,504):{'7_2':0.0},(336,503):{'3_1':0.0},(336,502):{'3_1':0.0},(336,501):{'3_1':0.0,'4_1':0.0},(336,500):{'3_1':0.03},(336,499):{'3_1':0.0},(336,498):{'3_1':0.0,'4_1':0.0},(336,497):{'3_1':0.0},(336,496):{'3_1':0.0},(336,495):{'3_1':0.0,'4_1':0.0},(336,494):{'3_1':0.0,'4_1':0.0},(336,493):{'3_1':0.0},(336,492):{'3_1':0.0},(336,491):{'3_1':0.0},(336,490):{'3_1':0.0},(336,489):{'3_1':0.0},(336,488):{'3_1':0.0},(336,487):{'3_1':0.0},(336,486):{'3_1':0.0},(336,484):{'3_1':0.03},(336,483):{'3_1':0.0},(336,482):{'3_1':0.0},(336,481):{'3_1':0.0,'6_2':0.0},(336,480):{'3_1':0.0},(336,479):{'3_1':0.0},(336,478):{'4_1':0.0},(336,477):{'3_1':0.0},(336,476):{'3_1':0.0},(336,475):{'3_1':0.0},(336,473):{'3_1':0.0},(336,472):{'3_1':0.0},(336,470):{'3_1':0.0},(336,469):{'3_1':0.0},(336,468):{'3_1':0.0},(336,467):{'3_1':0.0},(336,465):{'3_1':0.0},(336,464):{'3_1':0.03},(336,463):{'3_1':0.0},(336,456):{'3_1':0.0},(336,452):{'3_1':0.0},(336,450):{'3_1':0.0},(336,430):{'3_1':0.0},(336,429):{'3_1':0.0},(336,427):{'3_1':0.0},(336,423):{'3_1':0.0},(336,422):{'3_1':0.0},(336,420):{'3_1':0.0},(336,417):{'3_1':0.0},(336,416):{'3_1':0.0},(336,367):{'3_1':0.0},(336,365):{'3_1':0.0},(336,364):{'3_1':0.0},(336,359):{'3_1':0.0},(336,358):{'3_1':0.0},(336,357):{'3_1':0.0},(336,356):{'3_1':0.0,'4_1':0.0},(336,354):{'3_1':0.0},(336,353):{'3_1':0.0},(336,343):{'3_1':0.0},(336,342):{'3_1':0.0},(337,727):{'3_1':0.03,'7_1':0.0},(337,726):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(337,725):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(337,724):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(337,723):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(337,722):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,721):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(337,720):{'3_1':0.06,'5_2':0.0},(337,719):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(337,718):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(337,717):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(337,716):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(337,715):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(337,714):{'3_1':0.09,'5_2':0.0},(337,713):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(337,712):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(337,711):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(337,710):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(337,709):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(337,708):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(337,707):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(337,706):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(337,705):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(337,704):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(337,703):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(337,702):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(337,701):{'3_1':0.12,'5_2':0.09,'4_1':0.0},(337,700):{'3_1':0.18,'5_2':0.06,'4_1':0.0},(337,699):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(337,698):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'4_1':0.0},(337,697):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0},(337,696):{'3_1':0.12},(337,695):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(337,694):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,693):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(337,692):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_4':0.0},(337,691):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(337,690):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(337,689):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(337,688):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(337,687):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(337,686):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,685):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(337,684):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(337,683):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(337,682):{'3_1':0.12},(337,681):{'3_1':0.12},(337,680):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,679):{'3_1':0.21,'4_1':0.0},(337,678):{'3_1':0.15,'4_1':0.0},(337,677):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(337,676):{'3_1':0.15,'4_1':0.0},(337,675):{'3_1':0.12,'4_1':0.0},(337,674):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,673):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(337,672):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(337,671):{'3_1':0.15,'5_1':0.0},(337,670):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(337,669):{'3_1':0.12,'5_2':0.0},(337,668):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(337,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,666):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(337,665):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_4':0.0},(337,664):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(337,663):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(337,662):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(337,661):{'3_1':0.12,'4_1':0.0},(337,660):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(337,659):{'3_1':0.21},(337,658):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(337,657):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(337,656):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(337,655):{'3_1':0.15,'5_2':0.0},(337,654):{'3_1':0.18,'4_1':0.0},(337,653):{'3_1':0.15,'4_1':0.0,'7_1':0.0},(337,652):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0},(337,651):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(337,650):{'3_1':0.18,'5_2':0.03},(337,649):{'3_1':0.18,'5_2':0.03,'8_20|3_1#3_1':0.0},(337,648):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(337,647):{'3_1':0.27,'5_2':0.03,'5_1':0.0},(337,646):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_1':0.0},(337,645):{'3_1':0.33,'5_1':0.03,'5_2':0.0},(337,644):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,643):{'3_1':0.27,'5_1':0.0,'7_1':0.0},(337,642):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(337,641):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(337,640):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'7_1':0.0},(337,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(337,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,637):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(337,636):{'3_1':0.09,'5_1':0.0},(337,635):{'3_1':0.18,'5_1':0.0,'8_20|3_1#3_1':0.0},(337,634):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(337,633):{'3_1':0.15},(337,632):{'3_1':0.06,'5_2':0.0},(337,631):{'3_1':0.09,'5_1':0.0},(337,630):{'3_1':0.21,'5_1':0.0},(337,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(337,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(337,627):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(337,626):{'3_1':0.12,'5_1':0.0},(337,625):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(337,624):{'3_1':0.12,'5_1':0.0},(337,623):{'3_1':0.18,'4_1':0.0,'7_4':0.0},(337,622):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(337,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0},(337,620):{'3_1':0.09,'5_1':0.0},(337,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(337,618):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(337,617):{'3_1':0.06},(337,616):{'3_1':0.06,'5_1':0.0},(337,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(337,614):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(337,613):{'3_1':0.06,'5_1':0.0},(337,612):{'3_1':0.03,'6_1':0.0},(337,611):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(337,610):{'3_1':0.0,'5_1':0.0},(337,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(337,608):{'3_1':0.0},(337,607):{'3_1':0.06},(337,606):{'3_1':0.06,'5_1':0.0},(337,605):{'3_1':0.03,'4_1':0.0},(337,604):{'3_1':0.03,'5_1':0.0},(337,603):{'3_1':0.03,'4_1':0.0},(337,602):{'3_1':0.03,'4_1':0.0},(337,601):{'3_1':0.0,'4_1':0.0},(337,600):{'3_1':0.03,'4_1':0.0},(337,599):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(337,598):{'3_1':0.06,'6_1':0.0},(337,597):{'3_1':0.03},(337,596):{'3_1':0.0},(337,595):{'3_1':0.06},(337,594):{'3_1':0.03,'4_1':0.0},(337,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(337,592):{'3_1':0.0,'4_1':0.0},(337,591):{'3_1':0.03},(337,590):{'3_1':0.0,'4_1':0.0},(337,589):{'3_1':0.03},(337,588):{'3_1':0.0},(337,587):{'3_1':0.0},(337,586):{'3_1':0.0,'4_1':0.0},(337,585):{'3_1':0.03},(337,584):{'3_1':0.0},(337,583):{'3_1':0.0,'4_1':0.0},(337,582):{'4_1':0.0},(337,581):{'3_1':0.0},(337,580):{'3_1':0.0},(337,579):{'3_1':0.0},(337,578):{'3_1':0.0},(337,577):{'3_1':0.0},(337,576):{'3_1':0.0,'4_1':0.0},(337,575):{'3_1':0.0},(337,574):{'3_1':0.0},(337,573):{'3_1':0.0,'4_1':0.0},(337,572):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_1':0.0},(337,571):{'3_1':0.0},(337,569):{'3_1':0.0,'6_1':0.0},(337,568):{'3_1':0.0},(337,567):{'3_1':0.0},(337,566):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(337,564):{'3_1':0.0,'4_1':0.0},(337,563):{'3_1':0.0},(337,561):{'3_1':0.0},(337,560):{'3_1':0.0},(337,559):{'3_1':0.0},(337,558):{'3_1':0.0},(337,556):{'3_1':0.0},(337,555):{'3_1':0.0},(337,554):{'3_1':0.0,'4_1':0.0},(337,553):{'3_1':0.0},(337,552):{'4_1':0.0},(337,550):{'3_1':0.0},(337,549):{'3_1':0.0},(337,547):{'3_1':0.0},(337,546):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(337,545):{'3_1':0.03,'4_1':0.0},(337,544):{'3_1':0.0,'4_1':0.0},(337,543):{'3_1':0.0,'4_1':0.0},(337,542):{'3_1':0.0},(337,541):{'3_1':0.03},(337,540):{'3_1':0.0,'4_1':0.0},(337,539):{'3_1':0.03},(337,538):{'3_1':0.03,'4_1':0.0},(337,537):{'3_1':0.03},(337,536):{'3_1':0.0,'4_1':0.0},(337,535):{'3_1':0.0},(337,534):{'3_1':0.0,'4_1':0.0},(337,533):{'3_1':0.0},(337,532):{'3_1':0.03,'4_1':0.0},(337,531):{'3_1':0.0},(337,530):{'3_1':0.03,'4_1':0.0},(337,528):{'3_1':0.03},(337,527):{'4_1':0.0},(337,526):{'3_1':0.0},(337,524):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(337,523):{'3_1':0.03,'4_1':0.0},(337,522):{'3_1':0.0},(337,521):{'4_1':0.0},(337,520):{'3_1':0.03},(337,519):{'3_1':0.0,'4_1':0.0},(337,518):{'3_1':0.0,'6_2':0.0},(337,516):{'3_1':0.0},(337,515):{'3_1':0.0},(337,514):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(337,513):{'3_1':0.0,'4_1':0.0},(337,512):{'3_1':0.0},(337,511):{'3_1':0.0,'4_1':0.0},(337,509):{'3_1':0.0,'4_1':0.0},(337,507):{'3_1':0.0},(337,506):{'3_1':0.03,'6_1':0.0},(337,505):{'3_1':0.0},(337,504):{'3_1':0.0,'4_1':0.0},(337,503):{'3_1':0.0},(337,502):{'3_1':0.0},(337,501):{'3_1':0.0},(337,500):{'3_1':0.03},(337,499):{'3_1':0.0},(337,497):{'3_1':0.03},(337,496):{'3_1':0.0},(337,495):{'3_1':0.0},(337,494):{'3_1':0.0},(337,493):{'3_1':0.0},(337,492):{'3_1':0.0},(337,491):{'3_1':0.0},(337,490):{'3_1':0.0,'4_1':0.0},(337,489):{'3_1':0.0},(337,488):{'3_1':0.0},(337,487):{'3_1':0.0},(337,486):{'3_1':0.0},(337,485):{'3_1':0.0},(337,484):{'3_1':0.0,'5_2':0.0},(337,483):{'3_1':0.03},(337,482):{'3_1':0.0},(337,481):{'3_1':0.0},(337,480):{'3_1':0.0},(337,479):{'3_1':0.03},(337,477):{'3_1':0.0},(337,475):{'3_1':0.0},(337,474):{'3_1':0.0},(337,473):{'3_1':0.0},(337,472):{'3_1':0.0},(337,471):{'3_1':0.03},(337,470):{'3_1':0.0},(337,469):{'3_1':0.0,'4_1':0.0},(337,468):{'3_1':0.0},(337,466):{'3_1':0.0},(337,465):{'3_1':0.0},(337,456):{'3_1':0.0},(337,455):{'3_1':0.0},(337,450):{'3_1':0.0},(337,448):{'3_1':0.0},(337,444):{'3_1':0.0},(337,431):{'3_1':0.0},(337,430):{'3_1':0.0},(337,429):{'3_1':0.0},(337,424):{'3_1':0.0},(337,423):{'3_1':0.0,'7_4':0.0},(337,422):{'3_1':0.0},(337,421):{'3_1':0.0},(337,415):{'7_2':0.0},(337,398):{'3_1':0.0},(337,394):{'3_1':0.0},(337,371):{'3_1':0.0},(337,370):{'5_2':0.0},(337,369):{'3_1':0.0},(337,368):{'7_2':0.0},(337,366):{'3_1':0.0},(337,364):{'3_1':0.0},(337,360):{'3_1':0.0},(337,358):{'3_1':0.0},(337,357):{'5_1':0.0},(337,356):{'3_1':0.0,'4_1':0.0},(337,355):{'3_1':0.0},(337,354):{'3_1':0.0},(337,343):{'3_1':0.0},(337,341):{'3_1':0.0},(338,727):{'3_1':0.06},(338,726):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(338,725):{'3_1':0.09,'6_2':0.0},(338,724):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(338,723):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(338,722):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(338,721):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'9_1':0.0},(338,720):{'3_1':0.12},(338,719):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(338,718):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(338,717):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(338,716):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(338,715):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,714):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,713):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(338,712):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(338,711):{'3_1':0.15,'4_1':0.0},(338,710):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(338,709):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(338,708):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(338,707):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(338,706):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(338,705):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(338,704):{'3_1':0.09,'4_1':0.03,'5_2':0.03},(338,703):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(338,702):{'3_1':0.09,'5_2':0.06,'4_1':0.0,'5_1':0.0},(338,701):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(338,700):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(338,699):{'3_1':0.12,'5_2':0.0},(338,698):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(338,697):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(338,696):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(338,695):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(338,694):{'3_1':0.15,'5_2':0.06,'4_1':0.0},(338,693):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(338,692):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_1':0.0},(338,691):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(338,690):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(338,689):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(338,688):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,687):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(338,686):{'3_1':0.15,'5_2':0.0},(338,685):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(338,684):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'7_7':0.0},(338,683):{'3_1':0.12,'4_1':0.0},(338,682):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(338,681):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(338,680):{'3_1':0.15},(338,679):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(338,678):{'3_1':0.09},(338,677):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(338,676):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(338,675):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(338,674):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(338,673):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(338,672):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0},(338,671):{'3_1':0.12,'5_1':0.0,'7_5':0.0},(338,670):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(338,669):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'7_2':0.0},(338,668):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,667):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(338,666):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0},(338,665):{'3_1':0.15,'4_1':0.0,'8_1':0.0},(338,664):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(338,663):{'3_1':0.18,'5_1':0.0},(338,662):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(338,661):{'3_1':0.27,'4_1':0.0,'7_1':0.0},(338,660):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(338,659):{'3_1':0.18,'7_1':0.0},(338,658):{'3_1':0.15},(338,657):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0},(338,656):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'7_1':0.0},(338,655):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(338,654):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,653):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(338,652):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(338,651):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(338,650):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(338,649):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(338,648):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_2':0.0},(338,647):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(338,646):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'7_1':0.0},(338,645):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(338,644):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(338,643):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(338,642):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(338,641):{'3_1':0.18,'7_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(338,640):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'7_2':0.0},(338,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(338,638):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(338,637):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(338,636):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(338,635):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(338,634):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(338,633):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(338,632):{'3_1':0.09,'5_1':0.0},(338,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(338,630):{'3_1':0.18,'5_1':0.0,'6_3':0.0},(338,629):{'3_1':0.09,'5_1':0.03},(338,628):{'3_1':0.15,'5_1':0.0},(338,627):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(338,626):{'3_1':0.15,'5_1':0.0},(338,625):{'3_1':0.12,'5_1':0.0,'6_3':0.0},(338,624):{'3_1':0.09,'5_1':0.0},(338,623):{'3_1':0.09,'5_1':0.0},(338,622):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(338,621):{'3_1':0.06,'5_1':0.03,'4_1':0.0,'5_2':0.0},(338,620):{'3_1':0.12,'4_1':0.0},(338,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(338,618):{'3_1':0.09,'6_3':0.0,'8_20|3_1#3_1':0.0},(338,617):{'3_1':0.09,'5_1':0.0},(338,616):{'3_1':0.06,'5_1':0.0},(338,615):{'3_1':0.12,'5_1':0.03},(338,614):{'3_1':0.21,'4_1':0.0},(338,613):{'3_1':0.03,'4_1':0.0},(338,612):{'3_1':0.09,'5_1':0.0},(338,611):{'3_1':0.09,'5_1':0.0},(338,610):{'3_1':0.09,'4_1':0.0},(338,609):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(338,608):{'3_1':0.06},(338,607):{'3_1':0.06,'5_2':0.0},(338,606):{'3_1':0.03,'5_1':0.0},(338,605):{'3_1':0.03},(338,604):{'3_1':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(338,603):{'3_1':0.0,'4_1':0.0},(338,602):{'3_1':0.03},(338,601):{'3_1':0.06},(338,600):{'3_1':0.06},(338,599):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(338,598):{'3_1':0.03,'6_2':0.0,'7_5':0.0},(338,597):{'3_1':0.03},(338,596):{'3_1':0.0,'5_2':0.0},(338,595):{'3_1':0.0},(338,594):{'3_1':0.03},(338,593):{'3_1':0.0},(338,592):{'3_1':0.0},(338,591):{'3_1':0.0,'8_20|3_1#3_1':0.0},(338,590):{'3_1':0.0,'4_1':0.0},(338,589):{'3_1':0.0},(338,588):{'3_1':0.0},(338,587):{'3_1':0.03},(338,586):{'3_1':0.0},(338,585):{'3_1':0.03},(338,584):{'3_1':0.0},(338,583):{'3_1':0.03},(338,582):{'3_1':0.06},(338,581):{'3_1':0.0},(338,580):{'3_1':0.03,'5_2':0.0},(338,579):{'3_1':0.0},(338,578):{'3_1':0.0,'5_1':0.0},(338,577):{'3_1':0.0},(338,576):{'3_1':0.0,'4_1':0.0},(338,575):{'3_1':0.0,'5_2':0.0},(338,574):{'3_1':0.0},(338,573):{'3_1':0.0,'4_1':0.0},(338,572):{'4_1':0.0},(338,571):{'3_1':0.0,'4_1':0.0},(338,570):{'3_1':0.0},(338,569):{'3_1':0.0,'4_1':0.0},(338,568):{'3_1':0.0},(338,567):{'3_1':0.03,'4_1':0.0},(338,566):{'3_1':0.0},(338,564):{'3_1':0.0,'4_1':0.0},(338,563):{'4_1':0.0},(338,562):{'3_1':0.0},(338,561):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(338,560):{'3_1':0.03,'4_1':0.0},(338,559):{'3_1':0.0,'4_1':0.0},(338,558):{'3_1':0.0},(338,557):{'3_1':0.0},(338,556):{'3_1':0.0},(338,554):{'4_1':0.0,'3_1':0.0},(338,553):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_1':0.0},(338,552):{'3_1':0.0,'6_1':0.0},(338,551):{'3_1':0.0},(338,550):{'3_1':0.0,'4_1':0.0},(338,549):{'3_1':0.0,'4_1':0.0},(338,548):{'3_1':0.0,'4_1':0.0},(338,547):{'3_1':0.0},(338,546):{'3_1':0.0},(338,544):{'3_1':0.0},(338,543):{'3_1':0.03},(338,542):{'3_1':0.0},(338,541):{'3_1':0.0},(338,540):{'3_1':0.0},(338,538):{'3_1':0.03},(338,537):{'3_1':0.0},(338,536):{'3_1':0.0},(338,535):{'3_1':0.03},(338,534):{'3_1':0.0},(338,532):{'3_1':0.0,'4_1':0.0},(338,531):{'3_1':0.0},(338,530):{'3_1':0.0,'4_1':0.0},(338,529):{'3_1':0.0},(338,528):{'3_1':0.0},(338,527):{'3_1':0.0},(338,526):{'3_1':0.0},(338,525):{'3_1':0.0},(338,524):{'3_1':0.0,'5_2':0.0},(338,523):{'3_1':0.0,'4_1':0.0},(338,522):{'3_1':0.03,'4_1':0.0},(338,521):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(338,520):{'3_1':0.0},(338,519):{'4_1':0.0,'6_2':0.0},(338,518):{'3_1':0.0},(338,516):{'4_1':0.0},(338,515):{'3_1':0.0},(338,514):{'3_1':0.0},(338,513):{'3_1':0.0,'4_1':0.0},(338,512):{'3_1':0.0},(338,511):{'3_1':0.0},(338,510):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(338,509):{'3_1':0.0,'4_1':0.0},(338,507):{'4_1':0.0,'5_2':0.0},(338,506):{'4_1':0.0},(338,504):{'3_1':0.0,'4_1':0.0},(338,503):{'3_1':0.0},(338,502):{'3_1':0.0,'4_1':0.0},(338,501):{'4_1':0.0},(338,500):{'3_1':0.0},(338,499):{'3_1':0.0},(338,497):{'3_1':0.0},(338,496):{'3_1':0.0},(338,494):{'3_1':0.0},(338,493):{'3_1':0.0,'4_1':0.0},(338,492):{'3_1':0.0},(338,490):{'3_1':0.0},(338,489):{'3_1':0.0,'4_1':0.0},(338,488):{'3_1':0.0},(338,487):{'3_1':0.03},(338,486):{'3_1':0.0,'4_1':0.0},(338,485):{'3_1':0.0},(338,484):{'3_1':0.0},(338,483):{'3_1':0.03},(338,482):{'3_1':0.03,'4_1':0.0},(338,481):{'3_1':0.0},(338,480):{'3_1':0.0},(338,479):{'3_1':0.0},(338,478):{'3_1':0.0},(338,476):{'3_1':0.0},(338,475):{'3_1':0.0},(338,473):{'3_1':0.0},(338,472):{'3_1':0.0},(338,471):{'3_1':0.03},(338,470):{'3_1':0.0},(338,468):{'3_1':0.0},(338,466):{'3_1':0.0},(338,465):{'3_1':0.0},(338,464):{'3_1':0.03},(338,453):{'3_1':0.0},(338,452):{'3_1':0.0},(338,430):{'3_1':0.0},(338,421):{'3_1':0.0},(338,418):{'3_1':0.0},(338,416):{'3_1':0.0},(338,400):{'4_1':0.0},(338,366):{'3_1':0.0},(338,365):{'3_1':0.0},(338,363):{'3_1':0.0},(338,361):{'3_1':0.0},(338,359):{'3_1':0.0},(338,358):{'5_2':0.0},(338,356):{'3_1':0.0},(338,355):{'3_1':0.0},(338,354):{'3_1':0.0},(338,353):{'3_1':0.0},(338,346):{'3_1':0.0},(338,343):{'3_1':0.0},(338,342):{'3_1':0.0},(338,341):{'3_1':0.0},(339,727):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(339,726):{'3_1':0.09},(339,725):{'3_1':0.06,'5_2':0.0},(339,724):{'3_1':0.09},(339,723):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(339,722):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(339,721):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(339,720):{'3_1':0.09,'4_1':0.03},(339,719):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(339,718):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(339,717):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0},(339,716):{'3_1':0.06,'4_1':0.0},(339,715):{'3_1':0.12,'5_2':0.0},(339,714):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(339,713):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(339,712):{'3_1':0.15,'5_2':0.0},(339,711):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(339,710):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(339,709):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,708):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(339,707):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(339,706):{'3_1':0.09,'5_2':0.0},(339,705):{'3_1':0.03,'4_1':0.03,'5_2':0.03,'5_1':0.0},(339,704):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'9_1':0.0},(339,703):{'3_1':0.18,'5_2':0.0,'8_4':0.0},(339,702):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(339,701):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(339,700):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_5':0.0,'3_1#5_1':0.0},(339,699):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'9_1':0.0},(339,698):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(339,697):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(339,696):{'3_1':0.12,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0},(339,695):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(339,694):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(339,693):{'3_1':0.24,'4_1':0.03,'6_1':0.0,'5_1':0.0,'5_2':0.0},(339,692):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(339,691):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(339,690):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(339,689):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(339,688):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(339,687):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(339,686):{'3_1':0.21,'5_2':0.0},(339,685):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(339,684):{'3_1':0.15},(339,683):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(339,682):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(339,681):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(339,680):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(339,679):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(339,678):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(339,677):{'3_1':0.12,'4_1':0.0},(339,676):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(339,675):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(339,674):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(339,673):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(339,672):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(339,671):{'3_1':0.09,'4_1':0.0},(339,670):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(339,669):{'3_1':0.15,'5_2':0.0},(339,668):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'6_2':0.0},(339,667):{'3_1':0.15,'4_1':0.0},(339,666):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(339,665):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(339,664):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(339,663):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(339,662):{'3_1':0.12},(339,661):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(339,660):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(339,659):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(339,658):{'3_1':0.18,'4_1':0.0},(339,657):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(339,656):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(339,655):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(339,654):{'3_1':0.18,'4_1':0.0},(339,653):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(339,652):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(339,651):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(339,650):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(339,649):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(339,648):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(339,647):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,646):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(339,645):{'3_1':0.21,'5_1':0.0},(339,644):{'3_1':0.33,'4_1':0.0,'5_1':0.0,'5_2':0.0},(339,643):{'3_1':0.33,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(339,642):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(339,641):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(339,640):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(339,639):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_1':0.0},(339,638):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,637):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,636):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(339,635):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,634):{'3_1':0.15,'4_1':0.0},(339,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(339,632):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(339,631):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(339,630):{'3_1':0.21,'5_1':0.0},(339,629):{'3_1':0.15,'5_2':0.0},(339,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,627):{'3_1':0.09},(339,626):{'3_1':0.15,'5_1':0.0},(339,625):{'3_1':0.09,'5_1':0.0},(339,624):{'3_1':0.15,'5_1':0.03},(339,623):{'3_1':0.09},(339,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(339,621):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(339,620):{'3_1':0.09,'4_1':0.0},(339,619):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(339,618):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(339,617):{'3_1':0.09},(339,616):{'3_1':0.09,'5_1':0.0},(339,615):{'3_1':0.09,'5_1':0.0},(339,614):{'3_1':0.15},(339,613):{'3_1':0.03,'5_1':0.0},(339,612):{'3_1':0.0},(339,611):{'3_1':0.03,'5_1':0.0},(339,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(339,609):{'3_1':0.06},(339,608):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(339,607):{'3_1':0.0,'6_1':0.0},(339,606):{'3_1':0.03},(339,605):{'3_1':0.06},(339,604):{'3_1':0.03},(339,603):{'3_1':0.06,'4_1':0.0},(339,602):{'3_1':0.0,'6_2':0.0},(339,601):{'3_1':0.03},(339,600):{'3_1':0.0,'4_1':0.0},(339,599):{'3_1':0.0,'7_1':0.0,'4_1':0.0},(339,598):{'3_1':0.0},(339,597):{'3_1':0.0},(339,596):{'3_1':0.03},(339,595):{'3_1':0.03},(339,594):{'3_1':0.03},(339,593):{'3_1':0.0},(339,592):{'3_1':0.03},(339,591):{'3_1':0.0},(339,590):{'3_1':0.0,'4_1':0.0},(339,589):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(339,588):{'3_1':0.03},(339,587):{'3_1':0.0},(339,586):{'3_1':0.03},(339,584):{'3_1':0.0},(339,583):{'3_1':0.0},(339,582):{'3_1':0.0},(339,581):{'3_1':0.0},(339,580):{'3_1':0.0},(339,579):{'3_1':0.0,'4_1':0.0},(339,578):{'3_1':0.0,'4_1':0.0},(339,577):{'3_1':0.03},(339,576):{'3_1':0.0},(339,575):{'3_1':0.0},(339,574):{'3_1':0.0},(339,573):{'3_1':0.0,'4_1':0.0},(339,572):{'4_1':0.0},(339,571):{'3_1':0.0},(339,570):{'3_1':0.0,'4_1':0.0},(339,569):{'3_1':0.0,'4_1':0.0},(339,568):{'5_2':0.0},(339,567):{'3_1':0.0},(339,566):{'4_1':0.0,'3_1':0.0},(339,565):{'4_1':0.0,'3_1':0.0},(339,564):{'3_1':0.0},(339,563):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(339,562):{'3_1':0.0},(339,561):{'3_1':0.0,'4_1':0.0},(339,560):{'4_1':0.0},(339,558):{'3_1':0.0},(339,557):{'3_1':0.0,'4_1':0.0},(339,556):{'3_1':0.0,'6_2':0.0},(339,555):{'3_1':0.0},(339,554):{'3_1':0.0},(339,553):{'6_1':0.0},(339,550):{'3_1':0.0,'6_1':0.0},(339,549):{'3_1':0.0},(339,548):{'3_1':0.0,'4_1':0.0},(339,547):{'3_1':0.0},(339,546):{'3_1':0.0},(339,545):{'3_1':0.0},(339,544):{'3_1':0.03},(339,543):{'3_1':0.0},(339,542):{'3_1':0.0},(339,541):{'3_1':0.0},(339,540):{'3_1':0.0,'4_1':0.0},(339,539):{'4_1':0.0},(339,538):{'3_1':0.0},(339,537):{'3_1':0.0},(339,536):{'3_1':0.0},(339,535):{'3_1':0.03},(339,534):{'3_1':0.0},(339,533):{'3_1':0.0,'4_1':0.0},(339,532):{'3_1':0.0,'4_1':0.0},(339,531):{'3_1':0.0},(339,530):{'3_1':0.03},(339,529):{'3_1':0.0},(339,528):{'3_1':0.0},(339,527):{'3_1':0.03,'4_1':0.0},(339,526):{'3_1':0.03},(339,525):{'3_1':0.0,'4_1':0.0},(339,524):{'3_1':0.0,'4_1':0.0},(339,523):{'3_1':0.0,'4_1':0.0},(339,522):{'3_1':0.03},(339,521):{'3_1':0.03},(339,520):{'3_1':0.03},(339,519):{'3_1':0.0},(339,518):{'3_1':0.0,'4_1':0.0},(339,517):{'3_1':0.0},(339,516):{'4_1':0.0},(339,515):{'3_1':0.0},(339,513):{'3_1':0.0},(339,511):{'3_1':0.0,'4_1':0.0},(339,510):{'3_1':0.0},(339,509):{'3_1':0.0,'4_1':0.0},(339,508):{'3_1':0.0},(339,507):{'3_1':0.03},(339,506):{'3_1':0.0},(339,504):{'3_1':0.0},(339,503):{'3_1':0.0},(339,502):{'3_1':0.0},(339,501):{'3_1':0.0},(339,500):{'4_1':0.0,'3_1':0.0},(339,499):{'4_1':0.0},(339,498):{'3_1':0.0,'4_1':0.0},(339,497):{'3_1':0.0},(339,496):{'3_1':0.0},(339,495):{'3_1':0.0},(339,492):{'3_1':0.0},(339,491):{'3_1':0.0},(339,490):{'3_1':0.0},(339,489):{'3_1':0.0,'4_1':0.0},(339,488):{'3_1':0.0},(339,487):{'3_1':0.0,'4_1':0.0},(339,486):{'3_1':0.0},(339,485):{'3_1':0.0,'4_1':0.0},(339,484):{'3_1':0.0},(339,483):{'3_1':0.0},(339,482):{'3_1':0.0},(339,481):{'3_1':0.0,'7_4':0.0},(339,480):{'3_1':0.0},(339,479):{'3_1':0.0},(339,477):{'3_1':0.0},(339,476):{'3_1':0.0},(339,474):{'3_1':0.0},(339,472):{'3_1':0.0},(339,471):{'3_1':0.0},(339,469):{'3_1':0.0},(339,454):{'4_1':0.0},(339,448):{'3_1':0.0},(339,446):{'3_1':0.0},(339,445):{'4_1':0.0},(339,443):{'3_1':0.0},(339,431):{'3_1':0.0},(339,429):{'3_1':0.0},(339,424):{'3_1':0.0},(339,423):{'3_1':0.0},(339,421):{'3_1':0.0},(339,418):{'4_1':0.0},(339,416):{'3_1':0.0},(339,355):{'3_1':0.0},(339,354):{'3_1':0.0},(339,346):{'3_1':0.0},(339,344):{'3_1':0.0},(340,727):{'3_1':0.06,'5_1':0.0},(340,726):{'3_1':0.09,'5_1':0.0},(340,725):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(340,724):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(340,723):{'3_1':0.09,'5_1':0.0},(340,722):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,721):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(340,720):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(340,719):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(340,718):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(340,717):{'3_1':0.09,'4_1':0.0},(340,716):{'3_1':0.06,'5_1':0.0},(340,715):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(340,714):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(340,713):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(340,712):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(340,711):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(340,710):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,709):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(340,708):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(340,707):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(340,706):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_4':0.0},(340,705):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(340,704):{'3_1':0.12},(340,703):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(340,702):{'3_1':0.12,'5_2':0.0,'7_1':0.0},(340,701):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(340,700):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_3':0.0},(340,699):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(340,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0},(340,697):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0},(340,696):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(340,695):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0},(340,694):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(340,693):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(340,692):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,691):{'3_1':0.24,'5_2':0.0},(340,690):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(340,689):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,688):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_4':0.0},(340,687):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'6_2':0.0},(340,686):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(340,685):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0},(340,684):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_2':0.0},(340,683):{'3_1':0.15,'4_1':0.0,'7_2':0.0},(340,682):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(340,681):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(340,680):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(340,679):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(340,678):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(340,677):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(340,676):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(340,675):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(340,674):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(340,673):{'3_1':0.15},(340,672):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_1':0.0},(340,671):{'3_1':0.15,'7_5':0.0},(340,670):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(340,669):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(340,668):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(340,667):{'3_1':0.09,'4_1':0.0},(340,666):{'3_1':0.21,'5_2':0.0,'6_2':0.0,'5_1':0.0},(340,665):{'3_1':0.09,'4_1':0.0},(340,664):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(340,663):{'3_1':0.21,'5_1':0.0},(340,662):{'3_1':0.21,'5_2':0.0},(340,661):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(340,660):{'3_1':0.09,'5_1':0.0},(340,659):{'3_1':0.18,'5_2':0.0},(340,658):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,657):{'3_1':0.18,'5_2':0.0},(340,656):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(340,655):{'3_1':0.21,'4_1':0.0},(340,654):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(340,653):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0,'5_1':0.0},(340,652):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(340,651):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(340,650):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(340,649):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(340,648):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(340,647):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(340,646):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_2':0.0},(340,645):{'3_1':0.3,'5_2':0.03,'5_1':0.0},(340,644):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(340,643):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(340,642):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(340,641):{'3_1':0.27,'5_1':0.03},(340,640):{'3_1':0.27,'5_1':0.0},(340,639):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(340,638):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(340,637):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(340,636):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(340,635):{'3_1':0.18},(340,634):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(340,633):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(340,632):{'3_1':0.06,'5_2':0.0,'7_4':0.0},(340,631):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(340,630):{'3_1':0.18},(340,629):{'3_1':0.18,'5_1':0.03},(340,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(340,627):{'3_1':0.18,'4_1':0.0},(340,626):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(340,625):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(340,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(340,623):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(340,622):{'3_1':0.15,'5_1':0.0,'6_1':0.0,'6_2':0.0},(340,621):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(340,620):{'3_1':0.09,'5_1':0.0},(340,619):{'3_1':0.12},(340,618):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(340,617):{'3_1':0.12,'5_2':0.0},(340,616):{'3_1':0.06},(340,615):{'3_1':0.09,'8_20|3_1#3_1':0.0},(340,614):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(340,613):{'3_1':0.06,'5_1':0.0},(340,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(340,611):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(340,610):{'3_1':0.03,'4_1':0.0},(340,609):{'3_1':0.0},(340,608):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(340,607):{'3_1':0.06,'6_1':0.0},(340,606):{'3_1':0.06},(340,605):{'3_1':0.06},(340,604):{'3_1':0.03,'5_1':0.0},(340,603):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(340,602):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(340,601):{'3_1':0.03,'4_1':0.0},(340,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(340,599):{'3_1':0.03},(340,598):{'3_1':0.03},(340,597):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(340,596):{'3_1':0.03,'4_1':0.0},(340,595):{'3_1':0.03},(340,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(340,593):{'3_1':0.0},(340,592):{'3_1':0.03},(340,591):{'3_1':0.03,'4_1':0.0},(340,590):{'3_1':0.0,'4_1':0.0},(340,589):{'3_1':0.0},(340,588):{'3_1':0.0,'4_1':0.0},(340,587):{'3_1':0.03,'4_1':0.0},(340,586):{'3_1':0.03},(340,585):{'3_1':0.0},(340,584):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(340,583):{'3_1':0.0},(340,582):{'3_1':0.0},(340,581):{'3_1':0.03},(340,580):{'3_1':0.03},(340,579):{'3_1':0.0},(340,578):{'3_1':0.0,'5_2':0.0},(340,577):{'3_1':0.0},(340,576):{'3_1':0.0},(340,575):{'3_1':0.0},(340,574):{'3_1':0.0},(340,573):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(340,572):{'3_1':0.0,'4_1':0.0},(340,571):{'3_1':0.0},(340,570):{'3_1':0.0},(340,568):{'3_1':0.0},(340,567):{'3_1':0.03,'4_1':0.0},(340,566):{'3_1':0.0},(340,565):{'3_1':0.0},(340,564):{'3_1':0.0},(340,563):{'3_1':0.0},(340,562):{'3_1':0.0,'5_2':0.0},(340,561):{'3_1':0.0},(340,560):{'3_1':0.0},(340,559):{'3_1':0.0,'4_1':0.0},(340,558):{'3_1':0.0,'4_1':0.0},(340,557):{'3_1':0.0,'4_1':0.0},(340,556):{'3_1':0.0},(340,554):{'3_1':0.0},(340,553):{'3_1':0.0},(340,552):{'3_1':0.0,'4_1':0.0},(340,551):{'3_1':0.0,'4_1':0.0},(340,550):{'3_1':0.0},(340,549):{'3_1':0.0},(340,548):{'3_1':0.0},(340,547):{'3_1':0.0},(340,546):{'4_1':0.0},(340,545):{'3_1':0.03},(340,543):{'3_1':0.0,'4_1':0.0},(340,542):{'3_1':0.0,'4_1':0.0},(340,541):{'3_1':0.0},(340,540):{'3_1':0.0},(340,538):{'3_1':0.0},(340,537):{'3_1':0.0,'6_2':0.0},(340,536):{'3_1':0.0},(340,535):{'3_1':0.03,'4_1':0.0},(340,534):{'3_1':0.0,'4_1':0.0},(340,533):{'3_1':0.0,'6_1':0.0},(340,532):{'3_1':0.0},(340,531):{'3_1':0.0},(340,530):{'3_1':0.0},(340,529):{'3_1':0.0},(340,528):{'3_1':0.0},(340,527):{'3_1':0.03,'4_1':0.0},(340,526):{'3_1':0.0},(340,525):{'3_1':0.0,'4_1':0.0},(340,524):{'3_1':0.0,'4_1':0.0},(340,523):{'3_1':0.03},(340,522):{'3_1':0.0,'4_1':0.0},(340,521):{'3_1':0.0},(340,520):{'3_1':0.0},(340,519):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(340,518):{'3_1':0.0,'4_1':0.0},(340,516):{'3_1':0.0},(340,515):{'4_1':0.0},(340,514):{'4_1':0.0},(340,513):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(340,512):{'3_1':0.0,'4_1':0.0},(340,511):{'4_1':0.0},(340,510):{'4_1':0.0},(340,508):{'3_1':0.0},(340,507):{'3_1':0.0},(340,506):{'3_1':0.0},(340,505):{'3_1':0.0},(340,504):{'4_1':0.0},(340,503):{'3_1':0.0,'6_1':0.0},(340,502):{'3_1':0.0,'6_1':0.0},(340,500):{'3_1':0.0},(340,499):{'3_1':0.0},(340,498):{'3_1':0.0},(340,497):{'3_1':0.0,'4_1':0.0},(340,496):{'3_1':0.0,'4_1':0.0},(340,495):{'3_1':0.0},(340,494):{'3_1':0.0,'4_1':0.0},(340,493):{'3_1':0.0,'4_1':0.0},(340,492):{'4_1':0.0,'6_1':0.0},(340,490):{'3_1':0.0},(340,489):{'3_1':0.0},(340,488):{'3_1':0.0},(340,487):{'3_1':0.0},(340,486):{'3_1':0.0},(340,484):{'3_1':0.0},(340,483):{'3_1':0.0},(340,482):{'3_1':0.0},(340,481):{'3_1':0.0},(340,480):{'3_1':0.03},(340,478):{'3_1':0.0},(340,477):{'3_1':0.0},(340,476):{'3_1':0.0},(340,474):{'3_1':0.0},(340,473):{'3_1':0.0},(340,472):{'3_1':0.0},(340,471):{'3_1':0.0},(340,469):{'4_1':0.0},(340,467):{'3_1':0.03},(340,466):{'3_1':0.0},(340,465):{'3_1':0.03},(340,463):{'4_1':0.0},(340,455):{'3_1':0.0},(340,454):{'3_1':0.0},(340,452):{'3_1':0.0},(340,451):{'3_1':0.0},(340,444):{'3_1':0.0},(340,431):{'3_1':0.0},(340,430):{'3_1':0.0},(340,425):{'3_1':0.0},(340,421):{'3_1':0.0},(340,418):{'3_1':0.0},(340,401):{'3_1':0.0},(340,400):{'3_1':0.0},(340,399):{'3_1':0.0},(340,394):{'3_1':0.0},(340,391):{'4_1':0.0},(340,361):{'3_1':0.0},(340,358):{'3_1':0.0},(340,354):{'3_1':0.0},(341,727):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(341,726):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,725):{'3_1':0.06,'5_2':0.0},(341,724):{'3_1':0.06,'5_1':0.0},(341,723):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(341,722):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,721):{'3_1':0.09,'7_1':0.0,'5_1':0.0},(341,720):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(341,719):{'3_1':0.12,'4_1':0.0},(341,718):{'3_1':0.06,'4_1':0.0},(341,717):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(341,716):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'-3':0.0},(341,715):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(341,714):{'3_1':0.15,'5_2':0.0},(341,713):{'3_1':0.12,'4_1':0.0},(341,712):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(341,711):{'3_1':0.18,'5_2':0.0},(341,710):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(341,709):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(341,708):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(341,707):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(341,706):{'3_1':0.24,'4_1':0.0,'8_20|3_1#3_1':0.0},(341,705):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_5':0.0},(341,704):{'3_1':0.12,'5_2':0.0},(341,703):{'3_1':0.09,'5_2':0.03,'8_20|3_1#3_1':0.0},(341,702):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(341,701):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(341,700):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(341,699):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(341,698):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(341,697):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(341,696):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(341,695):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0},(341,694):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(341,693):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(341,692):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(341,691):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(341,690):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(341,689):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(341,688):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(341,687):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(341,686):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(341,685):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(341,684):{'3_1':0.15},(341,683):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,682):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(341,681):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'-3':0.0},(341,680):{'3_1':0.21,'5_1':0.0},(341,679):{'3_1':0.18,'4_1':0.0},(341,678):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'8_1':0.0},(341,677):{'3_1':0.18},(341,676):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,675):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(341,674):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(341,673):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(341,672):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(341,671):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,670):{'3_1':0.18},(341,669):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0},(341,668):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(341,667):{'3_1':0.12},(341,666):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(341,665):{'3_1':0.15,'5_2':0.0,'6_2':0.0,'8_14':0.0},(341,664):{'3_1':0.18,'4_1':0.0},(341,663):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(341,662):{'3_1':0.12,'5_2':0.0},(341,661):{'3_1':0.12,'5_2':0.0},(341,660):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(341,659):{'3_1':0.15},(341,658):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(341,657):{'3_1':0.09,'7_1':0.0},(341,656):{'3_1':0.18,'4_1':0.0},(341,655):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(341,654):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(341,653):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'4_1':0.0},(341,652):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,651):{'3_1':0.15,'5_2':0.0},(341,650):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(341,649):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(341,648):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(341,647):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0},(341,646):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(341,645):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(341,644):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,643):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(341,642):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'6_3':0.0},(341,641):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(341,640):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(341,639):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(341,638):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,637):{'3_1':0.18,'5_1':0.0},(341,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(341,635):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(341,634):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(341,633):{'3_1':0.15,'5_1':0.0},(341,632):{'3_1':0.15,'8_20|3_1#3_1':0.0},(341,631):{'3_1':0.18},(341,630):{'3_1':0.15},(341,629):{'3_1':0.09,'4_1':0.0},(341,628):{'3_1':0.12},(341,627):{'3_1':0.15,'5_1':0.0},(341,626):{'3_1':0.12,'5_1':0.0,'7_3':0.0},(341,625):{'3_1':0.12,'6_2':0.0},(341,624):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(341,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(341,622):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0,'6_2':0.0,'3_1#5_1':0.0},(341,621):{'3_1':0.09,'5_1':0.03},(341,620):{'3_1':0.03,'5_1':0.0},(341,619):{'3_1':0.15},(341,618):{'3_1':0.12},(341,617):{'3_1':0.18},(341,616):{'3_1':0.12,'5_1':0.0,'7_4':0.0,'-3':0.0},(341,615):{'3_1':0.06,'8_20|3_1#3_1':0.0},(341,614):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(341,613):{'3_1':0.06,'5_1':0.0},(341,612):{'3_1':0.06,'4_1':0.0},(341,611):{'3_1':0.09,'4_1':0.0},(341,610):{'3_1':0.06,'5_1':0.0},(341,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(341,608):{'3_1':0.03},(341,607):{'3_1':0.09,'4_1':0.0},(341,606):{'3_1':0.06,'5_1':0.0},(341,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(341,604):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(341,603):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(341,602):{'3_1':0.0,'8_20|3_1#3_1':0.0},(341,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(341,600):{'3_1':0.06,'4_1':0.0},(341,599):{'3_1':0.0},(341,598):{'3_1':0.03},(341,597):{'3_1':0.09,'6_1':0.0},(341,596):{'3_1':0.03,'6_1':0.0},(341,595):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(341,594):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(341,593):{'3_1':0.03},(341,592):{'3_1':0.0},(341,591):{'3_1':0.03},(341,590):{'3_1':0.0,'4_1':0.0},(341,589):{'3_1':0.0},(341,588):{'3_1':0.03},(341,587):{'3_1':0.03},(341,586):{'3_1':0.0},(341,585):{'3_1':0.0},(341,583):{'3_1':0.0},(341,582):{'3_1':0.0,'4_1':0.0},(341,581):{'3_1':0.0},(341,580):{'3_1':0.0,'4_1':0.0},(341,579):{'3_1':0.0},(341,578):{'3_1':0.0,'4_1':0.0},(341,577):{'3_1':0.0,'5_2':0.0},(341,576):{'3_1':0.0},(341,575):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(341,573):{'3_1':0.0,'4_1':0.0},(341,572):{'3_1':0.0},(341,571):{'3_1':0.03},(341,570):{'3_1':0.0},(341,569):{'3_1':0.0},(341,568):{'3_1':0.0,'4_1':0.0},(341,567):{'3_1':0.0,'4_1':0.0},(341,565):{'3_1':0.0},(341,564):{'3_1':0.03},(341,563):{'3_1':0.0,'4_1':0.0},(341,562):{'3_1':0.03,'4_1':0.0},(341,561):{'3_1':0.0},(341,559):{'3_1':0.0},(341,558):{'3_1':0.0,'4_1':0.0},(341,557):{'3_1':0.0},(341,556):{'3_1':0.0,'4_1':0.0},(341,555):{'3_1':0.03},(341,554):{'3_1':0.0,'4_1':0.0},(341,553):{'3_1':0.0},(341,552):{'3_1':0.0},(341,551):{'3_1':0.0,'4_1':0.0},(341,550):{'3_1':0.0,'4_1':0.0},(341,549):{'3_1':0.03},(341,547):{'3_1':0.0},(341,546):{'3_1':0.0},(341,545):{'3_1':0.0},(341,544):{'3_1':0.03},(341,543):{'3_1':0.0},(341,542):{'3_1':0.0,'4_1':0.0},(341,541):{'3_1':0.0},(341,540):{'3_1':0.0},(341,539):{'3_1':0.0},(341,538):{'3_1':0.0},(341,537):{'3_1':0.0},(341,536):{'3_1':0.0,'4_1':0.0},(341,535):{'3_1':0.0,'4_1':0.0},(341,534):{'3_1':0.0,'4_1':0.0},(341,532):{'3_1':0.0},(341,531):{'3_1':0.0},(341,530):{'3_1':0.0,'4_1':0.0},(341,529):{'3_1':0.03,'8_20|3_1#3_1':0.0},(341,528):{'3_1':0.0},(341,527):{'3_1':0.0,'6_1':0.0},(341,526):{'3_1':0.0,'4_1':0.0},(341,525):{'3_1':0.03,'4_1':0.0},(341,524):{'3_1':0.03},(341,523):{'3_1':0.0},(341,522):{'3_1':0.03,'4_1':0.0},(341,521):{'3_1':0.0,'4_1':0.0},(341,520):{'3_1':0.0},(341,519):{'3_1':0.0},(341,518):{'3_1':0.0,'4_1':0.0},(341,517):{'3_1':0.0,'4_1':0.0},(341,516):{'3_1':0.0,'4_1':0.0},(341,515):{'3_1':0.0},(341,514):{'3_1':0.0,'4_1':0.0},(341,513):{'4_1':0.0},(341,512):{'3_1':0.0},(341,511):{'3_1':0.0},(341,510):{'3_1':0.0},(341,509):{'3_1':0.0},(341,508):{'3_1':0.0},(341,507):{'3_1':0.03},(341,506):{'4_1':0.0},(341,505):{'4_1':0.0},(341,504):{'3_1':0.0},(341,503):{'3_1':0.0},(341,502):{'3_1':0.0},(341,500):{'3_1':0.03},(341,499):{'3_1':0.0},(341,498):{'3_1':0.0},(341,497):{'4_1':0.0},(341,496):{'3_1':0.0},(341,495):{'3_1':0.0},(341,494):{'3_1':0.0,'4_1':0.0},(341,492):{'3_1':0.03},(341,491):{'3_1':0.0,'4_1':0.0},(341,490):{'3_1':0.0},(341,489):{'4_1':0.0},(341,488):{'3_1':0.0},(341,487):{'3_1':0.0},(341,486):{'3_1':0.03},(341,485):{'3_1':0.0},(341,484):{'3_1':0.0},(341,483):{'3_1':0.0},(341,482):{'3_1':0.0},(341,481):{'3_1':0.0},(341,480):{'3_1':0.0},(341,479):{'3_1':0.0},(341,477):{'3_1':0.0,'5_2':0.0},(341,476):{'3_1':0.0},(341,474):{'3_1':0.0},(341,473):{'3_1':0.0},(341,472):{'3_1':0.0},(341,471):{'4_1':0.0},(341,470):{'3_1':0.0},(341,469):{'3_1':0.03},(341,468):{'3_1':0.0},(341,467):{'3_1':0.0},(341,466):{'3_1':0.0},(341,465):{'3_1':0.0},(341,464):{'3_1':0.0},(341,463):{'3_1':0.0},(341,455):{'3_1':0.0,'4_1':0.0},(341,453):{'3_1':0.0},(341,452):{'3_1':0.0},(341,449):{'3_1':0.0},(341,447):{'3_1':0.0},(341,445):{'3_1':0.0},(341,425):{'3_1':0.0},(341,422):{'3_1':0.0},(341,421):{'3_1':0.0},(341,420):{'3_1':0.0},(341,406):{'3_1':0.0},(341,404):{'3_1':0.0},(341,402):{'3_1':0.0},(341,393):{'3_1':0.0},(341,392):{'3_1':0.0},(341,389):{'3_1':0.0},(341,361):{'3_1':0.0},(341,360):{'3_1':0.0},(341,359):{'3_1':0.0},(341,358):{'3_1':0.0},(341,357):{'3_1':0.0},(341,356):{'3_1':0.0},(342,727):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(342,726):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(342,725):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(342,724):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(342,723):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(342,722):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(342,721):{'3_1':0.18},(342,720):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(342,719):{'3_1':0.09,'5_1':0.0},(342,718):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(342,717):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(342,716):{'3_1':0.12,'5_2':0.0},(342,715):{'3_1':0.09,'5_1':0.0},(342,714):{'3_1':0.09,'5_1':0.0},(342,713):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(342,712):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_2':0.0},(342,711):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(342,710):{'3_1':0.12,'5_1':0.03},(342,709):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,708):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(342,707):{'3_1':0.21,'7_4':0.0},(342,706):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(342,705):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(342,704):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(342,703):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(342,702):{'3_1':0.12,'5_2':0.0},(342,701):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(342,700):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(342,699):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(342,698):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(342,697):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(342,696):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_1':0.0},(342,695):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,694):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(342,693):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(342,692):{'3_1':0.09,'5_2':0.03},(342,691):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(342,690):{'3_1':0.18,'5_2':0.0},(342,689):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(342,688):{'3_1':0.18},(342,687):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(342,686):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(342,685):{'3_1':0.21,'5_2':0.06,'4_1':0.0},(342,684):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(342,683):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(342,682):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(342,681):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(342,680):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(342,679):{'3_1':0.12,'4_1':0.0},(342,678):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(342,677):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(342,676):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(342,675):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(342,674):{'3_1':0.27,'5_1':0.0},(342,673):{'3_1':0.12,'5_1':0.0},(342,672):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(342,671):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,670):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(342,669):{'3_1':0.12,'4_1':0.0},(342,668):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(342,667):{'3_1':0.18,'5_1':0.0},(342,666):{'3_1':0.15,'5_2':0.0,'6_2':0.0},(342,665):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(342,664):{'3_1':0.09,'5_1':0.03},(342,663):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,662):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(342,661):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(342,660):{'3_1':0.18},(342,659):{'3_1':0.15,'5_2':0.0},(342,658):{'3_1':0.18,'5_1':0.0},(342,657):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(342,656):{'3_1':0.21,'5_2':0.0},(342,655):{'3_1':0.15,'5_2':0.0},(342,654):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(342,653):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(342,652):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(342,651):{'3_1':0.21,'5_2':0.0,'6_2':0.0},(342,650):{'3_1':0.15,'5_2':0.0},(342,649):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,648):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(342,647):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(342,646):{'3_1':0.18,'5_2':0.03,'7_1':0.0,'4_1':0.0,'7_2':0.0},(342,645):{'3_1':0.21,'5_2':0.0},(342,644):{'3_1':0.3,'5_2':0.03},(342,643):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(342,642):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'7_1':0.0},(342,641):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(342,640):{'3_1':0.27,'5_1':0.0,'7_1':0.0,'5_2':0.0},(342,639):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(342,638):{'3_1':0.18,'4_1':0.0},(342,637):{'3_1':0.15,'5_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(342,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(342,635):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(342,634):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(342,633):{'3_1':0.09,'4_1':0.0},(342,632):{'3_1':0.12},(342,631):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,630):{'3_1':0.15,'5_1':0.03},(342,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(342,628):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(342,627):{'3_1':0.09,'5_1':0.0},(342,626):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(342,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(342,624):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(342,623):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(342,622):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(342,621):{'3_1':0.06,'5_1':0.0},(342,620):{'3_1':0.12,'4_1':0.0},(342,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(342,618):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(342,617):{'3_1':0.15,'5_1':0.0},(342,616):{'3_1':0.12,'5_1':0.0},(342,615):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(342,614):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(342,613):{'3_1':0.03},(342,612):{'3_1':0.06,'5_1':0.0},(342,611):{'3_1':0.06,'5_1':0.0},(342,610):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(342,609):{'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(342,608):{'3_1':0.06},(342,607):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(342,606):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(342,605):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(342,604):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(342,603):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(342,602):{'3_1':0.03},(342,601):{'3_1':0.03},(342,600):{'3_1':0.03,'4_1':0.0},(342,599):{'3_1':0.03,'6_1':0.0},(342,598):{'3_1':0.06,'4_1':0.0},(342,597):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(342,596):{'3_1':0.0,'4_1':0.0},(342,595):{'3_1':0.0,'6_1':0.0},(342,594):{'3_1':0.0},(342,593):{'3_1':0.03},(342,592):{'3_1':0.0},(342,591):{'3_1':0.03,'8_20|3_1#3_1':0.0},(342,590):{'3_1':0.06,'4_1':0.0},(342,589):{'3_1':0.03},(342,588):{'3_1':0.0},(342,587):{'3_1':0.03},(342,586):{'3_1':0.06,'4_1':0.0},(342,585):{'3_1':0.03,'5_2':0.0},(342,584):{'3_1':0.03,'4_1':0.0},(342,583):{'3_1':0.0},(342,582):{'3_1':0.0},(342,581):{'3_1':0.0},(342,580):{'3_1':0.0},(342,579):{'3_1':0.03},(342,578):{'3_1':0.03,'5_2':0.0},(342,577):{'3_1':0.03},(342,576):{'3_1':0.0},(342,575):{'3_1':0.0},(342,574):{'3_1':0.0,'4_1':0.0},(342,573):{'3_1':0.0,'4_1':0.0},(342,572):{'3_1':0.0},(342,571):{'3_1':0.0},(342,570):{'3_1':0.0},(342,569):{'3_1':0.0},(342,568):{'3_1':0.0},(342,567):{'3_1':0.0},(342,566):{'3_1':0.0},(342,565):{'3_1':0.0},(342,564):{'3_1':0.0,'4_1':0.0},(342,563):{'3_1':0.0},(342,562):{'3_1':0.03},(342,561):{'3_1':0.0,'4_1':0.0},(342,558):{'3_1':0.0,'4_1':0.0},(342,557):{'3_1':0.03},(342,556):{'3_1':0.0},(342,555):{'3_1':0.0},(342,554):{'3_1':0.0},(342,553):{'3_1':0.0,'4_1':0.0},(342,552):{'3_1':0.03},(342,551):{'3_1':0.0,'4_1':0.0},(342,550):{'3_1':0.03,'4_1':0.0},(342,549):{'4_1':0.0},(342,548):{'3_1':0.0,'4_1':0.0},(342,547):{'3_1':0.0},(342,546):{'3_1':0.0},(342,544):{'3_1':0.0},(342,543):{'3_1':0.0},(342,542):{'3_1':0.0},(342,541):{'3_1':0.03,'5_2':0.0},(342,540):{'3_1':0.0},(342,539):{'3_1':0.0},(342,538):{'3_1':0.0},(342,537):{'3_1':0.0},(342,536):{'3_1':0.03,'4_1':0.0},(342,534):{'3_1':0.0},(342,533):{'3_1':0.03},(342,532):{'3_1':0.0,'4_1':0.0},(342,531):{'3_1':0.03},(342,530):{'3_1':0.03},(342,529):{'3_1':0.03,'4_1':0.0},(342,528):{'3_1':0.0},(342,527):{'3_1':0.06},(342,526):{'3_1':0.0,'4_1':0.0},(342,525):{'3_1':0.0},(342,524):{'3_1':0.0,'4_1':0.0},(342,523):{'3_1':0.0,'4_1':0.0},(342,522):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(342,521):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(342,520):{'3_1':0.0},(342,519):{'3_1':0.0},(342,518):{'3_1':0.0},(342,517):{'3_1':0.0},(342,516):{'3_1':0.0},(342,515):{'3_1':0.03,'4_1':0.0},(342,514):{'3_1':0.03,'4_1':0.0},(342,513):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(342,512):{'3_1':0.0},(342,511):{'3_1':0.0},(342,510):{'3_1':0.03},(342,509):{'3_1':0.0},(342,508):{'3_1':0.0},(342,507):{'3_1':0.0,'4_1':0.0},(342,506):{'3_1':0.0},(342,505):{'3_1':0.03},(342,504):{'3_1':0.0},(342,503):{'3_1':0.0,'4_1':0.0},(342,502):{'3_1':0.0},(342,501):{'3_1':0.03,'4_1':0.0},(342,500):{'3_1':0.0,'4_1':0.0},(342,499):{'3_1':0.0},(342,498):{'3_1':0.0,'4_1':0.0},(342,497):{'3_1':0.0},(342,496):{'3_1':0.03,'4_1':0.0},(342,495):{'3_1':0.03},(342,492):{'3_1':0.0,'4_1':0.0},(342,491):{'3_1':0.0,'4_1':0.0},(342,490):{'3_1':0.0},(342,489):{'3_1':0.0},(342,488):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(342,487):{'3_1':0.0},(342,486):{'3_1':0.0,'4_1':0.0},(342,485):{'3_1':0.0},(342,484):{'3_1':0.0,'4_1':0.0},(342,483):{'3_1':0.0},(342,482):{'3_1':0.0},(342,481):{'3_1':0.0},(342,480):{'3_1':0.0,'4_1':0.0},(342,479):{'3_1':0.0,'4_1':0.0},(342,478):{'3_1':0.0},(342,477):{'3_1':0.0},(342,475):{'3_1':0.0},(342,474):{'3_1':0.0},(342,473):{'3_1':0.03},(342,472):{'3_1':0.0},(342,471):{'3_1':0.0},(342,470):{'3_1':0.03},(342,469):{'3_1':0.0},(342,468):{'3_1':0.03},(342,466):{'3_1':0.0},(342,465):{'3_1':0.03},(342,464):{'3_1':0.0},(342,463):{'3_1':0.0},(342,461):{'3_1':0.0},(342,460):{'3_1':0.0},(342,454):{'3_1':0.0},(342,447):{'3_1':0.0},(342,446):{'3_1':0.0},(342,436):{'3_1':0.0,'4_1':0.0},(342,435):{'3_1':0.0},(342,433):{'3_1':0.0},(342,431):{'3_1':0.0},(342,429):{'3_1':0.0},(342,426):{'3_1':0.0},(342,425):{'3_1':0.0},(342,421):{'3_1':0.0},(342,419):{'3_1':0.0},(342,406):{'3_1':0.0},(342,402):{'3_1':0.0},(342,399):{'3_1':0.0},(342,398):{'3_1':0.0},(342,395):{'3_1':0.0},(342,360):{'3_1':0.0},(342,357):{'3_1':0.0},(342,356):{'3_1':0.0},(342,355):{'3_1':0.0,'4_1':0.0},(342,354):{'3_1':0.0},(342,353):{'3_1':0.0},(343,727):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(343,726):{'3_1':0.09},(343,725):{'3_1':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0},(343,724):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(343,723):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(343,722):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(343,721):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(343,720):{'3_1':0.09,'5_1':0.0},(343,719):{'3_1':0.06},(343,718):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(343,717):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(343,716):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(343,715):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(343,714):{'3_1':0.12,'5_2':0.0},(343,713):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(343,712):{'3_1':0.12},(343,711):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(343,710):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(343,709):{'3_1':0.18,'5_1':0.0},(343,708):{'3_1':0.21,'5_2':0.03},(343,707):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(343,706):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_4':0.0},(343,705):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(343,704):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(343,703):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(343,702):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(343,701):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(343,700):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(343,699):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(343,698):{'3_1':0.06,'5_2':0.0},(343,697):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(343,696):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(343,695):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(343,694):{'3_1':0.09,'5_2':0.03,'4_1':0.03},(343,693):{'3_1':0.12,'4_1':0.0},(343,692):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(343,691):{'3_1':0.12,'5_2':0.03},(343,690):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_4':0.0},(343,689):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(343,688):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(343,687):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(343,686):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(343,685):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'9_1':0.0},(343,684):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(343,683):{'3_1':0.18,'4_1':0.0},(343,682):{'3_1':0.24,'4_1':0.0},(343,681):{'3_1':0.21,'6_1':0.0},(343,680):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(343,679):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(343,678):{'3_1':0.18,'4_1':0.0},(343,677):{'3_1':0.15,'6_1':0.0,'7_3':0.0},(343,676):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(343,675):{'3_1':0.15,'6_2':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(343,674):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(343,673):{'3_1':0.12},(343,672):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(343,671):{'3_1':0.12,'4_1':0.0},(343,670):{'3_1':0.09,'5_1':0.0},(343,669):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(343,668):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(343,667):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(343,666):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(343,665):{'3_1':0.09,'6_2':0.0,'8_1':0.0},(343,664):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(343,663):{'3_1':0.27,'5_1':0.0,'6_2':0.0},(343,662):{'3_1':0.21},(343,661):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(343,660):{'3_1':0.12,'5_2':0.0},(343,659):{'3_1':0.21,'6_1':0.0},(343,658):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(343,657):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(343,656):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(343,655):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(343,654):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(343,653):{'3_1':0.21,'4_1':0.0,'8_14':0.0},(343,652):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(343,651):{'3_1':0.24,'5_2':0.0},(343,650):{'3_1':0.18,'5_2':0.0},(343,649):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(343,648):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(343,647):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(343,646):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(343,645):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(343,644):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(343,643):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(343,642):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(343,641):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(343,640):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0},(343,639):{'3_1':0.18,'5_2':0.0,'7_1':0.0,'5_1':0.0},(343,638):{'3_1':0.21,'5_2':0.0},(343,637):{'3_1':0.12,'5_1':0.0},(343,636):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(343,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(343,634):{'3_1':0.15,'5_2':0.0},(343,633):{'3_1':0.15,'5_1':0.0},(343,632):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(343,631):{'3_1':0.09,'5_1':0.0},(343,630):{'3_1':0.15},(343,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(343,628):{'3_1':0.12,'5_1':0.0},(343,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(343,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(343,625):{'3_1':0.12,'5_1':0.0},(343,624):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(343,623):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(343,622):{'3_1':0.15,'5_1':0.03},(343,621):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(343,620):{'3_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(343,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(343,618):{'3_1':0.24,'4_1':0.0,'6_3':0.0,'7_2':0.0},(343,617):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(343,616):{'3_1':0.12},(343,615):{'3_1':0.03,'4_1':0.0},(343,614):{'3_1':0.12,'5_2':0.0},(343,613):{'3_1':0.06,'5_2':0.0},(343,612):{'3_1':0.12},(343,611):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(343,610):{'3_1':0.09},(343,609):{'3_1':0.06,'4_1':0.0},(343,608):{'3_1':0.0,'5_1':0.0},(343,607):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(343,606):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(343,605):{'3_1':0.06,'4_1':0.0},(343,604):{'3_1':0.0},(343,603):{'3_1':0.0},(343,602):{'3_1':0.06},(343,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(343,600):{'3_1':0.0,'5_2':0.0},(343,599):{'3_1':0.0,'7_1':0.0},(343,598):{'3_1':0.03},(343,597):{'3_1':0.0},(343,596):{'3_1':0.03},(343,595):{'3_1':0.03},(343,594):{'3_1':0.03,'4_1':0.0},(343,593):{'3_1':0.03,'4_1':0.0},(343,592):{'3_1':0.0},(343,591):{'3_1':0.0},(343,590):{'3_1':0.03},(343,589):{'3_1':0.0,'4_1':0.0},(343,588):{'3_1':0.0},(343,587):{'3_1':0.0},(343,586):{'3_1':0.0},(343,585):{'3_1':0.0},(343,584):{'3_1':0.03},(343,583):{'3_1':0.0,'4_1':0.0},(343,582):{'3_1':0.0,'5_1':0.0},(343,581):{'3_1':0.0},(343,580):{'3_1':0.0},(343,579):{'3_1':0.03},(343,578):{'3_1':0.06,'4_1':0.0},(343,577):{'3_1':0.0},(343,576):{'3_1':0.0,'4_1':0.0},(343,575):{'3_1':0.0},(343,573):{'3_1':0.0},(343,572):{'3_1':0.0,'4_1':0.0},(343,570):{'3_1':0.0},(343,569):{'3_1':0.0},(343,568):{'4_1':0.0},(343,566):{'3_1':0.0,'4_1':0.0},(343,565):{'3_1':0.0},(343,563):{'3_1':0.0},(343,561):{'3_1':0.0},(343,560):{'3_1':0.0},(343,559):{'3_1':0.0},(343,558):{'3_1':0.0,'5_2':0.0},(343,557):{'3_1':0.0},(343,555):{'4_1':0.0,'3_1':0.0},(343,554):{'3_1':0.0,'6_2':0.0},(343,553):{'3_1':0.0,'4_1':0.0},(343,552):{'3_1':0.0,'4_1':0.0},(343,551):{'3_1':0.03},(343,550):{'3_1':0.0},(343,549):{'3_1':0.0,'4_1':0.0},(343,548):{'3_1':0.0},(343,546):{'3_1':0.0,'4_1':0.0},(343,545):{'3_1':0.0},(343,544):{'3_1':0.03},(343,543):{'3_1':0.0},(343,542):{'4_1':0.0},(343,541):{'3_1':0.03},(343,540):{'3_1':0.03},(343,539):{'3_1':0.0,'4_1':0.0},(343,538):{'3_1':0.0},(343,537):{'3_1':0.0},(343,536):{'5_2':0.0},(343,535):{'3_1':0.0,'4_1':0.0},(343,534):{'3_1':0.0},(343,533):{'3_1':0.03},(343,532):{'3_1':0.0},(343,531):{'3_1':0.0,'4_1':0.0},(343,530):{'3_1':0.0,'4_1':0.0},(343,529):{'3_1':0.0},(343,528):{'3_1':0.0},(343,527):{'3_1':0.0},(343,526):{'3_1':0.0,'4_1':0.0},(343,525):{'3_1':0.03},(343,524):{'3_1':0.03},(343,523):{'3_1':0.0,'4_1':0.0},(343,522):{'3_1':0.0,'5_2':0.0},(343,521):{'3_1':0.0,'4_1':0.0},(343,520):{'3_1':0.0},(343,519):{'3_1':0.0,'4_1':0.0},(343,518):{'3_1':0.0},(343,516):{'3_1':0.0},(343,515):{'3_1':0.0,'4_1':0.0},(343,514):{'4_1':0.0,'3_1':0.0},(343,513):{'3_1':0.0,'4_1':0.0},(343,512):{'3_1':0.0,'4_1':0.0},(343,511):{'3_1':0.0},(343,510):{'3_1':0.0},(343,509):{'3_1':0.0},(343,508):{'3_1':0.0},(343,507):{'3_1':0.0,'5_2':0.0},(343,506):{'3_1':0.0,'8_20|3_1#3_1':0.0},(343,505):{'3_1':0.03},(343,504):{'3_1':0.0},(343,503):{'3_1':0.0},(343,502):{'3_1':0.0,'5_1':0.0},(343,501):{'3_1':0.0},(343,500):{'3_1':0.0},(343,499):{'3_1':0.0},(343,498):{'3_1':0.0},(343,497):{'3_1':0.03},(343,496):{'3_1':0.0,'4_1':0.0},(343,495):{'3_1':0.0},(343,494):{'3_1':0.0},(343,493):{'3_1':0.0,'4_1':0.0},(343,492):{'3_1':0.0},(343,491):{'3_1':0.0},(343,490):{'3_1':0.0},(343,489):{'3_1':0.0},(343,488):{'3_1':0.0},(343,487):{'3_1':0.03},(343,486):{'3_1':0.0},(343,485):{'3_1':0.0},(343,484):{'3_1':0.0,'4_1':0.0},(343,483):{'3_1':0.0},(343,482):{'3_1':0.0},(343,481):{'3_1':0.0},(343,480):{'3_1':0.03},(343,478):{'3_1':0.0},(343,477):{'3_1':0.03},(343,476):{'3_1':0.0},(343,475):{'3_1':0.0},(343,474):{'3_1':0.0},(343,473):{'3_1':0.0},(343,472):{'3_1':0.0},(343,471):{'3_1':0.0},(343,470):{'3_1':0.0},(343,469):{'3_1':0.0},(343,468):{'3_1':0.03},(343,466):{'3_1':0.0},(343,464):{'3_1':0.0},(343,463):{'3_1':0.0},(343,459):{'3_1':0.0},(343,458):{'3_1':0.0},(343,457):{'3_1':0.0},(343,456):{'3_1':0.0},(343,454):{'3_1':0.0},(343,453):{'3_1':0.0},(343,450):{'3_1':0.0},(343,447):{'3_1':0.0},(343,445):{'3_1':0.0},(343,443):{'3_1':0.0},(343,435):{'3_1':0.0},(343,434):{'3_1':0.0},(343,429):{'3_1':0.0},(343,422):{'3_1':0.0},(343,421):{'3_1':0.0},(343,361):{'3_1':0.0,'5_2':0.0},(343,360):{'3_1':0.0},(343,356):{'4_1':0.0},(343,354):{'3_1':0.0},(344,727):{'3_1':0.06,'4_1':0.0},(344,726):{'3_1':0.09,'5_1':0.0},(344,725):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(344,724):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(344,723):{'3_1':0.09},(344,722):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(344,721):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(344,720):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(344,719):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(344,718):{'3_1':0.09,'5_2':0.0},(344,717):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(344,716):{'3_1':0.06},(344,715):{'3_1':0.06,'5_1':0.0},(344,714):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(344,713):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(344,712):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(344,711):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(344,710):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(344,709):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(344,708):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(344,707):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(344,706):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(344,705):{'3_1':0.09,'5_1':0.0},(344,704):{'3_1':0.09,'5_2':0.0},(344,703):{'3_1':0.09,'5_2':0.03,'7_4':0.0},(344,702):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'9_1':0.0},(344,701):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_1':0.0},(344,700):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(344,699):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(344,698):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(344,697):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(344,696):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(344,695):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(344,694):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(344,693):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(344,692):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(344,691):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(344,690):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_1':0.0},(344,689):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(344,688):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(344,687):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(344,686):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_1':0.0},(344,685):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(344,684):{'3_1':0.12,'4_1':0.0},(344,683):{'3_1':0.21},(344,682):{'3_1':0.21,'4_1':0.0},(344,681):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(344,680):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(344,679):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(344,678):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(344,677):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(344,676):{'3_1':0.15},(344,675):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(344,674):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(344,673):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(344,672):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(344,671):{'3_1':0.12,'4_1':0.0,'7_5':0.0},(344,670):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(344,669):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(344,668):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(344,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(344,666):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(344,665):{'3_1':0.12,'5_2':0.0,'6_2':0.0,'7_5':0.0},(344,664):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(344,663):{'3_1':0.24,'4_1':0.0},(344,662):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(344,661):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(344,660):{'3_1':0.24,'5_1':0.0,'6_2':0.0,'8_14':0.0},(344,659):{'3_1':0.24,'5_1':0.0},(344,658):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(344,657):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(344,656):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(344,655):{'3_1':0.15},(344,654):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(344,653):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(344,652):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(344,651):{'3_1':0.21,'5_2':0.0},(344,650):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(344,649):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0},(344,648):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(344,647):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(344,646):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0},(344,645):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(344,644):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_2':0.0},(344,643):{'3_1':0.3,'7_1':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(344,642):{'3_1':0.18,'5_1':0.03,'7_2':0.0,'5_2':0.0},(344,641):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(344,640):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(344,639):{'3_1':0.18,'5_1':0.03,'8_20|3_1#3_1':0.0},(344,638):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_1':0.0},(344,637):{'3_1':0.15},(344,636):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(344,635):{'3_1':0.15,'4_1':0.0},(344,634):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(344,633):{'3_1':0.18,'4_1':0.0},(344,632):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(344,631):{'3_1':0.15,'5_1':0.0},(344,630):{'3_1':0.12,'5_1':0.0},(344,629):{'3_1':0.12,'5_1':0.0},(344,628):{'3_1':0.09,'5_1':0.0},(344,627):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(344,626):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(344,625):{'3_1':0.15,'5_1':0.0},(344,624):{'3_1':0.12,'4_1':0.0},(344,623):{'3_1':0.15,'5_1':0.0},(344,622):{'3_1':0.12,'5_1':0.06,'4_1':0.0},(344,621):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(344,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(344,619):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(344,618):{'3_1':0.15,'4_1':0.0},(344,617):{'3_1':0.09,'5_2':0.0},(344,616):{'3_1':0.06},(344,615):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(344,614):{'3_1':0.09,'5_1':0.0},(344,613):{'3_1':0.09},(344,612):{'3_1':0.09,'5_1':0.0},(344,611):{'3_1':0.06},(344,610):{'5_1':0.03,'3_1':0.03,'4_1':0.0},(344,609):{'3_1':0.09},(344,608):{'3_1':0.03},(344,607):{'3_1':0.06,'5_1':0.0},(344,606):{'3_1':0.0},(344,605):{'3_1':0.03,'4_1':0.0},(344,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(344,603):{'3_1':0.03},(344,602):{'3_1':0.03},(344,601):{'3_1':0.03,'5_1':0.0},(344,600):{'3_1':0.03,'5_1':0.0},(344,599):{'3_1':0.03},(344,598):{'3_1':0.0,'4_1':0.0},(344,597):{'3_1':0.03,'4_1':0.0},(344,596):{'3_1':0.03,'4_1':0.0},(344,595):{'3_1':0.0},(344,594):{'3_1':0.0},(344,593):{'3_1':0.03,'5_2':0.0},(344,592):{'3_1':0.03},(344,591):{'3_1':0.0},(344,590):{'3_1':0.03,'4_1':0.0},(344,589):{'3_1':0.0,'4_1':0.0},(344,588):{'3_1':0.03,'4_1':0.0},(344,587):{'3_1':0.03,'5_2':0.0},(344,586):{'3_1':0.06},(344,585):{'3_1':0.03,'4_1':0.0},(344,584):{'3_1':0.0,'5_2':0.0},(344,583):{'3_1':0.0},(344,582):{'3_1':0.0},(344,581):{'3_1':0.0,'5_2':0.0},(344,580):{'3_1':0.0,'6_2':0.0},(344,579):{'3_1':0.0},(344,578):{'3_1':0.03},(344,577):{'3_1':0.06},(344,576):{'3_1':0.0},(344,575):{'3_1':0.0},(344,574):{'3_1':0.0},(344,573):{'3_1':0.0},(344,572):{'3_1':0.0,'4_1':0.0},(344,570):{'3_1':0.0},(344,569):{'3_1':0.0},(344,568):{'3_1':0.0},(344,566):{'3_1':0.0,'6_1':0.0},(344,565):{'3_1':0.0,'4_1':0.0},(344,564):{'3_1':0.0,'4_1':0.0},(344,563):{'3_1':0.0},(344,562):{'3_1':0.0},(344,561):{'3_1':0.0,'4_1':0.0},(344,560):{'3_1':0.0},(344,559):{'3_1':0.03},(344,557):{'3_1':0.0,'4_1':0.0},(344,556):{'3_1':0.03},(344,554):{'3_1':0.0,'5_2':0.0},(344,552):{'3_1':0.0},(344,550):{'3_1':0.0},(344,549):{'3_1':0.0},(344,548):{'4_1':0.0},(344,547):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(344,546):{'3_1':0.0},(344,545):{'3_1':0.0},(344,544):{'3_1':0.0},(344,543):{'3_1':0.03},(344,542):{'3_1':0.0},(344,541):{'3_1':0.0},(344,539):{'3_1':0.03,'4_1':0.0},(344,538):{'3_1':0.0},(344,537):{'3_1':0.0},(344,536):{'3_1':0.0},(344,534):{'3_1':0.0,'4_1':0.0},(344,533):{'3_1':0.03},(344,532):{'3_1':0.03},(344,531):{'4_1':0.0},(344,530):{'3_1':0.0},(344,529):{'3_1':0.0,'4_1':0.0},(344,528):{'4_1':0.0},(344,527):{'3_1':0.0},(344,526):{'3_1':0.0,'4_1':0.0},(344,525):{'3_1':0.0},(344,524):{'3_1':0.0},(344,523):{'4_1':0.0,'3_1':0.0},(344,522):{'3_1':0.0},(344,521):{'3_1':0.0},(344,520):{'3_1':0.03},(344,519):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(344,518):{'3_1':0.06},(344,517):{'3_1':0.0,'4_1':0.0},(344,516):{'3_1':0.0},(344,515):{'3_1':0.0},(344,514):{'3_1':0.03},(344,513):{'3_1':0.0},(344,512):{'3_1':0.0},(344,511):{'3_1':0.0},(344,510):{'3_1':0.0,'4_1':0.0},(344,509):{'3_1':0.0},(344,508):{'3_1':0.0},(344,507):{'3_1':0.0,'4_1':0.0},(344,506):{'3_1':0.0},(344,505):{'3_1':0.0},(344,504):{'3_1':0.0},(344,502):{'3_1':0.0},(344,501):{'3_1':0.0,'5_1':0.0},(344,500):{'3_1':0.0},(344,499):{'3_1':0.0},(344,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(344,497):{'3_1':0.0,'4_1':0.0},(344,496):{'3_1':0.03},(344,495):{'3_1':0.0,'4_1':0.0},(344,494):{'3_1':0.0},(344,492):{'3_1':0.03},(344,491):{'3_1':0.03},(344,490):{'3_1':0.0},(344,489):{'3_1':0.0},(344,488):{'3_1':0.06},(344,487):{'3_1':0.0},(344,486):{'3_1':0.03,'5_1':0.0},(344,485):{'3_1':0.0},(344,484):{'3_1':0.0},(344,483):{'3_1':0.03},(344,482):{'3_1':0.0},(344,481):{'3_1':0.0},(344,480):{'3_1':0.0},(344,478):{'3_1':0.0},(344,476):{'3_1':0.03},(344,475):{'3_1':0.0},(344,473):{'3_1':0.0},(344,472):{'3_1':0.0},(344,470):{'3_1':0.0},(344,469):{'3_1':0.0},(344,468):{'3_1':0.03},(344,467):{'3_1':0.0},(344,466):{'3_1':0.0},(344,465):{'3_1':0.0},(344,464):{'3_1':0.0},(344,463):{'3_1':0.0},(344,461):{'3_1':0.0},(344,457):{'3_1':0.0},(344,456):{'3_1':0.0},(344,454):{'3_1':0.0,'6_1':0.0},(344,452):{'3_1':0.0},(344,447):{'3_1':0.0},(344,446):{'3_1':0.0},(344,445):{'3_1':0.0},(344,443):{'3_1':0.0},(344,435):{'3_1':0.0},(344,433):{'3_1':0.0},(344,432):{'3_1':0.0},(344,431):{'3_1':0.0},(344,430):{'3_1':0.0},(344,429):{'3_1':0.0},(344,421):{'3_1':0.0},(345,727):{'3_1':0.03,'4_1':0.0},(345,726):{'3_1':0.06,'5_1':0.0},(345,725):{'3_1':0.06,'5_1':0.0},(345,724):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(345,723):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(345,722):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,721):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(345,720):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(345,719):{'3_1':0.12,'5_2':0.0,'-3':0.0},(345,718):{'3_1':0.12},(345,717):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(345,716):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(345,715):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,714):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(345,713):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(345,712):{'3_1':0.21,'5_1':0.0},(345,711):{'3_1':0.15,'4_1':0.0},(345,710):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(345,709):{'3_1':0.15,'4_1':0.0},(345,708):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(345,707):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,706):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(345,705):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(345,704):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(345,703):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(345,702):{'3_1':0.06,'5_2':0.03},(345,701):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,700):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(345,699):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'9_1':0.0},(345,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(345,697):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'8_19':0.0},(345,696):{'3_1':0.21,'5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0},(345,695):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(345,694):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(345,693):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0},(345,692):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(345,691):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(345,690):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(345,689):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(345,688):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,687):{'3_1':0.12,'5_2':0.0},(345,686):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(345,685):{'3_1':0.18,'4_1':0.0},(345,684):{'3_1':0.18,'5_2':0.0},(345,683):{'3_1':0.21,'4_1':0.0},(345,682):{'3_1':0.21,'4_1':0.0},(345,681):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(345,680):{'3_1':0.18},(345,679):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(345,678):{'3_1':0.21,'4_1':0.0},(345,677):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'4_1':0.0,'5_1':0.0},(345,676):{'3_1':0.12,'5_1':0.0},(345,675):{'3_1':0.12,'4_1':0.0},(345,674):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(345,673):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(345,672):{'3_1':0.21},(345,671):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(345,670):{'3_1':0.18,'5_2':0.0,'6_2':0.0},(345,669):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(345,668):{'3_1':0.21,'4_1':0.0},(345,667):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(345,666):{'3_1':0.15,'6_2':0.0},(345,665):{'3_1':0.15,'5_2':0.0},(345,664):{'3_1':0.24},(345,663):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(345,662):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(345,661):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(345,660):{'3_1':0.21,'4_1':0.0,'6_2':0.0,'8_14':0.0,'8_20|3_1#3_1':0.0},(345,659):{'3_1':0.15,'4_1':0.0,'7_6':0.0},(345,658):{'3_1':0.12,'5_2':0.0},(345,657):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(345,656):{'3_1':0.21},(345,655):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(345,654):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(345,653):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(345,652):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(345,651):{'3_1':0.21,'4_1':0.0},(345,650):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(345,649):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,648):{'3_1':0.15,'5_2':0.0},(345,647):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0},(345,646):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'6_2':0.0,'7_1':0.0},(345,645):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_1':0.0},(345,644):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_3':0.0},(345,643):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(345,642):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(345,641):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(345,640):{'3_1':0.21,'5_1':0.06,'4_1':0.0},(345,639):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'6_2':0.0},(345,638):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(345,637):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(345,636):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(345,635):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(345,634):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(345,633):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(345,632):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,631):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(345,630):{'3_1':0.18,'4_1':0.0},(345,629):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(345,628):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(345,627):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(345,626):{'3_1':0.12,'5_1':0.0},(345,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(345,624):{'3_1':0.15,'5_1':0.0},(345,623):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(345,622):{'3_1':0.21},(345,621):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(345,620):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(345,619):{'3_1':0.09,'5_1':0.0},(345,618):{'3_1':0.09,'5_1':0.0},(345,617):{'3_1':0.06,'5_1':0.0},(345,616):{'3_1':0.09,'4_1':0.0,'7_4':0.0},(345,615):{'3_1':0.15,'5_2':0.0},(345,614):{'3_1':0.15},(345,613):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(345,612):{'3_1':0.03},(345,611):{'3_1':0.09},(345,610):{'3_1':0.06,'5_1':0.0},(345,609):{'3_1':0.06,'5_2':0.0},(345,608):{'3_1':0.03,'5_1':0.0},(345,607):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(345,606):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(345,605):{'3_1':0.03},(345,604):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(345,603):{'3_1':0.0,'4_1':0.0},(345,602):{'3_1':0.03,'5_2':0.0},(345,601):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(345,600):{'3_1':0.0,'4_1':0.0},(345,599):{'3_1':0.03},(345,598):{'3_1':0.03,'6_2':0.0},(345,597):{'3_1':0.06},(345,596):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(345,595):{'3_1':0.0,'5_1':0.0},(345,594):{'3_1':0.03},(345,593):{'3_1':0.0},(345,592):{'3_1':0.03,'4_1':0.0},(345,591):{'3_1':0.0},(345,590):{'3_1':0.03},(345,589):{'3_1':0.03},(345,588):{'3_1':0.0},(345,586):{'3_1':0.03},(345,585):{'3_1':0.03},(345,584):{'3_1':0.03,'4_1':0.0},(345,582):{'3_1':0.03},(345,581):{'3_1':0.0},(345,580):{'3_1':0.0,'4_1':0.0},(345,579):{'4_1':0.0,'5_1':0.0},(345,578):{'3_1':0.0},(345,577):{'3_1':0.03,'4_1':0.0},(345,576):{'3_1':0.0},(345,575):{'3_1':0.03,'4_1':0.0},(345,574):{'3_1':0.0},(345,573):{'3_1':0.0},(345,572):{'3_1':0.0},(345,570):{'3_1':0.0,'5_2':0.0},(345,569):{'3_1':0.0,'4_1':0.0},(345,568):{'3_1':0.03},(345,566):{'3_1':0.0,'4_1':0.0},(345,565):{'3_1':0.0},(345,564):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(345,563):{'3_1':0.0},(345,562):{'3_1':0.0},(345,561):{'3_1':0.03,'4_1':0.0},(345,560):{'3_1':0.0},(345,559):{'4_1':0.0},(345,558):{'3_1':0.03},(345,557):{'3_1':0.0},(345,556):{'4_1':0.0},(345,555):{'3_1':0.0},(345,554):{'3_1':0.0},(345,553):{'3_1':0.0},(345,552):{'3_1':0.0,'4_1':0.0},(345,551):{'3_1':0.0,'4_1':0.0},(345,550):{'3_1':0.0},(345,549):{'3_1':0.0},(345,548):{'3_1':0.03},(345,547):{'3_1':0.0},(345,546):{'3_1':0.03},(345,545):{'3_1':0.0},(345,544):{'3_1':0.0},(345,543):{'3_1':0.0,'4_1':0.0},(345,542):{'3_1':0.0},(345,541):{'3_1':0.0},(345,540):{'3_1':0.03},(345,539):{'3_1':0.0},(345,538):{'3_1':0.0,'4_1':0.0},(345,537):{'3_1':0.0},(345,536):{'4_1':0.0,'3_1':0.0},(345,535):{'3_1':0.0},(345,534):{'3_1':0.0},(345,533):{'3_1':0.0,'4_1':0.0},(345,532):{'3_1':0.0,'4_1':0.0},(345,531):{'3_1':0.0},(345,529):{'3_1':0.0},(345,528):{'3_1':0.0,'4_1':0.0},(345,527):{'3_1':0.0},(345,526):{'3_1':0.03},(345,525):{'3_1':0.0,'4_1':0.0},(345,524):{'3_1':0.0,'6_2':0.0},(345,523):{'6_2':0.0},(345,522):{'3_1':0.03},(345,521):{'3_1':0.0,'4_1':0.0},(345,520):{'3_1':0.0},(345,519):{'3_1':0.0,'4_1':0.0},(345,518):{'3_1':0.03},(345,517):{'5_2':0.0},(345,516):{'3_1':0.0,'4_1':0.0},(345,515):{'3_1':0.03},(345,514):{'3_1':0.03},(345,513):{'3_1':0.0,'4_1':0.0},(345,511):{'3_1':0.0,'4_1':0.0},(345,510):{'3_1':0.0},(345,509):{'3_1':0.03},(345,508):{'3_1':0.03},(345,507):{'3_1':0.0},(345,506):{'3_1':0.0},(345,505):{'3_1':0.03},(345,504):{'3_1':0.03},(345,503):{'3_1':0.0},(345,502):{'3_1':0.0},(345,501):{'3_1':0.0},(345,500):{'3_1':0.06},(345,499):{'3_1':0.03,'4_1':0.0},(345,498):{'3_1':0.0},(345,497):{'3_1':0.0},(345,496):{'3_1':0.0},(345,495):{'3_1':0.0},(345,494):{'3_1':0.0,'6_2':0.0},(345,493):{'3_1':0.0},(345,492):{'3_1':0.03},(345,491):{'3_1':0.0},(345,490):{'3_1':0.0},(345,489):{'3_1':0.0,'6_1':0.0},(345,488):{'3_1':0.0,'5_1':0.0},(345,487):{'3_1':0.0,'5_1':0.0},(345,486):{'3_1':0.0},(345,485):{'3_1':0.0},(345,484):{'3_1':0.0},(345,483):{'3_1':0.03},(345,482):{'3_1':0.0},(345,481):{'3_1':0.03},(345,480):{'3_1':0.0},(345,479):{'3_1':0.0},(345,478):{'3_1':0.03},(345,477):{'3_1':0.03},(345,476):{'3_1':0.0},(345,475):{'3_1':0.0},(345,473):{'3_1':0.0,'4_1':0.0},(345,472):{'3_1':0.03},(345,471):{'3_1':0.0},(345,470):{'3_1':0.03},(345,469):{'3_1':0.0},(345,468):{'3_1':0.03},(345,465):{'3_1':0.0},(345,464):{'3_1':0.0},(345,463):{'3_1':0.0},(345,461):{'3_1':0.0},(345,460):{'3_1':0.0},(345,459):{'3_1':0.0},(345,458):{'3_1':0.0},(345,457):{'3_1':0.0},(345,451):{'3_1':0.0},(345,448):{'3_1':0.0},(345,444):{'3_1':0.0},(345,435):{'3_1':0.0},(345,434):{'3_1':0.0},(345,432):{'3_1':0.0},(345,431):{'3_1':0.0},(345,430):{'3_1':0.0},(345,429):{'3_1':0.0},(345,426):{'3_1':0.0},(345,421):{'3_1':0.0},(345,357):{'5_2':0.0},(345,354):{'3_1':0.0},(345,353):{'3_1':0.0},(346,727):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(346,726):{'3_1':0.09},(346,725):{'3_1':0.15,'5_1':0.0},(346,724):{'3_1':0.03,'5_2':0.0},(346,723):{'3_1':0.09,'4_1':0.0},(346,722):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(346,721):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(346,720):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(346,719):{'3_1':0.12,'5_1':0.0},(346,718):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(346,717):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(346,716):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(346,715):{'3_1':0.12,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(346,714):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(346,713):{'3_1':0.06,'5_2':0.0},(346,712):{'3_1':0.18,'5_2':0.0},(346,711):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(346,710):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(346,709):{'3_1':0.09,'5_2':0.0},(346,708):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(346,707):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(346,706):{'3_1':0.06,'5_2':0.06,'4_1':0.0},(346,705):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(346,704):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_5':0.0},(346,703):{'3_1':0.21,'5_2':0.03,'8_6':0.0},(346,702):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(346,701):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(346,700):{'3_1':0.21,'5_2':0.03},(346,699):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(346,698):{'3_1':0.15,'5_2':0.03,'4_1':0.03},(346,697):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(346,696):{'3_1':0.12,'5_2':0.0},(346,695):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'8_3':0.0},(346,694):{'3_1':0.15,'5_2':0.0},(346,693):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(346,692):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(346,691):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0},(346,690):{'3_1':0.18,'5_2':0.0},(346,689):{'3_1':0.18,'4_1':0.0,'7_3':0.0},(346,688):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(346,687):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(346,686):{'3_1':0.18,'5_2':0.0},(346,685):{'3_1':0.24,'5_2':0.0},(346,684):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_1':0.0},(346,683):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(346,682):{'3_1':0.24,'4_1':0.03,'-3':0.0},(346,681):{'3_1':0.15,'5_1':0.0},(346,680):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(346,679):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(346,678):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,677):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(346,676):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(346,675):{'3_1':0.24},(346,674):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(346,673):{'3_1':0.15,'5_1':0.0},(346,672):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(346,671):{'3_1':0.18},(346,670):{'3_1':0.21,'4_1':0.0},(346,669):{'3_1':0.06,'4_1':0.0},(346,668):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(346,667):{'3_1':0.15,'5_1':0.0},(346,666):{'3_1':0.15,'4_1':0.0},(346,665):{'3_1':0.06,'4_1':0.0},(346,664):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(346,663):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(346,662):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(346,661):{'3_1':0.12,'4_1':0.0},(346,660):{'3_1':0.15,'5_1':0.0},(346,659):{'3_1':0.18},(346,658):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(346,657):{'3_1':0.15,'5_1':0.0},(346,656):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(346,655):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(346,654):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(346,653):{'3_1':0.18,'5_2':0.03,'6_2':0.0,'8_14':0.0},(346,652):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,651):{'3_1':0.18,'5_2':0.0},(346,650):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(346,649):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(346,648):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(346,647):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(346,646):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(346,645):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(346,644):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(346,643):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(346,642):{'3_1':0.24,'5_1':0.0,'7_1':0.0},(346,641):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(346,640):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'7_1':0.0},(346,639):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(346,638):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(346,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_10':0.0},(346,636):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(346,635):{'3_1':0.21},(346,634):{'3_1':0.21,'5_1':0.0},(346,633):{'3_1':0.12,'5_1':0.0},(346,632):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(346,631):{'3_1':0.15,'5_1':0.0},(346,630):{'3_1':0.15,'5_1':0.03},(346,629):{'3_1':0.12,'5_1':0.0},(346,628):{'3_1':0.15,'5_2':0.0},(346,627):{'3_1':0.06,'5_1':0.0},(346,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,625):{'3_1':0.15,'5_1':0.0},(346,624):{'3_1':0.09,'5_1':0.03,'4_1':0.0},(346,623):{'3_1':0.18,'5_2':0.0},(346,622):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,621):{'3_1':0.12,'5_2':0.0},(346,620):{'3_1':0.12,'5_1':0.0},(346,619):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(346,618):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,617):{'3_1':0.12,'5_1':0.0},(346,616):{'3_1':0.06,'5_1':0.0},(346,615):{'3_1':0.06},(346,614):{'3_1':0.09,'8_20|3_1#3_1':0.0},(346,613):{'3_1':0.06,'5_1':0.0},(346,612):{'3_1':0.15,'5_1':0.03},(346,611):{'3_1':0.06,'4_1':0.0},(346,610):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(346,609):{'3_1':0.03},(346,608):{'3_1':0.06},(346,607):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(346,606):{'3_1':0.12},(346,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(346,604):{'3_1':0.09,'8_20|3_1#3_1':0.0},(346,603):{'3_1':0.06,'5_2':0.0},(346,602):{'3_1':0.06},(346,601):{'3_1':0.03},(346,600):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(346,599):{'3_1':0.0},(346,598):{'3_1':0.03},(346,597):{'3_1':0.03,'4_1':0.0},(346,596):{'3_1':0.06,'4_1':0.0},(346,595):{'3_1':0.0,'6_2':0.0},(346,594):{'3_1':0.06},(346,593):{'3_1':0.03,'5_2':0.0},(346,592):{'3_1':0.03},(346,591):{'3_1':0.03},(346,590):{'3_1':0.03,'4_1':0.0},(346,589):{'3_1':0.0},(346,588):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(346,587):{'4_1':0.0},(346,586):{'3_1':0.09},(346,585):{'3_1':0.03},(346,583):{'3_1':0.03},(346,582):{'3_1':0.03},(346,581):{'3_1':0.0},(346,580):{'5_2':0.0},(346,579):{'3_1':0.0},(346,578):{'3_1':0.0,'5_2':0.0},(346,577):{'3_1':0.03},(346,576):{'3_1':0.03},(346,575):{'3_1':0.0},(346,574):{'3_1':0.0,'4_1':0.0},(346,573):{'3_1':0.03,'4_1':0.0},(346,572):{'3_1':0.0,'4_1':0.0},(346,571):{'3_1':0.0,'4_1':0.0},(346,570):{'3_1':0.0,'4_1':0.0},(346,569):{'3_1':0.0},(346,568):{'3_1':0.0,'6_1':0.0},(346,567):{'3_1':0.0},(346,566):{'3_1':0.03},(346,565):{'3_1':0.03,'4_1':0.0},(346,564):{'3_1':0.03,'4_1':0.0},(346,563):{'3_1':0.0,'4_1':0.0},(346,562):{'3_1':0.0},(346,561):{'3_1':0.03},(346,560):{'3_1':0.0,'4_1':0.0},(346,559):{'3_1':0.0,'6_1':0.0},(346,558):{'3_1':0.0},(346,557):{'3_1':0.0},(346,556):{'3_1':0.0},(346,555):{'3_1':0.03,'6_2':0.0},(346,554):{'3_1':0.03,'4_1':0.0},(346,553):{'3_1':0.03,'4_1':0.0},(346,552):{'3_1':0.03,'4_1':0.0},(346,551):{'5_2':0.0},(346,550):{'3_1':0.03},(346,549):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(346,548):{'3_1':0.0},(346,547):{'3_1':0.0,'4_1':0.0},(346,546):{'3_1':0.03,'4_1':0.0},(346,545):{'3_1':0.03,'4_1':0.0},(346,544):{'3_1':0.0},(346,543):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(346,541):{'3_1':0.0,'4_1':0.0},(346,540):{'3_1':0.0},(346,539):{'3_1':0.03},(346,538):{'3_1':0.03,'4_1':0.0},(346,537):{'3_1':0.0},(346,536):{'3_1':0.03},(346,535):{'3_1':0.0},(346,534):{'3_1':0.0},(346,533):{'3_1':0.03},(346,532):{'3_1':0.0,'4_1':0.0},(346,531):{'3_1':0.0,'4_1':0.0},(346,530):{'3_1':0.0},(346,529):{'3_1':0.03},(346,528):{'3_1':0.0},(346,526):{'3_1':0.06},(346,525):{'3_1':0.0},(346,524):{'4_1':0.0},(346,523):{'3_1':0.03,'4_1':0.0},(346,522):{'4_1':0.0},(346,521):{'3_1':0.0},(346,520):{'3_1':0.0},(346,519):{'3_1':0.03,'6_2':0.0},(346,518):{'3_1':0.0},(346,517):{'3_1':0.0},(346,516):{'3_1':0.0},(346,515):{'3_1':0.0},(346,514):{'3_1':0.03},(346,513):{'3_1':0.0,'4_1':0.0},(346,512):{'3_1':0.0,'6_2':0.0},(346,511):{'3_1':0.0},(346,510):{'3_1':0.0},(346,509):{'3_1':0.0,'4_1':0.0},(346,508):{'3_1':0.0},(346,507):{'3_1':0.0,'4_1':0.0},(346,506):{'3_1':0.03},(346,505):{'3_1':0.0},(346,504):{'3_1':0.0,'5_1':0.0},(346,502):{'3_1':0.0},(346,501):{'3_1':0.0},(346,500):{'3_1':0.0,'5_1':0.0},(346,499):{'3_1':0.03},(346,498):{'3_1':0.0},(346,497):{'3_1':0.0},(346,496):{'3_1':0.03},(346,495):{'3_1':0.0},(346,494):{'3_1':0.0,'8_6':0.0},(346,493):{'3_1':0.0},(346,492):{'3_1':0.0},(346,491):{'3_1':0.0,'5_1':0.0},(346,490):{'3_1':0.0},(346,489):{'3_1':0.0},(346,488):{'3_1':0.0},(346,487):{'3_1':0.03},(346,486):{'3_1':0.03},(346,485):{'3_1':0.03,'5_1':0.0},(346,484):{'3_1':0.03},(346,483):{'3_1':0.0},(346,482):{'3_1':0.0},(346,481):{'3_1':0.0},(346,480):{'3_1':0.0},(346,479):{'3_1':0.0},(346,478):{'3_1':0.03},(346,477):{'3_1':0.06},(346,476):{'3_1':0.03},(346,475):{'3_1':0.0},(346,474):{'3_1':0.06},(346,473):{'3_1':0.06},(346,472):{'3_1':0.0,'5_2':0.0},(346,471):{'3_1':0.0,'5_2':0.0},(346,470):{'3_1':0.03},(346,469):{'3_1':0.0},(346,468):{'3_1':0.0},(346,458):{'3_1':0.0},(346,457):{'3_1':0.0},(346,455):{'3_1':0.0},(346,453):{'4_1':0.0},(346,432):{'3_1':0.0},(346,431):{'3_1':0.0},(346,429):{'3_1':0.03},(346,425):{'3_1':0.0},(346,422):{'3_1':0.0},(346,420):{'3_1':0.0},(346,419):{'3_1':0.0},(346,361):{'5_2':0.0},(346,360):{'5_1':0.0},(346,358):{'3_1':0.0,'5_2':0.0},(346,357):{'3_1':0.0},(346,355):{'3_1':0.0},(346,354):{'3_1':0.0},(346,353):{'3_1':0.0},(347,727):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(347,726):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(347,725):{'3_1':0.09,'5_1':0.0},(347,724):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(347,723):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(347,722):{'3_1':0.09,'5_2':0.0},(347,721):{'3_1':0.09,'7_3':0.0},(347,720):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(347,719):{'3_1':0.09,'4_1':0.0},(347,718):{'3_1':0.12},(347,717):{'3_1':0.12,'5_1':0.0},(347,716):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(347,715):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(347,714):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(347,713):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0},(347,712):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(347,711):{'3_1':0.12,'5_1':0.0},(347,710):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_6':0.0},(347,709):{'3_1':0.15,'5_2':0.0,'6_2':0.0,'7_3':0.0},(347,708):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(347,707):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(347,706):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(347,705):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'8_2':0.0},(347,704):{'3_1':0.12,'5_2':0.0},(347,703):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(347,702):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0},(347,701):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'8_7':0.0},(347,700):{'3_1':0.12,'5_2':0.03,'6_2':0.0,'7_3':0.0},(347,699):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_2':0.0},(347,698):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_5':0.0},(347,697):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(347,696):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(347,695):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(347,694):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(347,693):{'3_1':0.21,'5_2':0.06,'4_1':0.0},(347,692):{'5_2':0.06,'3_1':0.06,'4_1':0.0},(347,691):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(347,690):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(347,689):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(347,688):{'3_1':0.21},(347,687):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(347,686):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(347,685):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(347,684):{'3_1':0.15,'4_1':0.0},(347,683):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(347,682):{'3_1':0.27,'5_2':0.0,'-3':0.0},(347,681):{'3_1':0.12,'6_1':0.0,'5_1':0.0,'6_2':0.0},(347,680):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(347,679):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(347,678):{'3_1':0.12,'5_1':0.0},(347,677):{'3_1':0.12,'4_1':0.0},(347,676):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(347,675):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(347,674):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(347,673):{'3_1':0.15,'5_1':0.0},(347,672):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(347,671):{'3_1':0.06,'4_1':0.0},(347,670):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(347,669):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(347,668):{'3_1':0.12,'5_2':0.0},(347,667):{'3_1':0.21,'6_2':0.0,'5_1':0.0},(347,666):{'3_1':0.15},(347,665):{'3_1':0.12,'5_2':0.0},(347,664):{'3_1':0.15},(347,663):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(347,662):{'3_1':0.18,'6_2':0.0},(347,661):{'3_1':0.18,'4_1':0.0},(347,660):{'3_1':0.21,'5_1':0.0},(347,659):{'3_1':0.12,'6_2':0.0,'4_1':0.0,'5_2':0.0},(347,658):{'3_1':0.21,'4_1':0.0},(347,657):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(347,656):{'3_1':0.12,'5_2':0.0,'6_2':0.0},(347,655):{'3_1':0.15},(347,654):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(347,653):{'3_1':0.18,'5_1':0.0},(347,652):{'3_1':0.24,'5_2':0.0},(347,651):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(347,650):{'3_1':0.12,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(347,649):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(347,648):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'7_5':0.0},(347,647):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(347,646):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0},(347,645):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0,'8_4':0.0},(347,644):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'6_2':0.0},(347,643):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(347,642):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(347,641):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(347,640):{'3_1':0.33,'5_1':0.0,'4_1':0.0,'5_2':0.0},(347,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(347,638):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(347,637):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(347,636):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(347,635):{'3_1':0.09,'5_2':0.0},(347,634):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(347,633):{'3_1':0.12,'5_1':0.0},(347,632):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(347,631):{'3_1':0.06},(347,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(347,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(347,628):{'3_1':0.06,'5_2':0.0},(347,627):{'3_1':0.06},(347,626):{'3_1':0.09,'5_1':0.0},(347,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(347,624):{'3_1':0.12,'5_1':0.0},(347,623):{'3_1':0.09,'5_1':0.0},(347,622):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(347,621):{'3_1':0.12,'5_1':0.0},(347,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(347,619):{'3_1':0.09},(347,618):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(347,617):{'3_1':0.09},(347,616):{'3_1':0.03,'4_1':0.0},(347,615):{'3_1':0.09,'5_1':0.0},(347,614):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(347,613):{'3_1':0.12,'5_1':0.0},(347,612):{'3_1':0.03},(347,611):{'3_1':0.12},(347,610):{'3_1':0.09,'5_1':0.0},(347,609):{'3_1':0.09,'5_1':0.0},(347,608):{'3_1':0.06,'5_1':0.0},(347,607):{'3_1':0.06},(347,606):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(347,605):{'3_1':0.03},(347,604):{'3_1':0.03,'5_1':0.0},(347,603):{'3_1':0.06},(347,602):{'3_1':0.03},(347,601):{'3_1':0.03},(347,600):{'3_1':0.03},(347,599):{'3_1':0.0,'5_2':0.0},(347,598):{'3_1':0.06,'5_1':0.0},(347,597):{'3_1':0.03},(347,596):{'3_1':0.06},(347,595):{'3_1':0.03},(347,594):{'3_1':0.03},(347,593):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(347,592):{'3_1':0.03},(347,591):{'3_1':0.03},(347,590):{'3_1':0.03,'5_1':0.0},(347,589):{'3_1':0.0},(347,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(347,587):{'3_1':0.0},(347,586):{'3_1':0.0},(347,584):{'3_1':0.0},(347,583):{'3_1':0.03},(347,582):{'3_1':0.0},(347,581):{'3_1':0.0},(347,580):{'3_1':0.0},(347,579):{'3_1':0.03},(347,578):{'3_1':0.03},(347,577):{'3_1':0.0,'4_1':0.0},(347,576):{'3_1':0.0},(347,575):{'3_1':0.03},(347,574):{'3_1':0.06},(347,573):{'3_1':0.03,'4_1':0.0},(347,572):{'3_1':0.03,'4_1':0.0},(347,571):{'3_1':0.0},(347,570):{'3_1':0.03},(347,569):{'3_1':0.0,'4_1':0.0},(347,568):{'3_1':0.0},(347,567):{'3_1':0.0},(347,566):{'3_1':0.03,'4_1':0.0},(347,565):{'3_1':0.03},(347,564):{'3_1':0.0,'5_1':0.0},(347,563):{'3_1':0.0},(347,562):{'3_1':0.0,'4_1':0.0},(347,561):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(347,560):{'3_1':0.0},(347,559):{'3_1':0.03},(347,558):{'4_1':0.0},(347,557):{'3_1':0.0,'4_1':0.0},(347,556):{'3_1':0.0,'4_1':0.0},(347,555):{'3_1':0.03},(347,553):{'3_1':0.0,'6_2':0.0},(347,552):{'3_1':0.06,'4_1':0.0},(347,551):{'3_1':0.0},(347,550):{'3_1':0.03,'4_1':0.0},(347,549):{'4_1':0.0},(347,548):{'3_1':0.0,'6_2':0.0},(347,547):{'3_1':0.0},(347,546):{'3_1':0.0},(347,545):{'3_1':0.0},(347,544):{'3_1':0.0,'4_1':0.0},(347,543):{'3_1':0.0},(347,542):{'3_1':0.0},(347,541):{'3_1':0.0},(347,540):{'3_1':0.03},(347,539):{'3_1':0.03,'4_1':0.0},(347,538):{'3_1':0.03,'4_1':0.0},(347,537):{'3_1':0.0,'5_2':0.0},(347,536):{'3_1':0.0,'8_20|3_1#3_1':0.0},(347,535):{'3_1':0.0},(347,534):{'3_1':0.0},(347,533):{'3_1':0.0},(347,532):{'3_1':0.0},(347,531):{'3_1':0.0},(347,530):{'4_1':0.0},(347,529):{'3_1':0.0},(347,528):{'3_1':0.0},(347,527):{'3_1':0.0},(347,526):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(347,525):{'3_1':0.0},(347,524):{'3_1':0.0,'6_2':0.0},(347,523):{'3_1':0.0,'5_1':0.0},(347,522):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(347,521):{'3_1':0.03},(347,520):{'3_1':0.0},(347,519):{'3_1':0.03,'6_2':0.0},(347,518):{'3_1':0.0,'4_1':0.0},(347,517):{'3_1':0.03,'4_1':0.0},(347,516):{'3_1':0.03,'4_1':0.0},(347,515):{'3_1':0.0},(347,514):{'3_1':0.03,'4_1':0.0},(347,513):{'3_1':0.03},(347,512):{'3_1':0.03},(347,511):{'3_1':0.0},(347,510):{'3_1':0.0,'5_2':0.0},(347,509):{'3_1':0.0,'4_1':0.0},(347,508):{'3_1':0.0},(347,507):{'3_1':0.0,'4_1':0.0},(347,506):{'3_1':0.0},(347,505):{'3_1':0.03},(347,504):{'3_1':0.0},(347,503):{'3_1':0.0},(347,502):{'3_1':0.0},(347,501):{'3_1':0.03},(347,500):{'3_1':0.0,'5_1':0.0},(347,499):{'3_1':0.03},(347,498):{'3_1':0.0},(347,497):{'3_1':0.0,'5_1':0.0},(347,496):{'3_1':0.0,'5_1':0.0},(347,495):{'3_1':0.03},(347,494):{'3_1':0.0},(347,493):{'3_1':0.0},(347,492):{'3_1':0.06},(347,491):{'3_1':0.03},(347,490):{'3_1':0.03},(347,489):{'3_1':0.0},(347,488):{'3_1':0.03},(347,487):{'3_1':0.03},(347,486):{'3_1':0.0},(347,485):{'3_1':0.0,'5_1':0.0},(347,484):{'3_1':0.03,'5_1':0.0},(347,483):{'3_1':0.03},(347,482):{'3_1':0.03},(347,481):{'3_1':0.0},(347,480):{'3_1':0.0},(347,479):{'3_1':0.0},(347,478):{'3_1':0.0},(347,477):{'3_1':0.03},(347,476):{'3_1':0.03},(347,475):{'3_1':0.03},(347,474):{'3_1':0.0},(347,472):{'3_1':0.03},(347,471):{'3_1':0.0},(347,470):{'3_1':0.0},(347,469):{'3_1':0.03},(347,466):{'3_1':0.0},(347,465):{'3_1':0.0},(347,464):{'3_1':0.0},(347,462):{'3_1':0.0},(347,460):{'3_1':0.0},(347,459):{'3_1':0.0},(347,457):{'3_1':0.0},(347,453):{'3_1':0.0},(347,451):{'3_1':0.0},(347,449):{'3_1':0.0},(347,448):{'3_1':0.0},(347,447):{'3_1':0.0},(347,445):{'3_1':0.0},(347,440):{'3_1':0.0},(347,439):{'3_1':0.0},(347,435):{'3_1':0.0},(347,434):{'3_1':0.0},(347,432):{'3_1':0.0},(347,431):{'3_1':0.0},(347,430):{'3_1':0.0},(347,429):{'3_1':0.0},(347,427):{'3_1':0.0},(347,426):{'3_1':0.0},(347,393):{'3_1':0.0},(347,392):{'3_1':0.0},(347,361):{'3_1':0.0},(347,358):{'3_1':0.0},(347,355):{'3_1':0.0},(347,353):{'3_1':0.0},(348,727):{'3_1':0.09,'5_2':0.0,'8_2':0.0},(348,726):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(348,725):{'3_1':0.09,'5_1':0.0},(348,724):{'3_1':0.06,'4_1':0.0,'8_2':0.0},(348,723):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(348,722):{'3_1':0.06,'4_1':0.0},(348,721):{'3_1':0.15,'4_1':0.0},(348,720):{'3_1':0.09,'4_1':0.0},(348,719):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0},(348,718):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(348,717):{'3_1':0.06,'4_1':0.0},(348,716):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(348,715):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,714):{'3_1':0.09},(348,713):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,712):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,711):{'3_1':0.18},(348,710):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(348,709):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(348,708):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(348,707):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(348,706):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(348,705):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(348,704):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'9_1':0.0},(348,703):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_2':0.0},(348,702):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(348,701):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(348,700):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(348,699):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(348,698):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(348,697):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(348,696):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(348,695):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(348,694):{'3_1':0.15,'5_2':0.0,'8_2':0.0},(348,693):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(348,692):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_2':0.0},(348,691):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(348,690):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(348,689):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(348,688):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(348,687):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(348,686):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(348,685):{'3_1':0.18,'4_1':0.0},(348,684):{'3_1':0.18,'5_1':0.0,'6_2':0.0},(348,683):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_1':0.0},(348,682):{'3_1':0.12,'-3':0.0},(348,681):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(348,680):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(348,679):{'3_1':0.12,'5_1':0.0},(348,678):{'3_1':0.18,'5_1':0.0,'6_2':0.0,'4_1':0.0},(348,677):{'3_1':0.06,'6_2':0.0},(348,676):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(348,675):{'3_1':0.15,'5_2':0.0},(348,674):{'3_1':0.18,'5_1':0.0,'6_1':0.0},(348,673):{'3_1':0.18,'4_1':0.0},(348,672):{'3_1':0.09,'6_2':0.0,'6_1':0.0},(348,671):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(348,670):{'3_1':0.12,'5_1':0.0},(348,669):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(348,668):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_4':0.0},(348,667):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(348,666):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(348,665):{'3_1':0.15,'4_1':0.0,'8_1':0.0,'5_2':0.0},(348,664):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(348,663):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(348,662):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(348,661):{'3_1':0.15,'4_1':0.0},(348,660):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(348,659):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(348,658):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,657):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(348,656):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,655):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(348,654):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(348,653):{'3_1':0.18,'6_2':0.0,'5_2':0.0},(348,652):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(348,651):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(348,650):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'6_2':0.0},(348,649):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(348,648):{'3_1':0.24,'5_2':0.03,'6_2':0.0,'8_6':0.0},(348,647):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'8_9':0.0},(348,646):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_4':0.0},(348,645):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(348,644):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'6_2':0.0},(348,643):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_1':0.0},(348,642):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(348,641):{'3_1':0.15,'5_2':0.03,'5_1':0.03},(348,640):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0},(348,639):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(348,638):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(348,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(348,636):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,635):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(348,634):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(348,633):{'3_1':0.15,'5_1':0.0},(348,632):{'3_1':0.12},(348,631):{'3_1':0.12,'5_1':0.03},(348,630):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(348,629):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(348,628):{'3_1':0.12,'5_2':0.0},(348,627):{'3_1':0.09,'5_1':0.0},(348,626):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(348,625):{'3_1':0.09,'5_1':0.0},(348,624):{'3_1':0.09},(348,623):{'3_1':0.09,'4_1':0.0},(348,622):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(348,621):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(348,620):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(348,619):{'3_1':0.06,'4_1':0.0},(348,618):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(348,617):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(348,616):{'3_1':0.06,'4_1':0.0},(348,615):{'3_1':0.09},(348,614):{'3_1':0.06,'5_1':0.0},(348,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(348,612):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(348,611):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(348,610):{'3_1':0.06},(348,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(348,608):{'3_1':0.06},(348,607):{'3_1':0.0,'4_1':0.0},(348,606):{'3_1':0.06},(348,605):{'3_1':0.06},(348,604):{'3_1':0.0,'5_1':0.0},(348,603):{'3_1':0.06,'4_1':0.0},(348,602):{'3_1':0.06,'5_1':0.0},(348,601):{'3_1':0.0},(348,600):{'3_1':0.06,'5_1':0.0},(348,599):{'3_1':0.03,'4_1':0.0},(348,598):{'3_1':0.06},(348,597):{'3_1':0.0},(348,596):{'3_1':0.0},(348,595):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(348,594):{'3_1':0.03,'5_1':0.0},(348,593):{'3_1':0.06,'5_1':0.0},(348,592):{'3_1':0.03},(348,591):{'3_1':0.03},(348,590):{'3_1':0.03},(348,589):{'3_1':0.0,'5_1':0.0},(348,588):{'3_1':0.06},(348,587):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(348,586):{'3_1':0.0},(348,585):{'3_1':0.03},(348,584):{'3_1':0.0,'4_1':0.0},(348,583):{'3_1':0.03},(348,582):{'3_1':0.0},(348,581):{'3_1':0.0,'4_1':0.0},(348,580):{'3_1':0.0,'5_2':0.0},(348,578):{'3_1':0.0},(348,577):{'3_1':0.0},(348,576):{'3_1':0.03},(348,575):{'3_1':0.0},(348,574):{'3_1':0.0,'4_1':0.0},(348,573):{'3_1':0.03},(348,572):{'3_1':0.0},(348,571):{'3_1':0.03},(348,570):{'3_1':0.0},(348,569):{'3_1':0.03,'4_1':0.0},(348,568):{'3_1':0.03},(348,566):{'3_1':0.0},(348,565):{'3_1':0.0,'5_1':0.0},(348,564):{'3_1':0.0,'4_1':0.0},(348,563):{'3_1':0.0},(348,561):{'3_1':0.03,'4_1':0.0},(348,560):{'3_1':0.0},(348,559):{'3_1':0.0,'4_1':0.0},(348,558):{'3_1':0.0,'5_2':0.0},(348,557):{'3_1':0.03},(348,555):{'3_1':0.0,'6_2':0.0},(348,554):{'3_1':0.03},(348,553):{'3_1':0.03,'5_1':0.0},(348,552):{'3_1':0.0},(348,551):{'3_1':0.0},(348,550):{'3_1':0.0},(348,549):{'3_1':0.03},(348,548):{'3_1':0.03},(348,547):{'3_1':0.03,'5_2':0.0},(348,546):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(348,544):{'3_1':0.0,'4_1':0.0},(348,543):{'3_1':0.03,'5_2':0.0},(348,542):{'3_1':0.0,'5_2':0.0},(348,541):{'3_1':0.0,'4_1':0.0},(348,539):{'3_1':0.03},(348,538):{'3_1':0.0},(348,537):{'3_1':0.03},(348,536):{'3_1':0.03,'5_2':0.0},(348,535):{'3_1':0.03},(348,534):{'3_1':0.0},(348,533):{'3_1':0.06},(348,532):{'3_1':0.03},(348,531):{'3_1':0.0},(348,530):{'3_1':0.03,'4_1':0.0},(348,529):{'3_1':0.03,'4_1':0.0},(348,528):{'3_1':0.06},(348,527):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(348,526):{'3_1':0.0},(348,525):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(348,524):{'3_1':0.03,'4_1':0.0},(348,523):{'3_1':0.03,'4_1':0.0},(348,522):{'3_1':0.0},(348,521):{'3_1':0.0,'4_1':0.0},(348,520):{'3_1':0.03},(348,519):{'3_1':0.03},(348,518):{'3_1':0.03,'4_1':0.0},(348,517):{'3_1':0.0,'4_1':0.0},(348,515):{'3_1':0.0},(348,514):{'3_1':0.0},(348,513):{'3_1':0.0},(348,512):{'3_1':0.0},(348,511):{'3_1':0.0},(348,510):{'3_1':0.0,'4_1':0.0},(348,509):{'4_1':0.0,'3_1':0.0},(348,508):{'3_1':0.03},(348,507):{'3_1':0.0},(348,506):{'3_1':0.0},(348,505):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(348,504):{'3_1':0.0,'5_2':0.0},(348,503):{'3_1':0.0},(348,502):{'3_1':0.06,'5_1':0.0},(348,501):{'3_1':0.06},(348,500):{'3_1':0.03},(348,499):{'3_1':0.0},(348,498):{'3_1':0.0},(348,497):{'3_1':0.03},(348,496):{'3_1':0.03},(348,495):{'3_1':0.0},(348,494):{'3_1':0.0,'4_1':0.0},(348,493):{'3_1':0.0},(348,492):{'3_1':0.03},(348,491):{'3_1':0.03},(348,490):{'3_1':0.03},(348,489):{'3_1':0.03},(348,488):{'3_1':0.06,'4_1':0.0},(348,487):{'3_1':0.0},(348,486):{'3_1':0.03,'5_1':0.0},(348,485):{'3_1':0.03},(348,484):{'3_1':0.03},(348,483):{'3_1':0.03},(348,482):{'3_1':0.0},(348,481):{'3_1':0.03},(348,480):{'3_1':0.03},(348,477):{'3_1':0.0},(348,476):{'3_1':0.03},(348,475):{'3_1':0.0},(348,474):{'3_1':0.0},(348,473):{'3_1':0.0},(348,472):{'3_1':0.0},(348,471):{'3_1':0.0},(348,470):{'3_1':0.0},(348,469):{'3_1':0.0},(348,468):{'3_1':0.0},(348,466):{'3_1':0.0},(348,465):{'3_1':0.0},(348,464):{'3_1':0.0},(348,462):{'3_1':0.0},(348,461):{'3_1':0.0},(348,460):{'3_1':0.0},(348,459):{'3_1':0.0},(348,454):{'3_1':0.0},(348,451):{'3_1':0.0},(348,450):{'3_1':0.0},(348,447):{'3_1':0.0},(348,444):{'3_1':0.0},(348,440):{'3_1':0.0},(348,439):{'3_1':0.0},(348,437):{'3_1':0.0},(348,436):{'3_1':0.0},(348,435):{'3_1':0.0},(348,434):{'3_1':0.0,'5_1':0.0},(348,432):{'3_1':0.0},(348,430):{'3_1':0.0},(348,429):{'3_1':0.0},(348,428):{'3_1':0.0},(348,424):{'3_1':0.0},(348,423):{'3_1':0.0},(348,422):{'3_1':0.0},(348,390):{'3_1':0.0},(348,360):{'3_1':0.0},(348,359):{'5_2':0.0},(348,356):{'3_1':0.0},(348,355):{'3_1':0.03},(348,354):{'3_1':0.0},(349,727):{'3_1':0.06,'5_2':0.0},(349,726):{'3_1':0.09,'5_1':0.0},(349,725):{'3_1':0.06},(349,724):{'3_1':0.06},(349,723):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,722):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(349,721):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'-3':0.0},(349,720):{'3_1':0.09,'5_1':0.0},(349,719):{'3_1':0.09,'5_1':0.0},(349,718):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(349,717):{'3_1':0.06,'5_2':0.0},(349,716):{'3_1':0.09},(349,715):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(349,714):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(349,713):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0},(349,712):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,711):{'3_1':0.09,'5_1':0.0},(349,710):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(349,709):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(349,708):{'3_1':0.18,'5_1':0.0,'7_3':0.0},(349,707):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(349,706):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(349,705):{'3_1':0.21,'6_2':0.0,'5_1':0.0},(349,704):{'3_1':0.09,'5_2':0.0,'6_2':0.0,'7_3':0.0},(349,703):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(349,702):{'3_1':0.12,'5_2':0.0},(349,701):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(349,700):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(349,699):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'9_1':0.0},(349,698):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(349,697):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_3':0.0},(349,696):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'6_2':0.0},(349,695):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(349,694):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(349,693):{'3_1':0.15,'5_2':0.0},(349,692):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(349,691):{'3_1':0.15,'5_2':0.03},(349,690):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(349,689):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(349,688):{'3_1':0.09,'5_2':0.0},(349,687):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,686):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(349,685):{'3_1':0.15,'5_2':0.03},(349,684):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_3':0.0},(349,683):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_1':0.0},(349,682):{'3_1':0.21,'4_1':0.0,'1':-0.03},(349,681):{'3_1':0.21,'4_1':0.0},(349,680):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(349,679):{'3_1':0.15,'5_1':0.0},(349,678):{'3_1':0.24,'4_1':0.0},(349,677):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(349,676):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'7_1':0.0},(349,675):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(349,674):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(349,673):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(349,672):{'3_1':0.12,'5_2':0.0},(349,671):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(349,670):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(349,669):{'3_1':0.09,'4_1':0.0},(349,668):{'3_1':0.12,'4_1':0.03},(349,667):{'3_1':0.15,'4_1':0.0},(349,666):{'3_1':0.15},(349,665):{'3_1':0.18,'4_1':0.0,'6_1':0.0},(349,664):{'3_1':0.18,'5_1':0.0},(349,663):{'3_1':0.15,'5_1':0.0},(349,662):{'3_1':0.09,'5_1':0.0},(349,661):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_2':0.0},(349,660):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(349,659):{'3_1':0.12,'5_1':0.0},(349,658):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,657):{'3_1':0.18},(349,656):{'3_1':0.15,'5_2':0.0},(349,655):{'3_1':0.24},(349,654):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(349,653):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_1':0.0},(349,652):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(349,651):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,650):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,649):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(349,648):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(349,647):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(349,646):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(349,645):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(349,644):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(349,643):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(349,642):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(349,641):{'3_1':0.27,'5_2':0.0,'5_1':0.0},(349,640):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(349,639):{'3_1':0.21,'5_1':0.0},(349,638):{'3_1':0.09,'5_1':0.03,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(349,637):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(349,636):{'3_1':0.09,'5_1':0.0,'6_3':0.0},(349,635):{'3_1':0.12},(349,634):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(349,633):{'3_1':0.12,'5_1':0.0},(349,632):{'3_1':0.06,'5_2':0.0},(349,631):{'3_1':0.12,'5_1':0.0},(349,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(349,629):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(349,628):{'3_1':0.09,'5_1':0.0},(349,627):{'3_1':0.09,'7_1':0.0},(349,626):{'3_1':0.18,'7_1':0.0},(349,625):{'3_1':0.15,'4_1':0.0},(349,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(349,623):{'3_1':0.06,'5_1':0.0},(349,622):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(349,621):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(349,620):{'3_1':0.03},(349,619):{'3_1':0.12,'5_1':0.0},(349,618):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(349,617):{'3_1':0.12},(349,616):{'3_1':0.06,'5_1':0.0},(349,615):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(349,614):{'3_1':0.09,'5_1':0.0},(349,613):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(349,612):{'3_1':0.15,'5_2':0.0},(349,611):{'3_1':0.09},(349,610):{'3_1':0.09,'5_1':0.0},(349,609):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(349,608):{'3_1':0.09,'5_2':0.0},(349,607):{'3_1':0.09},(349,606):{'3_1':0.03},(349,605):{'3_1':0.06},(349,604):{'3_1':0.06},(349,603):{'3_1':0.03,'5_1':0.0},(349,602):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(349,601):{'3_1':0.09,'5_1':0.0},(349,600):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(349,599):{'3_1':0.06},(349,598):{'3_1':0.09,'5_1':0.0},(349,597):{'3_1':0.06},(349,596):{'3_1':0.0},(349,595):{'3_1':0.09},(349,594):{'3_1':0.03,'5_1':0.0},(349,593):{'3_1':0.03},(349,592):{'3_1':0.03,'5_1':0.0},(349,591):{'3_1':0.03,'5_1':0.0},(349,590):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(349,589):{'3_1':0.03,'4_1':0.0},(349,588):{'3_1':0.03},(349,587):{'3_1':0.06},(349,586):{'4_1':0.0},(349,585):{'3_1':0.0},(349,584):{'3_1':0.0},(349,583):{'3_1':0.03},(349,582):{'3_1':0.03},(349,581):{'3_1':0.0,'7_2':0.0},(349,580):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(349,579):{'3_1':0.06,'4_1':0.0},(349,578):{'3_1':0.0},(349,576):{'3_1':0.06},(349,575):{'3_1':0.0},(349,574):{'3_1':0.0,'4_1':0.0},(349,573):{'3_1':0.06,'4_1':0.0},(349,572):{'3_1':0.03,'4_1':0.0},(349,571):{'3_1':0.0},(349,570):{'3_1':0.0},(349,569):{'3_1':0.0,'4_1':0.0},(349,567):{'3_1':0.03},(349,566):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(349,565):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(349,564):{'3_1':0.0},(349,563):{'3_1':0.0,'5_2':0.0},(349,561):{'3_1':0.0},(349,560):{'3_1':0.03,'4_1':0.0},(349,559):{'3_1':0.0,'4_1':0.0},(349,558):{'5_1':0.0},(349,557):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(349,556):{'3_1':0.0,'4_1':0.0},(349,555):{'3_1':0.03,'4_1':0.0},(349,554):{'3_1':0.06},(349,553):{'3_1':0.0,'4_1':0.0},(349,552):{'3_1':0.0},(349,551):{'3_1':0.06},(349,550):{'3_1':0.0},(349,549):{'3_1':0.03,'5_1':0.0},(349,548):{'3_1':0.0,'4_1':0.0},(349,547):{'3_1':0.0},(349,546):{'3_1':0.0,'4_1':0.0},(349,545):{'3_1':0.03},(349,544):{'3_1':0.03},(349,543):{'3_1':0.0,'4_1':0.0},(349,542):{'3_1':0.0},(349,541):{'3_1':0.0},(349,540):{'3_1':0.0,'4_1':0.0},(349,539):{'3_1':0.0},(349,538):{'3_1':0.0},(349,537):{'3_1':0.0},(349,536):{'3_1':0.03},(349,535):{'3_1':0.03},(349,534):{'3_1':0.03},(349,533):{'3_1':0.0},(349,532):{'3_1':0.0},(349,531):{'3_1':0.0},(349,530):{'3_1':0.03},(349,529):{'3_1':0.03,'4_1':0.0},(349,528):{'3_1':0.03},(349,527):{'3_1':0.03},(349,526):{'3_1':0.0,'4_1':0.0},(349,525):{'3_1':0.0},(349,524):{'3_1':0.0},(349,523):{'5_1':0.0,'3_1':0.0},(349,522):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(349,521):{'3_1':0.0,'4_1':0.0},(349,520):{'3_1':0.03,'4_1':0.0},(349,519):{'3_1':0.0},(349,518):{'3_1':0.03,'4_1':0.0},(349,517):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(349,516):{'3_1':0.0},(349,515):{'3_1':0.03},(349,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(349,513):{'3_1':0.0},(349,512):{'3_1':0.03,'6_2':0.0},(349,511):{'3_1':0.0,'5_1':0.0},(349,510):{'3_1':0.0,'5_1':0.0},(349,509):{'3_1':0.0},(349,508):{'3_1':0.03},(349,507):{'3_1':0.0},(349,506):{'3_1':0.0},(349,505):{'5_1':0.0},(349,504):{'3_1':0.0,'5_1':0.0},(349,503):{'3_1':0.03},(349,502):{'3_1':0.0},(349,501):{'3_1':0.0},(349,500):{'3_1':0.0},(349,499):{'3_1':0.06},(349,498):{'3_1':0.0,'5_1':0.0},(349,497):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(349,496):{'3_1':0.03},(349,495):{'3_1':0.0,'4_1':0.0},(349,494):{'3_1':0.03},(349,493):{'3_1':0.0,'5_2':0.0},(349,492):{'3_1':0.0},(349,491):{'3_1':0.03,'5_1':0.0},(349,490):{'3_1':0.03},(349,489):{'3_1':0.0,'4_1':0.0},(349,488):{'3_1':0.03,'5_1':0.0},(349,487):{'3_1':0.03},(349,486):{'3_1':0.0},(349,485):{'3_1':0.03},(349,484):{'3_1':0.0},(349,483):{'3_1':0.03},(349,482):{'3_1':0.03},(349,481):{'3_1':0.03},(349,480):{'3_1':0.03},(349,479):{'3_1':0.03},(349,478):{'3_1':0.03},(349,477):{'3_1':0.03,'4_1':0.0},(349,476):{'3_1':0.0},(349,475):{'3_1':0.03},(349,474):{'3_1':0.03},(349,473):{'3_1':0.03},(349,472):{'3_1':0.0},(349,471):{'3_1':0.03},(349,470):{'3_1':0.0},(349,469):{'3_1':0.06},(349,468):{'3_1':0.0},(349,466):{'3_1':0.06},(349,465):{'3_1':0.0},(349,464):{'3_1':0.0},(349,463):{'3_1':0.0},(349,458):{'3_1':0.0},(349,457):{'3_1':0.0},(349,456):{'3_1':0.0},(349,454):{'3_1':0.0,'4_1':0.0},(349,453):{'3_1':0.0},(349,451):{'3_1':0.0},(349,450):{'3_1':0.0,'7_2':0.0},(349,449):{'3_1':0.03},(349,447):{'3_1':0.0},(349,446):{'3_1':0.0,'5_2':0.0},(349,441):{'3_1':0.0},(349,439):{'3_1':0.0},(349,438):{'3_1':0.0},(349,433):{'3_1':0.0},(349,431):{'3_1':0.0},(349,429):{'3_1':0.0},(349,428):{'3_1':0.0},(349,427):{'3_1':0.0},(349,425):{'3_1':0.0},(349,423):{'3_1':0.0},(349,421):{'3_1':0.0},(349,360):{'3_1':0.0},(349,356):{'3_1':0.0},(350,727):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(350,726):{'3_1':0.06,'4_1':0.0},(350,725):{'3_1':0.12,'5_1':0.0},(350,724):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(350,723):{'3_1':0.06,'5_1':0.0},(350,722):{'3_1':0.09,'5_1':0.0},(350,721):{'3_1':0.12,'5_2':0.03},(350,720):{'3_1':0.09,'5_1':0.0},(350,719):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(350,718):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(350,717):{'3_1':0.12,'4_1':0.0},(350,716):{'3_1':0.09,'4_1':0.0},(350,715):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(350,714):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(350,713):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(350,712):{'3_1':0.15,'5_2':0.0},(350,711):{'3_1':0.21,'5_1':0.0},(350,710):{'3_1':0.12,'5_1':0.0},(350,709):{'3_1':0.15},(350,708):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(350,707):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(350,706):{'3_1':0.18,'4_1':0.0},(350,705):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(350,704):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(350,703):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(350,702):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(350,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(350,700):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(350,699):{'3_1':0.09,'5_2':0.0,'-3':0.0},(350,698):{'3_1':0.12,'5_2':0.06},(350,697):{'3_1':0.12,'5_2':0.0},(350,696):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(350,695):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(350,694):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(350,693):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(350,692):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(350,691):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(350,690):{'3_1':0.12},(350,689):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(350,688):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(350,687):{'3_1':0.21,'5_2':0.0},(350,686):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'9_1':0.0},(350,685):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'6_1':0.0},(350,684):{'3_1':0.12,'7_1':0.0},(350,683):{'3_1':0.09,'5_2':0.0},(350,682):{'3_1':0.18,'4_1':0.0},(350,681):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(350,680):{'3_1':0.09,'4_1':0.03,'5_1':0.03},(350,679):{'3_1':0.15,'4_1':0.0},(350,678):{'3_1':0.18},(350,677):{'3_1':0.12,'4_1':0.0},(350,676):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(350,675):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(350,674):{'3_1':0.15,'5_1':0.0},(350,673):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(350,672):{'3_1':0.21},(350,671):{'3_1':0.15,'5_1':0.0},(350,670):{'3_1':0.09,'5_1':0.0},(350,669):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(350,668):{'3_1':0.18,'5_1':0.0},(350,667):{'3_1':0.12,'5_1':0.0},(350,666):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(350,665):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(350,664):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(350,663):{'3_1':0.21,'4_1':0.0},(350,662):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(350,661):{'3_1':0.09,'4_1':0.0},(350,660):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(350,659):{'3_1':0.15,'5_2':0.0,'7_1':0.0},(350,658):{'3_1':0.18},(350,657):{'3_1':0.15,'5_1':0.0},(350,656):{'3_1':0.09,'4_1':0.0},(350,655):{'3_1':0.12,'5_1':0.0},(350,654):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(350,653):{'3_1':0.15},(350,652):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(350,651):{'3_1':0.12,'4_1':0.0},(350,650):{'3_1':0.15,'5_2':0.0},(350,649):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0,'7_5':0.0},(350,648):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_5':0.0},(350,647):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(350,646):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(350,645):{'3_1':0.18,'5_2':0.0},(350,644):{'3_1':0.15,'5_2':0.0},(350,643):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0},(350,642):{'3_1':0.24,'5_1':0.03,'4_1':0.0},(350,641):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(350,640):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(350,639):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(350,638):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(350,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(350,636):{'3_1':0.15,'5_1':0.0,'-3':0.0},(350,635):{'3_1':0.15},(350,634):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(350,633):{'3_1':0.21,'5_1':0.0,'8_20|3_1#3_1':0.0},(350,632):{'3_1':0.12,'5_1':0.0},(350,631):{'3_1':0.09,'5_1':0.0},(350,630):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(350,629):{'3_1':0.21,'5_1':0.0},(350,628):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(350,627):{'3_1':0.09},(350,626):{'3_1':0.18},(350,625):{'3_1':0.12,'5_1':0.0},(350,624):{'3_1':0.06,'5_1':0.0},(350,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(350,622):{'3_1':0.15,'5_1':0.03},(350,621):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(350,620):{'3_1':0.12,'5_1':0.0},(350,619):{'3_1':0.09},(350,618):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(350,617):{'3_1':0.09},(350,616):{'3_1':0.03},(350,615):{'3_1':0.09},(350,614):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(350,613):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(350,612):{'3_1':0.09},(350,611):{'3_1':0.06,'5_1':0.0},(350,610):{'3_1':0.09},(350,609):{'3_1':0.12,'4_1':0.0},(350,608):{'3_1':0.09,'4_1':0.0},(350,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(350,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(350,605):{'3_1':0.03},(350,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(350,603):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(350,602):{'3_1':0.06,'7_4':0.0},(350,601):{'3_1':0.03,'7_4':0.0},(350,600):{'3_1':0.06,'5_1':0.0},(350,599):{'3_1':0.0,'5_2':0.0},(350,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(350,597):{'3_1':0.0},(350,596):{'3_1':0.06,'5_2':0.0},(350,595):{'3_1':0.06},(350,594):{'3_1':0.03},(350,593):{'3_1':0.06},(350,592):{'3_1':0.09,'5_2':0.0},(350,591):{'3_1':0.03},(350,590):{'3_1':0.03,'4_1':0.0},(350,589):{'3_1':0.09},(350,588):{'3_1':0.03},(350,587):{'3_1':0.03},(350,586):{'3_1':0.03,'5_2':0.0},(350,585):{'3_1':0.0},(350,584):{'3_1':0.06},(350,583):{'3_1':0.0},(350,582):{'3_1':0.03},(350,581):{'3_1':0.0},(350,580):{'3_1':0.03,'4_1':0.0},(350,579):{'3_1':0.0},(350,578):{'3_1':0.06,'4_1':0.0},(350,577):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(350,576):{'3_1':0.0,'4_1':0.0},(350,575):{'3_1':0.03},(350,574):{'3_1':0.0,'4_1':0.0},(350,573):{'3_1':0.03,'4_1':0.0},(350,572):{'3_1':0.03},(350,571):{'3_1':0.0},(350,570):{'3_1':0.0},(350,569):{'3_1':0.0},(350,568):{'3_1':0.03},(350,567):{'3_1':0.0,'4_1':0.0},(350,566):{'3_1':0.0,'4_1':0.0},(350,565):{'3_1':0.0,'4_1':0.0},(350,564):{'3_1':0.0,'4_1':0.0},(350,563):{'3_1':0.03,'6_1':0.0},(350,562):{'3_1':0.0},(350,561):{'5_2':0.0},(350,560):{'3_1':0.03,'4_1':0.0},(350,559):{'6_1':0.0,'3_1':0.0},(350,558):{'3_1':0.0,'4_1':0.0},(350,557):{'3_1':0.03},(350,556):{'3_1':0.0,'4_1':0.0},(350,555):{'3_1':0.0},(350,554):{'3_1':0.03},(350,553):{'3_1':0.03,'4_1':0.0},(350,552):{'3_1':0.03},(350,551):{'3_1':0.03},(350,550):{'3_1':0.0},(350,549):{'3_1':0.0,'4_1':0.0},(350,548):{'3_1':0.0,'5_2':0.0},(350,547):{'3_1':0.03},(350,546):{'3_1':0.03},(350,545):{'3_1':0.0},(350,544):{'3_1':0.06,'4_1':0.0},(350,543):{'3_1':0.03},(350,542):{'3_1':0.0,'4_1':0.0},(350,541):{'3_1':0.0},(350,539):{'3_1':0.06},(350,538):{'4_1':0.0},(350,537):{'3_1':0.0},(350,536):{'3_1':0.03},(350,535):{'3_1':0.0,'4_1':0.0},(350,534):{'3_1':0.0},(350,533):{'3_1':0.0},(350,532):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(350,531):{'3_1':0.0},(350,530):{'3_1':0.0},(350,529):{'3_1':0.0},(350,528):{'3_1':0.0},(350,527):{'3_1':0.06,'4_1':0.0},(350,526):{'3_1':0.0},(350,525):{'3_1':0.0,'4_1':0.0},(350,523):{'3_1':0.0,'4_1':0.0},(350,522):{'3_1':0.0,'6_2':0.0},(350,521):{'3_1':0.03,'4_1':0.0},(350,520):{'3_1':0.0},(350,519):{'3_1':0.0,'4_1':0.0},(350,518):{'3_1':0.0},(350,517):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(350,516):{'4_1':0.0,'5_1':0.0},(350,515):{'3_1':0.06,'4_1':0.0},(350,514):{'3_1':0.0},(350,513):{'3_1':0.0},(350,512):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(350,511):{'3_1':0.0,'4_1':0.0},(350,510):{'3_1':0.0,'4_1':0.0},(350,509):{'3_1':0.0},(350,508):{'3_1':0.0,'5_1':0.0},(350,507):{'3_1':0.03,'4_1':0.0},(350,506):{'3_1':0.06},(350,505):{'3_1':0.0},(350,504):{'3_1':0.0,'4_1':0.0},(350,503):{'3_1':0.0},(350,502):{'3_1':0.0},(350,501):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(350,500):{'3_1':0.0},(350,499):{'3_1':0.03},(350,498):{'3_1':0.03,'5_1':0.0},(350,497):{'3_1':0.0},(350,496):{'3_1':0.03,'5_1':0.0},(350,495):{'3_1':0.0,'5_1':0.0},(350,494):{'3_1':0.03},(350,493):{'3_1':0.0,'5_1':0.0},(350,492):{'3_1':0.03,'5_1':0.0},(350,491):{'3_1':0.0},(350,490):{'3_1':0.06,'5_1':0.0},(350,489):{'5_1':0.0,'3_1':0.0},(350,488):{'3_1':0.03},(350,487):{'3_1':0.03},(350,486):{'3_1':0.03},(350,485):{'3_1':0.03,'5_1':0.0},(350,484):{'3_1':0.03},(350,483):{'3_1':0.03},(350,482):{'3_1':0.06},(350,481):{'3_1':0.03},(350,480):{'3_1':0.0},(350,479):{'3_1':0.03},(350,478):{'3_1':0.03},(350,477):{'3_1':0.0},(350,476):{'3_1':0.03},(350,475):{'3_1':0.03},(350,474):{'3_1':0.0},(350,473):{'3_1':0.0},(350,472):{'3_1':0.03},(350,471):{'3_1':0.0},(350,470):{'3_1':0.0},(350,469):{'3_1':0.03},(350,468):{'3_1':0.03},(350,466):{'3_1':0.0},(350,465):{'3_1':0.0},(350,464):{'3_1':0.0},(350,463):{'3_1':0.03},(350,462):{'3_1':0.0},(350,461):{'3_1':0.0},(350,460):{'3_1':0.0},(350,456):{'3_1':0.0},(350,454):{'3_1':0.0},(350,451):{'3_1':0.0,'7_2':0.0},(350,450):{'3_1':0.0},(350,444):{'3_1':0.0},(350,441):{'3_1':0.0},(350,440):{'3_1':0.0},(350,439):{'3_1':0.0},(350,437):{'3_1':0.0},(350,433):{'3_1':0.0},(350,432):{'3_1':0.0},(350,429):{'3_1':0.0},(350,425):{'3_1':0.0},(350,424):{'3_1':0.0},(350,423):{'3_1':0.0},(350,421):{'3_1':0.0},(350,361):{'3_1':0.0},(350,357):{'3_1':0.0},(350,356):{'3_1':0.0},(350,355):{'3_1':0.0},(350,353):{'3_1':0.0},(351,727):{'3_1':0.09},(351,726):{'3_1':0.03,'5_2':0.0},(351,725):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(351,724):{'3_1':0.06},(351,723):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(351,722):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(351,721):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(351,720):{'5_1':0.03,'3_1':0.0,'5_2':0.0},(351,719):{'3_1':0.12},(351,718):{'3_1':0.06,'4_1':0.0},(351,717):{'3_1':0.12},(351,716):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(351,715):{'3_1':0.12},(351,714):{'3_1':0.09,'5_2':0.0},(351,713):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(351,712):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(351,711):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(351,710):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(351,709):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(351,708):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(351,707):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(351,706):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(351,705):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(351,704):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(351,703):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(351,702):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(351,701):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(351,700):{'3_1':0.12,'4_1':0.0,'9_1':0.0},(351,699):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'9_1':0.0},(351,698):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'6_2':0.0},(351,697):{'3_1':0.12,'4_1':0.0,'7_1':0.0,'7_3':0.0},(351,696):{'3_1':0.15,'5_2':0.0},(351,695):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(351,694):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(351,693):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(351,692):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(351,691):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(351,690):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(351,689):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(351,688):{'3_1':0.12,'5_2':0.0},(351,687):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(351,686):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(351,685):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_2':0.0},(351,684):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(351,683):{'3_1':0.24},(351,682):{'3_1':0.18,'5_1':0.0,'-3':0.0},(351,681):{'3_1':0.09,'5_1':0.0},(351,680):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(351,679):{'3_1':0.12,'6_1':0.0},(351,678):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(351,677):{'3_1':0.09,'4_1':0.0},(351,676):{'3_1':0.06,'5_2':0.0},(351,675):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(351,674):{'3_1':0.15,'6_1':0.0},(351,673):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(351,672):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(351,671):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(351,670):{'3_1':0.15,'4_1':0.0},(351,669):{'3_1':0.06},(351,668):{'3_1':0.15,'5_2':0.0},(351,667):{'3_1':0.18,'5_1':0.0},(351,666):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(351,665):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(351,664):{'3_1':0.21,'5_2':0.0,'6_1':0.0},(351,663):{'3_1':0.18,'5_1':0.0},(351,662):{'3_1':0.18},(351,661):{'3_1':0.12},(351,660):{'3_1':0.12,'4_1':0.0},(351,659):{'3_1':0.15,'5_1':0.0},(351,658):{'3_1':0.15,'5_1':0.0},(351,657):{'3_1':0.15,'5_1':0.03},(351,656):{'3_1':0.09,'5_1':0.0},(351,655):{'3_1':0.09,'5_2':0.0},(351,654):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(351,653):{'3_1':0.12,'6_3':0.0},(351,652):{'3_1':0.15,'4_1':0.0},(351,651):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(351,650):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(351,649):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0},(351,648):{'3_1':0.18,'5_1':0.0},(351,647):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(351,646):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(351,645):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(351,644):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_3':0.0},(351,643):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(351,642):{'3_1':0.12,'5_1':0.0,'7_6':0.0},(351,641):{'3_1':0.12,'5_1':0.0},(351,640):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'4_1':0.0},(351,639):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(351,638):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(351,637):{'3_1':0.15,'8_20|3_1#3_1':0.0},(351,636):{'3_1':0.12,'5_1':0.0},(351,635):{'3_1':0.18},(351,634):{'3_1':0.15,'5_2':0.0},(351,633):{'3_1':0.09,'5_1':0.0,'7_2':0.0},(351,632):{'3_1':0.12,'5_1':0.0},(351,631):{'3_1':0.06,'4_1':0.0},(351,630):{'3_1':0.15,'5_1':0.0},(351,629):{'3_1':0.09,'5_2':0.0},(351,628):{'3_1':0.03,'5_1':0.0},(351,627):{'3_1':0.12},(351,626):{'3_1':0.12,'4_1':0.0},(351,625):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(351,624):{'3_1':0.06,'5_1':0.0},(351,623):{'3_1':0.12,'5_2':0.0},(351,622):{'3_1':0.09,'5_1':0.0},(351,621):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(351,620):{'3_1':0.09,'6_1':0.0},(351,619):{'3_1':0.09,'4_1':0.0},(351,618):{'3_1':0.12,'5_1':0.0},(351,617):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(351,616):{'3_1':0.06},(351,615):{'3_1':0.06,'5_1':0.0},(351,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(351,613):{'3_1':0.06,'5_1':0.0},(351,612):{'3_1':0.09},(351,611):{'3_1':0.09},(351,610):{'3_1':0.0,'4_1':0.0},(351,609):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(351,608):{'3_1':0.03,'4_1':0.0},(351,607):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(351,606):{'3_1':0.09,'5_1':0.0},(351,605):{'3_1':0.09},(351,604):{'3_1':0.03,'5_1':0.0},(351,603):{'3_1':0.0},(351,602):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(351,601):{'3_1':0.03},(351,600):{'3_1':0.06},(351,599):{'3_1':0.03,'5_2':0.0},(351,598):{'3_1':0.03,'4_1':0.0},(351,597):{'3_1':0.03},(351,596):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(351,595):{'3_1':0.03,'5_1':0.0},(351,594):{'3_1':0.03,'4_1':0.0},(351,593):{'3_1':0.09,'4_1':0.0},(351,592):{'3_1':0.0},(351,591):{'3_1':0.0},(351,590):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(351,589):{'3_1':0.03},(351,588):{'3_1':0.03},(351,587):{'3_1':0.0},(351,586):{'3_1':0.03,'4_1':0.0},(351,585):{'3_1':0.0},(351,584):{'3_1':0.0},(351,583):{'3_1':0.0,'5_2':0.0},(351,582):{'3_1':0.03,'4_1':0.0},(351,581):{'3_1':0.03,'5_2':0.0},(351,580):{'3_1':0.03},(351,579):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(351,578):{'3_1':0.0},(351,577):{'3_1':0.0},(351,576):{'3_1':0.03},(351,575):{'3_1':0.03,'4_1':0.0},(351,574):{'3_1':0.0},(351,573):{'3_1':0.0},(351,571):{'5_2':0.0},(351,570):{'3_1':0.03},(351,569):{'3_1':0.0},(351,568):{'3_1':0.0,'5_2':0.0},(351,567):{'3_1':0.0},(351,566):{'4_1':0.0,'3_1':0.0},(351,565):{'3_1':0.06,'4_1':0.0},(351,564):{'3_1':0.03,'4_1':0.0},(351,563):{'3_1':0.03,'4_1':0.0},(351,562):{'3_1':0.0},(351,561):{'3_1':0.0},(351,560):{'3_1':0.03},(351,559):{'3_1':0.0},(351,558):{'3_1':0.0},(351,557):{'4_1':0.0,'3_1':0.0},(351,556):{'3_1':0.03},(351,555):{'3_1':0.0},(351,554):{'3_1':0.0,'4_1':0.0},(351,553):{'3_1':0.0},(351,552):{'3_1':0.0,'6_1':0.0},(351,551):{'3_1':0.03},(351,550):{'3_1':0.06,'4_1':0.0},(351,549):{'3_1':0.03},(351,548):{'3_1':0.03},(351,547):{'3_1':0.0,'4_1':0.0},(351,546):{'3_1':0.0},(351,545):{'3_1':0.03,'6_2':0.0},(351,544):{'3_1':0.0},(351,543):{'3_1':0.0},(351,542):{'3_1':0.0,'5_1':0.0},(351,541):{'3_1':0.0},(351,540):{'4_1':0.0},(351,539):{'3_1':0.0},(351,538):{'3_1':0.0},(351,537):{'3_1':0.0,'4_1':0.0},(351,536):{'3_1':0.0,'4_1':0.0},(351,535):{'4_1':0.0},(351,534):{'3_1':0.0},(351,533):{'3_1':0.03},(351,532):{'3_1':0.03},(351,531):{'3_1':0.0,'4_1':0.0},(351,530):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(351,529):{'3_1':0.03},(351,528):{'3_1':0.0},(351,527):{'3_1':0.0,'4_1':0.0},(351,526):{'3_1':0.0,'6_2':0.0},(351,525):{'3_1':0.0},(351,524):{'4_1':0.0},(351,523):{'3_1':0.03},(351,522):{'3_1':0.0},(351,521):{'3_1':0.03,'4_1':0.0},(351,520):{'3_1':0.0},(351,519):{'3_1':0.0,'4_1':0.0},(351,518):{'3_1':0.0},(351,517):{'3_1':0.03,'4_1':0.0},(351,516):{'3_1':0.0,'6_2':0.0},(351,515):{'3_1':0.0,'4_1':0.0},(351,514):{'3_1':0.0,'4_1':0.0},(351,513):{'3_1':0.0},(351,512):{'3_1':0.0,'4_1':0.0},(351,511):{'3_1':0.0},(351,510):{'3_1':0.0},(351,509):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(351,508):{'3_1':0.0},(351,507):{'3_1':0.0},(351,506):{'3_1':0.0,'5_2':0.0},(351,505):{'3_1':0.0,'6_3':0.0},(351,504):{'3_1':0.0},(351,503):{'3_1':0.0},(351,502):{'3_1':0.0},(351,501):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(351,500):{'3_1':0.0},(351,499):{'3_1':0.06},(351,498):{'3_1':0.0},(351,497):{'3_1':0.0},(351,496):{'3_1':0.0},(351,495):{'3_1':0.03},(351,494):{'3_1':0.0},(351,493):{'3_1':0.0},(351,492):{'3_1':0.03},(351,491):{'3_1':0.0},(351,490):{'3_1':0.0},(351,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(351,488):{'3_1':0.03},(351,487):{'3_1':0.0},(351,486):{'3_1':0.0},(351,485):{'3_1':0.03},(351,484):{'3_1':0.0},(351,483):{'3_1':0.0},(351,482):{'3_1':0.03},(351,481):{'3_1':0.0},(351,480):{'3_1':0.03},(351,479):{'3_1':0.03},(351,478):{'3_1':0.06},(351,477):{'3_1':0.03},(351,476):{'3_1':0.06},(351,475):{'3_1':0.03},(351,474):{'3_1':0.0},(351,473):{'3_1':0.0},(351,472):{'3_1':0.0},(351,471):{'3_1':0.03},(351,470):{'3_1':0.0},(351,469):{'3_1':0.0},(351,468):{'3_1':0.03},(351,466):{'3_1':0.03},(351,465):{'3_1':0.0},(351,464):{'3_1':0.0},(351,462):{'3_1':0.0},(351,461):{'3_1':0.0},(351,460):{'3_1':0.0},(351,459):{'3_1':0.0},(351,457):{'3_1':0.0},(351,455):{'3_1':0.0},(351,452):{'3_1':0.0},(351,447):{'3_1':0.0},(351,446):{'3_1':0.0,'4_1':0.0},(351,444):{'3_1':0.0},(351,440):{'3_1':0.0},(351,438):{'3_1':0.0},(351,437):{'3_1':0.0},(351,436):{'3_1':0.0},(351,434):{'3_1':0.0},(351,433):{'3_1':0.0},(351,432):{'3_1':0.0},(351,430):{'3_1':0.0},(351,429):{'3_1':0.0},(351,427):{'3_1':0.0},(351,425):{'3_1':0.0},(351,407):{'3_1':0.0},(351,392):{'5_1':0.0},(351,361):{'3_1':0.0,'5_2':0.0},(351,357):{'3_1':0.0},(351,356):{'3_1':0.0},(352,727):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(352,726):{'3_1':0.06,'5_1':0.0},(352,725):{'3_1':0.18,'5_2':0.0},(352,724):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_2':0.0},(352,723):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(352,722):{'3_1':0.09,'5_1':0.0},(352,721):{'3_1':0.09},(352,720):{'3_1':0.12},(352,719):{'3_1':0.06},(352,718):{'3_1':0.09,'4_1':0.0},(352,717):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(352,716):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(352,715):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(352,714):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,713):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(352,712):{'3_1':0.09,'5_1':0.0},(352,711):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(352,710):{'3_1':0.09,'5_1':0.0},(352,709):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(352,708):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_3':0.0},(352,707):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(352,706):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(352,705):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(352,704):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,703):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,702):{'3_1':0.15,'5_2':0.0},(352,701):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(352,700):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(352,699):{'3_1':0.09,'5_2':0.03},(352,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_3':0.0},(352,697):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(352,696):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(352,695):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(352,694):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(352,693):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(352,692):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'8_3':0.0},(352,691):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(352,690):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(352,689):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(352,688):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(352,687):{'3_1':0.18,'5_2':0.0},(352,686):{'3_1':0.12,'5_2':0.0},(352,685):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,684):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(352,683):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,682):{'3_1':0.15},(352,681):{'3_1':0.18},(352,680):{'3_1':0.21,'7_1':0.0},(352,679):{'3_1':0.21,'4_1':0.0},(352,678):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(352,677):{'3_1':0.15},(352,676):{'3_1':0.15,'5_2':0.0},(352,675):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(352,674):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(352,673):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(352,672):{'3_1':0.12},(352,671):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(352,670):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(352,669):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_5':0.0},(352,668):{'3_1':0.12,'4_1':0.0},(352,667):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(352,666):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(352,665):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(352,664):{'3_1':0.15,'5_2':0.0},(352,663):{'3_1':0.15,'6_1':0.0},(352,662):{'3_1':0.18,'4_1':0.0},(352,661):{'3_1':0.15},(352,660):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(352,659):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(352,658):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(352,657):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(352,656):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(352,655):{'3_1':0.09,'5_2':0.0},(352,654):{'3_1':0.15,'5_2':0.0},(352,653):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(352,652):{'3_1':0.15,'5_2':0.0},(352,651):{'3_1':0.15,'5_2':0.0},(352,650):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_1':0.0},(352,649):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(352,648):{'3_1':0.18,'5_2':0.0,'6_1':0.0},(352,647):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(352,646):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(352,645):{'3_1':0.21,'5_1':0.0},(352,644):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0},(352,643):{'3_1':0.15,'5_1':0.0},(352,642):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(352,641):{'3_1':0.18,'5_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(352,640):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(352,639):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,638):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(352,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(352,636):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,635):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(352,634):{'3_1':0.15,'4_1':0.0},(352,633):{'3_1':0.15,'4_1':0.0},(352,632):{'3_1':0.09},(352,631):{'3_1':0.09,'5_1':0.0},(352,630):{'3_1':0.15,'5_1':0.0},(352,629):{'3_1':0.12,'4_1':0.0},(352,628):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(352,627):{'3_1':0.09},(352,626):{'3_1':0.09,'5_2':0.0},(352,625):{'3_1':0.09,'5_1':0.03},(352,624):{'3_1':0.15,'5_1':0.0},(352,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(352,622):{'3_1':0.12,'5_1':0.0},(352,621):{'3_1':0.09,'5_1':0.0},(352,620):{'3_1':0.09,'8_20|3_1#3_1':0.0},(352,619):{'3_1':0.12,'5_2':0.0},(352,618):{'3_1':0.15},(352,617):{'3_1':0.09,'5_1':0.0},(352,616):{'3_1':0.15},(352,615):{'3_1':0.12,'5_1':0.0},(352,614):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(352,613):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(352,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(352,611):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(352,610):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(352,609):{'3_1':0.03,'5_2':0.0},(352,608):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(352,607):{'3_1':0.06,'4_1':0.0},(352,606):{'3_1':0.06,'4_1':0.0},(352,605):{'3_1':0.06},(352,604):{'3_1':0.06,'5_2':0.0},(352,603):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(352,602):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(352,601):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(352,600):{'3_1':0.06,'4_1':0.0},(352,599):{'3_1':0.0,'7_1':0.0},(352,598):{'3_1':0.03,'5_2':0.0},(352,597):{'3_1':0.03,'5_2':0.0},(352,596):{'3_1':0.03,'4_1':0.0},(352,595):{'3_1':0.06,'4_1':0.0},(352,594):{'3_1':0.03},(352,593):{'3_1':0.0,'4_1':0.0},(352,592):{'3_1':0.03},(352,591):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(352,590):{'3_1':0.03},(352,589):{'3_1':0.06,'5_1':0.0},(352,588):{'3_1':0.0},(352,587):{'3_1':0.0},(352,586):{'3_1':0.03},(352,584):{'3_1':0.03,'5_2':0.0},(352,583):{'3_1':0.03},(352,582):{'3_1':0.03},(352,581):{'3_1':0.0,'4_1':0.0},(352,580):{'3_1':0.0},(352,579):{'3_1':0.03},(352,578):{'3_1':0.0},(352,577):{'3_1':0.0},(352,576):{'3_1':0.03},(352,575):{'3_1':0.0,'4_1':0.0},(352,574):{'3_1':0.0},(352,573):{'3_1':0.0},(352,572):{'3_1':0.0},(352,571):{'3_1':0.0,'4_1':0.0},(352,570):{'4_1':0.0},(352,569):{'3_1':0.03},(352,568):{'3_1':0.03,'4_1':0.0},(352,567):{'3_1':0.06},(352,566):{'3_1':0.0},(352,565):{'3_1':0.03},(352,564):{'3_1':0.03},(352,563):{'3_1':0.0},(352,562):{'3_1':0.0,'4_1':0.0},(352,561):{'3_1':0.0},(352,560):{'3_1':0.0,'4_1':0.0},(352,559):{'3_1':0.0,'6_2':0.0},(352,558):{'3_1':0.03,'4_1':0.0},(352,557):{'3_1':0.03},(352,556):{'3_1':0.03,'6_1':0.0},(352,555):{'3_1':0.03,'4_1':0.0},(352,554):{'3_1':0.0,'4_1':0.0},(352,553):{'3_1':0.0},(352,552):{'3_1':0.0,'4_1':0.0},(352,551):{'3_1':0.0},(352,550):{'3_1':0.0},(352,549):{'3_1':0.03},(352,548):{'3_1':0.0},(352,547):{'3_1':0.03},(352,546):{'3_1':0.0},(352,545):{'3_1':0.03},(352,544):{'3_1':0.0},(352,543):{'3_1':0.0},(352,542):{'3_1':0.0,'4_1':0.0},(352,541):{'3_1':0.03,'4_1':0.0},(352,540):{'3_1':0.0},(352,539):{'3_1':0.03,'4_1':0.0},(352,538):{'3_1':0.0,'4_1':0.0},(352,537):{'3_1':0.0},(352,536):{'3_1':0.0,'4_1':0.0},(352,535):{'3_1':0.0,'4_1':0.0},(352,534):{'3_1':0.03},(352,533):{'3_1':0.0},(352,532):{'3_1':0.06},(352,531):{'3_1':0.03},(352,530):{'3_1':0.0,'5_2':0.0},(352,529):{'3_1':0.03},(352,528):{'3_1':0.0,'5_2':0.0},(352,527):{'3_1':0.0},(352,526):{'3_1':0.03,'4_1':0.0},(352,525):{'4_1':0.0,'3_1':0.0},(352,524):{'3_1':0.0,'4_1':0.0},(352,523):{'3_1':0.03},(352,522):{'3_1':0.0},(352,521):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(352,520):{'3_1':0.03},(352,519):{'3_1':0.0},(352,517):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(352,516):{'3_1':0.0},(352,515):{'3_1':0.0},(352,514):{'3_1':0.0},(352,513):{'3_1':0.0,'4_1':0.0},(352,512):{'3_1':0.0},(352,511):{'3_1':0.0},(352,510):{'3_1':0.0,'4_1':0.0},(352,509):{'3_1':0.03},(352,508):{'3_1':0.0},(352,507):{'3_1':0.0},(352,506):{'3_1':0.0,'4_1':0.0},(352,505):{'3_1':0.0},(352,504):{'3_1':0.0},(352,503):{'3_1':0.0},(352,502):{'3_1':0.0},(352,501):{'3_1':0.03,'4_1':0.0},(352,500):{'3_1':0.0},(352,499):{'3_1':0.0,'4_1':0.0},(352,497):{'3_1':0.0},(352,496):{'3_1':0.0},(352,495):{'3_1':0.06},(352,494):{'3_1':0.03,'4_1':0.0},(352,493):{'3_1':0.0},(352,492):{'3_1':0.03},(352,491):{'3_1':0.0},(352,490):{'3_1':0.0},(352,489):{'3_1':0.0},(352,488):{'3_1':0.03,'4_1':0.0},(352,487):{'3_1':0.03},(352,486):{'3_1':0.0},(352,485):{'3_1':0.09},(352,484):{'3_1':0.0,'5_2':0.0},(352,483):{'4_1':0.0},(352,482):{'3_1':0.06},(352,481):{'3_1':0.0},(352,480):{'3_1':0.09},(352,479):{'3_1':0.0},(352,478):{'3_1':0.0},(352,477):{'3_1':0.0},(352,476):{'3_1':0.03},(352,475):{'3_1':0.0},(352,474):{'3_1':0.03},(352,473):{'3_1':0.03},(352,472):{'3_1':0.0},(352,471):{'3_1':0.0},(352,470):{'3_1':0.03},(352,469):{'3_1':0.0},(352,468):{'3_1':0.0},(352,465):{'3_1':0.0},(352,463):{'3_1':0.0},(352,461):{'3_1':0.0},(352,460):{'3_1':0.0},(352,459):{'3_1':0.0},(352,458):{'3_1':0.0},(352,457):{'3_1':0.0},(352,455):{'3_1':0.0},(352,451):{'5_2':0.0},(352,447):{'3_1':0.0},(352,445):{'3_1':0.0},(352,444):{'3_1':0.0},(352,443):{'3_1':0.0},(352,441):{'3_1':0.0},(352,440):{'3_1':0.0},(352,435):{'3_1':0.0},(352,434):{'3_1':0.0},(352,433):{'3_1':0.0},(352,431):{'3_1':0.0},(352,429):{'3_1':0.0},(352,425):{'3_1':0.0},(352,407):{'3_1':0.0},(352,362):{'3_1':0.0},(352,357):{'3_1':0.0},(353,727):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(353,726):{'3_1':0.09,'5_1':0.0},(353,725):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(353,724):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(353,723):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(353,722):{'3_1':0.12,'5_2':0.0},(353,721):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(353,720):{'3_1':0.09},(353,719):{'3_1':0.06,'5_2':0.0},(353,718):{'3_1':0.09,'4_1':0.0},(353,717):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(353,716):{'3_1':0.06,'5_2':0.03},(353,715):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(353,714):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(353,713):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(353,712):{'3_1':0.09,'5_1':0.03,'7_1':0.0},(353,711):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(353,710):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(353,709):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(353,708):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(353,707):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(353,706):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(353,705):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0},(353,704):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(353,703):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(353,702):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(353,701):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(353,700):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'4_1':0.0},(353,699):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(353,698):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(353,697):{'3_1':0.15,'5_2':0.03,'7_1':0.0},(353,696):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(353,695):{'3_1':0.15,'5_2':0.03},(353,694):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(353,693):{'3_1':0.15,'5_2':0.03},(353,692):{'3_1':0.15,'5_1':0.0},(353,691):{'3_1':0.12,'5_1':0.0},(353,690):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(353,689):{'3_1':0.12,'4_1':0.0},(353,688):{'3_1':0.18,'5_2':0.0},(353,687):{'3_1':0.09,'4_1':0.0},(353,686):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_1':0.0},(353,685):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_1':0.0},(353,684):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(353,683):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(353,682):{'3_1':0.24},(353,681):{'3_1':0.15,'9_1':0.0},(353,680):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(353,679):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(353,678):{'3_1':0.18,'4_1':0.0},(353,677):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(353,676):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(353,675):{'3_1':0.12,'4_1':0.0},(353,674):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(353,673):{'3_1':0.18,'4_1':0.0},(353,672):{'3_1':0.21,'6_1':0.0},(353,671):{'3_1':0.09,'5_1':0.0},(353,670):{'3_1':0.12,'5_2':0.0},(353,669):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(353,668):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(353,667):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(353,666):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_1':0.0},(353,665):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(353,664):{'3_1':0.15,'5_2':0.0},(353,663):{'3_1':0.15,'5_1':0.0,'6_1':0.0},(353,662):{'3_1':0.15,'4_1':0.0},(353,661):{'3_1':0.12,'4_1':0.0},(353,660):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(353,659):{'3_1':0.21,'5_1':0.0},(353,658):{'3_1':0.24,'5_2':0.0},(353,657):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(353,656):{'3_1':0.15,'5_2':0.0},(353,655):{'3_1':0.3,'5_2':0.0,'7_3':0.0},(353,654):{'3_1':0.12,'5_1':0.0},(353,653):{'3_1':0.18,'5_1':0.0},(353,652):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(353,651):{'3_1':0.09,'4_1':0.0},(353,650):{'3_1':0.18,'5_2':0.0},(353,649):{'3_1':0.18,'5_2':0.06,'5_1':0.0},(353,648):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_1':0.0},(353,647):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(353,646):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(353,645):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(353,644):{'3_1':0.27,'5_2':0.03,'7_3':0.0,'7_6':0.0},(353,643):{'3_1':0.18,'5_1':0.03,'7_1':0.0},(353,642):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(353,641):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(353,640):{'3_1':0.27,'5_1':0.03},(353,639):{'3_1':0.18,'5_1':0.03},(353,638):{'3_1':0.15},(353,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(353,636):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(353,635):{'3_1':0.12,'4_1':0.0},(353,634):{'3_1':0.09},(353,633):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(353,632):{'3_1':0.09,'4_1':0.0},(353,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(353,630):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(353,629):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(353,628):{'3_1':0.12,'5_1':0.0},(353,627):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(353,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(353,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(353,624):{'3_1':0.09,'4_1':0.0},(353,623):{'3_1':0.09,'4_1':0.0},(353,622):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(353,621):{'3_1':0.12,'5_1':0.0},(353,620):{'3_1':0.12,'5_1':0.0},(353,619):{'3_1':0.06},(353,618):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(353,617):{'3_1':0.09,'5_1':0.0},(353,616):{'3_1':0.06,'6_3':0.0},(353,615):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(353,614):{'3_1':0.09},(353,613):{'3_1':0.06,'5_1':0.0},(353,612):{'3_1':0.06,'4_1':0.0},(353,611):{'3_1':0.06,'5_2':0.0},(353,610):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(353,609):{'3_1':0.06,'4_1':0.0},(353,608):{'3_1':0.03,'5_1':0.0},(353,607):{'3_1':0.0,'4_1':0.0},(353,606):{'3_1':0.03},(353,605):{'3_1':0.03},(353,604):{'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(353,603):{'3_1':0.06,'4_1':0.0},(353,602):{'3_1':0.0},(353,601):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(353,600):{'3_1':0.0},(353,599):{'3_1':0.0},(353,598):{'3_1':0.03,'5_2':0.0},(353,597):{'3_1':0.03},(353,596):{'3_1':0.0},(353,595):{'3_1':0.03,'6_3':0.0},(353,594):{'3_1':0.0},(353,593):{'3_1':0.03,'4_1':0.0},(353,592):{'3_1':0.0},(353,591):{'3_1':0.06},(353,590):{'3_1':0.03,'4_1':0.0},(353,589):{'3_1':0.0},(353,588):{'3_1':0.06},(353,587):{'3_1':0.0,'4_1':0.0},(353,586):{'3_1':0.03,'4_1':0.0},(353,585):{'3_1':0.0},(353,584):{'3_1':0.0},(353,583):{'3_1':0.03,'4_1':0.0},(353,582):{'3_1':0.0},(353,581):{'3_1':0.0},(353,580):{'3_1':0.03},(353,579):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(353,578):{'3_1':0.0,'4_1':0.0},(353,577):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(353,576):{'3_1':0.0},(353,575):{'3_1':0.0,'4_1':0.0},(353,574):{'4_1':0.0},(353,573):{'3_1':0.03},(353,572):{'3_1':0.03,'4_1':0.0},(353,571):{'3_1':0.0},(353,570):{'3_1':0.03},(353,569):{'3_1':0.0},(353,568):{'3_1':0.03},(353,567):{'3_1':0.0},(353,566):{'3_1':0.03},(353,565):{'3_1':0.0,'4_1':0.0},(353,564):{'3_1':0.03,'4_1':0.0},(353,563):{'3_1':0.0,'4_1':0.0},(353,562):{'3_1':0.0,'4_1':0.0},(353,561):{'3_1':0.0,'4_1':0.0},(353,559):{'3_1':0.0},(353,558):{'3_1':0.0},(353,557):{'3_1':0.0,'4_1':0.0},(353,556):{'3_1':0.0,'4_1':0.0},(353,555):{'3_1':0.03,'4_1':0.0},(353,554):{'3_1':0.0,'4_1':0.0},(353,553):{'3_1':0.03,'6_2':0.0},(353,552):{'3_1':0.03},(353,551):{'3_1':0.0},(353,550):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(353,549):{'3_1':0.0,'6_1':0.0},(353,548):{'3_1':0.0},(353,547):{'3_1':0.0},(353,546):{'3_1':0.0},(353,545):{'3_1':0.0},(353,544):{'3_1':0.03},(353,543):{'3_1':0.0},(353,542):{'3_1':0.0},(353,541):{'3_1':0.03},(353,539):{'3_1':0.03},(353,538):{'3_1':0.03,'4_1':0.0},(353,537):{'3_1':0.0},(353,536):{'3_1':0.0},(353,535):{'3_1':0.06,'4_1':0.0},(353,534):{'3_1':0.0},(353,533):{'3_1':0.03},(353,532):{'3_1':0.0},(353,531):{'3_1':0.0,'7_2':0.0},(353,530):{'3_1':0.03,'6_1':0.0},(353,529):{'3_1':0.0},(353,528):{'3_1':0.03,'6_2':0.0},(353,527):{'3_1':0.03},(353,526):{'4_1':0.0,'3_1':0.0},(353,525):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(353,524):{'3_1':0.0},(353,523):{'3_1':0.0},(353,522):{'3_1':0.0,'5_1':0.0},(353,521):{'3_1':0.0},(353,520):{'3_1':0.03,'4_1':0.0},(353,519):{'3_1':0.03,'4_1':0.0},(353,518):{'3_1':0.0,'4_1':0.0},(353,517):{'3_1':0.0},(353,516):{'3_1':0.0},(353,515):{'3_1':0.0,'8_1':0.0},(353,514):{'3_1':0.03,'4_1':0.0},(353,513):{'3_1':0.0,'4_1':0.0},(353,512):{'3_1':0.03,'4_1':0.0},(353,511):{'3_1':0.0,'8_3':0.0},(353,510):{'3_1':0.0,'4_1':0.0},(353,509):{'3_1':0.0},(353,508):{'3_1':0.03,'4_1':0.0},(353,507):{'3_1':0.0},(353,506):{'3_1':0.0,'6_2':0.0},(353,505):{'3_1':0.0},(353,504):{'3_1':0.0,'5_1':0.0},(353,503):{'3_1':0.0},(353,502):{'3_1':0.0},(353,501):{'3_1':0.03},(353,500):{'3_1':0.0},(353,499):{'3_1':0.0},(353,498):{'3_1':0.0},(353,497):{'3_1':0.0,'4_1':0.0},(353,496):{'3_1':0.03},(353,495):{'3_1':0.03,'4_1':0.0},(353,494):{'3_1':0.0},(353,493):{'3_1':0.0,'4_1':0.0},(353,492):{'3_1':0.03},(353,491):{'3_1':0.0},(353,490):{'3_1':0.0},(353,489):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_1':0.0},(353,488):{'3_1':0.0},(353,487):{'3_1':0.0},(353,486):{'3_1':0.0},(353,485):{'3_1':0.0,'4_1':0.0},(353,484):{'3_1':0.03},(353,483):{'3_1':0.0},(353,482):{'3_1':0.03},(353,481):{'3_1':0.0},(353,479):{'3_1':0.0},(353,478):{'3_1':0.0},(353,477):{'3_1':0.0},(353,476):{'3_1':0.03},(353,475):{'4_1':0.0},(353,474):{'3_1':0.0,'6_1':0.0},(353,473):{'3_1':0.0},(353,472):{'3_1':0.03},(353,471):{'3_1':0.0},(353,470):{'3_1':0.0,'4_1':0.0},(353,469):{'3_1':0.0},(353,468):{'3_1':0.0},(353,467):{'3_1':0.0},(353,466):{'3_1':0.0},(353,464):{'3_1':0.0},(353,463):{'3_1':0.0},(353,461):{'3_1':0.0},(353,460):{'3_1':0.0},(353,459):{'3_1':0.0},(353,458):{'3_1':0.0},(353,455):{'3_1':0.0},(353,449):{'3_1':0.0},(353,447):{'3_1':0.0},(353,445):{'3_1':0.0},(353,444):{'3_1':0.0},(353,443):{'3_1':0.0},(353,441):{'3_1':0.0},(353,440):{'3_1':0.0},(353,438):{'3_1':0.0},(353,437):{'3_1':0.0},(353,435):{'3_1':0.0},(353,433):{'3_1':0.0},(353,432):{'3_1':0.0},(353,431):{'3_1':0.0},(353,430):{'3_1':0.0},(353,429):{'3_1':0.0},(353,363):{'3_1':0.0},(353,361):{'3_1':0.0},(353,360):{'3_1':0.0},(354,727):{'3_1':0.03},(354,726):{'3_1':0.0,'4_1':0.0},(354,725):{'3_1':0.09},(354,724):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,723):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(354,722):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(354,721):{'3_1':0.15,'4_1':0.0},(354,720):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(354,719):{'3_1':0.06,'5_2':0.0},(354,718):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,717):{'3_1':0.06,'4_1':0.0},(354,716):{'3_1':0.12,'5_2':0.0},(354,715):{'3_1':0.06,'5_1':0.0},(354,714):{'3_1':0.09},(354,713):{'3_1':0.06,'5_2':0.0},(354,712):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(354,711):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(354,710):{'3_1':0.09,'7_4':0.0},(354,709):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(354,708):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(354,707):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,706):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(354,705):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(354,704):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,703):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(354,702):{'3_1':0.12,'5_2':0.0},(354,701):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(354,700):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(354,699):{'3_1':0.09,'5_2':0.03},(354,698):{'3_1':0.09,'5_2':0.0,'6_2':0.0},(354,697):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_1':0.0},(354,696):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,695):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(354,694):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(354,693):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0,'5_1':0.0},(354,692):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(354,691):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(354,690):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(354,689):{'3_1':0.21,'5_2':0.0,'7_1':0.0},(354,688):{'3_1':0.09,'4_1':0.03},(354,687):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(354,686):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(354,685):{'3_1':0.12,'5_2':0.0},(354,684):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(354,683):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_2':0.0},(354,682):{'3_1':0.15,'4_1':0.0},(354,681):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(354,680):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(354,679):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(354,678):{'3_1':0.15},(354,677):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(354,676):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(354,675):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(354,674):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(354,673):{'3_1':0.15,'4_1':0.0},(354,672):{'3_1':0.21,'5_2':0.0},(354,671):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(354,670):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(354,669):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(354,668):{'3_1':0.12,'4_1':0.0},(354,667):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(354,666):{'3_1':0.15},(354,665):{'3_1':0.12,'4_1':0.0},(354,664):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(354,663):{'3_1':0.12,'4_1':0.0},(354,662):{'3_1':0.18},(354,661):{'3_1':0.09,'4_1':0.0},(354,660):{'3_1':0.18,'4_1':0.0},(354,659):{'3_1':0.09,'5_1':0.0},(354,658):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(354,657):{'3_1':0.12,'5_2':0.0,'7_1':0.0,'5_1':0.0},(354,656):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(354,655):{'3_1':0.18,'5_1':0.0},(354,654):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(354,653):{'3_1':0.18,'4_1':0.0,'7_5':0.0},(354,652):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_1':0.0},(354,651):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(354,650):{'3_1':0.15,'5_2':0.0},(354,649):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0},(354,648):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(354,647):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'9_1':0.0},(354,646):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(354,645):{'3_1':0.24,'4_1':0.0},(354,644):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(354,643):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(354,642):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(354,641):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(354,640):{'3_1':0.27,'5_1':0.0},(354,639):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(354,638):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(354,637):{'3_1':0.09,'5_1':0.0},(354,636):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'9_1':0.0},(354,635):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(354,634):{'3_1':0.09,'5_1':0.0},(354,633):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(354,632):{'3_1':0.09},(354,631):{'3_1':0.18,'5_1':0.0},(354,630):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(354,629):{'3_1':0.09,'5_1':0.0},(354,628):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(354,627):{'3_1':0.09},(354,626):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(354,625):{'3_1':0.15,'5_1':0.0,'6_2':0.0},(354,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(354,623):{'3_1':0.09},(354,622):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(354,621):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(354,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(354,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(354,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(354,617):{'3_1':0.06,'4_1':0.0},(354,616):{'3_1':0.15,'5_1':0.0},(354,615):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(354,614):{'3_1':0.12},(354,613):{'3_1':0.06,'5_2':0.0},(354,612):{'3_1':0.06},(354,611):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(354,610):{'3_1':0.09},(354,609):{'3_1':0.12},(354,608):{'3_1':0.06,'4_1':0.0},(354,607):{'3_1':0.06,'5_1':0.0},(354,606):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(354,605):{'3_1':0.03,'5_1':0.0},(354,604):{'3_1':0.06},(354,603):{'3_1':0.03,'5_1':0.0},(354,602):{'3_1':0.03,'5_2':0.0},(354,601):{'3_1':0.06},(354,600):{'3_1':0.0,'5_1':0.0},(354,599):{'3_1':0.06},(354,598):{'3_1':0.03},(354,597):{'3_1':0.09,'5_1':0.0},(354,596):{'3_1':0.03,'4_1':0.0},(354,595):{'3_1':0.0},(354,594):{'3_1':0.0,'4_1':0.0},(354,593):{'5_1':0.0},(354,592):{'3_1':0.03,'5_2':0.0},(354,591):{'3_1':0.0},(354,590):{'3_1':0.0},(354,589):{'3_1':0.03},(354,588):{'3_1':0.0,'5_1':0.0},(354,587):{'3_1':0.03},(354,586):{'3_1':0.03},(354,585):{'3_1':0.0},(354,584):{'3_1':0.0},(354,583):{'3_1':0.0,'4_1':0.0},(354,582):{'3_1':0.0},(354,581):{'3_1':0.0,'4_1':0.0},(354,580):{'3_1':0.0},(354,579):{'3_1':0.0},(354,577):{'3_1':0.0},(354,576):{'3_1':0.0},(354,575):{'3_1':0.03,'5_1':0.0},(354,574):{'3_1':0.0,'4_1':0.0},(354,572):{'3_1':0.03},(354,571):{'3_1':0.03,'4_1':0.0},(354,570):{'3_1':0.0},(354,569):{'3_1':0.0},(354,568):{'3_1':0.0},(354,567):{'3_1':0.0},(354,566):{'3_1':0.0},(354,565):{'4_1':0.0},(354,564):{'3_1':0.03,'4_1':0.0},(354,563):{'3_1':0.0},(354,562):{'3_1':0.03},(354,561):{'3_1':0.0},(354,560):{'3_1':0.0,'4_1':0.0},(354,559):{'3_1':0.0},(354,558):{'3_1':0.03},(354,557):{'3_1':0.0},(354,556):{'3_1':0.0,'4_1':0.0},(354,555):{'3_1':0.03},(354,554):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(354,553):{'3_1':0.0,'4_1':0.0},(354,552):{'3_1':0.03,'6_2':0.0},(354,551):{'3_1':0.0},(354,550):{'4_1':0.0,'3_1':0.0},(354,549):{'3_1':0.03,'4_1':0.0},(354,548):{'3_1':0.06,'4_1':0.0},(354,547):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(354,546):{'3_1':0.0,'4_1':0.0},(354,545):{'3_1':0.0,'4_1':0.0},(354,544):{'3_1':0.03},(354,543):{'3_1':0.0},(354,542):{'3_1':0.03},(354,541):{'3_1':0.0,'5_1':0.0},(354,540):{'3_1':0.0},(354,539):{'3_1':0.0,'4_1':0.0},(354,538):{'3_1':0.03,'4_1':0.0},(354,537):{'3_1':0.03},(354,536):{'3_1':0.0},(354,535):{'3_1':0.03},(354,534):{'3_1':0.03},(354,533):{'3_1':0.0,'5_2':0.0},(354,532):{'3_1':0.0,'4_1':0.0},(354,531):{'3_1':0.0},(354,530):{'3_1':0.03},(354,529):{'3_1':0.0},(354,528):{'3_1':0.03},(354,527):{'3_1':0.03},(354,526):{'3_1':0.03,'4_1':0.0},(354,525):{'3_1':0.03,'4_1':0.0},(354,524):{'3_1':0.0},(354,523):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(354,522):{'3_1':0.0,'4_1':0.0},(354,521):{'3_1':0.03,'6_1':0.0},(354,520):{'3_1':0.0,'4_1':0.0},(354,519):{'3_1':0.0,'4_1':0.0},(354,518):{'3_1':0.03,'4_1':0.0},(354,517):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(354,516):{'3_1':0.03},(354,515):{'3_1':0.03},(354,514):{'3_1':0.0,'4_1':0.0},(354,513):{'3_1':0.0},(354,512):{'3_1':0.03,'4_1':0.0},(354,511):{'3_1':0.0,'4_1':0.0},(354,510):{'3_1':0.06,'8_3':0.0},(354,509):{'3_1':0.0,'4_1':0.0},(354,508):{'3_1':0.03},(354,507):{'3_1':0.0},(354,506):{'3_1':0.03},(354,504):{'4_1':0.0},(354,503):{'3_1':0.0},(354,502):{'3_1':0.03,'4_1':0.0},(354,501):{'3_1':0.03},(354,500):{'3_1':0.0},(354,499):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(354,498):{'3_1':0.0},(354,497):{'3_1':0.0,'4_1':0.0},(354,496):{'3_1':0.03,'5_1':0.0},(354,495):{'3_1':0.0,'4_1':0.0},(354,494):{'3_1':0.03},(354,493):{'3_1':0.0},(354,492):{'3_1':0.03},(354,491):{'3_1':0.0},(354,490):{'3_1':0.0},(354,489):{'3_1':0.0,'5_1':0.0},(354,488):{'3_1':0.06},(354,487):{'3_1':0.03},(354,486):{'3_1':0.0},(354,485):{'3_1':0.0},(354,484):{'3_1':0.0},(354,483):{'3_1':0.03},(354,482):{'3_1':0.0},(354,481):{'3_1':0.06},(354,480):{'3_1':0.0},(354,479):{'3_1':0.0},(354,478):{'3_1':0.0},(354,477):{'3_1':0.03},(354,476):{'3_1':0.03},(354,475):{'3_1':0.03},(354,474):{'3_1':0.03,'5_1':0.0},(354,473):{'3_1':0.03},(354,472):{'3_1':0.0},(354,471):{'3_1':0.0},(354,470):{'3_1':0.03},(354,469):{'3_1':0.03},(354,468):{'3_1':0.0},(354,467):{'3_1':0.0},(354,466):{'3_1':0.0},(354,465):{'3_1':0.0},(354,464):{'3_1':0.0},(354,463):{'3_1':0.0},(354,462):{'3_1':0.0},(354,459):{'3_1':0.0},(354,458):{'3_1':0.0},(354,457):{'3_1':0.0},(354,456):{'3_1':0.0},(354,449):{'3_1':0.0},(354,448):{'3_1':0.03},(354,447):{'3_1':0.0,'4_1':0.0},(354,444):{'3_1':0.0},(354,436):{'3_1':0.0},(354,433):{'3_1':0.0},(354,432):{'3_1':0.0},(354,431):{'3_1':0.0},(354,430):{'3_1':0.0},(354,429):{'3_1':0.0},(354,427):{'3_1':0.0},(354,425):{'3_1':0.0},(354,424):{'3_1':0.0,'7_2':0.0},(354,363):{'3_1':0.0},(354,362):{'3_1':0.0},(355,727):{'3_1':0.03,'5_2':0.0},(355,726):{'3_1':0.12,'4_1':0.0},(355,725):{'3_1':0.09,'5_1':0.0},(355,724):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,723):{'3_1':0.09},(355,722):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,721):{'3_1':0.06,'5_2':0.0},(355,720):{'3_1':0.06,'5_1':0.0},(355,719):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(355,718):{'3_1':0.06,'5_2':0.0},(355,717):{'3_1':0.06,'5_2':0.0},(355,716):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(355,715):{'3_1':0.06,'4_1':0.0},(355,714):{'3_1':0.15,'5_2':0.0},(355,713):{'3_1':0.06,'5_1':0.0},(355,712):{'3_1':0.09,'5_2':0.0},(355,711):{'3_1':0.12,'4_1':0.0},(355,710):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(355,709):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(355,708):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(355,707):{'3_1':0.09,'5_2':0.0},(355,706):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(355,705):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(355,704):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(355,703):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(355,702):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(355,701):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(355,700):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(355,699):{'3_1':0.06,'7_1':0.0},(355,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(355,697):{'3_1':0.09,'5_2':0.0},(355,696):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(355,695):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(355,694):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(355,693):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(355,692):{'3_1':0.12,'5_2':0.0,'8_20|3_1#3_1':0.0},(355,691):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(355,690):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0},(355,689):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(355,688):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(355,687):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(355,686):{'3_1':0.21,'5_2':0.0},(355,685):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(355,684):{'3_1':0.09,'4_1':0.0},(355,683):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(355,682):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(355,681):{'3_1':0.12,'5_1':0.0},(355,680):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(355,679):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(355,678):{'3_1':0.09},(355,677):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(355,676):{'3_1':0.12,'4_1':0.0},(355,675):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,674):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(355,673):{'3_1':0.12,'4_1':0.0},(355,672):{'3_1':0.06},(355,671):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,670):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(355,669):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,668):{'3_1':0.06,'5_2':0.0},(355,667):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(355,666):{'3_1':0.15,'5_1':0.0,'8_1':0.0},(355,665):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(355,664):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(355,663):{'3_1':0.15,'5_1':0.0,'7_1':0.0},(355,662):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(355,661):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(355,660):{'3_1':0.09,'4_1':0.0},(355,659):{'3_1':0.18,'6_1':0.0},(355,658):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(355,657):{'3_1':0.09,'5_1':0.0},(355,656):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(355,655):{'3_1':0.15,'5_2':0.0},(355,654):{'3_1':0.09,'4_1':0.0},(355,653):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(355,652):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(355,651):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(355,650):{'3_1':0.18,'5_2':0.03,'7_1':0.0},(355,649):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(355,648):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_3':0.0},(355,647):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(355,646):{'3_1':0.21,'5_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(355,645):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(355,644):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(355,643):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(355,642):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(355,641):{'3_1':0.21,'5_1':0.03,'6_1':0.0,'6_3':0.0},(355,640):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(355,639):{'3_1':0.18,'5_1':0.0},(355,638):{'3_1':0.18,'5_1':0.0},(355,637):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(355,636):{'3_1':0.15,'5_1':0.0},(355,635):{'3_1':0.06,'5_1':0.03},(355,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_1':0.0},(355,633):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(355,632):{'3_1':0.15,'5_1':0.0},(355,631):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(355,630):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(355,629):{'3_1':0.12,'5_1':0.0},(355,628):{'3_1':0.15,'3_1#5_1':0.0},(355,627):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(355,626):{'3_1':0.09,'4_1':0.0},(355,625):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(355,624):{'3_1':0.09},(355,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(355,622):{'3_1':0.06,'5_1':0.0},(355,621):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(355,620):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(355,619):{'3_1':0.06},(355,618):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(355,617):{'3_1':0.12,'5_1':0.0},(355,616):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(355,615):{'3_1':0.03},(355,614):{'3_1':0.06,'5_1':0.0},(355,613):{'3_1':0.06,'4_1':0.0},(355,612):{'3_1':0.09,'5_1':0.0},(355,611):{'3_1':0.09,'5_2':0.0},(355,610):{'3_1':0.09,'8_20|3_1#3_1':0.0},(355,609):{'3_1':0.06},(355,608):{'3_1':0.03,'5_1':0.0},(355,607):{'3_1':0.09},(355,606):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(355,605):{'3_1':0.03},(355,604):{'3_1':0.09,'5_1':0.0},(355,603):{'3_1':0.06},(355,602):{'3_1':0.06},(355,601):{'3_1':0.03,'5_2':0.0},(355,600):{'3_1':0.06,'4_1':0.0},(355,599):{'3_1':0.06,'4_1':0.0},(355,598):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(355,597):{'3_1':0.03,'5_2':0.0},(355,596):{'3_1':0.03,'5_1':0.0},(355,595):{'3_1':0.06},(355,594):{'3_1':0.03},(355,593):{'3_1':0.0},(355,592):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(355,591):{'4_1':0.0,'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(355,590):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(355,589):{'3_1':0.0},(355,588):{'3_1':0.03},(355,587):{'3_1':0.03},(355,586):{'3_1':0.0,'4_1':0.0},(355,585):{'3_1':0.03},(355,584):{'3_1':0.0},(355,583):{'3_1':0.0},(355,582):{'3_1':0.0,'4_1':0.0},(355,581):{'3_1':0.0},(355,580):{'3_1':0.03},(355,579):{'3_1':0.03},(355,578):{'3_1':0.03},(355,577):{'3_1':0.0,'4_1':0.0},(355,576):{'3_1':0.03},(355,575):{'3_1':0.0,'4_1':0.0},(355,574):{'3_1':0.0},(355,573):{'3_1':0.03,'4_1':0.0},(355,572):{'3_1':0.03},(355,571):{'3_1':0.03},(355,570):{'3_1':0.03},(355,569):{'3_1':0.03,'6_1':0.0},(355,568):{'3_1':0.03},(355,567):{'4_1':0.0},(355,566):{'3_1':0.03},(355,565):{'3_1':0.0},(355,564):{'3_1':0.06},(355,563):{'3_1':0.03},(355,561):{'3_1':0.0},(355,560):{'3_1':0.0},(355,559):{'3_1':0.06,'4_1':0.0},(355,558):{'3_1':0.0,'4_1':0.0},(355,557):{'3_1':0.0},(355,556):{'3_1':0.0},(355,555):{'3_1':0.0,'4_1':0.0},(355,554):{'3_1':0.03},(355,553):{'3_1':0.0},(355,552):{'3_1':0.0,'4_1':0.0},(355,551):{'3_1':0.03},(355,550):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(355,549):{'3_1':0.03,'6_2':0.0},(355,548):{'3_1':0.0,'4_1':0.0},(355,547):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(355,546):{'3_1':0.03,'4_1':0.0},(355,545):{'3_1':0.0},(355,544):{'3_1':0.03,'4_1':0.0},(355,543):{'3_1':0.03},(355,542):{'3_1':0.03},(355,541):{'3_1':0.0,'4_1':0.0},(355,540):{'3_1':0.03},(355,539):{'3_1':0.03},(355,538):{'3_1':0.03},(355,537):{'3_1':0.03},(355,536):{'3_1':0.03},(355,535):{'3_1':0.0},(355,534):{'3_1':0.03,'4_1':0.0},(355,533):{'3_1':0.0,'4_1':0.0},(355,532):{'3_1':0.03,'4_1':0.0},(355,531):{'3_1':0.0,'4_1':0.0},(355,530):{'3_1':0.0},(355,529):{'3_1':0.0,'4_1':0.0},(355,528):{'3_1':0.03,'4_1':0.0},(355,527):{'3_1':0.03,'4_1':0.0},(355,526):{'3_1':0.03},(355,525):{'3_1':0.0},(355,524):{'3_1':0.0,'4_1':0.0},(355,523):{'3_1':0.06,'4_1':0.0},(355,522):{'3_1':0.03,'4_1':0.0},(355,521):{'3_1':0.0},(355,520):{'3_1':0.0},(355,519):{'3_1':0.0,'4_1':0.0},(355,518):{'3_1':0.0,'4_1':0.0},(355,517):{'3_1':0.0},(355,516):{'3_1':0.03},(355,515):{'3_1':0.0,'4_1':0.0},(355,514):{'3_1':0.03},(355,513):{'3_1':0.03},(355,512):{'3_1':0.0,'4_1':0.0},(355,511):{'3_1':0.0,'4_1':0.0},(355,509):{'3_1':0.0},(355,508):{'3_1':0.0},(355,507):{'3_1':0.03,'4_1':0.0},(355,506):{'3_1':0.0,'4_1':0.0},(355,505):{'3_1':0.0},(355,504):{'3_1':0.03},(355,503):{'3_1':0.0},(355,502):{'3_1':0.03,'4_1':0.0},(355,501):{'3_1':0.03,'5_1':0.0},(355,500):{'3_1':0.03},(355,499):{'3_1':0.03},(355,498):{'3_1':0.03},(355,497):{'3_1':0.0},(355,496):{'3_1':0.0,'4_1':0.0},(355,495):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(355,494):{'3_1':0.0},(355,493):{'3_1':0.03},(355,492):{'3_1':0.06,'4_1':0.0},(355,491):{'3_1':0.03,'5_1':0.0},(355,490):{'3_1':0.0},(355,489):{'3_1':0.03,'5_1':0.0},(355,488):{'3_1':0.03,'4_1':0.0},(355,487):{'3_1':0.0,'4_1':0.0},(355,486):{'4_1':0.0},(355,485):{'3_1':0.0},(355,484):{'3_1':0.0},(355,483):{'3_1':0.0},(355,482):{'3_1':0.0},(355,481):{'3_1':0.0},(355,480):{'3_1':0.0},(355,479):{'3_1':0.03,'4_1':0.0},(355,478):{'3_1':0.03},(355,477):{'3_1':0.0,'8_3':0.0},(355,476):{'3_1':0.0},(355,475):{'3_1':0.0},(355,474):{'3_1':0.0},(355,473):{'3_1':0.03},(355,472):{'3_1':0.0},(355,471):{'3_1':0.0},(355,470):{'3_1':0.0},(355,469):{'3_1':0.03},(355,468):{'3_1':0.03},(355,466):{'3_1':0.0},(355,465):{'3_1':0.0},(355,464):{'3_1':0.0},(355,463):{'3_1':0.0},(355,462):{'3_1':0.0},(355,461):{'3_1':0.03},(355,460):{'3_1':0.0},(355,458):{'3_1':0.0},(355,457):{'3_1':0.0},(355,453):{'3_1':0.0},(355,452):{'3_1':0.0},(355,451):{'3_1':0.0},(355,450):{'3_1':0.0},(355,449):{'3_1':0.0},(355,445):{'3_1':0.0},(355,444):{'3_1':0.0},(355,443):{'3_1':0.0},(355,441):{'3_1':0.0},(355,440):{'3_1':0.0},(355,433):{'3_1':0.0},(355,432):{'3_1':0.0},(355,429):{'3_1':0.0},(355,426):{'3_1':0.0},(355,423):{'3_1':0.0},(355,363):{'3_1':0.0},(355,361):{'3_1':0.0},(355,360):{'3_1':0.0},(355,359):{'3_1':0.0},(356,727):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(356,726):{'3_1':0.09,'5_2':0.0},(356,725):{'3_1':0.0,'4_1':0.0},(356,724):{'3_1':0.06,'5_1':0.0},(356,723):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(356,722):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(356,721):{'3_1':0.12,'5_1':0.0},(356,720):{'3_1':0.09},(356,719):{'3_1':0.03,'5_1':0.0},(356,718):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(356,717):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,716):{'3_1':0.06,'7_3':0.0,'5_2':0.0},(356,715):{'3_1':0.09,'5_1':0.0},(356,714):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(356,713):{'3_1':0.09,'5_2':0.0},(356,712):{'3_1':0.06,'5_2':0.0},(356,711):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(356,710):{'3_1':0.06},(356,709):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(356,708):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_6':0.0},(356,707):{'3_1':0.15,'5_2':0.0,'6_1':0.0},(356,706):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(356,705):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(356,704):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(356,703):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(356,702):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0},(356,701):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,700):{'3_1':0.12,'5_2':0.0},(356,699):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_6':0.0},(356,698):{'3_1':0.06,'5_2':0.0},(356,697):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(356,696):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(356,695):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(356,694):{'3_1':0.09,'5_2':0.06,'4_1':0.0},(356,693):{'3_1':0.09},(356,692):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(356,691):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(356,690):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(356,689):{'3_1':0.06,'7_1':0.0},(356,688):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(356,687):{'3_1':0.06,'5_2':0.0},(356,686):{'3_1':0.15,'5_2':0.0},(356,685):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(356,684):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(356,683):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(356,682):{'3_1':0.12},(356,681):{'3_1':0.09,'6_1':0.0,'9_1':0.0},(356,680):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_1':0.0},(356,679):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(356,678):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(356,677):{'3_1':0.03,'6_1':0.0},(356,676):{'3_1':0.12},(356,675):{'3_1':0.12},(356,674):{'3_1':0.12,'5_2':0.0,'8_1':0.0},(356,673):{'3_1':0.09,'4_1':0.0},(356,672):{'3_1':0.15},(356,671):{'3_1':0.15,'5_1':0.0,'7_4':0.0},(356,670):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,669):{'3_1':0.12,'4_1':0.0},(356,668):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,667):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(356,666):{'3_1':0.12,'8_3':0.0},(356,665):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(356,664):{'3_1':0.06},(356,663):{'3_1':0.12,'5_1':0.0},(356,662):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(356,661):{'3_1':0.15},(356,660):{'3_1':0.09,'4_1':0.0},(356,659):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(356,658):{'3_1':0.12},(356,657):{'3_1':0.12,'5_2':0.0},(356,656):{'3_1':0.09,'4_1':0.0},(356,655):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(356,654):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(356,653):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(356,652):{'3_1':0.09,'4_1':0.0},(356,651):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,650):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(356,649):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(356,648):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(356,647):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_1':0.0},(356,646):{'3_1':0.15,'5_1':0.0},(356,645):{'3_1':0.12,'5_2':0.0},(356,644):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,643):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(356,642):{'3_1':0.18,'5_1':0.0},(356,641):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_1':0.0},(356,640):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(356,639):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(356,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(356,637):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(356,636):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(356,635):{'3_1':0.12,'5_2':0.0},(356,634):{'3_1':0.15},(356,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(356,632):{'3_1':0.12,'5_2':0.0},(356,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(356,630):{'3_1':0.24,'4_1':0.0},(356,629):{'3_1':0.12},(356,628):{'3_1':0.12,'5_1':0.0},(356,627):{'3_1':0.12,'7_1':0.0},(356,626):{'3_1':0.12,'4_1':0.0},(356,625):{'3_1':0.06,'5_1':0.0},(356,624):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(356,623):{'3_1':0.06,'5_1':0.0},(356,622):{'3_1':0.12,'5_1':0.0},(356,621):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(356,620):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(356,619):{'3_1':0.15,'4_1':0.0},(356,618):{'3_1':0.09,'5_2':0.0},(356,617):{'3_1':0.15},(356,616):{'3_1':0.12,'8_20|3_1#3_1':0.0},(356,615):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(356,614):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(356,613):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(356,612):{'3_1':0.12,'5_1':0.0,'-3':0.0},(356,611):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(356,610):{'3_1':0.06},(356,609):{'3_1':0.03,'5_2':0.0},(356,608):{'3_1':0.09},(356,607):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(356,606):{'3_1':0.09,'5_1':0.0},(356,605):{'3_1':0.06},(356,604):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(356,603):{'3_1':0.03,'5_1':0.0,'7_2':0.0},(356,602):{'3_1':0.03},(356,601):{'3_1':0.06},(356,600):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(356,599):{'3_1':0.03,'5_1':0.0},(356,598):{'3_1':0.03,'5_1':0.0},(356,597):{'3_1':0.06},(356,596):{'3_1':0.03,'4_1':0.0},(356,595):{'3_1':0.06},(356,594):{'3_1':0.03,'4_1':0.0},(356,593):{'3_1':0.06},(356,592):{'3_1':0.0,'4_1':0.0},(356,591):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(356,590):{'3_1':0.06},(356,589):{'3_1':0.0,'4_1':0.0},(356,588):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(356,587):{'3_1':0.06,'4_1':0.0},(356,586):{'3_1':0.0,'4_1':0.0},(356,585):{'3_1':0.03},(356,584):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(356,583):{'3_1':0.03},(356,582):{'3_1':0.0},(356,581):{'3_1':0.0},(356,580):{'4_1':0.0,'3_1':0.0},(356,579):{'3_1':0.0},(356,578):{'3_1':0.03,'5_1':0.0},(356,577):{'3_1':0.03},(356,576):{'3_1':0.03},(356,575):{'3_1':0.0,'8_1':0.0},(356,574):{'3_1':0.0},(356,573):{'3_1':0.0},(356,572):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(356,571):{'3_1':0.0},(356,570):{'3_1':0.0},(356,569):{'3_1':0.03},(356,568):{'3_1':0.03,'4_1':0.0},(356,567):{'3_1':0.0,'4_1':0.0},(356,566):{'3_1':0.03,'4_1':0.0},(356,565):{'3_1':0.0},(356,564):{'3_1':0.0,'4_1':0.0},(356,563):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(356,562):{'3_1':0.0,'5_2':0.0},(356,561):{'3_1':0.0,'4_1':0.0},(356,560):{'3_1':0.03,'5_2':0.0},(356,559):{'3_1':0.0,'4_1':0.0},(356,558):{'3_1':0.03,'4_1':0.0},(356,557):{'3_1':0.0,'4_1':0.0},(356,556):{'3_1':0.0},(356,555):{'3_1':0.03},(356,554):{'3_1':0.0,'4_1':0.0},(356,553):{'3_1':0.03,'4_1':0.0},(356,552):{'3_1':0.0,'4_1':0.0},(356,551):{'3_1':0.06},(356,550):{'3_1':0.03,'4_1':0.0},(356,549):{'3_1':0.0,'6_1':0.0},(356,548):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(356,547):{'3_1':0.03},(356,546):{'3_1':0.03},(356,545):{'3_1':0.0,'4_1':0.0},(356,544):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(356,543):{'3_1':0.0,'4_1':0.0},(356,542):{'3_1':0.0},(356,541):{'3_1':0.0},(356,540):{'3_1':0.0,'4_1':0.0},(356,539):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(356,538):{'3_1':0.03},(356,537):{'3_1':0.03,'4_1':0.0},(356,536):{'3_1':0.0,'4_1':0.0},(356,535):{'3_1':0.03,'4_1':0.0},(356,534):{'3_1':0.0},(356,533):{'3_1':0.03,'4_1':0.0},(356,532):{'3_1':0.06,'4_1':0.0},(356,531):{'3_1':0.06},(356,530):{'3_1':0.0},(356,529):{'3_1':0.0,'4_1':0.0},(356,528):{'3_1':0.03,'4_1':0.0},(356,527):{'3_1':0.03,'4_1':0.0},(356,526):{'3_1':0.0},(356,525):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(356,524):{'3_1':0.0,'4_1':0.0},(356,523):{'3_1':0.06,'4_1':0.0},(356,522):{'3_1':0.03,'4_1':0.0},(356,521):{'3_1':0.03,'4_1':0.0},(356,520):{'4_1':0.03,'3_1':0.0},(356,519):{'3_1':0.03,'4_1':0.0},(356,518):{'3_1':0.0},(356,517):{'3_1':0.03},(356,516):{'3_1':0.0},(356,515):{'3_1':0.03,'4_1':0.0},(356,514):{'3_1':0.0,'6_3':0.0},(356,513):{'3_1':0.0},(356,512):{'3_1':0.0},(356,511):{'3_1':0.03,'4_1':0.0},(356,510):{'3_1':0.03},(356,509):{'3_1':0.03,'4_1':0.0},(356,508):{'3_1':0.03},(356,507):{'3_1':0.0},(356,506):{'3_1':0.0,'5_1':0.0},(356,505):{'3_1':0.03},(356,504):{'3_1':0.0},(356,503):{'3_1':0.0},(356,502):{'3_1':0.0},(356,501):{'3_1':0.03},(356,500):{'3_1':0.0},(356,499):{'3_1':0.0},(356,498):{'3_1':0.03,'4_1':0.0},(356,497):{'3_1':0.0},(356,496):{'3_1':0.0},(356,495):{'5_2':0.0,'3_1':0.0},(356,494):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(356,493):{'3_1':0.0},(356,492):{'3_1':0.06},(356,491):{'3_1':0.03,'4_1':0.0},(356,490):{'3_1':0.03,'4_1':0.03},(356,489):{'3_1':0.03},(356,488):{'3_1':0.0,'4_1':0.0},(356,486):{'3_1':0.03,'4_1':0.0},(356,485):{'3_1':0.0,'4_1':0.0},(356,484):{'3_1':0.03,'4_1':0.0},(356,483):{'3_1':0.0},(356,482):{'3_1':0.0,'4_1':0.0},(356,481):{'3_1':0.03},(356,480):{'3_1':0.03,'4_1':0.0},(356,479):{'3_1':0.0},(356,478):{'3_1':0.03},(356,477):{'3_1':0.06,'6_1':0.0},(356,476):{'3_1':0.0},(356,475):{'3_1':0.03},(356,474):{'3_1':0.0},(356,473):{'3_1':0.0,'6_1':0.0},(356,472):{'3_1':0.0},(356,471):{'3_1':0.0},(356,470):{'3_1':0.0},(356,469):{'3_1':0.0},(356,468):{'3_1':0.03},(356,467):{'3_1':0.0},(356,466):{'3_1':0.0},(356,465):{'3_1':0.0},(356,464):{'3_1':0.0},(356,463):{'3_1':0.0},(356,462):{'3_1':0.0},(356,460):{'3_1':0.03},(356,458):{'3_1':0.0},(356,457):{'3_1':0.0},(356,455):{'3_1':0.0},(356,452):{'3_1':0.0},(356,450):{'3_1':0.0},(356,448):{'3_1':0.0},(356,434):{'3_1':0.0},(356,433):{'3_1':0.0},(356,432):{'3_1':0.0},(356,431):{'3_1':0.0},(356,430):{'3_1':0.0},(356,429):{'3_1':0.0},(356,427):{'3_1':0.0},(356,426):{'3_1':0.0},(356,425):{'3_1':0.0},(356,423):{'3_1':0.0},(356,362):{'3_1':0.0},(356,361):{'3_1':0.0},(356,360):{'3_1':0.0},(356,359):{'3_1':0.0},(357,727):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(357,726):{'3_1':0.09,'5_2':0.0},(357,725):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(357,724):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(357,723):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(357,722):{'3_1':0.09},(357,721):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(357,720):{'3_1':0.03},(357,719):{'3_1':0.15,'5_2':0.0},(357,718):{'3_1':0.15,'5_1':0.0},(357,717):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(357,716):{'3_1':0.12,'5_2':0.0},(357,715):{'3_1':0.12,'4_1':0.0},(357,714):{'3_1':0.15,'7_3':0.0},(357,713):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(357,712):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(357,711):{'3_1':0.06,'4_1':0.0},(357,710):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(357,709):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_4':0.0},(357,708):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(357,707):{'3_1':0.06,'5_2':0.0},(357,706):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(357,705):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(357,704):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(357,703):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(357,702):{'3_1':0.06,'5_2':0.03},(357,701):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(357,700):{'3_1':0.06,'5_2':0.0},(357,699):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_1':0.0},(357,698):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(357,697):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(357,696):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(357,695):{'3_1':0.12,'5_2':0.0,'7_4':0.0},(357,694):{'3_1':0.12,'4_1':0.0},(357,693):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(357,692):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(357,691):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(357,690):{'3_1':0.15,'4_1':0.0},(357,689):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(357,688):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(357,687):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(357,686):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(357,685):{'3_1':0.09,'5_2':0.0},(357,684):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_4':0.0},(357,683):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(357,682):{'3_1':0.15,'4_1':0.0},(357,681):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(357,680):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(357,679):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(357,678):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(357,677):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(357,676):{'3_1':0.12,'4_1':0.0},(357,675):{'3_1':0.21,'4_1':0.0},(357,674):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(357,673):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(357,672):{'3_1':0.15,'4_1':0.0},(357,671):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(357,670):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(357,669):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(357,668):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(357,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(357,666):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(357,665):{'3_1':0.09,'5_2':0.0},(357,664):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(357,663):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(357,662):{'3_1':0.09,'5_2':0.0},(357,661):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(357,660):{'3_1':0.12,'5_2':0.0},(357,659):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(357,658):{'3_1':0.06},(357,657):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(357,656):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(357,655):{'3_1':0.18},(357,654):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(357,653):{'3_1':0.12,'5_2':0.0},(357,652):{'3_1':0.09,'5_2':0.0},(357,651):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(357,650):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(357,649):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(357,648):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(357,647):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(357,646):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(357,645):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(357,644):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_5':0.0},(357,643):{'3_1':0.24,'4_1':0.0},(357,642):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(357,641):{'3_1':0.15,'5_1':0.03},(357,640):{'3_1':0.18,'5_1':0.0,'7_1':0.0},(357,639):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(357,638):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(357,637):{'3_1':0.06,'5_1':0.0},(357,636):{'3_1':0.12,'4_1':0.0},(357,635):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(357,634):{'3_1':0.15,'4_1':0.0},(357,633):{'3_1':0.09,'4_1':0.0},(357,632):{'3_1':0.15},(357,631):{'3_1':0.12,'5_1':0.0},(357,630):{'3_1':0.12,'4_1':0.0},(357,629):{'3_1':0.03},(357,628):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(357,627):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(357,626):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(357,625):{'3_1':0.09},(357,624):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(357,623):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(357,622):{'3_1':0.09,'7_3':0.0},(357,621):{'3_1':0.09},(357,620):{'3_1':0.06},(357,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(357,618):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(357,617):{'3_1':0.09},(357,616):{'3_1':0.06,'5_1':0.0},(357,615):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(357,614):{'3_1':0.06},(357,613):{'3_1':0.06},(357,612):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(357,611):{'3_1':0.12,'5_2':0.0},(357,610):{'3_1':0.03,'5_1':0.0},(357,609):{'3_1':0.06},(357,608):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(357,607):{'3_1':0.03},(357,606):{'3_1':0.03,'5_1':0.0},(357,605):{'3_1':0.03,'5_2':0.0},(357,604):{'3_1':0.03},(357,603):{'3_1':0.06,'4_1':0.0},(357,602):{'3_1':0.03,'4_1':0.0},(357,601):{'3_1':0.09},(357,600):{'3_1':0.03,'5_1':0.0},(357,599):{'3_1':0.0},(357,598):{'3_1':0.03},(357,597):{'3_1':0.0},(357,596):{'3_1':0.03},(357,595):{'3_1':0.0,'4_1':0.0},(357,594):{'3_1':0.0,'8_20|3_1#3_1':0.0},(357,593):{'3_1':0.03},(357,592):{'3_1':0.03},(357,591):{'3_1':0.0},(357,590):{'3_1':0.0},(357,589):{'3_1':0.0,'-3':0.0},(357,588):{'3_1':0.03,'5_1':0.0,'-3':0.0},(357,587):{'3_1':0.03},(357,586):{'3_1':0.0,'4_1':0.0},(357,585):{'3_1':0.0,'4_1':0.0},(357,584):{'3_1':0.03,'4_1':0.0},(357,583):{'3_1':0.03,'5_2':0.0},(357,582):{'3_1':0.0,'4_1':0.0},(357,581):{'3_1':0.03},(357,580):{'4_1':0.0},(357,579):{'3_1':0.0},(357,578):{'3_1':0.0},(357,577):{'3_1':0.03},(357,576):{'3_1':0.0},(357,575):{'3_1':0.03,'4_1':0.0},(357,574):{'3_1':0.03},(357,573):{'3_1':0.0,'4_1':0.0},(357,572):{'3_1':0.0,'4_1':0.0},(357,571):{'3_1':0.0},(357,570):{'3_1':0.0},(357,569):{'3_1':0.0,'4_1':0.0},(357,568):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(357,567):{'3_1':0.0},(357,566):{'4_1':0.0},(357,565):{'3_1':0.03,'6_2':0.0},(357,564):{'3_1':0.0,'4_1':0.0},(357,563):{'3_1':0.0},(357,562):{'3_1':0.0},(357,561):{'3_1':0.06},(357,560):{'3_1':0.06},(357,559):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(357,558):{'3_1':0.03,'4_1':0.0},(357,557):{'3_1':0.0,'4_1':0.0},(357,556):{'3_1':0.0,'5_1':0.0},(357,555):{'3_1':0.0},(357,554):{'4_1':0.0},(357,553):{'3_1':0.03},(357,552):{'3_1':0.0,'4_1':0.0},(357,551):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(357,550):{'3_1':0.0},(357,549):{'3_1':0.0},(357,548):{'3_1':0.0,'4_1':0.0},(357,547):{'3_1':0.0},(357,546):{'4_1':0.0,'3_1':0.0},(357,545):{'3_1':0.0,'6_1':0.0},(357,544):{'3_1':0.0},(357,543):{'3_1':0.0},(357,541):{'3_1':0.0},(357,540):{'3_1':0.0},(357,539):{'3_1':0.0,'4_1':0.0},(357,538):{'3_1':0.0},(357,537):{'3_1':0.0},(357,536):{'6_2':0.0},(357,535):{'3_1':0.0,'4_1':0.0},(357,534):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(357,533):{'3_1':0.03,'4_1':0.0},(357,532):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(357,531):{'4_1':0.0,'3_1':0.0},(357,530):{'3_1':0.0},(357,529):{'3_1':0.0,'4_1':0.0},(357,528):{'3_1':0.0,'4_1':0.0},(357,527):{'3_1':0.03,'4_1':0.0},(357,526):{'3_1':0.0},(357,525):{'3_1':0.0},(357,524):{'3_1':0.0,'4_1':0.0},(357,522):{'4_1':0.0},(357,521):{'3_1':0.03},(357,520):{'3_1':0.0,'4_1':0.0},(357,519):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(357,518):{'3_1':0.03,'4_1':0.03},(357,517):{'3_1':0.03,'4_1':0.0},(357,516):{'3_1':0.0},(357,515):{'3_1':0.0,'4_1':0.0},(357,514):{'3_1':0.0,'4_1':0.0},(357,513):{'3_1':0.0,'4_1':0.0},(357,512):{'3_1':0.0},(357,511):{'3_1':0.0},(357,510):{'3_1':0.0},(357,509):{'3_1':0.0,'4_1':0.0},(357,508):{'3_1':0.0,'4_1':0.0},(357,507):{'3_1':0.0,'4_1':0.0},(357,506):{'3_1':0.0,'4_1':0.0},(357,505):{'3_1':0.03},(357,504):{'3_1':0.0},(357,503):{'3_1':0.03},(357,502):{'3_1':0.0},(357,501):{'3_1':0.0},(357,500):{'3_1':0.0},(357,499):{'3_1':0.03,'4_1':0.0},(357,498):{'3_1':0.0},(357,497):{'3_1':0.0,'4_1':0.0},(357,496):{'3_1':0.0},(357,495):{'3_1':0.03,'4_1':0.0},(357,494):{'3_1':0.0},(357,493):{'3_1':0.0},(357,492):{'4_1':0.0},(357,491):{'3_1':0.0,'4_1':0.0},(357,490):{'3_1':0.03,'4_1':0.0},(357,489):{'3_1':0.0},(357,488):{'3_1':0.03,'4_1':0.0},(357,487):{'3_1':0.0,'4_1':0.0},(357,486):{'3_1':0.03,'4_1':0.0},(357,485):{'3_1':0.0,'5_1':0.0},(357,484):{'3_1':0.03,'4_1':0.0},(357,483):{'3_1':0.0,'4_1':0.0},(357,481):{'3_1':0.0},(357,480):{'3_1':0.0},(357,479):{'3_1':0.03,'4_1':0.0},(357,478):{'3_1':0.03,'4_1':0.0},(357,477):{'3_1':0.03},(357,476):{'3_1':0.0},(357,475):{'3_1':0.0},(357,474):{'3_1':0.0,'4_1':0.0},(357,473):{'3_1':0.0},(357,472):{'3_1':0.0},(357,471):{'3_1':0.06},(357,470):{'3_1':0.0},(357,469):{'3_1':0.0},(357,468):{'3_1':0.0},(357,466):{'3_1':0.0},(357,465):{'3_1':0.0},(357,464):{'3_1':0.0},(357,463):{'3_1':0.0},(357,462):{'3_1':0.0},(357,459):{'3_1':0.0},(357,458):{'3_1':0.03},(357,457):{'3_1':0.0},(357,456):{'3_1':0.0},(357,455):{'3_1':0.0},(357,454):{'3_1':0.0},(357,449):{'3_1':0.0},(357,440):{'3_1':0.0},(357,439):{'3_1':0.0},(357,435):{'3_1':0.0},(357,434):{'3_1':0.0},(357,432):{'3_1':0.0},(357,430):{'3_1':0.0},(357,429):{'3_1':0.0},(357,426):{'3_1':0.0},(357,422):{'3_1':0.0},(357,416):{'3_1':0.0},(357,415):{'3_1':0.0},(357,368):{'5_1':0.0},(357,363):{'3_1':0.0},(357,362):{'3_1':0.0},(358,727):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(358,726):{'3_1':0.03},(358,725):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(358,724):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(358,723):{'3_1':0.03,'5_1':0.0},(358,722):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_4':0.0},(358,721):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(358,720):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(358,719):{'3_1':0.06,'5_2':0.0},(358,718):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(358,717):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(358,716):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(358,715):{'3_1':0.09,'5_2':0.0},(358,714):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(358,713):{'3_1':0.09,'5_2':0.0},(358,712):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(358,711):{'3_1':0.09,'5_1':0.0},(358,710):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(358,709):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(358,708):{'3_1':0.09,'5_2':0.0,'6_1':0.0,'7_6':0.0},(358,707):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(358,706):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(358,705):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(358,704):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(358,703):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(358,702):{'3_1':0.06,'5_2':0.0},(358,701):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(358,700):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(358,699):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,698):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,697):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'3_1#5_1':0.0},(358,696):{'3_1':0.12,'4_1':0.0,'7_3':0.0},(358,695):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(358,694):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(358,693):{'3_1':0.09,'5_2':0.0},(358,692):{'3_1':0.12,'5_2':0.0},(358,691):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(358,690):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(358,689):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(358,688):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(358,687):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(358,686):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,685):{'3_1':0.12,'6_1':0.0,'4_1':0.0,'7_1':0.0,'9_1':0.0},(358,684):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(358,683):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(358,682):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_4':0.0,'9_1':0.0},(358,681):{'3_1':0.06,'5_2':0.0},(358,680):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(358,679):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,678):{'3_1':0.12},(358,677):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(358,676):{'3_1':0.12,'4_1':0.0},(358,675):{'3_1':0.12,'4_1':0.0},(358,674):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(358,673):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,672):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(358,671):{'3_1':0.09},(358,670):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(358,669):{'3_1':0.09},(358,668):{'3_1':0.12,'7_1':0.0},(358,667):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(358,666):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(358,665):{'3_1':0.09,'4_1':0.0},(358,664):{'3_1':0.18,'4_1':0.0},(358,663):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(358,662):{'3_1':0.15,'5_2':0.0},(358,661):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,660):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(358,659):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(358,658):{'3_1':0.12,'5_1':0.0},(358,657):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(358,656):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(358,655):{'3_1':0.09},(358,654):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(358,653):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(358,652):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_4':0.0},(358,651):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(358,650):{'3_1':0.09,'5_2':0.0,'7_3':0.0},(358,649):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_5':0.0},(358,648):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(358,647):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(358,646):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(358,645):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(358,644):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_5':0.0},(358,643):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(358,642):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(358,641):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_2':0.0},(358,640):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(358,639):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(358,638):{'3_1':0.15,'5_1':0.0},(358,637):{'3_1':0.09,'5_1':0.0},(358,636):{'3_1':0.21},(358,635):{'3_1':0.12},(358,634):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(358,633):{'3_1':0.15},(358,632):{'3_1':0.12,'5_1':0.0},(358,631):{'3_1':0.18,'4_1':0.0},(358,630):{'3_1':0.12},(358,629):{'3_1':0.12,'5_1':0.0},(358,628):{'3_1':0.09},(358,627):{'3_1':0.03,'5_2':0.0},(358,626):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(358,625):{'3_1':0.18,'5_1':0.0},(358,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(358,623):{'3_1':0.12,'7_1':0.0,'8_20|3_1#3_1':0.0},(358,622):{'3_1':0.12,'4_1':0.0},(358,621):{'3_1':0.12},(358,620):{'3_1':0.09,'5_1':0.0},(358,619):{'3_1':0.06,'4_1':0.0},(358,618):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(358,617):{'3_1':0.09,'4_1':0.0},(358,616):{'3_1':0.06,'4_1':0.0},(358,615):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(358,614):{'3_1':0.03},(358,613):{'3_1':0.03,'5_2':0.0},(358,612):{'3_1':0.03,'5_1':0.0},(358,611):{'3_1':0.03,'4_1':0.0},(358,610):{'3_1':0.06,'4_1':0.0},(358,609):{'3_1':0.03},(358,608):{'3_1':0.06,'4_1':0.0},(358,607):{'3_1':0.03,'5_1':0.0},(358,606):{'3_1':0.03,'5_1':0.0},(358,605):{'3_1':0.03},(358,604):{'3_1':0.03,'5_1':0.0},(358,603):{'3_1':0.0},(358,602):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(358,601):{'3_1':0.0},(358,600):{'3_1':0.03,'5_1':0.0},(358,599):{'3_1':0.03,'6_1':0.0},(358,598):{'3_1':0.03,'4_1':0.0},(358,597):{'3_1':0.06},(358,596):{'3_1':0.03,'4_1':0.0},(358,595):{'3_1':0.03},(358,594):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(358,593):{'3_1':0.03},(358,592):{'3_1':0.03},(358,591):{'3_1':0.03,'6_2':0.0},(358,590):{'3_1':0.06,'4_1':0.0},(358,589):{'3_1':0.06},(358,588):{'3_1':0.0,'5_1':0.0},(358,587):{'3_1':0.06,'4_1':0.0},(358,586):{'3_1':0.0,'4_1':0.0},(358,585):{'3_1':0.03},(358,584):{'3_1':0.03,'4_1':0.0},(358,583):{'3_1':0.03,'4_1':0.0},(358,582):{'3_1':0.03},(358,581):{'3_1':0.0,'4_1':0.0},(358,580):{'3_1':0.0,'6_1':0.0},(358,579):{'3_1':0.0,'4_1':0.0},(358,578):{'3_1':0.03,'4_1':0.0},(358,577):{'3_1':0.03},(358,576):{'3_1':0.03,'4_1':0.0},(358,575):{'3_1':0.03},(358,574):{'3_1':0.0,'4_1':0.0},(358,573):{'3_1':0.0,'4_1':0.0},(358,572):{'3_1':0.0},(358,571):{'3_1':0.03,'4_1':0.0},(358,570):{'3_1':0.0},(358,569):{'3_1':0.0},(358,568):{'3_1':0.0},(358,567):{'3_1':0.03,'6_1':0.0},(358,566):{'3_1':0.0,'6_2':0.0},(358,565):{'3_1':0.0,'4_1':0.0},(358,564):{'3_1':0.03},(358,563):{'3_1':0.0},(358,562):{'3_1':0.0},(358,561):{'3_1':0.0,'4_1':0.0},(358,560):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(358,559):{'3_1':0.0},(358,558):{'3_1':0.03,'4_1':0.0},(358,557):{'3_1':0.0},(358,556):{'3_1':0.0,'4_1':0.0},(358,555):{'3_1':0.03,'4_1':0.0},(358,554):{'3_1':0.0,'6_1':0.0},(358,553):{'3_1':0.0,'6_1':0.0,'6_3':0.0},(358,552):{'3_1':0.0},(358,551):{'3_1':0.03},(358,550):{'3_1':0.03},(358,549):{'3_1':0.03},(358,548):{'3_1':0.0,'4_1':0.0},(358,547):{'3_1':0.03,'4_1':0.0},(358,546):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(358,545):{'3_1':0.03,'4_1':0.0},(358,544):{'3_1':0.0},(358,543):{'3_1':0.03},(358,542):{'3_1':0.0},(358,541):{'3_1':0.0,'4_1':0.0},(358,540):{'3_1':0.03,'4_1':0.0},(358,539):{'3_1':0.03,'4_1':0.0},(358,538):{'3_1':0.03,'4_1':0.0},(358,537):{'3_1':0.03,'4_1':0.0},(358,536):{'3_1':0.0,'4_1':0.0},(358,535):{'3_1':0.0},(358,534):{'3_1':0.03},(358,533):{'3_1':0.0,'4_1':0.0},(358,532):{'3_1':0.0,'4_1':0.0},(358,531):{'3_1':0.0},(358,530):{'3_1':0.0,'4_1':0.0},(358,528):{'3_1':0.0},(358,527):{'3_1':0.0,'4_1':0.0},(358,526):{'3_1':0.03},(358,525):{'3_1':0.0},(358,524):{'3_1':0.0},(358,523):{'3_1':0.0},(358,522):{'3_1':0.0,'4_1':0.0},(358,521):{'4_1':0.0},(358,520):{'3_1':0.0,'4_1':0.0},(358,519):{'4_1':0.0,'3_1':0.0},(358,518):{'4_1':0.0,'3_1':0.0},(358,517):{'3_1':0.0},(358,516):{'3_1':0.03,'4_1':0.0},(358,515):{'3_1':0.03},(358,514):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(358,513):{'3_1':0.0,'4_1':0.0},(358,512):{'3_1':0.06,'4_1':0.0},(358,511):{'3_1':0.03},(358,510):{'3_1':0.0},(358,509):{'3_1':0.0,'4_1':0.0},(358,508):{'3_1':0.0,'4_1':0.0},(358,507):{'3_1':0.0},(358,506):{'3_1':0.03,'4_1':0.0},(358,505):{'3_1':0.03},(358,503):{'4_1':0.0,'5_2':0.0},(358,502):{'3_1':0.0},(358,501):{'3_1':0.03},(358,500):{'3_1':0.0},(358,499):{'3_1':0.0,'4_1':0.0},(358,498):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(358,497):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(358,496):{'3_1':0.03},(358,495):{'3_1':0.03},(358,494):{'3_1':0.0,'4_1':0.0},(358,493):{'3_1':0.0},(358,492):{'3_1':0.0,'4_1':0.0},(358,491):{'3_1':0.03,'4_1':0.0},(358,490):{'3_1':0.03,'4_1':0.0},(358,489):{'3_1':0.06},(358,488):{'3_1':0.03,'4_1':0.0},(358,486):{'3_1':0.0,'4_1':0.0},(358,485):{'3_1':0.0},(358,484):{'3_1':0.03,'4_1':0.0},(358,483):{'3_1':0.03,'4_1':0.0},(358,482):{'4_1':0.0},(358,481):{'3_1':0.03},(358,480):{'3_1':0.03},(358,479):{'3_1':0.03},(358,478):{'3_1':0.03},(358,477):{'3_1':0.03},(358,476):{'3_1':0.0},(358,475):{'3_1':0.0},(358,474):{'3_1':0.0},(358,473):{'3_1':0.03},(358,472):{'3_1':0.03},(358,471):{'3_1':0.0},(358,470):{'3_1':0.0},(358,469):{'3_1':0.0},(358,467):{'3_1':0.0},(358,466):{'3_1':0.0},(358,465):{'3_1':0.0},(358,464):{'3_1':0.0},(358,463):{'3_1':0.0},(358,462):{'3_1':0.0},(358,461):{'3_1':0.0},(358,460):{'3_1':0.0},(358,459):{'3_1':0.0},(358,458):{'3_1':0.0},(358,457):{'3_1':0.03},(358,456):{'3_1':0.0},(358,455):{'3_1':0.0},(358,453):{'3_1':0.0},(358,452):{'3_1':0.0},(358,451):{'3_1':0.0},(358,449):{'3_1':0.0},(358,448):{'3_1':0.0},(358,445):{'3_1':0.0},(358,443):{'3_1':0.0},(358,442):{'3_1':0.0},(358,441):{'3_1':0.0},(358,440):{'3_1':0.0},(358,439):{'3_1':0.0},(358,435):{'3_1':0.0},(358,434):{'3_1':0.0},(358,431):{'3_1':0.03},(358,430):{'3_1':0.0},(358,429):{'3_1':0.0},(358,427):{'3_1':0.0},(358,425):{'3_1':0.0},(358,422):{'3_1':0.0},(358,421):{'3_1':0.0},(358,420):{'3_1':0.0},(358,415):{'4_1':0.0},(358,408):{'3_1':0.0},(358,399):{'3_1':0.0},(358,366):{'3_1':0.0},(358,365):{'3_1':0.0},(358,363):{'3_1':0.0},(359,727):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(359,726):{'3_1':0.09,'5_2':0.0},(359,725):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(359,724):{'3_1':0.03,'5_2':0.0},(359,723):{'3_1':0.03,'5_2':0.0},(359,722):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(359,721):{'3_1':0.06,'5_1':0.0},(359,720):{'3_1':0.06,'4_1':0.0},(359,719):{'3_1':0.06,'5_2':0.0},(359,718):{'3_1':0.06,'5_2':0.0},(359,717):{'3_1':0.06},(359,716):{'3_1':0.06},(359,715):{'3_1':0.09,'5_2':0.0},(359,714):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,713):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(359,712):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(359,711):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,710):{'3_1':0.06,'5_2':0.0},(359,709):{'3_1':0.12,'4_1':0.0},(359,708):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(359,707):{'3_1':0.09,'5_2':0.0},(359,706):{'3_1':0.12,'5_2':0.0},(359,705):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0},(359,704):{'3_1':0.09,'5_2':0.03,'5_1':0.0,'6_2':0.0},(359,703):{'3_1':0.09,'5_2':0.0},(359,702):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_1':0.0},(359,701):{'3_1':0.09,'5_2':0.03},(359,700):{'3_1':0.12,'4_1':0.0},(359,699):{'3_1':0.12,'5_2':0.03},(359,698):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(359,697):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(359,696):{'3_1':0.12,'5_1':0.0},(359,695):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(359,694):{'3_1':0.12,'5_2':0.03},(359,693):{'3_1':0.12,'5_2':0.03},(359,692):{'3_1':0.06,'5_2':0.0},(359,691):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(359,690):{'3_1':0.15,'5_2':0.0},(359,689):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,688):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(359,687):{'3_1':0.15,'4_1':0.0},(359,686):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(359,685):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(359,684):{'3_1':0.12},(359,683):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,682):{'3_1':0.12,'6_1':0.0,'-3':0.0},(359,681):{'3_1':0.15},(359,680):{'3_1':0.09,'9_1':0.0},(359,679):{'3_1':0.12},(359,678):{'3_1':0.12,'5_1':0.0},(359,677):{'3_1':0.12},(359,676):{'3_1':0.09},(359,675):{'3_1':0.12,'8_1':0.0},(359,674):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(359,673):{'3_1':0.09,'5_2':0.0},(359,672):{'3_1':0.12,'7_1':0.0},(359,671):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(359,670):{'3_1':0.09},(359,669):{'3_1':0.09},(359,668):{'3_1':0.03},(359,667):{'3_1':0.12},(359,666):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(359,665):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(359,664):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(359,663):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(359,662):{'3_1':0.09,'4_1':0.0},(359,661):{'3_1':0.12,'4_1':0.0},(359,660):{'3_1':0.12,'4_1':0.0},(359,659):{'3_1':0.12},(359,658):{'3_1':0.03,'5_2':0.03},(359,657):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,656):{'3_1':0.12,'5_2':0.0},(359,655):{'3_1':0.12,'5_2':0.0},(359,654):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(359,653):{'3_1':0.21,'5_2':0.0},(359,652):{'3_1':0.09,'4_1':0.0},(359,651):{'3_1':0.12,'5_1':0.0},(359,650):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(359,649):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(359,648):{'3_1':0.18,'5_2':0.0},(359,647):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(359,646):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(359,645):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(359,644):{'3_1':0.18},(359,643):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(359,642):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(359,641):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(359,640):{'3_1':0.21,'7_1':0.0,'5_1':0.0,'6_1':0.0},(359,639):{'3_1':0.12,'5_1':0.0,'7_1':0.0},(359,638):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(359,637):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(359,636):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(359,635):{'3_1':0.15,'5_1':0.0},(359,634):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,633):{'3_1':0.09,'7_1':0.0},(359,632):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(359,631):{'3_1':0.03,'5_1':0.0},(359,630):{'3_1':0.03,'5_1':0.0},(359,629):{'3_1':0.09,'5_2':0.0},(359,628):{'3_1':0.06,'5_1':0.0},(359,627):{'3_1':0.12,'5_1':0.0},(359,626):{'3_1':0.12},(359,625):{'3_1':0.12},(359,624):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(359,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(359,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,621):{'3_1':0.06,'4_1':0.0},(359,620):{'3_1':0.03,'4_1':0.0},(359,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(359,618):{'3_1':0.09,'4_1':0.0},(359,617):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(359,616):{'3_1':0.06,'6_3':0.0},(359,615):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(359,614):{'3_1':0.03,'5_1':0.0},(359,613):{'3_1':0.06,'4_1':0.0},(359,612):{'3_1':0.03,'4_1':0.0},(359,611):{'3_1':0.06},(359,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,609):{'3_1':0.03},(359,608):{'3_1':0.06},(359,607):{'3_1':0.03},(359,606):{'3_1':0.03,'5_2':0.0},(359,605):{'3_1':0.03},(359,604):{'3_1':0.0,'5_1':0.0},(359,603):{'3_1':0.0},(359,602):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(359,601):{'3_1':0.06},(359,600):{'3_1':0.06,'5_2':0.0},(359,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(359,598):{'3_1':0.09},(359,597):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(359,596):{'3_1':0.03},(359,595):{'3_1':0.03,'4_1':0.0},(359,594):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(359,593):{'3_1':0.06},(359,592):{'3_1':0.06,'4_1':0.0},(359,591):{'3_1':0.0,'4_1':0.0},(359,590):{'3_1':0.0},(359,589):{'3_1':0.03,'5_1':0.0},(359,588):{'3_1':0.03,'4_1':0.0},(359,587):{'3_1':0.06},(359,586):{'3_1':0.0},(359,585):{'3_1':0.0},(359,584):{'3_1':0.03},(359,583):{'3_1':0.03},(359,582):{'3_1':0.0},(359,581):{'3_1':0.03},(359,580):{'3_1':0.03,'4_1':0.0},(359,579):{'3_1':0.03,'4_1':0.0},(359,577):{'3_1':0.03,'6_1':0.0},(359,576):{'3_1':0.0,'4_1':0.0},(359,575):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(359,574):{'3_1':0.03},(359,573):{'3_1':0.0},(359,572):{'3_1':0.0,'4_1':0.0},(359,571):{'4_1':0.0},(359,570):{'3_1':0.0},(359,569):{'3_1':0.0,'4_1':0.0},(359,568):{'3_1':0.0},(359,567):{'3_1':0.0,'4_1':0.0},(359,566):{'3_1':0.0},(359,565):{'3_1':0.0,'4_1':0.0},(359,564):{'3_1':0.03,'4_1':0.0},(359,563):{'3_1':0.03},(359,562):{'3_1':0.0,'4_1':0.0},(359,561):{'3_1':0.03},(359,560):{'3_1':0.03},(359,559):{'3_1':0.03},(359,558):{'3_1':0.0},(359,557):{'3_1':0.03},(359,556):{'3_1':0.0},(359,555):{'3_1':0.03,'4_1':0.0},(359,554):{'3_1':0.03,'6_1':0.0},(359,553):{'3_1':0.03,'6_1':0.0},(359,552):{'3_1':0.03},(359,551):{'3_1':0.03,'4_1':0.0},(359,550):{'3_1':0.0},(359,549):{'3_1':0.0},(359,548):{'3_1':0.0,'5_2':0.0},(359,547):{'3_1':0.06},(359,546):{'3_1':0.0,'4_1':0.0},(359,545):{'3_1':0.0,'4_1':0.0},(359,544):{'3_1':0.0,'4_1':0.0},(359,543):{'3_1':0.0,'4_1':0.0},(359,542):{'3_1':0.0},(359,541):{'3_1':0.06,'5_2':0.0},(359,540):{'3_1':0.03,'4_1':0.0},(359,539):{'3_1':0.03},(359,538):{'3_1':0.0},(359,537):{'3_1':0.0,'4_1':0.0},(359,536):{'3_1':0.0,'4_1':0.0},(359,535):{'3_1':0.03,'4_1':0.0},(359,534):{'3_1':0.06},(359,533):{'3_1':0.0,'4_1':0.0},(359,532):{'3_1':0.0,'4_1':0.0},(359,531):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(359,530):{'3_1':0.03,'4_1':0.0},(359,529):{'3_1':0.0,'4_1':0.0},(359,528):{'3_1':0.0,'4_1':0.0},(359,527):{'3_1':0.0,'4_1':0.0},(359,526):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(359,525):{'3_1':0.0,'4_1':0.0},(359,524):{'3_1':0.0,'4_1':0.0},(359,523):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(359,522):{'3_1':0.06,'4_1':0.03},(359,521):{'4_1':0.03,'3_1':0.0},(359,520):{'3_1':0.03,'4_1':0.0},(359,519):{'3_1':0.06,'4_1':0.0},(359,518):{'3_1':0.06,'4_1':0.0},(359,517):{'3_1':0.03,'4_1':0.0},(359,516):{'3_1':0.03,'4_1':0.0},(359,515):{'4_1':0.0,'3_1':0.0},(359,514):{'3_1':0.03,'4_1':0.0},(359,513):{'3_1':0.0,'4_1':0.0},(359,512):{'3_1':0.0,'4_1':0.0},(359,511):{'3_1':0.0,'4_1':0.0},(359,510):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(359,509):{'3_1':0.0},(359,508):{'3_1':0.0,'4_1':0.0},(359,507):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(359,506):{'3_1':0.0,'4_1':0.0},(359,505):{'3_1':0.06},(359,504):{'3_1':0.03,'4_1':0.0},(359,503):{'3_1':0.03},(359,502):{'3_1':0.03,'5_1':0.0},(359,501):{'3_1':0.03,'4_1':0.0},(359,500):{'3_1':0.03},(359,499):{'3_1':0.03},(359,498):{'3_1':0.06},(359,497):{'3_1':0.03},(359,496):{'3_1':0.03,'4_1':0.0},(359,495):{'3_1':0.06,'4_1':0.0},(359,494):{'3_1':0.06},(359,493):{'3_1':0.03,'5_1':0.0},(359,492):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(359,491):{'3_1':0.03,'4_1':0.0},(359,490):{'3_1':0.03,'4_1':0.0},(359,489):{'3_1':0.06,'4_1':0.0},(359,488):{'3_1':0.0},(359,487):{'3_1':0.0,'4_1':0.0},(359,486):{'3_1':0.06},(359,485):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(359,484):{'3_1':0.0},(359,483):{'3_1':0.0},(359,481):{'3_1':0.06},(359,480):{'3_1':0.03},(359,479):{'3_1':0.03},(359,478):{'3_1':0.0},(359,477):{'3_1':0.03},(359,476):{'3_1':0.03},(359,475):{'3_1':0.03},(359,474):{'3_1':0.0},(359,473):{'3_1':0.0,'5_1':0.0},(359,472):{'3_1':0.0},(359,471):{'3_1':0.0},(359,470):{'3_1':0.0},(359,469):{'3_1':0.03},(359,468):{'3_1':0.0},(359,467):{'3_1':0.0},(359,466):{'3_1':0.0},(359,465):{'3_1':0.0},(359,464):{'3_1':0.0},(359,463):{'3_1':0.06},(359,462):{'3_1':0.0},(359,460):{'3_1':0.0},(359,459):{'3_1':0.0},(359,458):{'3_1':0.0},(359,457):{'3_1':0.0},(359,456):{'3_1':0.0},(359,455):{'3_1':0.0},(359,454):{'3_1':0.0},(359,452):{'3_1':0.0},(359,450):{'3_1':0.0},(359,449):{'3_1':0.0},(359,445):{'3_1':0.0},(359,443):{'5_1':0.0},(359,441):{'3_1':0.0},(359,439):{'3_1':0.0},(359,436):{'3_1':0.0},(359,434):{'3_1':0.0},(359,433):{'3_1':0.0},(359,432):{'3_1':0.0},(359,430):{'3_1':0.0},(359,425):{'3_1':0.0},(359,424):{'3_1':0.0},(359,421):{'3_1':0.0},(359,420):{'3_1':0.0},(359,414):{'3_1':0.0},(359,413):{'3_1':0.0},(359,399):{'3_1':0.0},(359,375):{'3_1':0.0},(359,369):{'3_1':0.0},(360,727):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,726):{'3_1':0.03,'4_1':0.0},(360,725):{'3_1':0.06},(360,724):{'3_1':0.09,'5_2':0.0},(360,723):{'3_1':0.09,'5_2':0.0},(360,722):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(360,721):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,720):{'3_1':0.09,'5_1':0.0},(360,719):{'3_1':0.06,'5_2':0.0},(360,718):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(360,717):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(360,716):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(360,715):{'3_1':0.12,'7_2':0.0},(360,714):{'3_1':0.09,'5_2':0.0},(360,713):{'3_1':0.09,'7_3':0.0},(360,712):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,711):{'3_1':0.06},(360,710):{'3_1':0.03,'5_1':0.0},(360,709):{'3_1':0.06,'5_2':0.0,'7_4':0.0},(360,708):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(360,707):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,706):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(360,705):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,704):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(360,703):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,702):{'3_1':0.03,'5_2':0.0},(360,701):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(360,700):{'3_1':0.09,'6_1':0.0},(360,699):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(360,698):{'3_1':0.09,'4_1':0.0},(360,697):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(360,696):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(360,695):{'3_1':0.09,'4_1':0.0},(360,694):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(360,693):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(360,692):{'3_1':0.03},(360,691):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(360,690):{'3_1':0.09,'4_1':0.0},(360,689):{'3_1':0.06},(360,688):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(360,687):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(360,686):{'3_1':0.09,'5_2':0.0},(360,685):{'3_1':0.06,'5_2':0.0},(360,684):{'3_1':0.15,'5_2':0.0},(360,683):{'3_1':0.12,'4_1':0.0},(360,682):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(360,681):{'3_1':0.06,'6_1':0.0,'4_1':0.0,'5_1':0.0},(360,680):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0},(360,679):{'3_1':0.06,'4_1':0.0},(360,678):{'3_1':0.09,'8_1':0.0},(360,677):{'3_1':0.09,'5_2':0.0},(360,676):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(360,675):{'3_1':0.15},(360,674):{'3_1':0.06,'5_1':0.0},(360,673):{'3_1':0.12},(360,672):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(360,671):{'3_1':0.12,'5_1':0.0},(360,670):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(360,669):{'3_1':0.06},(360,668):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(360,667):{'3_1':0.06,'4_1':0.0},(360,666):{'3_1':0.06,'5_1':0.0},(360,665):{'3_1':0.09,'4_1':0.0},(360,664):{'3_1':0.09,'4_1':0.0},(360,663):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(360,662):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(360,661):{'3_1':0.15},(360,660):{'3_1':0.15,'4_1':0.0},(360,659):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(360,658):{'3_1':0.06,'4_1':0.0},(360,657):{'3_1':0.12,'5_1':0.0},(360,656):{'3_1':0.12,'6_2':0.0},(360,655):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(360,654):{'3_1':0.09,'5_2':0.0},(360,653):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,652):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(360,651):{'3_1':0.09},(360,650):{'3_1':0.06},(360,649):{'3_1':0.18,'5_2':0.0},(360,648):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(360,647):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'6_2':0.0},(360,646):{'3_1':0.09,'5_1':0.0},(360,645):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(360,644):{'3_1':0.18,'4_1':0.0},(360,643):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,642):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(360,641):{'3_1':0.21,'5_1':0.0,'6_2':0.0},(360,640):{'3_1':0.09,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(360,639):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_1':0.0},(360,638):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(360,637):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,636):{'3_1':0.09},(360,635):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(360,634):{'3_1':0.12,'5_1':0.0},(360,633):{'3_1':0.09,'4_1':0.0},(360,632):{'3_1':0.09,'5_1':0.0},(360,631):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(360,630):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(360,629):{'3_1':0.06,'8_20|3_1#3_1':0.0},(360,628):{'3_1':0.09,'5_1':0.0},(360,627):{'3_1':0.06,'6_2':0.0},(360,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(360,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(360,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(360,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(360,621):{'3_1':0.09,'6_3':0.0},(360,620):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,619):{'3_1':0.06,'5_1':0.0},(360,618):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(360,617):{'3_1':0.15,'5_1':0.0,'8_20|3_1#3_1':0.0},(360,616):{'3_1':0.09},(360,615):{'3_1':0.09,'4_1':0.0},(360,614):{'3_1':0.06,'4_1':0.0},(360,613):{'3_1':0.06,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(360,612):{'3_1':0.06,'5_2':0.0},(360,611):{'3_1':0.06},(360,610):{'3_1':0.03,'5_1':0.0},(360,609):{'3_1':0.09},(360,608):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(360,607):{'3_1':0.06,'5_1':0.0},(360,606):{'3_1':0.03,'4_1':0.0},(360,605):{'3_1':0.06,'5_1':0.0},(360,604):{'3_1':0.03,'5_1':0.0},(360,603):{'3_1':0.03,'4_1':0.0},(360,602):{'3_1':0.06},(360,601):{'3_1':0.0},(360,600):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(360,599):{'3_1':0.03,'4_1':0.0},(360,598):{'3_1':0.0},(360,597):{'3_1':0.03},(360,596):{'3_1':0.03,'4_1':0.0},(360,595):{'3_1':0.03,'4_1':0.0},(360,594):{'3_1':0.0,'5_2':0.0},(360,593):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(360,592):{'3_1':0.0},(360,591):{'3_1':0.03,'4_1':0.0},(360,590):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(360,589):{'3_1':0.0},(360,588):{'3_1':0.03,'4_1':0.0},(360,587):{'3_1':0.0},(360,586):{'4_1':0.03,'3_1':0.0},(360,585):{'3_1':0.03,'4_1':0.0},(360,584):{'3_1':0.03,'8_20|3_1#3_1':0.0},(360,583):{'3_1':0.0,'4_1':0.0},(360,582):{'3_1':0.0},(360,581):{'3_1':0.0},(360,578):{'3_1':0.0},(360,577):{'3_1':0.0,'4_1':0.0},(360,576):{'3_1':0.03,'4_1':0.0},(360,575):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(360,574):{'3_1':0.0},(360,573):{'3_1':0.0,'6_1':0.0},(360,572):{'3_1':0.03},(360,571):{'3_1':0.03,'4_1':0.0},(360,570):{'3_1':0.0},(360,569):{'3_1':0.0},(360,568):{'3_1':0.0,'4_1':0.0},(360,567):{'3_1':0.0,'6_1':0.0},(360,566):{'3_1':0.03,'4_1':0.0},(360,565):{'3_1':0.03,'4_1':0.0},(360,564):{'3_1':0.03,'4_1':0.0},(360,563):{'3_1':0.0},(360,562):{'3_1':0.03},(360,561):{'3_1':0.0},(360,560):{'3_1':0.0},(360,559):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(360,558):{'3_1':0.0},(360,557):{'3_1':0.03,'4_1':0.0},(360,556):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(360,555):{'3_1':0.0},(360,554):{'3_1':0.0},(360,553):{'3_1':0.03},(360,552):{'3_1':0.03},(360,551):{'3_1':0.03},(360,550):{'3_1':0.0,'4_1':0.0},(360,549):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(360,548):{'3_1':0.0,'4_1':0.0},(360,547):{'3_1':0.0,'4_1':0.0},(360,546):{'3_1':0.0,'4_1':0.0},(360,544):{'3_1':0.0},(360,543):{'3_1':0.0},(360,542):{'3_1':0.0},(360,541):{'3_1':0.0},(360,540):{'3_1':0.03,'4_1':0.0},(360,539):{'3_1':0.06,'4_1':0.0},(360,538):{'3_1':0.03},(360,537):{'3_1':0.06},(360,536):{'3_1':0.03},(360,535):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(360,534):{'3_1':0.0,'4_1':0.0},(360,533):{'3_1':0.0,'4_1':0.0},(360,532):{'3_1':0.0,'4_1':0.0},(360,531):{'4_1':0.03,'3_1':0.0},(360,530):{'4_1':0.03,'3_1':0.0},(360,529):{'4_1':0.0},(360,528):{'3_1':0.0,'4_1':0.0},(360,527):{'3_1':0.0,'4_1':0.0},(360,526):{'3_1':0.0,'4_1':0.0},(360,525):{'3_1':0.0},(360,524):{'4_1':0.0,'3_1':0.0},(360,523):{'3_1':0.03,'4_1':0.0},(360,522):{'3_1':0.03,'4_1':0.0},(360,521):{'3_1':0.0,'4_1':0.0},(360,520):{'3_1':0.0,'4_1':0.0},(360,519):{'3_1':0.0},(360,518):{'4_1':0.0,'3_1':0.0},(360,517):{'3_1':0.03},(360,516):{'3_1':0.03,'4_1':0.0},(360,515):{'3_1':0.03,'4_1':0.0},(360,514):{'4_1':0.0},(360,513):{'3_1':0.0,'4_1':0.0},(360,512):{'3_1':0.0},(360,511):{'3_1':0.0,'4_1':0.0},(360,510):{'3_1':0.0,'4_1':0.0},(360,509):{'3_1':0.03,'4_1':0.0},(360,508):{'3_1':0.03},(360,507):{'3_1':0.0,'4_1':0.0},(360,506):{'3_1':0.0,'4_1':0.0},(360,505):{'3_1':0.03},(360,504):{'3_1':0.06},(360,503):{'3_1':0.03},(360,502):{'3_1':0.0},(360,501):{'3_1':0.03},(360,500):{'3_1':0.0,'5_1':0.0},(360,499):{'3_1':0.0},(360,498):{'3_1':0.03,'4_1':0.0},(360,496):{'3_1':0.0},(360,495):{'3_1':0.03,'4_1':0.0},(360,494):{'3_1':0.0,'4_1':0.0},(360,493):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(360,492):{'3_1':0.0,'4_1':0.0},(360,491):{'3_1':0.0,'4_1':0.0},(360,490):{'3_1':0.03,'4_1':0.0},(360,489):{'3_1':0.03},(360,488):{'3_1':0.0},(360,487):{'3_1':0.0,'4_1':0.0},(360,486):{'3_1':0.03},(360,485):{'3_1':0.03,'5_1':0.0},(360,484):{'3_1':0.03,'4_1':0.0},(360,483):{'3_1':0.06,'4_1':0.0},(360,482):{'3_1':0.03},(360,481):{'3_1':0.03},(360,480):{'3_1':0.0},(360,479):{'3_1':0.0},(360,478):{'3_1':0.03},(360,477):{'3_1':0.03},(360,475):{'3_1':0.0},(360,474):{'3_1':0.03,'5_1':0.0},(360,473):{'3_1':0.0},(360,472):{'3_1':0.03,'6_1':0.0},(360,471):{'3_1':0.0},(360,470):{'3_1':0.0},(360,469):{'3_1':0.03},(360,468):{'3_1':0.0,'6_1':0.0},(360,467):{'3_1':0.0},(360,466):{'3_1':0.0},(360,465):{'3_1':0.0},(360,464):{'3_1':0.0},(360,462):{'3_1':0.0},(360,461):{'3_1':0.0},(360,459):{'3_1':0.0},(360,457):{'3_1':0.0},(360,455):{'3_1':0.0},(360,454):{'3_1':0.0},(360,451):{'3_1':0.0},(360,448):{'3_1':0.0},(360,443):{'3_1':0.0},(360,442):{'3_1':0.0},(360,441):{'3_1':0.0},(360,438):{'3_1':0.0},(360,435):{'3_1':0.0},(360,434):{'3_1':0.0},(360,433):{'3_1':0.0},(360,432):{'3_1':0.0},(360,431):{'3_1':0.0},(360,430):{'3_1':0.0},(360,428):{'3_1':0.0},(360,427):{'3_1':0.0},(360,426):{'3_1':0.0},(360,424):{'3_1':0.0},(360,423):{'3_1':0.0},(360,422):{'3_1':0.0},(360,421):{'3_1':0.0},(360,418):{'3_1':0.0},(360,415):{'3_1':0.0},(360,411):{'3_1':0.0},(360,410):{'3_1':0.0},(360,374):{'3_1':0.0},(360,366):{'3_1':0.0},(361,727):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(361,726):{'3_1':0.0},(361,725):{'3_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(361,724):{'3_1':0.06,'5_2':0.0},(361,723):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(361,722):{'3_1':0.03,'4_1':0.0},(361,721):{'3_1':0.06,'5_1':0.0},(361,720):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(361,719):{'3_1':0.03,'5_2':0.0},(361,718):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(361,717):{'3_1':0.03,'5_2':0.0},(361,716):{'3_1':0.03},(361,715):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(361,714):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(361,713):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(361,712):{'3_1':0.09},(361,711):{'3_1':0.09},(361,710):{'3_1':0.06},(361,709):{'3_1':0.06,'5_2':0.0},(361,708):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(361,707):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,706):{'3_1':0.09,'5_2':0.0,'7_6':0.0},(361,705):{'3_1':0.12,'4_1':0.0},(361,704):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(361,703):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(361,702):{'3_1':0.09,'5_2':0.0},(361,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(361,700):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(361,699):{'3_1':0.12,'5_2':0.0},(361,698):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(361,697):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_1':0.0},(361,696):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(361,695):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(361,694):{'3_1':0.12,'5_2':0.0},(361,693):{'3_1':0.09,'4_1':0.0},(361,692):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(361,691):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0},(361,690):{'3_1':0.15},(361,689):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(361,688):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_6':0.0},(361,687):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,686):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(361,685):{'3_1':0.03,'4_1':0.0},(361,684):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(361,683):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(361,682):{'3_1':0.06,'4_1':0.0},(361,681):{'3_1':0.15},(361,680):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(361,679):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(361,678):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(361,677):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(361,676):{'3_1':0.09,'4_1':0.0},(361,675):{'3_1':0.09,'4_1':0.0},(361,674):{'3_1':0.09,'4_1':0.0},(361,673):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(361,672):{'3_1':0.09,'5_1':0.0},(361,671):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(361,670):{'3_1':0.03,'5_2':0.0},(361,669):{'3_1':0.12},(361,668):{'3_1':0.09,'5_2':0.0},(361,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(361,666):{'3_1':0.12,'5_1':0.0},(361,665):{'3_1':0.09,'4_1':0.0},(361,664):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(361,663):{'3_1':0.18},(361,662):{'3_1':0.09,'5_2':0.0},(361,661):{'3_1':0.15,'4_1':0.0},(361,660):{'3_1':0.12,'5_2':0.0},(361,659):{'3_1':0.09,'5_2':0.0},(361,658):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(361,657):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0},(361,656):{'3_1':0.09,'5_1':0.0},(361,655):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(361,654):{'3_1':0.09,'5_2':0.0},(361,653):{'3_1':0.15,'5_2':0.0},(361,652):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(361,651):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(361,650):{'3_1':0.09,'5_2':0.0,'8_20|3_1#3_1':0.0},(361,649):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_3':0.0},(361,648):{'3_1':0.15,'5_1':0.0,'7_1':0.0,'7_5':0.0},(361,647):{'3_1':0.15},(361,646):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(361,645):{'3_1':0.09,'5_1':0.0,'6_1':0.0},(361,644):{'3_1':0.18,'5_1':0.0},(361,643):{'3_1':0.12,'5_1':0.03},(361,642):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(361,641):{'3_1':0.24,'5_2':0.0},(361,640):{'3_1':0.21,'5_1':0.0},(361,639):{'3_1':0.12,'5_1':0.0},(361,638):{'3_1':0.12,'5_1':0.0},(361,637):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(361,636):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(361,635):{'3_1':0.12},(361,634):{'3_1':0.09,'5_1':0.0},(361,633):{'3_1':0.12,'5_1':0.0},(361,632):{'3_1':0.12,'5_2':0.0},(361,631):{'3_1':0.06,'5_1':0.0},(361,630):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(361,629):{'3_1':0.12,'5_1':0.0},(361,628):{'3_1':0.06},(361,627):{'3_1':0.06},(361,626):{'3_1':0.06,'4_1':0.0},(361,625):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(361,624):{'3_1':0.06},(361,623):{'3_1':0.03,'5_1':0.0},(361,622):{'3_1':0.03,'5_1':0.0},(361,621):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(361,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(361,619):{'3_1':0.03,'5_2':0.0},(361,618):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'6_1':0.0},(361,617):{'3_1':0.09},(361,616):{'3_1':0.09,'5_1':0.0},(361,615):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(361,614):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(361,613):{'3_1':0.06},(361,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,611):{'3_1':0.06},(361,610):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(361,609):{'3_1':0.03,'4_1':0.0},(361,608):{'3_1':0.03,'4_1':0.0},(361,607):{'3_1':0.03},(361,606):{'3_1':0.03,'4_1':0.0},(361,605):{'3_1':0.06},(361,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(361,603):{'3_1':0.06},(361,602):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(361,601):{'3_1':0.06},(361,600):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(361,599):{'5_2':0.0},(361,598):{'3_1':0.03},(361,597):{'3_1':0.0,'4_1':0.0},(361,596):{'3_1':0.03},(361,595):{'3_1':0.0,'4_1':0.0},(361,594):{'3_1':0.03},(361,593):{'3_1':0.03,'4_1':0.0},(361,592):{'3_1':0.03,'4_1':0.0},(361,591):{'3_1':0.03,'4_1':0.0},(361,590):{'3_1':0.03,'5_1':0.0},(361,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(361,588):{'3_1':0.0},(361,587):{'4_1':0.0,'3_1':0.0},(361,586):{'3_1':0.03},(361,585):{'3_1':0.03},(361,584):{'3_1':0.0},(361,583):{'3_1':0.03},(361,582):{'3_1':0.0},(361,581):{'3_1':0.0},(361,580):{'3_1':0.0,'4_1':0.0},(361,579):{'3_1':0.0,'4_1':0.0},(361,578):{'3_1':0.0},(361,577):{'3_1':0.03},(361,576):{'3_1':0.06,'4_1':0.0},(361,575):{'3_1':0.03},(361,573):{'3_1':0.0},(361,572):{'4_1':0.0},(361,571):{'4_1':0.0},(361,570):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(361,569):{'3_1':0.03,'4_1':0.0},(361,568):{'4_1':0.0},(361,566):{'3_1':0.0,'4_1':0.0},(361,565):{'3_1':0.0,'4_1':0.0},(361,564):{'3_1':0.03,'6_1':0.0},(361,563):{'4_1':0.0},(361,562):{'3_1':0.0},(361,561):{'3_1':0.0},(361,559):{'3_1':0.03,'4_1':0.0},(361,558):{'3_1':0.0,'4_1':0.0},(361,557):{'4_1':0.0,'3_1':0.0},(361,556):{'3_1':0.0,'4_1':0.0},(361,555):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(361,554):{'3_1':0.0,'6_2':0.0},(361,553):{'4_1':0.0},(361,552):{'3_1':0.0},(361,551):{'3_1':0.03,'4_1':0.0},(361,550):{'4_1':0.0,'3_1':0.0},(361,549):{'4_1':0.0,'3_1':0.0},(361,548):{'3_1':0.0},(361,547):{'3_1':0.0,'4_1':0.0},(361,546):{'3_1':0.03,'4_1':0.0},(361,545):{'3_1':0.0},(361,544):{'3_1':0.03},(361,543):{'3_1':0.03,'4_1':0.0},(361,542):{'3_1':0.0},(361,541):{'3_1':0.0,'4_1':0.0},(361,540):{'4_1':0.0,'3_1':0.0},(361,539):{'3_1':0.0},(361,538):{'3_1':0.0,'4_1':0.0},(361,537):{'3_1':0.0,'4_1':0.0},(361,536):{'3_1':0.0,'4_1':0.0},(361,535):{'3_1':0.03,'4_1':0.0},(361,534):{'3_1':0.03,'4_1':0.0},(361,533):{'3_1':0.03,'4_1':0.0},(361,532):{'3_1':0.0,'4_1':0.0},(361,531):{'3_1':0.0,'4_1':0.0},(361,530):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(361,529):{'3_1':0.03,'4_1':0.0},(361,528):{'4_1':0.0,'3_1':0.0},(361,527):{'3_1':0.0,'4_1':0.0},(361,526):{'3_1':0.0,'4_1':0.0},(361,525):{'3_1':0.0,'4_1':0.0},(361,524):{'3_1':0.0,'4_1':0.0},(361,523):{'4_1':0.03,'3_1':0.0},(361,522):{'3_1':0.03,'4_1':0.0},(361,521):{'4_1':0.0},(361,520):{'3_1':0.0},(361,519):{'3_1':0.0,'6_2':0.0},(361,518):{'4_1':0.03,'3_1':0.0},(361,517):{'3_1':0.03,'4_1':0.0},(361,516):{'3_1':0.0},(361,515):{'3_1':0.03,'4_1':0.0},(361,514):{'3_1':0.0},(361,513):{'3_1':0.03,'4_1':0.0},(361,512):{'4_1':0.0},(361,510):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,509):{'3_1':0.0},(361,508):{'3_1':0.03,'4_1':0.0},(361,507):{'3_1':0.03,'4_1':0.0},(361,506):{'3_1':0.0,'4_1':0.0},(361,505):{'3_1':0.0},(361,504):{'3_1':0.0,'4_1':0.0},(361,503):{'3_1':0.0},(361,502):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(361,501):{'3_1':0.03},(361,500):{'3_1':0.06,'5_2':0.0},(361,499):{'4_1':0.0,'3_1':0.0},(361,498):{'3_1':0.03},(361,497):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,496):{'3_1':0.03,'4_1':0.0},(361,495):{'3_1':0.03},(361,494):{'3_1':0.0},(361,493):{'3_1':0.0,'4_1':0.0},(361,492):{'3_1':0.03,'8_3':0.0},(361,491):{'3_1':0.0},(361,490):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(361,489):{'3_1':0.0},(361,488):{'3_1':0.03},(361,487):{'3_1':0.0},(361,486):{'3_1':0.0,'4_1':0.0},(361,485):{'3_1':0.0},(361,484):{'3_1':0.03,'4_1':0.0},(361,483):{'3_1':0.03},(361,482):{'3_1':0.0,'4_1':0.0},(361,481):{'3_1':0.0},(361,480):{'3_1':0.0},(361,479):{'3_1':0.0},(361,478):{'3_1':0.0},(361,477):{'3_1':0.0},(361,476):{'3_1':0.0},(361,475):{'3_1':0.0},(361,474):{'3_1':0.03},(361,472):{'3_1':0.0},(361,471):{'3_1':0.0},(361,470):{'3_1':0.03},(361,469):{'3_1':0.0},(361,468):{'3_1':0.03},(361,467):{'3_1':0.0},(361,466):{'3_1':0.0},(361,465):{'3_1':0.03},(361,464):{'3_1':0.0},(361,463):{'3_1':0.0},(361,462):{'3_1':0.0},(361,461):{'3_1':0.0},(361,460):{'3_1':0.0},(361,458):{'3_1':0.0},(361,455):{'3_1':0.0},(361,454):{'3_1':0.0},(361,453):{'3_1':0.0},(361,452):{'3_1':0.0},(361,451):{'3_1':0.0},(361,446):{'3_1':0.0},(361,442):{'3_1':0.0},(361,441):{'3_1':0.0},(361,439):{'3_1':0.0},(361,438):{'3_1':0.0},(361,431):{'5_1':0.0},(361,428):{'3_1':0.0},(361,427):{'3_1':0.0},(361,422):{'3_1':0.0},(361,420):{'3_1':0.0},(361,413):{'3_1':0.0},(361,412):{'5_2':0.0},(361,409):{'3_1':0.0},(361,397):{'3_1':0.0},(361,376):{'3_1':0.0},(361,374):{'3_1':0.0},(361,372):{'5_1':0.0},(362,727):{'3_1':0.03,'5_2':0.0},(362,726):{'3_1':0.03,'5_2':0.0},(362,725):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(362,724):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(362,723):{'3_1':0.0},(362,722):{'3_1':0.03},(362,721):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(362,720):{'3_1':0.06},(362,719):{'3_1':0.03},(362,718):{'3_1':0.03,'4_1':0.0},(362,717):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(362,716):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(362,715):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_3':0.0},(362,714):{'3_1':0.06,'5_2':0.0},(362,713):{'3_1':0.06,'5_2':0.0},(362,712):{'3_1':0.06,'5_1':0.0},(362,711):{'3_1':0.03,'4_1':0.0},(362,710):{'3_1':0.06,'5_2':0.0},(362,709):{'3_1':0.09,'5_2':0.0},(362,708):{'3_1':0.06,'4_1':0.0},(362,707):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(362,706):{'3_1':0.03,'5_2':0.0},(362,705):{'3_1':0.15,'4_1':0.0},(362,704):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(362,703):{'3_1':0.06,'4_1':0.0},(362,702):{'3_1':0.12,'5_2':0.0},(362,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(362,700):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'7_1':0.0},(362,699):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(362,698):{'3_1':0.12,'5_2':0.0},(362,697):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,696):{'3_1':0.06,'5_2':0.0},(362,695):{'3_1':0.06,'5_2':0.03,'4_1':0.0,'5_1':0.0},(362,694):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_4':0.0},(362,693):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(362,692):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,691):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(362,690):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(362,689):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(362,688):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0},(362,687):{'3_1':0.09,'4_1':0.03},(362,686):{'3_1':0.06,'4_1':0.0},(362,685):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,684):{'3_1':0.15,'4_1':0.0},(362,683):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(362,682):{'3_1':0.09,'5_2':0.0},(362,681):{'3_1':0.09},(362,680):{'3_1':0.09,'4_1':0.0},(362,679):{'3_1':0.21,'4_1':0.0},(362,678):{'3_1':0.12,'4_1':0.0},(362,677):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,676):{'3_1':0.09},(362,675):{'3_1':0.06,'4_1':0.0},(362,674):{'3_1':0.03,'4_1':0.0},(362,673):{'3_1':0.09},(362,672):{'3_1':0.06},(362,671):{'3_1':0.09},(362,670):{'3_1':0.06},(362,669):{'3_1':0.09,'5_1':0.0},(362,668):{'3_1':0.12,'4_1':0.0},(362,667):{'3_1':0.09,'5_2':0.0},(362,666):{'3_1':0.12},(362,665):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(362,664):{'3_1':0.09},(362,663):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(362,662):{'3_1':0.09},(362,661):{'3_1':0.09,'4_1':0.0},(362,660):{'3_1':0.12,'4_1':0.0},(362,659):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(362,658):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,657):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(362,656):{'3_1':0.12},(362,655):{'3_1':0.09,'4_1':0.0},(362,654):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(362,653):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(362,652):{'3_1':0.12,'4_1':0.0},(362,651):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(362,650):{'3_1':0.15},(362,649):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(362,648):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(362,647):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,646):{'3_1':0.15},(362,645):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(362,644):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(362,643):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(362,642):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(362,641):{'3_1':0.12,'5_1':0.0},(362,640):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(362,639):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(362,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,637):{'3_1':0.03,'5_1':0.0},(362,636):{'3_1':0.03},(362,635):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(362,634):{'3_1':0.06},(362,633):{'3_1':0.06},(362,632):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(362,631):{'3_1':0.09,'4_1':0.0},(362,630):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,629):{'3_1':0.15},(362,628):{'3_1':0.06,'5_2':0.0},(362,627):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(362,626):{'3_1':0.06,'6_1':0.0},(362,625):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,624):{'3_1':0.06,'5_1':0.0},(362,623):{'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_1':0.0},(362,622):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(362,621):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,620):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(362,619):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(362,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(362,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,616):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(362,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(362,614):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(362,613):{'3_1':0.0},(362,612):{'3_1':0.09,'4_1':0.0},(362,611):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(362,610):{'3_1':0.06,'5_1':0.0},(362,609):{'3_1':0.03,'4_1':0.0},(362,608):{'3_1':0.0,'4_1':0.0},(362,607):{'3_1':0.06,'4_1':0.0},(362,606):{'3_1':0.03},(362,605):{'3_1':0.03},(362,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(362,603):{'3_1':0.0,'5_2':0.0},(362,602):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(362,601):{'3_1':0.0},(362,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(362,599):{'3_1':0.06,'4_1':0.0},(362,598):{'3_1':0.03,'5_2':0.0},(362,597):{'3_1':0.03,'4_1':0.0},(362,596):{'3_1':0.09,'4_1':0.0},(362,595):{'3_1':0.06},(362,594):{'5_1':0.0},(362,593):{'3_1':0.03},(362,592):{'3_1':0.0,'4_1':0.0},(362,591):{'3_1':0.03},(362,590):{'3_1':0.03,'4_1':0.0},(362,589):{'3_1':0.0},(362,588):{'3_1':0.0},(362,587):{'4_1':0.0,'3_1':0.0},(362,586):{'3_1':0.0,'4_1':0.0},(362,585):{'3_1':0.03},(362,584):{'3_1':0.0,'4_1':0.0},(362,583):{'3_1':0.03},(362,582):{'3_1':0.0},(362,581):{'3_1':0.0},(362,580):{'3_1':0.03},(362,579):{'3_1':0.0},(362,578):{'3_1':0.0,'4_1':0.0},(362,577):{'3_1':0.03,'4_1':0.0},(362,576):{'3_1':0.03,'4_1':0.0},(362,575):{'3_1':0.03,'4_1':0.0},(362,574):{'3_1':0.0},(362,573):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(362,572):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(362,571):{'3_1':0.0},(362,570):{'3_1':0.0},(362,569):{'3_1':0.03},(362,568):{'3_1':0.03},(362,567):{'3_1':0.03},(362,566):{'3_1':0.03,'4_1':0.0},(362,565):{'3_1':0.0,'4_1':0.0},(362,564):{'4_1':0.0},(362,563):{'3_1':0.0,'4_1':0.0},(362,562):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(362,561):{'3_1':0.0},(362,560):{'3_1':0.0},(362,559):{'3_1':0.03,'4_1':0.0},(362,558):{'3_1':0.0,'4_1':0.0},(362,557):{'3_1':0.0},(362,556):{'3_1':0.0},(362,555):{'3_1':0.0,'4_1':0.0},(362,554):{'3_1':0.0,'4_1':0.0},(362,553):{'4_1':0.0,'3_1':0.0},(362,552):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(362,551):{'3_1':0.0},(362,550):{'3_1':0.0,'4_1':0.0},(362,549):{'4_1':0.03,'3_1':0.0},(362,548):{'4_1':0.0,'3_1':0.0},(362,547):{'3_1':0.03,'4_1':0.03},(362,546):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(362,545):{'3_1':0.0,'4_1':0.0},(362,544):{'3_1':0.03,'4_1':0.0},(362,543):{'3_1':0.0,'4_1':0.0},(362,542):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(362,541):{'3_1':0.0,'4_1':0.0},(362,540):{'3_1':0.0,'4_1':0.0},(362,539):{'3_1':0.0,'4_1':0.0},(362,538):{'3_1':0.0},(362,537):{'3_1':0.0,'4_1':0.0},(362,536):{'3_1':0.03,'4_1':0.03},(362,535):{'3_1':0.0,'4_1':0.0},(362,534):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(362,533):{'3_1':0.0,'4_1':0.0},(362,532):{'3_1':0.0},(362,531):{'3_1':0.0,'4_1':0.0},(362,530):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(362,529):{'3_1':0.06,'4_1':0.0},(362,528):{'3_1':0.0},(362,527):{'3_1':0.03,'4_1':0.0},(362,526):{'3_1':0.03,'4_1':0.0},(362,525):{'3_1':0.0,'4_1':0.0},(362,524):{'3_1':0.03,'4_1':0.0},(362,523):{'3_1':0.03,'4_1':0.0},(362,522):{'3_1':0.0,'4_1':0.0},(362,521):{'4_1':0.03,'3_1':0.0},(362,520):{'4_1':0.03},(362,519):{'4_1':0.0},(362,518):{'3_1':0.0,'4_1':0.0},(362,517):{'3_1':0.0,'5_2':0.0},(362,516):{'3_1':0.03,'4_1':0.0},(362,515):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(362,514):{'3_1':0.0,'4_1':0.0},(362,513):{'4_1':0.03,'3_1':0.0},(362,512):{'3_1':0.0,'4_1':0.0},(362,511):{'3_1':0.0,'4_1':0.0},(362,510):{'3_1':0.03},(362,509):{'3_1':0.0},(362,508):{'3_1':0.0},(362,507):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(362,506):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(362,505):{'3_1':0.0,'4_1':0.0},(362,504):{'3_1':0.03},(362,503):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(362,502):{'3_1':0.06,'4_1':0.0},(362,500):{'3_1':0.03},(362,499):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(362,498):{'3_1':0.03,'4_1':0.0},(362,497):{'3_1':0.03},(362,496):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(362,495):{'3_1':0.03,'4_1':0.0},(362,494):{'3_1':0.0,'4_1':0.0},(362,493):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(362,492):{'3_1':0.0},(362,491):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(362,490):{'3_1':0.03},(362,489):{'3_1':0.0},(362,488):{'3_1':0.0,'4_1':0.0},(362,487):{'4_1':0.0},(362,486):{'3_1':0.0},(362,485):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(362,484):{'3_1':0.0,'4_1':0.0},(362,482):{'3_1':0.0},(362,481):{'3_1':0.0,'4_1':0.0},(362,480):{'3_1':0.03},(362,479):{'3_1':0.0},(362,478):{'3_1':0.0},(362,477):{'3_1':0.0},(362,476):{'3_1':0.0},(362,475):{'3_1':0.03},(362,474):{'3_1':0.0},(362,473):{'3_1':0.03},(362,472):{'3_1':0.0},(362,471):{'3_1':0.0},(362,470):{'3_1':0.0},(362,469):{'3_1':0.0},(362,468):{'3_1':0.03},(362,467):{'3_1':0.03,'5_1':0.0},(362,466):{'3_1':0.0},(362,464):{'3_1':0.0},(362,463):{'3_1':0.0},(362,459):{'3_1':0.0},(362,458):{'3_1':0.0},(362,450):{'3_1':0.0},(362,449):{'3_1':0.0},(362,448):{'3_1':0.0},(362,446):{'3_1':0.03},(362,442):{'3_1':0.0},(362,441):{'3_1':0.0},(362,440):{'3_1':0.0},(362,437):{'3_1':0.0},(362,435):{'3_1':0.0},(362,434):{'3_1':0.0},(362,433):{'3_1':0.03},(362,432):{'3_1':0.0},(362,430):{'3_1':0.0},(362,429):{'3_1':0.03},(362,428):{'3_1':0.0},(362,424):{'3_1':0.0},(362,423):{'3_1':0.0},(362,422):{'3_1':0.0},(362,421):{'3_1':0.0},(362,419):{'3_1':0.0},(362,415):{'3_1':0.0},(362,401):{'3_1':0.0},(362,374):{'7_3':0.0},(362,373):{'3_1':0.0},(362,372):{'3_1':0.0},(362,370):{'3_1':0.0},(362,369):{'3_1':0.0},(362,368):{'3_1':0.0},(363,727):{'3_1':0.0},(363,726):{'3_1':0.06},(363,725):{'3_1':0.06,'5_2':0.0},(363,724):{'3_1':0.09,'4_1':0.0},(363,723):{'3_1':0.12},(363,722):{'3_1':0.09,'4_1':0.0},(363,721):{'3_1':0.09,'5_1':0.0},(363,720):{'3_1':0.09},(363,719):{'3_1':0.03,'5_1':0.0},(363,718):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(363,717):{'3_1':0.06,'4_1':0.0},(363,716):{'3_1':0.06},(363,715):{'3_1':0.06,'5_2':0.0},(363,714):{'3_1':0.03,'4_1':0.0},(363,713):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(363,712):{'3_1':0.12,'5_2':0.0,'7_3':0.0},(363,711):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(363,710):{'3_1':0.09,'4_1':0.0},(363,709):{'3_1':0.12,'4_1':0.0},(363,708):{'3_1':0.03},(363,707):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(363,706):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(363,705):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(363,704):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(363,703):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(363,702):{'3_1':0.06,'4_1':0.0},(363,701):{'3_1':0.12,'4_1':0.0},(363,700):{'3_1':0.12,'5_2':0.0,'7_1':0.0,'9_1':0.0},(363,699):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(363,698):{'3_1':0.06,'5_1':0.0},(363,697):{'3_1':0.15},(363,696):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(363,695):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(363,694):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(363,693):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(363,692):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(363,691):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_6':0.0},(363,690):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(363,689):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(363,688):{'3_1':0.06,'6_2':0.0},(363,687):{'3_1':0.09,'4_1':0.0},(363,686):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(363,685):{'3_1':0.09},(363,684):{'3_1':0.09,'5_2':0.0},(363,683):{'3_1':0.12},(363,682):{'3_1':0.12,'7_1':0.0},(363,681):{'3_1':0.09},(363,680):{'3_1':0.09,'4_1':0.0,'9_1':0.0},(363,679):{'3_1':0.06,'4_1':0.03},(363,678):{'3_1':0.06,'5_2':0.0},(363,677):{'3_1':0.06,'5_2':0.0},(363,676):{'3_1':0.12,'7_1':0.0,'4_1':0.0},(363,675):{'3_1':0.09,'4_1':0.0},(363,674):{'3_1':0.09,'4_1':0.0},(363,673):{'3_1':0.12,'6_1':0.0},(363,672):{'3_1':0.06,'5_1':0.0},(363,671):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(363,670):{'3_1':0.06,'5_1':0.0},(363,669):{'3_1':0.09,'5_1':0.0},(363,668):{'3_1':0.09,'5_1':0.0},(363,667):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(363,666):{'3_1':0.12},(363,665):{'3_1':0.06,'4_1':0.0},(363,664):{'3_1':0.09,'4_1':0.0},(363,663):{'3_1':0.15,'5_1':0.0,'8_1':0.0},(363,662):{'3_1':0.09,'5_1':0.0},(363,661):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(363,660):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(363,659):{'3_1':0.09,'4_1':0.03},(363,658):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(363,657):{'3_1':0.03},(363,656):{'3_1':0.06,'4_1':0.0},(363,655):{'3_1':0.09},(363,654):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(363,653):{'3_1':0.09},(363,652):{'3_1':0.12},(363,651):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(363,650):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(363,649):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(363,648):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(363,647):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(363,646):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_1':0.0},(363,645):{'3_1':0.03,'6_2':0.0},(363,644):{'3_1':0.06,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(363,643):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(363,642):{'3_1':0.15},(363,641):{'3_1':0.12},(363,640):{'3_1':0.21,'5_1':0.0},(363,639):{'3_1':0.15,'5_2':0.0},(363,638):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(363,637):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(363,636):{'3_1':0.06,'4_1':0.0},(363,635):{'3_1':0.06},(363,634):{'3_1':0.09},(363,633):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(363,632):{'3_1':0.06},(363,631):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(363,630):{'3_1':0.12,'4_1':0.0},(363,629):{'3_1':0.06},(363,628):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(363,627):{'3_1':0.06},(363,626):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(363,625):{'3_1':0.06,'5_1':0.0},(363,624):{'3_1':0.12,'5_1':0.0},(363,623):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(363,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(363,621):{'3_1':0.06,'6_2':0.0},(363,620):{'3_1':0.06},(363,619):{'3_1':0.03,'5_1':0.0},(363,618):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(363,617):{'3_1':0.06,'5_1':0.0},(363,616):{'3_1':0.06,'5_1':0.0},(363,615):{'3_1':0.12,'5_1':0.0},(363,614):{'3_1':0.03,'4_1':0.0},(363,613):{'3_1':0.15},(363,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(363,611):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(363,610):{'3_1':0.09,'4_1':0.0},(363,609):{'3_1':0.06,'5_1':0.0},(363,608):{'3_1':0.03},(363,607):{'3_1':0.03,'6_1':0.0},(363,606):{'3_1':0.03},(363,605):{'3_1':0.06,'4_1':0.0},(363,604):{'3_1':0.03,'4_1':0.0},(363,603):{'3_1':0.03,'5_1':0.0},(363,602):{'3_1':0.03},(363,601):{'3_1':0.03,'5_2':0.0},(363,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(363,599):{'3_1':0.0},(363,598):{'3_1':0.03,'4_1':0.0},(363,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(363,596):{'3_1':0.06,'4_1':0.0},(363,595):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(363,594):{'3_1':0.06},(363,593):{'3_1':0.06},(363,592):{'3_1':0.0,'4_1':0.0},(363,591):{'3_1':0.03,'4_1':0.0},(363,590):{'3_1':0.0},(363,589):{'3_1':0.03,'6_2':0.0,'4_1':0.0},(363,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(363,587):{'3_1':0.03,'4_1':0.0},(363,586):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(363,585):{'3_1':0.03,'4_1':0.0},(363,584):{'4_1':0.0,'3_1':0.0},(363,583):{'3_1':0.0},(363,582):{'3_1':0.0},(363,581):{'3_1':0.03},(363,580):{'3_1':0.0,'4_1':0.0},(363,579):{'3_1':0.0},(363,578):{'4_1':0.0,'6_1':0.0},(363,577):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(363,576):{'3_1':0.0,'4_1':0.0},(363,575):{'3_1':0.0,'5_1':0.0},(363,574):{'3_1':0.0,'4_1':0.0},(363,573):{'3_1':0.0},(363,572):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(363,571):{'3_1':0.03},(363,570):{'3_1':0.0},(363,569):{'3_1':0.0,'4_1':0.0},(363,568):{'3_1':0.03,'4_1':0.0},(363,567):{'3_1':0.06,'4_1':0.0},(363,566):{'3_1':0.0,'4_1':0.0},(363,565):{'3_1':0.03,'4_1':0.0},(363,563):{'3_1':0.03},(363,562):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(363,561):{'3_1':0.0,'4_1':0.0},(363,560):{'3_1':0.03,'4_1':0.0},(363,559):{'3_1':0.0},(363,558):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(363,557):{'4_1':0.0,'3_1':0.0},(363,556):{'3_1':0.0,'4_1':0.0},(363,555):{'3_1':0.03},(363,554):{'3_1':0.0,'4_1':0.0},(363,553):{'3_1':0.0,'4_1':0.0},(363,552):{'4_1':0.0},(363,551):{'4_1':0.0,'3_1':0.0},(363,550):{'3_1':0.06},(363,549):{'4_1':0.03,'3_1':0.0},(363,548):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(363,547):{'4_1':0.0,'3_1':0.0},(363,546):{'3_1':0.0},(363,545):{'4_1':0.03,'3_1':0.0},(363,544):{'3_1':0.0},(363,543):{'3_1':0.03,'4_1':0.0},(363,542):{'3_1':0.0,'4_1':0.0},(363,541):{'3_1':0.0,'4_1':0.0},(363,540):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(363,539):{'3_1':0.0,'4_1':0.0},(363,538):{'3_1':0.0},(363,537):{'3_1':0.03,'4_1':0.0},(363,536):{'3_1':0.03,'4_1':0.0},(363,535):{'3_1':0.0},(363,534):{'3_1':0.06,'4_1':0.03},(363,533):{'3_1':0.03,'4_1':0.0},(363,532):{'4_1':0.03},(363,531):{'3_1':0.03},(363,530):{'4_1':0.0,'3_1':0.0},(363,529):{'3_1':0.03,'4_1':0.0},(363,528):{'3_1':0.03,'4_1':0.0},(363,527):{'4_1':0.0,'3_1':0.0},(363,526):{'3_1':0.09,'4_1':0.0},(363,525):{'3_1':0.03,'5_2':0.0},(363,524):{'4_1':0.03,'3_1':0.0},(363,523):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(363,522):{'3_1':0.03,'4_1':0.0},(363,521):{'3_1':0.03,'4_1':0.03},(363,520):{'3_1':0.0,'4_1':0.0},(363,519):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(363,518):{'3_1':0.03,'4_1':0.0},(363,517):{'4_1':0.0,'6_2':0.0},(363,516):{'3_1':0.0},(363,515):{'3_1':0.0,'4_1':0.0},(363,514):{'3_1':0.03,'4_1':0.0},(363,513):{'3_1':0.03,'4_1':0.0},(363,512):{'3_1':0.03,'4_1':0.0},(363,511):{'4_1':0.0,'3_1':0.0},(363,510):{'3_1':0.06,'4_1':0.03},(363,509):{'3_1':0.0},(363,508):{'3_1':0.0,'4_1':0.0},(363,507):{'3_1':0.03,'4_1':0.0},(363,506):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(363,505):{'3_1':0.03,'4_1':0.0},(363,504):{'3_1':0.03,'4_1':0.0},(363,503):{'3_1':0.0,'4_1':0.0},(363,502):{'3_1':0.03,'4_1':0.0},(363,501):{'3_1':0.03,'4_1':0.0},(363,500):{'3_1':0.03,'8_20|3_1#3_1':0.0},(363,499):{'3_1':0.03},(363,498):{'3_1':0.0},(363,497):{'3_1':0.03,'4_1':0.0},(363,496):{'3_1':0.0,'4_1':0.0},(363,495):{'3_1':0.0,'4_1':0.0},(363,494):{'3_1':0.0,'4_1':0.0},(363,493):{'3_1':0.03},(363,492):{'3_1':0.0,'8_20|3_1#3_1':0.0},(363,491):{'3_1':0.0,'4_1':0.0},(363,490):{'3_1':0.0,'4_1':0.0},(363,489):{'3_1':0.03,'4_1':0.0},(363,488):{'3_1':0.0,'4_1':0.0},(363,487):{'3_1':0.0,'4_1':0.0},(363,486):{'4_1':0.0},(363,485):{'4_1':0.0,'3_1':0.0},(363,484):{'3_1':0.0,'4_1':0.0},(363,483):{'3_1':0.03},(363,482):{'3_1':0.0},(363,481):{'3_1':0.03},(363,480):{'3_1':0.03},(363,479):{'3_1':0.0},(363,478):{'3_1':0.0},(363,477):{'3_1':0.03},(363,476):{'3_1':0.0},(363,475):{'3_1':0.0},(363,473):{'3_1':0.0},(363,472):{'3_1':0.03},(363,471):{'3_1':0.0},(363,470):{'3_1':0.0},(363,469):{'3_1':0.0},(363,468):{'3_1':0.0},(363,467):{'3_1':0.0},(363,466):{'3_1':0.0},(363,464):{'3_1':0.0},(363,463):{'3_1':0.0},(363,462):{'3_1':0.0},(363,461):{'3_1':0.0},(363,460):{'3_1':0.0},(363,459):{'3_1':0.0},(363,458):{'3_1':0.0},(363,456):{'3_1':0.0},(363,455):{'3_1':0.0},(363,454):{'3_1':0.0},(363,450):{'3_1':0.0},(363,448):{'3_1':0.0},(363,446):{'3_1':0.0},(363,445):{'3_1':0.0},(363,444):{'3_1':0.0},(363,442):{'3_1':0.0},(363,440):{'3_1':0.0},(363,439):{'3_1':0.0},(363,435):{'3_1':0.0},(363,433):{'3_1':0.0},(363,432):{'3_1':0.03},(363,431):{'3_1':0.0},(363,430):{'3_1':0.0},(363,428):{'3_1':0.0},(363,425):{'3_1':0.0},(363,424):{'3_1':0.03},(363,423):{'4_1':0.0},(363,422):{'3_1':0.0},(363,421):{'3_1':0.0},(363,411):{'3_1':0.0},(363,410):{'3_1':0.0},(363,400):{'3_1':0.0},(363,399):{'3_1':0.0},(363,394):{'4_1':0.0},(363,374):{'3_1':0.0},(363,371):{'3_1':0.0},(363,370):{'3_1':0.0},(363,369):{'3_1':0.0},(364,727):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(364,726):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(364,725):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(364,724):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(364,723):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(364,722):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(364,721):{'3_1':0.06},(364,720):{'3_1':0.03,'5_2':0.0},(364,719):{'3_1':0.0},(364,718):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(364,717):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(364,716):{'3_1':0.09,'4_1':0.0},(364,715):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(364,714):{'3_1':0.03},(364,713):{'3_1':0.09,'6_1':0.0},(364,712):{'3_1':0.03,'5_2':0.0},(364,711):{'3_1':0.09,'6_2':0.0},(364,710):{'3_1':0.0},(364,709):{'3_1':0.03,'5_2':0.0},(364,708):{'3_1':0.06,'5_2':0.0},(364,707):{'3_1':0.06},(364,706):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(364,705):{'3_1':0.03,'4_1':0.0},(364,704):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(364,703):{'5_2':0.03,'3_1':0.03},(364,702):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(364,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(364,700):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(364,699):{'3_1':0.09},(364,698):{'3_1':0.03,'5_2':0.03},(364,697):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(364,696):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(364,695):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(364,694):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(364,693):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(364,692):{'3_1':0.03,'5_2':0.0},(364,691):{'3_1':0.06,'5_2':0.0},(364,690):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(364,689):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(364,688):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(364,687):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(364,686):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(364,685):{'3_1':0.06,'4_1':0.0},(364,684):{'3_1':0.09,'6_2':0.0},(364,683):{'3_1':0.06},(364,682):{'3_1':0.09},(364,681):{'3_1':0.03,'4_1':0.0},(364,680):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(364,679):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(364,678):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(364,677):{'3_1':0.03,'6_1':0.0},(364,676):{'3_1':0.09,'4_1':0.0},(364,675):{'3_1':0.09,'4_1':0.0},(364,674):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(364,673):{'3_1':0.12,'5_1':0.0},(364,672):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(364,671):{'3_1':0.03,'5_1':0.0},(364,670):{'3_1':0.06,'4_1':0.0},(364,669):{'3_1':0.09,'4_1':0.0,'7_7':0.0},(364,668):{'3_1':0.06,'4_1':0.0},(364,667):{'3_1':0.06,'5_1':0.0},(364,666):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_1':0.0},(364,665):{'3_1':0.09},(364,664):{'3_1':0.09,'4_1':0.0},(364,663):{'3_1':0.09},(364,662):{'3_1':0.12},(364,661):{'3_1':0.06,'4_1':0.0},(364,660):{'3_1':0.06,'4_1':0.0},(364,659):{'3_1':0.06,'4_1':0.0},(364,658):{'3_1':0.12},(364,657):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(364,656):{'3_1':0.06,'5_2':0.0},(364,655):{'3_1':0.09},(364,654):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(364,653):{'3_1':0.06,'5_2':0.0},(364,652):{'3_1':0.03},(364,651):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(364,650):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(364,649):{'3_1':0.09,'4_1':0.0},(364,648):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(364,647):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(364,646):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(364,645):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(364,644):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(364,643):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(364,642):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(364,641):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(364,640):{'3_1':0.12,'5_1':0.03,'4_1':0.0},(364,639):{'3_1':0.09,'5_2':0.0},(364,638):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(364,637):{'3_1':0.09,'4_1':0.0},(364,636):{'3_1':0.03,'4_1':0.0},(364,635):{'3_1':0.09},(364,634):{'3_1':0.15,'8_20|3_1#3_1':0.0},(364,633):{'3_1':0.03,'5_1':0.0},(364,632):{'3_1':0.03},(364,631):{'3_1':0.09,'4_1':0.0},(364,630):{'3_1':0.06,'4_1':0.0},(364,629):{'3_1':0.09,'5_1':0.0},(364,628):{'3_1':0.06,'4_1':0.0},(364,627):{'3_1':0.12},(364,626):{'3_1':0.09,'5_2':0.0},(364,625):{'3_1':0.06},(364,624):{'3_1':0.03},(364,623):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(364,622):{'3_1':0.06},(364,621):{'3_1':0.06},(364,620):{'3_1':0.03},(364,619):{'3_1':0.06,'5_2':0.0},(364,618):{'3_1':0.09,'5_1':0.03},(364,617):{'3_1':0.03},(364,616):{'3_1':0.03},(364,615):{'3_1':0.09,'4_1':0.0},(364,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(364,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(364,612):{'3_1':0.03,'5_1':0.0},(364,611):{'3_1':0.06,'4_1':0.0},(364,610):{'3_1':0.0},(364,609):{'3_1':0.0,'4_1':0.0},(364,608):{'3_1':0.0,'4_1':0.0},(364,607):{'3_1':0.0},(364,606):{'3_1':0.03},(364,605):{'3_1':0.06},(364,604):{'3_1':0.03},(364,603):{'3_1':0.0,'4_1':0.0},(364,602):{'3_1':0.03,'4_1':0.0},(364,601):{'3_1':0.03},(364,600):{'4_1':0.0,'5_2':0.0},(364,599):{'3_1':0.03},(364,598):{'3_1':0.03,'4_1':0.0},(364,597):{'3_1':0.0,'6_2':0.0},(364,596):{'3_1':0.03},(364,595):{'3_1':0.0,'4_1':0.0},(364,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(364,593):{'3_1':0.03,'6_1':0.0},(364,592):{'3_1':0.03,'7_1':0.0},(364,591):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(364,590):{'3_1':0.03,'4_1':0.0},(364,589):{'3_1':0.0,'4_1':0.0},(364,588):{'3_1':0.0,'4_1':0.0},(364,587):{'3_1':0.0,'4_1':0.0},(364,586):{'3_1':0.03,'6_1':0.0},(364,585):{'3_1':0.0},(364,584):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(364,583):{'3_1':0.0,'4_1':0.0},(364,582):{'3_1':0.0,'6_2':0.0},(364,581):{'3_1':0.03,'4_1':0.0},(364,580):{'3_1':0.03,'4_1':0.0},(364,579):{'3_1':0.0,'4_1':0.0},(364,578):{'3_1':0.0,'4_1':0.0},(364,577):{'3_1':0.0},(364,576):{'3_1':0.0,'6_1':0.0},(364,575):{'3_1':0.0},(364,573):{'3_1':0.03},(364,572):{'3_1':0.0,'4_1':0.0},(364,571):{'3_1':0.0},(364,570):{'3_1':0.0,'8_4':0.0},(364,569):{'3_1':0.0},(364,568):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(364,567):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(364,566):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(364,565):{'4_1':0.0},(364,564):{'3_1':0.0},(364,563):{'3_1':0.0},(364,562):{'3_1':0.03,'4_1':0.0},(364,560):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(364,559):{'4_1':0.0,'3_1':0.0},(364,558):{'3_1':0.0,'4_1':0.0},(364,557):{'3_1':0.0,'4_1':0.0},(364,556):{'3_1':0.03,'6_2':0.0},(364,555):{'4_1':0.0,'3_1':0.0},(364,554):{'3_1':0.0,'4_1':0.0},(364,553):{'4_1':0.0},(364,552):{'3_1':0.0,'4_1':0.0},(364,551):{'3_1':0.0,'4_1':0.0},(364,550):{'4_1':0.03,'3_1':0.0},(364,549):{'3_1':0.0,'4_1':0.0},(364,548):{'4_1':0.0,'3_1':0.0},(364,547):{'3_1':0.03,'4_1':0.0},(364,546):{'3_1':0.0},(364,545):{'3_1':0.0,'4_1':0.0},(364,544):{'4_1':0.0,'3_1':0.0},(364,543):{'4_1':0.0,'3_1':0.0},(364,542):{'3_1':0.0,'4_1':0.0},(364,541):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(364,539):{'3_1':0.0,'4_1':0.0},(364,538):{'3_1':0.0},(364,537):{'3_1':0.03,'4_1':0.0},(364,536):{'3_1':0.0},(364,535):{'3_1':0.03,'4_1':0.0},(364,534):{'3_1':0.0,'4_1':0.0},(364,533):{'3_1':0.0,'4_1':0.0},(364,532):{'3_1':0.0,'4_1':0.0},(364,531):{'3_1':0.03},(364,530):{'3_1':0.03,'4_1':0.0},(364,529):{'3_1':0.0},(364,528):{'3_1':0.0,'4_1':0.0},(364,527):{'3_1':0.0,'4_1':0.0},(364,526):{'4_1':0.0,'3_1':0.0},(364,525):{'3_1':0.03,'4_1':0.03},(364,524):{'3_1':0.0,'4_1':0.0},(364,523):{'3_1':0.0},(364,522):{'3_1':0.0},(364,521):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(364,520):{'3_1':0.0,'4_1':0.0},(364,519):{'3_1':0.0},(364,518):{'3_1':0.03,'4_1':0.0},(364,517):{'3_1':0.0,'4_1':0.0},(364,516):{'3_1':0.0,'4_1':0.0},(364,515):{'4_1':0.03,'3_1':0.0},(364,514):{'3_1':0.0,'4_1':0.0},(364,513):{'3_1':0.0,'4_1':0.0},(364,512):{'4_1':0.03},(364,511):{'4_1':0.0,'3_1':0.0},(364,510):{'3_1':0.0},(364,509):{'4_1':0.0},(364,508):{'4_1':0.0,'3_1':0.0},(364,507):{'4_1':0.0},(364,506):{'3_1':0.0,'4_1':0.0},(364,505):{'3_1':0.0},(364,504):{'3_1':0.03,'4_1':0.03},(364,503):{'3_1':0.0,'4_1':0.0},(364,502):{'4_1':0.0,'3_1':0.0},(364,501):{'3_1':0.03,'4_1':0.03},(364,500):{'3_1':0.0,'4_1':0.0},(364,499):{'3_1':0.0},(364,498):{'3_1':0.0},(364,497):{'3_1':0.0,'4_1':0.0},(364,496):{'3_1':0.03,'4_1':0.0},(364,495):{'3_1':0.0},(364,494):{'3_1':0.0,'4_1':0.0},(364,493):{'4_1':0.03,'3_1':0.0},(364,492):{'3_1':0.0,'4_1':0.0},(364,491):{'3_1':0.0},(364,490):{'3_1':0.06,'4_1':0.0},(364,489):{'3_1':0.06},(364,488):{'3_1':0.0},(364,487):{'3_1':0.0},(364,486):{'3_1':0.0,'4_1':0.0},(364,485):{'3_1':0.03},(364,484):{'3_1':0.0},(364,483):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(364,482):{'3_1':0.0},(364,479):{'3_1':0.0},(364,478):{'3_1':0.0},(364,477):{'3_1':0.0},(364,476):{'3_1':0.0},(364,473):{'3_1':0.0},(364,472):{'3_1':0.0},(364,471):{'3_1':0.0},(364,470):{'3_1':0.0},(364,469):{'3_1':0.0},(364,468):{'3_1':0.0},(364,467):{'3_1':0.0},(364,466):{'3_1':0.0},(364,464):{'3_1':0.0},(364,463):{'3_1':0.0},(364,461):{'3_1':0.0},(364,459):{'3_1':0.0},(364,458):{'3_1':0.0},(364,457):{'4_1':0.0},(364,456):{'3_1':0.0},(364,455):{'3_1':0.0},(364,454):{'3_1':0.0},(364,449):{'3_1':0.0},(364,448):{'3_1':0.0},(364,446):{'3_1':0.0},(364,443):{'3_1':0.0},(364,441):{'3_1':0.0},(364,440):{'3_1':0.0},(364,439):{'3_1':0.0},(364,436):{'3_1':0.0},(364,435):{'3_1':0.0},(364,434):{'3_1':0.0},(364,432):{'3_1':0.0},(364,431):{'3_1':0.0},(364,430):{'3_1':0.0},(364,429):{'3_1':0.0},(364,428):{'3_1':0.0,'6_1':0.0},(364,423):{'3_1':0.0},(364,422):{'3_1':0.0},(364,418):{'3_1':0.0},(364,400):{'3_1':0.0},(364,396):{'3_1':0.0},(364,372):{'3_1':0.0},(364,368):{'3_1':0.0},(365,727):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(365,726):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(365,725):{'3_1':0.06,'4_1':0.0},(365,724):{'3_1':0.06,'7_1':0.0},(365,723):{'3_1':0.03,'5_1':0.0},(365,722):{'3_1':0.0,'5_1':0.0},(365,721):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(365,720):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(365,719):{'3_1':0.06,'5_2':0.0},(365,718):{'3_1':0.06,'5_1':0.0},(365,717):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(365,716):{'3_1':0.03,'4_1':0.0},(365,715):{'3_1':0.09},(365,714):{'3_1':0.03},(365,713):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(365,712):{'3_1':0.06},(365,711):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(365,710):{'3_1':0.06,'4_1':0.0},(365,709):{'3_1':0.06,'4_1':0.0},(365,708):{'3_1':0.03},(365,707):{'3_1':0.09,'5_2':0.0},(365,706):{'3_1':0.03,'5_2':0.0},(365,705):{'3_1':0.06,'5_2':0.0},(365,704):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(365,703):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(365,702):{'3_1':0.09,'5_2':0.0},(365,701):{'3_1':0.06,'5_1':0.0},(365,700):{'3_1':0.12,'4_1':0.0},(365,699):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(365,698):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(365,697):{'3_1':0.06,'5_1':0.0},(365,696):{'3_1':0.09,'4_1':0.0},(365,695):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(365,694):{'4_1':0.03,'3_1':0.03},(365,693):{'3_1':0.06,'5_2':0.0},(365,692):{'3_1':0.06,'5_2':0.03},(365,691):{'3_1':0.15,'5_2':0.0},(365,690):{'3_1':0.12,'4_1':0.0},(365,689):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'7_6':0.0},(365,688):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(365,687):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(365,686):{'3_1':0.12,'4_1':0.0},(365,685):{'3_1':0.09},(365,684):{'3_1':0.09,'4_1':0.0},(365,683):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(365,682):{'3_1':0.09},(365,681):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(365,680):{'3_1':0.06,'9_1':0.0},(365,679):{'3_1':0.09,'5_2':0.0},(365,678):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(365,677):{'3_1':0.09},(365,676):{'3_1':0.03,'4_1':0.0},(365,675):{'3_1':0.12},(365,674):{'3_1':0.06,'4_1':0.0},(365,673):{'3_1':0.12,'4_1':0.0},(365,672):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(365,671):{'3_1':0.03},(365,670):{'3_1':0.12,'4_1':0.0},(365,669):{'3_1':0.03,'4_1':0.0},(365,668):{'3_1':0.12},(365,667):{'3_1':0.09,'8_20|3_1#3_1':0.0},(365,666):{'3_1':0.09},(365,665):{'3_1':0.09},(365,664):{'3_1':0.09,'4_1':0.0},(365,663):{'3_1':0.12,'4_1':0.0},(365,662):{'3_1':0.06},(365,661):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(365,660):{'3_1':0.12,'5_2':0.0},(365,659):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(365,658):{'3_1':0.06},(365,657):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(365,656):{'3_1':0.06,'5_2':0.0},(365,655):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(365,654):{'3_1':0.03,'5_2':0.0},(365,653):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(365,652):{'3_1':0.0,'5_2':0.0},(365,651):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(365,650):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(365,649):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(365,648):{'3_1':0.12},(365,647):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(365,646):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(365,645):{'3_1':0.09,'4_1':0.0},(365,644):{'3_1':0.09,'5_1':0.0},(365,643):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(365,642):{'3_1':0.15,'4_1':0.0},(365,641):{'3_1':0.18,'5_1':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(365,640):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(365,639):{'3_1':0.12,'4_1':0.0},(365,638):{'3_1':0.06,'5_1':0.03,'5_2':0.0},(365,637):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(365,636):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(365,635):{'3_1':0.12},(365,634):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(365,633):{'3_1':0.09,'4_1':0.0},(365,632):{'3_1':0.03,'4_1':0.0},(365,631):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(365,630):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(365,629):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(365,628):{'3_1':0.09,'5_1':0.0,'6_2':0.0},(365,627):{'3_1':0.09,'6_2':0.0},(365,626):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(365,625):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(365,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(365,623):{'3_1':0.06},(365,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(365,621):{'3_1':0.12,'4_1':0.0},(365,620):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(365,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(365,618):{'3_1':0.06},(365,617):{'3_1':0.09},(365,616):{'3_1':0.06,'5_1':0.0},(365,615):{'3_1':0.03,'5_1':0.0,'8_3':0.0},(365,614):{'3_1':0.03,'4_1':0.0},(365,613):{'3_1':0.03,'7_1':0.0,'5_1':0.0},(365,612):{'3_1':0.03},(365,611):{'3_1':0.0},(365,610):{'3_1':0.0,'4_1':0.0},(365,609):{'3_1':0.03,'4_1':0.0},(365,608):{'3_1':0.0},(365,607):{'3_1':0.03},(365,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(365,605):{'3_1':0.0,'4_1':0.0},(365,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(365,603):{'3_1':0.0},(365,602):{'3_1':0.0},(365,601):{'3_1':0.03},(365,600):{'3_1':0.03},(365,599):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(365,598):{'3_1':0.0},(365,597):{'3_1':0.0},(365,596):{'3_1':0.03,'6_1':0.0},(365,595):{'3_1':0.03,'6_1':0.0},(365,593):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(365,592):{'3_1':0.0},(365,591):{'3_1':0.06,'6_2':0.0},(365,590):{'3_1':0.03,'4_1':0.0},(365,589):{'3_1':0.0},(365,588):{'3_1':0.03,'5_2':0.0,'7_7':0.0},(365,587):{'3_1':0.0},(365,586):{'3_1':0.06,'4_1':0.0},(365,585):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(365,584):{'3_1':0.0,'4_1':0.0},(365,583):{'3_1':0.0,'4_1':0.0},(365,582):{'4_1':0.0},(365,581):{'3_1':0.0},(365,580):{'4_1':0.0},(365,579):{'4_1':0.0,'5_2':0.0},(365,578):{'4_1':0.0},(365,577):{'4_1':0.0},(365,575):{'3_1':0.03,'6_1':0.0},(365,574):{'3_1':0.0,'4_1':0.0},(365,573):{'3_1':0.0},(365,572):{'3_1':0.03},(365,571):{'3_1':0.0},(365,570):{'3_1':0.0,'4_1':0.0},(365,569):{'3_1':0.0,'4_1':0.0},(365,568):{'3_1':0.03},(365,567):{'3_1':0.0,'4_1':0.0},(365,566):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(365,565):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(365,564):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(365,563):{'3_1':0.0,'4_1':0.0},(365,562):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(365,561):{'3_1':0.0,'4_1':0.0},(365,560):{'3_1':0.0,'4_1':0.0},(365,559):{'4_1':0.0},(365,558):{'3_1':0.0,'4_1':0.0},(365,557):{'4_1':0.0,'3_1':0.0},(365,556):{'3_1':0.0},(365,555):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(365,554):{'4_1':0.0,'3_1':0.0},(365,553):{'4_1':0.0},(365,552):{'3_1':0.0,'4_1':0.0},(365,551):{'3_1':0.0},(365,550):{'3_1':0.0,'4_1':0.0},(365,549):{'3_1':0.0,'4_1':0.0},(365,548):{'4_1':0.0,'3_1':0.0},(365,547):{'3_1':0.0},(365,546):{'3_1':0.0,'4_1':0.0},(365,545):{'3_1':0.0},(365,544):{'3_1':0.03,'4_1':0.0},(365,543):{'3_1':0.0,'4_1':0.0},(365,542):{'4_1':0.0,'3_1':0.0},(365,541):{'3_1':0.03,'4_1':0.0},(365,540):{'3_1':0.0},(365,539):{'3_1':0.0},(365,538):{'3_1':0.0,'4_1':0.0},(365,537):{'4_1':0.0,'3_1':0.0},(365,536):{'3_1':0.0,'4_1':0.0},(365,535):{'3_1':0.0},(365,534):{'3_1':0.06,'4_1':0.0},(365,533):{'3_1':0.0,'4_1':0.0},(365,532):{'3_1':0.0,'4_1':0.0},(365,531):{'3_1':0.03,'4_1':0.0},(365,530):{'3_1':0.0,'4_1':0.0},(365,529):{'3_1':0.03,'4_1':0.0},(365,528):{'3_1':0.0,'4_1':0.0},(365,527):{'3_1':0.06,'4_1':0.0},(365,526):{'3_1':0.0,'4_1':0.0},(365,525):{'3_1':0.03},(365,524):{'4_1':0.0,'3_1':0.0},(365,523):{'3_1':0.0},(365,522):{'4_1':0.0,'3_1':0.0},(365,521):{'4_1':0.0,'3_1':0.0},(365,520):{'4_1':0.03,'3_1':0.03},(365,519):{'3_1':0.03,'4_1':0.0},(365,518):{'4_1':0.0},(365,517):{'4_1':0.03,'3_1':0.0},(365,516):{'3_1':0.0,'4_1':0.0},(365,515):{'3_1':0.0,'4_1':0.0},(365,514):{'3_1':0.0,'4_1':0.0},(365,513):{'3_1':0.0,'4_1':0.0},(365,512):{'4_1':0.03,'3_1':0.0},(365,511):{'3_1':0.03,'4_1':0.0},(365,510):{'4_1':0.0,'3_1':0.0},(365,509):{'3_1':0.0,'4_1':0.0},(365,508):{'3_1':0.0},(365,507):{'3_1':0.0,'4_1':0.0},(365,506):{'3_1':0.0},(365,505):{'3_1':0.0},(365,504):{'3_1':0.0},(365,503):{'3_1':0.0,'4_1':0.0},(365,502):{'3_1':0.0,'4_1':0.0},(365,501):{'3_1':0.0,'4_1':0.0},(365,500):{'3_1':0.0,'4_1':0.0},(365,499):{'3_1':0.0},(365,498):{'3_1':0.0,'4_1':0.0},(365,497):{'3_1':0.0,'4_1':0.0},(365,496):{'3_1':0.03},(365,495):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(365,494):{'3_1':0.0},(365,493):{'3_1':0.0},(365,492):{'3_1':0.03,'4_1':0.0},(365,491):{'3_1':0.0},(365,490):{'4_1':0.0,'3_1':0.0},(365,488):{'3_1':0.03},(365,487):{'3_1':0.0,'4_1':0.0},(365,486):{'3_1':0.0,'4_1':0.0},(365,485):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(365,484):{'3_1':0.0,'4_1':0.0},(365,483):{'3_1':0.0},(365,482):{'3_1':0.0,'4_1':0.0},(365,481):{'4_1':0.0},(365,480):{'3_1':0.0},(365,478):{'3_1':0.0},(365,477):{'3_1':0.0,'5_1':0.0},(365,476):{'3_1':0.0},(365,475):{'3_1':0.03},(365,474):{'3_1':0.0},(365,473):{'3_1':0.0},(365,472):{'3_1':0.03},(365,471):{'3_1':0.0},(365,470):{'3_1':0.0},(365,469):{'3_1':0.0},(365,468):{'3_1':0.0},(365,467):{'3_1':0.0},(365,466):{'3_1':0.0},(365,465):{'3_1':0.0},(365,464):{'3_1':0.0},(365,463):{'3_1':0.0},(365,460):{'3_1':0.0},(365,457):{'3_1':0.0},(365,456):{'3_1':0.0},(365,453):{'3_1':0.0},(365,451):{'3_1':0.0},(365,449):{'3_1':0.0},(365,445):{'3_1':0.0},(365,444):{'3_1':0.0},(365,439):{'3_1':0.0},(365,438):{'3_1':0.0},(365,437):{'3_1':0.0},(365,436):{'3_1':0.0},(365,434):{'3_1':0.0},(365,433):{'3_1':0.0},(365,432):{'3_1':0.0},(365,431):{'3_1':0.0},(365,430):{'3_1':0.0},(365,429):{'3_1':0.0},(365,428):{'3_1':0.0},(365,425):{'3_1':0.0},(365,424):{'3_1':0.0},(365,423):{'3_1':0.0},(365,418):{'3_1':0.0},(365,401):{'3_1':0.0},(365,371):{'3_1':0.0},(365,370):{'3_1':0.0},(365,369):{'3_1':0.03},(366,727):{'3_1':0.06,'5_1':0.0},(366,726):{'3_1':0.0,'5_2':0.0},(366,725):{'3_1':0.06,'5_2':0.0},(366,724):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,723):{'3_1':0.03},(366,722):{'3_1':0.06,'4_1':0.0},(366,721):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(366,720):{'3_1':0.03,'4_1':0.0},(366,719):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,718):{'3_1':0.06},(366,717):{'3_1':0.03},(366,716):{'3_1':0.06,'4_1':0.0},(366,715):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(366,714):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(366,713):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,712):{'3_1':0.06},(366,711):{'3_1':0.03,'5_1':0.0},(366,710):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(366,709):{'3_1':0.09,'5_2':0.0},(366,708):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(366,707):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(366,706):{'3_1':0.06,'5_2':0.0},(366,705):{'3_1':0.06},(366,704):{'3_1':0.03,'7_1':0.0,'4_1':0.0,'5_2':0.0},(366,703):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(366,702):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'9_1':0.0},(366,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,700):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(366,699):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(366,698):{'3_1':0.06,'5_2':0.0},(366,697):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(366,696):{'3_1':0.03,'5_2':0.0},(366,695):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(366,694):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(366,693):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_6':0.0},(366,692):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(366,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(366,690):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(366,689):{'3_1':0.06},(366,688):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(366,687):{'3_1':0.09,'5_2':0.0},(366,686):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,685):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(366,684):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(366,683):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(366,682):{'3_1':0.09},(366,681):{'3_1':0.12,'4_1':0.03},(366,680):{'3_1':0.06},(366,679):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(366,678):{'3_1':0.06,'5_2':0.0,'7_7':0.0,'8_1':0.0},(366,677):{'3_1':0.06,'5_1':0.0},(366,676):{'3_1':0.06,'4_1':0.0},(366,675):{'3_1':0.12,'4_1':0.0},(366,674):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'8_1':0.0},(366,673):{'3_1':0.09,'4_1':0.0},(366,672):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(366,671):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(366,670):{'3_1':0.06,'4_1':0.0},(366,669):{'3_1':0.03,'5_1':0.0},(366,668):{'3_1':0.06,'4_1':0.0},(366,667):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(366,666):{'3_1':0.06,'4_1':0.0},(366,665):{'3_1':0.06},(366,664):{'3_1':0.06},(366,663):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(366,662):{'3_1':0.0,'4_1':0.0},(366,661):{'3_1':0.03,'4_1':0.0},(366,660):{'3_1':0.12,'4_1':0.0},(366,659):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(366,658):{'3_1':0.03},(366,657):{'3_1':0.12,'5_1':0.0,'6_1':0.0,'4_1':0.0},(366,656):{'3_1':0.09,'5_1':0.0},(366,655):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,654):{'3_1':0.03,'5_1':0.0},(366,653):{'3_1':0.06,'5_2':0.0},(366,652):{'3_1':0.06},(366,651):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(366,650):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'8_7':0.0},(366,649):{'3_1':0.09},(366,648):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(366,647):{'3_1':0.03,'5_2':0.0},(366,646):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(366,645):{'3_1':0.09},(366,644):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(366,643):{'3_1':0.15,'4_1':0.0,'8_20|3_1#3_1':0.0},(366,642):{'3_1':0.09,'5_1':0.0},(366,641):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(366,640):{'3_1':0.12,'4_1':0.0,'7_1':0.0},(366,639):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(366,638):{'3_1':0.09,'4_1':0.03},(366,637):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(366,636):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(366,635):{'3_1':0.09},(366,634):{'3_1':0.09,'4_1':0.0},(366,633):{'3_1':0.06,'5_1':0.0},(366,632):{'3_1':0.09,'5_2':0.0},(366,631):{'3_1':0.06},(366,630):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(366,629):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(366,628):{'3_1':0.12,'4_1':0.0},(366,627):{'3_1':0.06,'4_1':0.0},(366,626):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(366,625):{'3_1':0.06,'5_1':0.0},(366,624):{'3_1':0.06},(366,623):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(366,622):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(366,621):{'3_1':0.06,'4_1':0.0},(366,620):{'3_1':0.06},(366,619):{'3_1':0.06},(366,618):{'3_1':0.09,'5_2':0.0},(366,617):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(366,616):{'3_1':0.09,'4_1':0.0},(366,615):{'3_1':0.09},(366,614):{'3_1':0.03,'4_1':0.0},(366,613):{'3_1':0.06,'4_1':0.0},(366,612):{'3_1':0.06},(366,611):{'3_1':0.03},(366,610):{'3_1':0.03},(366,609):{'3_1':0.03,'4_1':0.0},(366,608):{'3_1':0.03,'4_1':0.0},(366,607):{'3_1':0.06,'4_1':0.0},(366,606):{'3_1':0.03,'5_1':0.0},(366,605):{'3_1':0.03,'5_2':0.0},(366,604):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(366,603):{'3_1':0.0},(366,602):{'3_1':0.03,'4_1':0.0},(366,601):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(366,600):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(366,599):{'3_1':0.03,'6_1':0.0},(366,598):{'3_1':0.03,'4_1':0.0},(366,597):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(366,596):{'3_1':0.0,'4_1':0.0},(366,595):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(366,594):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(366,593):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(366,592):{'4_1':0.0,'3_1':0.0},(366,591):{'3_1':0.0,'4_1':0.0},(366,590):{'3_1':0.0,'5_1':0.0},(366,589):{'3_1':0.0,'6_2':0.0},(366,588):{'3_1':0.0,'4_1':0.0},(366,587):{'3_1':0.03,'4_1':0.0},(366,586):{'3_1':0.0,'4_1':0.0},(366,585):{'3_1':0.03,'4_1':0.0},(366,584):{'3_1':0.0},(366,583):{'3_1':0.03,'4_1':0.0},(366,582):{'3_1':0.03},(366,581):{'4_1':0.0,'3_1':0.0},(366,580):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(366,579):{'3_1':0.0,'6_1':0.0},(366,578):{'3_1':0.0,'4_1':0.0},(366,577):{'3_1':0.0,'8_21|3_1#4_1':0.0},(366,576):{'3_1':0.0,'6_1':0.0},(366,575):{'3_1':0.03},(366,574):{'3_1':0.0,'4_1':0.0},(366,573):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(366,572):{'3_1':0.03,'4_1':0.0},(366,571):{'3_1':0.0},(366,570):{'3_1':0.03,'4_1':0.0},(366,569):{'4_1':0.0},(366,568):{'3_1':0.0},(366,567):{'4_1':0.0,'3_1':0.0},(366,566):{'3_1':0.0,'4_1':0.0},(366,565):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(366,564):{'3_1':0.0},(366,563):{'4_1':0.0},(366,562):{'3_1':0.0,'4_1':0.0},(366,561):{'4_1':0.0,'6_1':0.0},(366,560):{'3_1':0.0},(366,559):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(366,558):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(366,557):{'3_1':0.0,'4_1':0.0},(366,556):{'3_1':0.03,'4_1':0.0},(366,555):{'3_1':0.0},(366,554):{'3_1':0.03,'4_1':0.0},(366,553):{'4_1':0.0,'3_1':0.0},(366,552):{'3_1':0.0,'4_1':0.0},(366,551):{'3_1':0.0},(366,550):{'4_1':0.0,'3_1':0.0},(366,549):{'3_1':0.0,'4_1':0.0},(366,548):{'4_1':0.03,'3_1':0.0},(366,547):{'3_1':0.0,'4_1':0.0},(366,546):{'3_1':0.03,'4_1':0.0},(366,545):{'3_1':0.0,'4_1':0.0},(366,544):{'3_1':0.0,'4_1':0.0},(366,543):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(366,542):{'3_1':0.0,'4_1':0.0},(366,541):{'3_1':0.0,'4_1':0.0},(366,540):{'4_1':0.0,'3_1':0.0},(366,539):{'3_1':0.0,'4_1':0.0},(366,538):{'3_1':0.0,'4_1':0.0},(366,537):{'4_1':0.03,'3_1':0.0},(366,536):{'4_1':0.03,'3_1':0.0},(366,535):{'3_1':0.03,'4_1':0.0},(366,534):{'3_1':0.03,'7_4':0.0},(366,533):{'3_1':0.0,'4_1':0.0},(366,532):{'4_1':0.0,'3_1':0.0},(366,531):{'4_1':0.0},(366,530):{'3_1':0.0,'4_1':0.0},(366,529):{'3_1':0.0,'4_1':0.0},(366,528):{'3_1':0.0,'4_1':0.0},(366,527):{'4_1':0.03,'3_1':0.0},(366,526):{'4_1':0.0,'3_1':0.0},(366,525):{'3_1':0.03,'4_1':0.0},(366,524):{'4_1':0.03,'3_1':0.0},(366,523):{'4_1':0.0},(366,522):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(366,521):{'3_1':0.03,'4_1':0.03},(366,520):{'3_1':0.0,'4_1':0.0},(366,519):{'3_1':0.0,'4_1':0.0},(366,518):{'3_1':0.03,'4_1':0.0},(366,517):{'4_1':0.03},(366,516):{'3_1':0.0,'4_1':0.0},(366,515):{'3_1':0.0,'4_1':0.0},(366,514):{'4_1':0.03,'3_1':0.0},(366,513):{'4_1':0.03,'3_1':0.0},(366,512):{'4_1':0.03},(366,511):{'4_1':0.0},(366,510):{'4_1':0.0,'3_1':0.0},(366,509):{'3_1':0.0,'4_1':0.0},(366,508):{'4_1':0.0,'3_1':0.0},(366,507):{'3_1':0.0},(366,506):{'3_1':0.0},(366,505):{'3_1':0.0},(366,504):{'4_1':0.0},(366,503):{'3_1':0.03,'4_1':0.0},(366,502):{'4_1':0.0},(366,501):{'4_1':0.0},(366,500):{'3_1':0.03},(366,499):{'3_1':0.0,'4_1':0.0},(366,498):{'3_1':0.0,'4_1':0.0},(366,497):{'3_1':0.0,'4_1':0.0},(366,496):{'3_1':0.0},(366,495):{'4_1':0.0,'3_1':0.0},(366,494):{'3_1':0.0,'4_1':0.0},(366,493):{'3_1':0.03,'4_1':0.0},(366,492):{'3_1':0.03},(366,491):{'3_1':0.0,'4_1':0.0},(366,490):{'3_1':0.0,'4_1':0.0},(366,489):{'3_1':0.03,'4_1':0.0},(366,488):{'3_1':0.0},(366,487):{'4_1':0.0,'3_1':0.0},(366,486):{'3_1':0.03,'4_1':0.0},(366,485):{'3_1':0.03},(366,484):{'3_1':0.0,'4_1':0.0},(366,483):{'3_1':0.0},(366,482):{'3_1':0.03},(366,481):{'3_1':0.0},(366,480):{'3_1':0.03},(366,479):{'3_1':0.0},(366,478):{'3_1':0.03},(366,477):{'3_1':0.0},(366,476):{'3_1':0.03},(366,475):{'3_1':0.0},(366,474):{'3_1':0.03,'4_1':0.0},(366,473):{'3_1':0.0,'5_2':0.0},(366,472):{'3_1':0.0},(366,471):{'3_1':0.0},(366,470):{'3_1':0.0},(366,469):{'3_1':0.0},(366,468):{'3_1':0.0},(366,467):{'3_1':0.0},(366,466):{'3_1':0.03},(366,465):{'3_1':0.03},(366,464):{'3_1':0.0},(366,463):{'3_1':0.0},(366,462):{'3_1':0.0},(366,461):{'3_1':0.0},(366,457):{'3_1':0.0},(366,456):{'3_1':0.0},(366,455):{'3_1':0.0},(366,454):{'3_1':0.0},(366,452):{'3_1':0.0},(366,450):{'3_1':0.0},(366,446):{'3_1':0.0},(366,445):{'3_1':0.0},(366,444):{'3_1':0.0},(366,443):{'3_1':0.0,'7_2':0.0},(366,442):{'3_1':0.0},(366,441):{'3_1':0.0},(366,440):{'3_1':0.0},(366,439):{'3_1':0.0},(366,438):{'3_1':0.0},(366,437):{'3_1':0.03},(366,436):{'5_1':0.0},(366,435):{'3_1':0.0},(366,434):{'3_1':0.03},(366,433):{'3_1':0.0},(366,432):{'3_1':0.0},(366,431):{'3_1':0.03},(366,430):{'3_1':0.0},(366,428):{'3_1':0.0},(366,427):{'3_1':0.0},(366,426):{'3_1':0.0},(366,425):{'3_1':0.0},(366,423):{'3_1':0.0},(367,727):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(367,726):{'3_1':0.0,'5_2':0.0},(367,725):{'3_1':0.03},(367,724):{'3_1':0.0,'7_3':0.0},(367,723):{'3_1':0.03},(367,722):{'3_1':0.06,'5_1':0.0},(367,721):{'3_1':0.03},(367,720):{'3_1':0.03,'5_2':0.0},(367,719):{'3_1':0.03},(367,718):{'3_1':0.0},(367,717):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(367,716):{'3_1':0.03,'4_1':0.0},(367,715):{'3_1':0.03,'4_1':0.0},(367,714):{'3_1':0.03,'5_2':0.0},(367,713):{'3_1':0.06,'4_1':0.0},(367,712):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(367,711):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(367,710):{'3_1':0.0,'4_1':0.0},(367,709):{'3_1':0.09,'4_1':0.0},(367,708):{'3_1':0.0},(367,707):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(367,706):{'3_1':0.12,'4_1':0.0},(367,705):{'3_1':0.03,'4_1':0.0,'7_6':0.0,'5_2':0.0},(367,704):{'3_1':0.03,'5_2':0.0},(367,703):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(367,702):{'3_1':0.06,'4_1':0.0,'7_6':0.0},(367,701):{'3_1':0.0,'5_2':0.0},(367,700):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(367,699):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(367,698):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(367,697):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(367,696):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(367,695):{'3_1':0.0,'4_1':0.0},(367,694):{'3_1':0.09,'4_1':0.0},(367,693):{'3_1':0.06,'5_2':0.0},(367,692):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(367,691):{'3_1':0.06},(367,690):{'3_1':0.09,'4_1':0.0},(367,689):{'3_1':0.06,'4_1':0.0},(367,688):{'3_1':0.03,'5_2':0.03,'5_1':0.0},(367,687):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_6':0.0},(367,686):{'3_1':0.09,'4_1':0.0},(367,685):{'3_1':0.03,'4_1':0.0},(367,684):{'3_1':0.09,'4_1':0.0},(367,683):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(367,682):{'3_1':0.03,'7_1':0.0},(367,681):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(367,680):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(367,679):{'3_1':0.03,'4_1':0.0},(367,678):{'3_1':0.03,'4_1':0.0},(367,677):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(367,676):{'3_1':0.03,'5_1':0.0},(367,675):{'3_1':0.06,'4_1':0.0},(367,674):{'3_1':0.06,'4_1':0.0},(367,673):{'3_1':0.06,'6_1':0.0},(367,672):{'3_1':0.03,'6_1':0.0},(367,671):{'3_1':0.03,'5_2':0.0},(367,670):{'3_1':0.06,'4_1':0.0},(367,669):{'3_1':0.09,'4_1':0.0},(367,668):{'3_1':0.09},(367,667):{'3_1':0.03,'4_1':0.0},(367,666):{'3_1':0.06,'5_1':0.0},(367,665):{'3_1':0.06},(367,664):{'3_1':0.09,'4_1':0.0},(367,663):{'3_1':0.06},(367,662):{'3_1':0.09},(367,661):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(367,660):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(367,659):{'3_1':0.06},(367,658):{'3_1':0.03,'5_1':0.0},(367,657):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(367,656):{'3_1':0.06,'5_2':0.0},(367,655):{'3_1':0.06,'4_1':0.0},(367,654):{'3_1':0.06,'5_2':0.0},(367,653):{'3_1':0.09,'4_1':0.0,'8_1':0.0},(367,652):{'3_1':0.06,'5_2':0.0},(367,651):{'3_1':0.09},(367,650):{'3_1':0.03,'5_1':0.0},(367,649):{'3_1':0.06,'4_1':0.0,'6_2':0.0,'8_4':0.0},(367,648):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(367,647):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(367,646):{'3_1':0.06,'5_2':0.0},(367,645):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(367,644):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(367,643):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(367,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(367,641):{'3_1':0.06,'4_1':0.0},(367,640):{'3_1':0.09,'6_2':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(367,639):{'3_1':0.03,'4_1':0.03},(367,638):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(367,637):{'3_1':0.06,'5_2':0.0},(367,636):{'3_1':0.09,'5_1':0.0},(367,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(367,634):{'3_1':0.09,'4_1':0.0},(367,633):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(367,632):{'3_1':0.09,'4_1':0.0},(367,631):{'3_1':0.09,'4_1':0.0},(367,630):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(367,629):{'3_1':0.03},(367,628):{'3_1':0.03,'4_1':0.0},(367,627):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(367,626):{'3_1':0.12,'4_1':0.0},(367,625):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(367,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(367,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(367,622):{'3_1':0.15,'4_1':0.0},(367,621):{'3_1':0.09,'4_1':0.0},(367,620):{'3_1':0.03,'4_1':0.0},(367,619):{'3_1':0.06,'4_1':0.0},(367,618):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(367,617):{'3_1':0.06,'4_1':0.0},(367,616):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(367,615):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(367,614):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(367,613):{'3_1':0.06},(367,612):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(367,611):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(367,610):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(367,609):{'3_1':0.0,'5_1':0.0},(367,608):{'3_1':0.03},(367,607):{'3_1':0.03,'4_1':0.0},(367,606):{'3_1':0.03},(367,605):{'3_1':0.0},(367,604):{'3_1':0.0},(367,603):{'3_1':0.0,'5_1':0.0,'7_7':0.0},(367,602):{'3_1':0.03,'4_1':0.0},(367,601):{'3_1':0.0,'6_1':0.0},(367,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(367,599):{'3_1':0.03,'4_1':0.0},(367,598):{'3_1':0.0},(367,597):{'3_1':0.0,'6_2':0.0},(367,596):{'3_1':0.03,'4_1':0.0},(367,595):{'3_1':0.03,'6_2':0.0},(367,594):{'3_1':0.03,'5_2':0.0},(367,593):{'3_1':0.06,'4_1':0.0},(367,592):{'3_1':0.03,'5_1':0.0},(367,591):{'3_1':0.03,'4_1':0.0},(367,590):{'3_1':0.0},(367,589):{'4_1':0.0,'3_1':0.0},(367,588):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,587):{'3_1':0.0,'4_1':0.0},(367,586):{'3_1':0.0},(367,585):{'3_1':0.03,'4_1':0.0},(367,584):{'3_1':0.03,'4_1':0.0},(367,583):{'4_1':0.0},(367,582):{'4_1':0.0,'3_1':0.0},(367,581):{'3_1':0.0,'4_1':0.0},(367,580):{'3_1':0.0,'6_1':0.0},(367,578):{'3_1':0.03,'4_1':0.0},(367,577):{'3_1':0.0},(367,576):{'3_1':0.0},(367,575):{'3_1':0.0,'7_6':0.0},(367,574):{'3_1':0.0,'4_1':0.0},(367,573):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,572):{'3_1':0.0,'6_2':0.0},(367,570):{'3_1':0.0},(367,569):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,568):{'3_1':0.0,'4_1':0.0},(367,567):{'3_1':0.0},(367,566):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,565):{'4_1':0.03,'3_1':0.0},(367,564):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,563):{'3_1':0.0,'4_1':0.0},(367,562):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(367,561):{'3_1':0.0},(367,560):{'3_1':0.0,'4_1':0.0},(367,559):{'4_1':0.0},(367,557):{'4_1':0.03,'3_1':0.0},(367,555):{'3_1':0.0,'4_1':0.0},(367,554):{'3_1':0.0,'4_1':0.0},(367,553):{'4_1':0.0,'3_1':0.0},(367,552):{'3_1':0.0},(367,551):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(367,550):{'3_1':0.0},(367,549):{'4_1':0.0},(367,548):{'3_1':0.0},(367,547):{'4_1':0.0,'3_1':0.0},(367,546):{'4_1':0.0},(367,544):{'3_1':0.0,'4_1':0.0},(367,543):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(367,542):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(367,541):{'3_1':0.03},(367,540):{'4_1':0.0,'3_1':0.0},(367,539):{'3_1':0.0,'4_1':0.0},(367,538):{'3_1':0.0},(367,537):{'3_1':0.0},(367,536):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(367,535):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,534):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(367,533):{'3_1':0.0,'4_1':0.0},(367,532):{'3_1':0.0},(367,531):{'3_1':0.0,'4_1':0.0},(367,530):{'4_1':0.0,'3_1':0.0},(367,529):{'4_1':0.06,'3_1':0.03},(367,528):{'3_1':0.03,'4_1':0.0},(367,527):{'3_1':0.0},(367,526):{'4_1':0.0},(367,525):{'4_1':0.0,'3_1':0.0},(367,524):{'3_1':0.0,'4_1':0.0},(367,523):{'3_1':0.0,'4_1':0.0},(367,522):{'3_1':0.0,'4_1':0.0},(367,521):{'4_1':0.03,'3_1':0.0},(367,520):{'3_1':0.0,'4_1':0.0},(367,519):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(367,518):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(367,517):{'4_1':0.0,'3_1':0.0},(367,516):{'3_1':0.0,'4_1':0.0},(367,515):{'3_1':0.0},(367,514):{'3_1':0.0,'4_1':0.0},(367,513):{'4_1':0.03,'3_1':0.0},(367,512):{'4_1':0.0},(367,511):{'3_1':0.0,'4_1':0.0},(367,510):{'3_1':0.0},(367,509):{'4_1':0.0},(367,508):{'3_1':0.0,'4_1':0.0},(367,507):{'4_1':0.0},(367,506):{'3_1':0.03},(367,504):{'3_1':0.0,'4_1':0.0},(367,503):{'3_1':0.0,'4_1':0.0},(367,502):{'3_1':0.0,'4_1':0.0},(367,501):{'4_1':0.0,'3_1':0.0},(367,500):{'3_1':0.03,'4_1':0.0},(367,499):{'3_1':0.0,'4_1':0.0},(367,498):{'3_1':0.0,'4_1':0.0},(367,497):{'3_1':0.0},(367,496):{'4_1':0.0},(367,495):{'3_1':0.0},(367,494):{'3_1':0.0},(367,493):{'3_1':0.03,'4_1':0.03},(367,492):{'4_1':0.0},(367,491):{'3_1':0.0},(367,490):{'3_1':0.0},(367,489):{'3_1':0.0,'4_1':0.0},(367,488):{'3_1':0.0},(367,487):{'3_1':0.0},(367,486):{'3_1':0.0,'4_1':0.0},(367,485):{'4_1':0.03,'3_1':0.0},(367,484):{'4_1':0.0},(367,483):{'3_1':0.0,'4_1':0.0},(367,482):{'3_1':0.0},(367,481):{'3_1':0.0},(367,480):{'3_1':0.0},(367,479):{'3_1':0.03,'4_1':0.0},(367,478):{'3_1':0.0},(367,476):{'3_1':0.0},(367,475):{'3_1':0.03},(367,474):{'3_1':0.0},(367,473):{'3_1':0.0},(367,472):{'3_1':0.03},(367,471):{'3_1':0.0},(367,470):{'3_1':0.03},(367,469):{'3_1':0.03},(367,468):{'3_1':0.0},(367,467):{'3_1':0.0},(367,465):{'3_1':0.0},(367,464):{'3_1':0.0},(367,463):{'3_1':0.0},(367,462):{'3_1':0.0},(367,459):{'3_1':0.0},(367,458):{'3_1':0.0},(367,456):{'3_1':0.0},(367,454):{'3_1':0.0},(367,451):{'3_1':0.0},(367,449):{'3_1':0.0},(367,448):{'3_1':0.0},(367,446):{'3_1':0.0},(367,445):{'3_1':0.0},(367,444):{'3_1':0.0},(367,443):{'3_1':0.0},(367,442):{'3_1':0.0},(367,441):{'3_1':0.0},(367,440):{'3_1':0.0},(367,437):{'3_1':0.0},(367,435):{'3_1':0.0},(367,434):{'3_1':0.0,'5_1':0.0},(367,433):{'3_1':0.0},(367,432):{'3_1':0.03},(367,431):{'3_1':0.0},(367,430):{'3_1':0.0},(367,429):{'3_1':0.0},(367,428):{'3_1':0.0},(368,727):{'3_1':0.03},(368,726):{'3_1':0.03,'5_1':0.0},(368,725):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(368,724):{'3_1':0.0},(368,723):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(368,722):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(368,721):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(368,720):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(368,719):{'3_1':0.0,'4_1':0.0},(368,718):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(368,717):{'3_1':0.0},(368,716):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(368,715):{'3_1':0.06},(368,714):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(368,713):{'3_1':0.03,'5_2':0.0},(368,712):{'3_1':0.06,'5_2':0.0},(368,711):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(368,710):{'3_1':0.06,'5_2':0.0},(368,709):{'3_1':0.03,'4_1':0.0},(368,708):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(368,707):{'3_1':0.0,'5_2':0.0},(368,706):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(368,705):{'3_1':0.03,'5_2':0.0},(368,704):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(368,703):{'3_1':0.06,'5_2':0.0,'6_1':0.0,'5_1':0.0},(368,702):{'3_1':0.03},(368,701):{'3_1':0.03,'5_1':0.0},(368,700):{'3_1':0.03,'4_1':0.0},(368,699):{'3_1':0.03},(368,698):{'3_1':0.06,'5_2':0.03},(368,697):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(368,696):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(368,695):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(368,694):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(368,693):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(368,692):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(368,691):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(368,690):{'3_1':0.09},(368,689):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(368,688):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(368,687):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(368,686):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(368,685):{'3_1':0.12,'5_2':0.0},(368,684):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(368,683):{'3_1':0.06,'7_3':0.0},(368,682):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(368,681):{'3_1':0.03,'4_1':0.0},(368,680):{'3_1':0.03},(368,679):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(368,678):{'3_1':0.06},(368,677):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(368,676):{'3_1':0.03},(368,675):{'3_1':0.06,'4_1':0.0},(368,674):{'3_1':0.03},(368,673):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(368,672):{'3_1':0.06,'7_1':0.0},(368,671):{'3_1':0.06,'5_2':0.0},(368,670):{'3_1':0.0},(368,669):{'3_1':0.06,'4_1':0.0},(368,668):{'3_1':0.0,'4_1':0.0},(368,667):{'3_1':0.06,'5_1':0.0},(368,666):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(368,665):{'3_1':0.06,'5_2':0.0},(368,664):{'3_1':0.06},(368,663):{'3_1':0.06},(368,662):{'3_1':0.09},(368,661):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(368,660):{'3_1':0.0},(368,659):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(368,658):{'3_1':0.12},(368,657):{'3_1':0.06,'5_2':0.0},(368,656):{'3_1':0.09},(368,655):{'3_1':0.06,'5_2':0.0},(368,654):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(368,653):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(368,652):{'3_1':0.06},(368,651):{'3_1':0.06,'5_2':0.0},(368,650):{'3_1':0.09,'5_2':0.0},(368,649):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(368,648):{'3_1':0.06},(368,647):{'3_1':0.09,'5_2':0.0},(368,646):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(368,645):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(368,644):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(368,643):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(368,642):{'3_1':0.09,'6_1':0.0},(368,641):{'3_1':0.15,'5_2':0.0,'7_1':0.0},(368,640):{'3_1':0.06,'5_1':0.0},(368,639):{'3_1':0.09,'5_2':0.0,'7_1':0.0,'7_4':0.0},(368,638):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(368,637):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(368,636):{'3_1':0.12},(368,635):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(368,634):{'3_1':0.06,'4_1':0.0},(368,633):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(368,632):{'3_1':0.09},(368,631):{'3_1':0.09},(368,630):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(368,629):{'3_1':0.06,'5_1':0.0},(368,628):{'3_1':0.15,'4_1':0.0},(368,627):{'3_1':0.06,'4_1':0.0},(368,626):{'3_1':0.15,'4_1':0.0},(368,625):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(368,624):{'3_1':0.06,'4_1':0.0},(368,623):{'3_1':0.03},(368,622):{'3_1':0.03,'4_1':0.0},(368,621):{'3_1':0.03,'4_1':0.0},(368,620):{'3_1':0.03,'5_1':0.0},(368,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(368,618):{'3_1':0.06,'5_1':0.0},(368,617):{'3_1':0.03,'4_1':0.0},(368,616):{'3_1':0.06},(368,615):{'3_1':0.03,'4_1':0.0},(368,614):{'3_1':0.06,'5_2':0.0},(368,613):{'3_1':0.03},(368,612):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(368,611):{'3_1':0.03,'4_1':0.0},(368,610):{'3_1':0.0},(368,609):{'3_1':0.0,'4_1':0.0},(368,608):{'3_1':0.03,'6_1':0.0},(368,607):{'3_1':0.03},(368,606):{'3_1':0.03},(368,605):{'3_1':0.03},(368,604):{'3_1':0.03},(368,603):{'5_2':0.0},(368,602):{'3_1':0.0,'6_1':0.0},(368,601):{'4_1':0.0},(368,600):{'3_1':0.03,'4_1':0.0},(368,599):{'3_1':0.0},(368,598):{'3_1':0.03},(368,597):{'3_1':0.0,'4_1':0.0},(368,596):{'3_1':0.0,'4_1':0.0},(368,595):{'3_1':0.03},(368,594):{'3_1':0.0,'4_1':0.0},(368,593):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(368,592):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(368,591):{'3_1':0.0,'4_1':0.0},(368,590):{'3_1':0.0},(368,589):{'3_1':0.0,'4_1':0.0},(368,588):{'3_1':0.0,'6_2':0.0},(368,587):{'3_1':0.0,'4_1':0.0},(368,586):{'3_1':0.0},(368,585):{'3_1':0.0,'4_1':0.0},(368,583):{'4_1':0.0,'3_1':0.0},(368,582):{'3_1':0.0,'6_1':0.0},(368,581):{'4_1':0.0,'3_1':0.0,'7_6':0.0},(368,580):{'3_1':0.0},(368,579):{'4_1':0.0},(368,578):{'3_1':0.0},(368,577):{'3_1':0.0,'4_1':0.0},(368,576):{'3_1':0.0,'4_1':0.0},(368,575):{'3_1':0.0,'4_1':0.0},(368,574):{'3_1':0.0,'4_1':0.0},(368,573):{'3_1':0.0,'4_1':0.0},(368,572):{'3_1':0.03},(368,571):{'3_1':0.0},(368,569):{'4_1':0.0},(368,568):{'4_1':0.0},(368,567):{'3_1':0.0,'4_1':0.0},(368,566):{'3_1':0.0},(368,565):{'4_1':0.0},(368,564):{'4_1':0.0},(368,563):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(368,562):{'4_1':0.0,'3_1':0.0},(368,561):{'3_1':0.0,'4_1':0.0},(368,560):{'4_1':0.0},(368,559):{'4_1':0.03,'3_1':0.0},(368,558):{'3_1':0.0},(368,557):{'3_1':0.0,'4_1':0.0},(368,556):{'4_1':0.0,'3_1':0.0},(368,555):{'3_1':0.0},(368,554):{'3_1':0.0},(368,553):{'3_1':0.0,'4_1':0.0},(368,552):{'3_1':0.0},(368,551):{'4_1':0.0,'3_1':0.0},(368,550):{'3_1':0.0},(368,548):{'3_1':0.0,'4_1':0.0},(368,547):{'4_1':0.0,'3_1':0.0},(368,546):{'3_1':0.0,'4_1':0.0},(368,545):{'3_1':0.0,'4_1':0.0},(368,544):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(368,543):{'3_1':0.03,'4_1':0.0},(368,542):{'4_1':0.03},(368,541):{'3_1':0.0},(368,540):{'4_1':0.0},(368,539):{'3_1':0.0},(368,537):{'4_1':0.0,'3_1':0.0},(368,536):{'4_1':0.0,'3_1':0.0},(368,535):{'3_1':0.0},(368,534):{'4_1':0.0,'3_1':0.0},(368,533):{'3_1':0.0,'4_1':0.0},(368,532):{'3_1':0.0,'4_1':0.0},(368,531):{'3_1':0.03,'4_1':0.0},(368,530):{'3_1':0.0},(368,529):{'4_1':0.0},(368,528):{'4_1':0.0,'3_1':0.0},(368,527):{'3_1':0.03,'4_1':0.0},(368,526):{'3_1':0.03,'4_1':0.0},(368,525):{'3_1':0.03,'4_1':0.0},(368,524):{'3_1':0.0,'4_1':0.0},(368,523):{'3_1':0.0,'4_1':0.0},(368,522):{'3_1':0.03,'4_1':0.0},(368,521):{'4_1':0.03,'3_1':0.0},(368,520):{'3_1':0.0,'4_1':0.0},(368,519):{'4_1':0.0},(368,518):{'3_1':0.03,'4_1':0.03},(368,517):{'4_1':0.0,'3_1':0.0},(368,516):{'4_1':0.0},(368,515):{'3_1':0.0,'4_1':0.0},(368,514):{'3_1':0.0,'4_1':0.0},(368,513):{'3_1':0.0},(368,512):{'4_1':0.0,'5_2':0.0},(368,511):{'3_1':0.0},(368,510):{'3_1':0.03,'4_1':0.0},(368,509):{'3_1':0.0,'4_1':0.0},(368,508):{'4_1':0.03,'3_1':0.0},(368,507):{'3_1':0.0},(368,506):{'3_1':0.0},(368,505):{'4_1':0.0},(368,504):{'3_1':0.0},(368,503):{'3_1':0.0},(368,502):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(368,501):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(368,500):{'3_1':0.0},(368,498):{'3_1':0.0},(368,497):{'3_1':0.0},(368,496):{'4_1':0.0},(368,495):{'3_1':0.0},(368,494):{'4_1':0.0},(368,493):{'4_1':0.0,'3_1':0.0},(368,492):{'4_1':0.0},(368,491):{'3_1':0.03,'4_1':0.0},(368,490):{'3_1':0.0},(368,489):{'3_1':0.0},(368,488):{'3_1':0.0},(368,487):{'3_1':0.0,'4_1':0.0},(368,486):{'3_1':0.0},(368,485):{'3_1':0.0,'5_1':0.0},(368,484):{'3_1':0.0,'4_1':0.0},(368,483):{'3_1':0.0},(368,481):{'3_1':0.03},(368,480):{'3_1':0.0},(368,479):{'3_1':0.0},(368,478):{'3_1':0.0,'4_1':0.0},(368,477):{'3_1':0.03},(368,476):{'5_1':0.0},(368,475):{'3_1':0.0},(368,474):{'3_1':0.0},(368,473):{'3_1':0.0},(368,472):{'3_1':0.0},(368,470):{'3_1':0.0},(368,469):{'3_1':0.0},(368,468):{'3_1':0.0},(368,466):{'3_1':0.0},(368,464):{'3_1':0.0},(368,462):{'3_1':0.0},(368,461):{'3_1':0.0},(368,459):{'3_1':0.0},(368,452):{'3_1':0.0},(368,445):{'3_1':0.0},(368,444):{'3_1':0.0},(368,440):{'3_1':0.0},(368,439):{'3_1':0.0},(368,438):{'3_1':0.0},(368,437):{'3_1':0.0},(368,436):{'3_1':0.0},(368,435):{'3_1':0.0},(368,432):{'3_1':0.03},(368,431):{'3_1':0.0},(368,430):{'3_1':0.0},(368,429):{'3_1':0.0},(368,428):{'3_1':0.0},(368,427):{'3_1':0.0},(368,426):{'3_1':0.0},(368,425):{'3_1':0.0},(368,424):{'3_1':0.0},(368,423):{'3_1':0.0},(369,727):{'5_2':0.0,'3_1':0.0},(369,726):{'3_1':0.03,'4_1':0.0},(369,725):{'3_1':0.03,'5_2':0.0},(369,724):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(369,723):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(369,722):{'3_1':0.03,'5_1':0.0},(369,721):{'3_1':0.03,'4_1':0.0},(369,720):{'3_1':0.0,'5_2':0.0},(369,719):{'3_1':0.0,'5_2':0.0},(369,718):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,717):{'3_1':0.0,'5_2':0.0},(369,716):{'3_1':0.0,'5_2':0.0},(369,715):{'3_1':0.03,'6_2':0.0},(369,714):{'3_1':0.03,'4_1':0.0},(369,713):{'3_1':0.06},(369,712):{'3_1':0.0,'5_2':0.0},(369,711):{'3_1':0.03,'5_2':0.0},(369,710):{'3_1':0.03,'6_2':0.0},(369,709):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(369,708):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(369,707):{'3_1':0.03,'5_2':0.0},(369,706):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,705):{'3_1':0.06,'5_2':0.0},(369,704):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,703):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(369,702):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(369,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,700):{'3_1':0.03,'5_2':0.0},(369,699):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(369,698):{'3_1':0.03,'5_2':0.0},(369,697):{'3_1':0.09,'4_1':0.0},(369,696):{'3_1':0.03},(369,695):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(369,694):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(369,693):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(369,692):{'3_1':0.12},(369,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,690):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(369,689):{'3_1':0.0,'7_1':0.0},(369,688):{'3_1':0.06,'6_1':0.0},(369,687):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(369,686):{'3_1':0.12,'4_1':0.0},(369,685):{'3_1':0.06,'5_2':0.0,'7_1':0.0,'9_1':0.0},(369,684):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_3':0.0},(369,683):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(369,682):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(369,681):{'3_1':0.06},(369,680):{'3_1':0.09,'5_2':0.0,'9_1':0.0},(369,679):{'3_1':0.06},(369,678):{'3_1':0.12},(369,677):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(369,676):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,675):{'3_1':0.03},(369,674):{'3_1':0.06,'4_1':0.0},(369,673):{'3_1':0.03,'4_1':0.0},(369,672):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(369,671):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(369,670):{'3_1':0.09},(369,669):{'3_1':0.06,'4_1':0.0},(369,668):{'3_1':0.06},(369,667):{'3_1':0.06,'5_2':0.0},(369,666):{'3_1':0.06},(369,665):{'3_1':0.06,'4_1':0.0},(369,664):{'3_1':0.03,'4_1':0.0},(369,663):{'3_1':0.09},(369,662):{'3_1':0.03},(369,661):{'3_1':0.03},(369,660):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(369,659):{'3_1':0.09,'4_1':0.0},(369,658):{'3_1':0.06},(369,657):{'3_1':0.09},(369,656):{'3_1':0.03,'4_1':0.0},(369,655):{'3_1':0.06,'5_1':0.0},(369,654):{'3_1':0.09,'4_1':0.0},(369,653):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(369,652):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(369,651):{'3_1':0.03,'4_1':0.0},(369,650):{'3_1':0.09,'5_2':0.0},(369,649):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(369,648):{'3_1':0.06},(369,647):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(369,646):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(369,645):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(369,644):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(369,643):{'3_1':0.03,'5_1':0.0},(369,642):{'3_1':0.09,'4_1':0.0},(369,641):{'3_1':0.09,'5_1':0.0},(369,640):{'3_1':0.12,'5_1':0.0},(369,639):{'3_1':0.06,'8_20|3_1#3_1':0.0,'4_1':0.0,'5_1':0.0},(369,638):{'3_1':0.06,'5_1':0.0},(369,637):{'3_1':0.03,'4_1':0.0},(369,636):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(369,635):{'3_1':0.12,'4_1':0.0},(369,634):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(369,633):{'3_1':0.15,'5_1':0.0},(369,632):{'3_1':0.06,'5_2':0.0},(369,631):{'3_1':0.12},(369,630):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(369,629):{'3_1':0.12},(369,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(369,627):{'3_1':0.06,'5_1':0.0},(369,626):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(369,625):{'3_1':0.0,'4_1':0.0},(369,624):{'3_1':0.09,'4_1':0.0},(369,623):{'3_1':0.06,'4_1':0.0},(369,622):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(369,621):{'3_1':0.06,'4_1':0.0},(369,620):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(369,619):{'3_1':0.03,'4_1':0.0},(369,618):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(369,617):{'3_1':0.06},(369,616):{'3_1':0.06},(369,615):{'3_1':0.06,'5_1':0.0},(369,614):{'3_1':0.0,'4_1':0.0},(369,613):{'3_1':0.06},(369,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(369,611):{'3_1':0.0,'4_1':0.0},(369,610):{'3_1':0.03,'4_1':0.0},(369,609):{'3_1':0.0},(369,608):{'3_1':0.03,'5_2':0.0},(369,607):{'3_1':0.06,'5_1':0.0},(369,606):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(369,605):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(369,604):{'3_1':0.0},(369,603):{'3_1':0.0},(369,602):{'3_1':0.0,'5_1':0.0},(369,601):{'3_1':0.03},(369,600):{'3_1':0.0,'5_1':0.0},(369,599):{'3_1':0.0},(369,597):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(369,596):{'3_1':0.0,'4_1':0.0},(369,595):{'3_1':0.0},(369,594):{'3_1':0.0,'4_1':0.0},(369,593):{'3_1':0.0,'4_1':0.0},(369,592):{'3_1':0.0,'4_1':0.0},(369,591):{'3_1':0.0,'4_1':0.0},(369,590):{'4_1':0.0,'3_1':0.0},(369,589):{'3_1':0.0},(369,588):{'3_1':0.06,'4_1':0.0},(369,587):{'4_1':0.0},(369,586):{'3_1':0.03},(369,585):{'4_1':0.0,'3_1':0.0},(369,584):{'3_1':0.0},(369,583):{'3_1':0.0,'4_1':0.0},(369,582):{'3_1':0.0},(369,581):{'3_1':0.0},(369,580):{'3_1':0.0},(369,579):{'3_1':0.0,'4_1':0.0},(369,578):{'3_1':0.0},(369,577):{'3_1':0.0},(369,576):{'3_1':0.0},(369,574):{'3_1':0.0,'4_1':0.0},(369,573):{'3_1':0.0},(369,572):{'3_1':0.0},(369,571):{'3_1':0.0,'4_1':0.0},(369,570):{'3_1':0.03,'4_1':0.0},(369,569):{'3_1':0.0},(369,568):{'3_1':0.0,'4_1':0.0},(369,567):{'3_1':0.0,'4_1':0.0},(369,565):{'4_1':0.0},(369,564):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(369,563):{'3_1':0.0,'4_1':0.0},(369,562):{'3_1':0.0,'4_1':0.0},(369,561):{'4_1':0.0,'3_1':0.0},(369,560):{'3_1':0.03},(369,559):{'3_1':0.0},(369,558):{'3_1':0.0,'4_1':0.0},(369,557):{'4_1':0.0},(369,556):{'3_1':0.0,'4_1':0.0},(369,555):{'3_1':0.0,'4_1':0.0},(369,554):{'4_1':0.0},(369,553):{'3_1':0.0},(369,552):{'3_1':0.03,'4_1':0.0},(369,551):{'3_1':0.0},(369,550):{'3_1':0.0,'4_1':0.0},(369,548):{'4_1':0.0,'3_1':0.0},(369,547):{'3_1':0.03},(369,546):{'4_1':0.0,'3_1':0.0},(369,545):{'4_1':0.0,'3_1':0.0},(369,544):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(369,543):{'3_1':0.0,'4_1':0.0},(369,542):{'3_1':0.03,'4_1':0.0},(369,541):{'3_1':0.0,'4_1':0.0},(369,540):{'3_1':0.0,'4_1':0.0},(369,539):{'3_1':0.0},(369,538):{'3_1':0.03,'4_1':0.0},(369,537):{'3_1':0.0,'4_1':0.0},(369,536):{'3_1':0.03},(369,535):{'3_1':0.0,'4_1':0.0},(369,534):{'4_1':0.0},(369,533):{'4_1':0.0,'3_1':0.0},(369,532):{'3_1':0.0,'4_1':0.0},(369,531):{'3_1':0.0,'4_1':0.0},(369,530):{'4_1':0.03,'3_1':0.0},(369,529):{'4_1':0.0,'3_1':0.0},(369,528):{'3_1':0.0,'4_1':0.0},(369,527):{'3_1':0.0},(369,526):{'4_1':0.0,'3_1':0.0},(369,525):{'3_1':0.0,'4_1':0.0},(369,524):{'4_1':0.0,'3_1':0.0},(369,523):{'3_1':0.0},(369,522):{'3_1':0.0,'4_1':0.0},(369,521):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(369,520):{'3_1':0.0,'4_1':0.0},(369,519):{'3_1':0.0,'4_1':0.0},(369,518):{'3_1':0.0},(369,517):{'3_1':0.0},(369,516):{'4_1':0.0,'3_1':0.0},(369,515):{'3_1':0.0,'4_1':0.0},(369,514):{'4_1':0.03},(369,513):{'4_1':0.0},(369,512):{'4_1':0.0},(369,511):{'3_1':0.0,'4_1':0.0},(369,510):{'3_1':0.0,'4_1':0.0},(369,509):{'3_1':0.0,'4_1':0.0},(369,508):{'3_1':0.0,'4_1':0.0},(369,507):{'3_1':0.03},(369,506):{'3_1':0.0,'4_1':0.0},(369,505):{'3_1':0.0},(369,504):{'3_1':0.0},(369,503):{'3_1':0.0},(369,502):{'3_1':0.0},(369,501):{'3_1':0.0,'4_1':0.0},(369,500):{'3_1':0.0},(369,499):{'3_1':0.0},(369,498):{'3_1':0.0,'4_1':0.0},(369,497):{'4_1':0.0,'3_1':0.0},(369,496):{'3_1':0.03},(369,495):{'3_1':0.0},(369,494):{'3_1':0.0,'4_1':0.0},(369,493):{'3_1':0.0,'4_1':0.0},(369,492):{'4_1':0.0},(369,491):{'4_1':0.0,'3_1':0.0},(369,490):{'4_1':0.0},(369,489):{'3_1':0.0,'4_1':0.0},(369,488):{'3_1':0.0},(369,487):{'3_1':0.0,'4_1':0.0},(369,486):{'3_1':0.0},(369,485):{'3_1':0.0,'4_1':0.0},(369,484):{'3_1':0.0},(369,483):{'3_1':0.0},(369,482):{'3_1':0.0},(369,481):{'3_1':0.0},(369,480):{'3_1':0.06},(369,479):{'3_1':0.0},(369,478):{'3_1':0.0},(369,477):{'3_1':0.0},(369,476):{'3_1':0.0},(369,475):{'3_1':0.0,'4_1':0.0},(369,474):{'3_1':0.0,'4_1':0.0},(369,472):{'3_1':0.0},(369,471):{'3_1':0.0},(369,469):{'3_1':0.0},(369,468):{'3_1':0.0},(369,466):{'3_1':0.0},(369,464):{'3_1':0.0},(369,461):{'3_1':0.0},(369,460):{'3_1':0.0},(369,459):{'3_1':0.0},(369,456):{'3_1':0.0},(369,452):{'3_1':0.0},(369,451):{'3_1':0.0},(369,450):{'3_1':0.0},(369,449):{'3_1':0.0},(369,448):{'3_1':0.0},(369,447):{'3_1':0.0},(369,446):{'3_1':0.0},(369,445):{'3_1':0.0},(369,441):{'3_1':0.0},(369,440):{'3_1':0.0},(369,439):{'3_1':0.0},(369,438):{'3_1':0.0},(369,437):{'3_1':0.0},(369,435):{'3_1':0.0},(369,434):{'3_1':0.0},(369,433):{'3_1':0.0},(369,432):{'3_1':0.0},(369,431):{'3_1':0.06},(369,429):{'3_1':0.0},(369,428):{'3_1':0.0},(369,427):{'3_1':0.0},(369,424):{'3_1':0.0},(369,390):{'3_1':0.0},(369,387):{'5_1':0.0},(370,727):{'3_1':0.06,'5_1':0.0},(370,726):{'3_1':0.0,'5_2':0.0},(370,725):{'3_1':0.03,'4_1':0.0,'8_14':0.0},(370,724):{'3_1':0.03},(370,723):{'3_1':0.03},(370,722):{'3_1':0.03},(370,721):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(370,720):{'3_1':0.0},(370,719):{'3_1':0.0,'4_1':0.0},(370,718):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(370,717):{'3_1':0.0,'6_1':0.0},(370,716):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(370,715):{'3_1':0.03,'5_1':0.0},(370,714):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(370,713):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(370,712):{'3_1':0.12,'4_1':0.0},(370,711):{'3_1':0.06},(370,710):{'3_1':0.06},(370,709):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(370,708):{'3_1':0.03},(370,707):{'3_1':0.03,'4_1':0.0},(370,706):{'3_1':0.03,'4_1':0.0},(370,705):{'3_1':0.03},(370,704):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(370,703):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(370,702):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'7_6':0.0},(370,701):{'3_1':0.06,'5_2':0.0},(370,700):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(370,699):{'3_1':0.03,'6_1':0.0},(370,698):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(370,697):{'3_1':0.09,'4_1':0.0},(370,696):{'3_1':0.0,'4_1':0.0},(370,695):{'3_1':0.06,'4_1':0.0},(370,694):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(370,693):{'3_1':0.06,'4_1':0.0},(370,692):{'3_1':0.03,'4_1':0.0},(370,691):{'3_1':0.03,'4_1':0.0},(370,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(370,689):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(370,688):{'3_1':0.06,'5_1':0.0},(370,687):{'3_1':0.03,'5_2':0.0},(370,686):{'3_1':0.06,'5_2':0.0},(370,685):{'3_1':0.06,'4_1':0.0},(370,684):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(370,683):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(370,682):{'3_1':0.09,'5_1':0.0},(370,681):{'3_1':0.06},(370,680):{'3_1':0.06,'5_2':0.0},(370,679):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(370,678):{'3_1':0.03,'6_1':0.0},(370,677):{'3_1':0.09,'4_1':0.0},(370,676):{'3_1':0.03,'4_1':0.0},(370,675):{'3_1':0.12,'5_2':0.0},(370,674):{'3_1':0.06},(370,673):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(370,672):{'3_1':0.03},(370,671):{'3_1':0.0,'4_1':0.0},(370,670):{'3_1':0.06},(370,669):{'3_1':0.03},(370,668):{'3_1':0.0},(370,667):{'3_1':0.03},(370,666):{'3_1':0.09,'6_1':0.0,'6_2':0.0},(370,665):{'3_1':0.06,'4_1':0.0},(370,664):{'3_1':0.03},(370,663):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(370,662):{'3_1':0.03,'4_1':0.0},(370,661):{'3_1':0.09},(370,660):{'3_1':0.06},(370,659):{'3_1':0.03,'5_1':0.0},(370,658):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(370,657):{'3_1':0.09,'7_1':0.0},(370,656):{'3_1':0.06,'5_2':0.0},(370,655):{'3_1':0.03},(370,654):{'3_1':0.03},(370,653):{'3_1':0.06},(370,652):{'3_1':0.09},(370,651):{'3_1':0.03,'8_3':0.0},(370,650):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(370,649):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_3':0.0},(370,648):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(370,647):{'3_1':0.03,'5_2':0.0},(370,646):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(370,645):{'3_1':0.09},(370,644):{'3_1':0.12,'5_1':0.0,'8_21|3_1#4_1':0.0},(370,643):{'3_1':0.12,'4_1':0.0},(370,642):{'3_1':0.09,'5_1':0.0},(370,641):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(370,640):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(370,639):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(370,638):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(370,637):{'3_1':0.06,'5_1':0.0},(370,636):{'3_1':0.06},(370,635):{'3_1':0.03,'4_1':0.0},(370,634):{'3_1':0.06},(370,633):{'3_1':0.09,'4_1':0.0},(370,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(370,631):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(370,630):{'3_1':0.03,'4_1':0.0},(370,629):{'3_1':0.06,'4_1':0.0},(370,628):{'3_1':0.09},(370,627):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(370,626):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(370,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(370,624):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(370,623):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(370,622):{'3_1':0.09,'5_1':0.0},(370,621):{'3_1':0.09,'5_1':0.0},(370,620):{'3_1':0.12},(370,619):{'3_1':0.0,'4_1':0.0},(370,618):{'3_1':0.06},(370,617):{'3_1':0.03,'4_1':0.0},(370,616):{'3_1':0.03,'5_1':0.0},(370,615):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(370,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(370,613):{'3_1':0.03,'4_1':0.0},(370,612):{'3_1':0.03},(370,611):{'3_1':0.0,'4_1':0.0},(370,610):{'3_1':0.0,'4_1':0.0},(370,609):{'3_1':0.06,'4_1':0.0},(370,608):{'3_1':0.03,'5_2':0.0},(370,607):{'3_1':0.0,'5_2':0.0},(370,606):{'3_1':0.03,'4_1':0.0},(370,605):{'3_1':0.0},(370,604):{'3_1':0.0,'4_1':0.0},(370,603):{'3_1':0.0},(370,602):{'3_1':0.03,'4_1':0.0},(370,601):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(370,600):{'3_1':0.0,'4_1':0.0},(370,599):{'3_1':0.06},(370,598):{'3_1':0.03},(370,597):{'3_1':0.03,'4_1':0.0},(370,596):{'5_2':0.0},(370,595):{'3_1':0.0,'4_1':0.0},(370,594):{'3_1':0.0,'4_1':0.0},(370,593):{'3_1':0.03,'6_2':0.0},(370,592):{'3_1':0.03,'4_1':0.0},(370,591):{'3_1':0.0},(370,590):{'3_1':0.03,'4_1':0.0},(370,589):{'3_1':0.0},(370,588):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(370,587):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(370,586):{'3_1':0.0,'4_1':0.0},(370,585):{'3_1':0.0,'4_1':0.0},(370,584):{'3_1':0.03},(370,583):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(370,582):{'3_1':0.03,'4_1':0.03},(370,581):{'3_1':0.0},(370,580):{'4_1':0.0,'6_1':0.0},(370,579):{'3_1':0.0,'4_1':0.0},(370,577):{'3_1':0.0},(370,576):{'3_1':0.0,'4_1':0.0},(370,575):{'3_1':0.0,'4_1':0.0},(370,574):{'3_1':0.0},(370,573):{'3_1':0.0},(370,571):{'3_1':0.0,'4_1':0.0},(370,570):{'3_1':0.0,'4_1':0.0},(370,569):{'4_1':0.0},(370,568):{'3_1':0.0},(370,567):{'3_1':0.0,'4_1':0.0},(370,566):{'3_1':0.0,'4_1':0.0},(370,565):{'3_1':0.0,'4_1':0.0},(370,564):{'3_1':0.0,'4_1':0.0},(370,563):{'3_1':0.0},(370,562):{'4_1':0.0},(370,561):{'4_1':0.03,'3_1':0.0},(370,560):{'4_1':0.0},(370,559):{'3_1':0.0,'4_1':0.0},(370,558):{'3_1':0.0,'4_1':0.0},(370,557):{'3_1':0.0},(370,556):{'3_1':0.0},(370,555):{'3_1':0.0,'4_1':0.0},(370,554):{'4_1':0.03,'3_1':0.0},(370,553):{'4_1':0.0},(370,552):{'4_1':0.0},(370,551):{'3_1':0.0,'4_1':0.0},(370,550):{'3_1':0.0,'4_1':0.0},(370,549):{'4_1':0.0,'3_1':0.0},(370,548):{'3_1':0.0,'4_1':0.0},(370,547):{'3_1':0.0,'4_1':0.0},(370,546):{'4_1':0.0,'3_1':0.0},(370,545):{'4_1':0.0,'3_1':0.0},(370,544):{'3_1':0.0,'4_1':0.0},(370,543):{'4_1':0.03,'3_1':0.0},(370,542):{'3_1':0.0,'4_1':0.0},(370,541):{'3_1':0.03,'5_2':0.0},(370,540):{'4_1':0.0},(370,539):{'4_1':0.0,'3_1':0.0},(370,538):{'3_1':0.0,'4_1':0.0},(370,537):{'4_1':0.0,'3_1':0.0},(370,536):{'4_1':0.03,'3_1':0.0},(370,535):{'3_1':0.0,'4_1':0.0},(370,534):{'3_1':0.0,'4_1':0.0},(370,533):{'4_1':0.03,'3_1':0.0},(370,532):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(370,531):{'3_1':0.0,'4_1':0.0},(370,530):{'3_1':0.0,'4_1':0.0},(370,529):{'3_1':0.03,'4_1':0.03},(370,528):{'3_1':0.0,'4_1':0.0},(370,527):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(370,526):{'3_1':0.03,'4_1':0.0},(370,525):{'3_1':0.0,'4_1':0.0},(370,524):{'3_1':0.0,'4_1':0.0},(370,523):{'3_1':0.0,'4_1':0.0},(370,522):{'3_1':0.03,'4_1':0.03},(370,521):{'3_1':0.0,'4_1':0.0},(370,520):{'3_1':0.03,'4_1':0.0},(370,519):{'3_1':0.03,'4_1':0.0},(370,518):{'4_1':0.03,'3_1':0.0},(370,517):{'3_1':0.03,'4_1':0.0},(370,516):{'4_1':0.0,'3_1':0.0},(370,515):{'4_1':0.0},(370,514):{'3_1':0.03,'4_1':0.0},(370,513):{'4_1':0.0,'3_1':0.0},(370,512):{'3_1':0.0},(370,511):{'4_1':0.0},(370,510):{'3_1':0.0},(370,509):{'3_1':0.0,'4_1':0.0},(370,508):{'4_1':0.0,'3_1':0.0},(370,507):{'4_1':0.0},(370,506):{'3_1':0.0,'4_1':0.0},(370,505):{'4_1':0.0},(370,504):{'3_1':0.0},(370,503):{'3_1':0.03},(370,502):{'3_1':0.0},(370,501):{'3_1':0.03,'4_1':0.0},(370,500):{'3_1':0.03},(370,499):{'3_1':0.0},(370,498):{'3_1':0.03,'4_1':0.0},(370,497):{'3_1':0.0,'4_1':0.0},(370,496):{'3_1':0.0,'4_1':0.0},(370,495):{'3_1':0.0,'4_1':0.0},(370,494):{'4_1':0.0},(370,492):{'3_1':0.0,'5_1':0.0},(370,491):{'3_1':0.0,'4_1':0.0},(370,490):{'3_1':0.03,'4_1':0.0},(370,489):{'3_1':0.0,'4_1':0.0},(370,488):{'6_1':0.0},(370,487):{'3_1':0.0,'4_1':0.0},(370,486):{'3_1':0.0},(370,484):{'3_1':0.0,'4_1':0.0},(370,483):{'3_1':0.0},(370,482):{'3_1':0.03},(370,481):{'3_1':0.03},(370,480):{'3_1':0.03},(370,479):{'3_1':0.03},(370,478):{'3_1':0.0,'4_1':0.0},(370,477):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(370,476):{'3_1':0.03},(370,475):{'5_1':0.0},(370,474):{'5_1':0.0,'3_1':0.0},(370,473):{'3_1':0.0,'5_1':0.0},(370,472):{'3_1':0.0},(370,471):{'3_1':0.0},(370,470):{'3_1':0.0,'5_1':0.0},(370,469):{'3_1':0.0},(370,468):{'3_1':0.03},(370,467):{'3_1':0.0},(370,466):{'3_1':0.0},(370,464):{'3_1':0.0},(370,463):{'3_1':0.0},(370,462):{'3_1':0.0},(370,461):{'3_1':0.0},(370,460):{'3_1':0.0},(370,456):{'3_1':0.0},(370,455):{'3_1':0.0},(370,450):{'3_1':0.0},(370,448):{'3_1':0.0},(370,447):{'3_1':0.0},(370,446):{'3_1':0.0},(370,445):{'3_1':0.0},(370,442):{'3_1':0.0},(370,441):{'3_1':0.0},(370,440):{'3_1':0.0},(370,439):{'3_1':0.0},(370,438):{'3_1':0.0},(370,437):{'3_1':0.0},(370,436):{'3_1':0.0},(370,435):{'3_1':0.0},(370,434):{'3_1':0.03},(370,433):{'3_1':0.03},(370,432):{'3_1':0.0},(370,431):{'3_1':0.03,'5_1':0.0},(370,430):{'3_1':0.0},(370,429):{'3_1':0.03},(370,427):{'3_1':0.0},(370,426):{'3_1':0.0},(370,425):{'3_1':0.0},(370,424):{'3_1':0.0},(370,389):{'3_1':0.0},(371,727):{'3_1':0.0},(371,726):{'3_1':0.0},(371,725):{'3_1':0.03},(371,724):{'3_1':0.03,'5_1':0.0},(371,723):{'3_1':0.0},(371,722):{'3_1':0.0,'4_1':0.0},(371,721):{'3_1':0.03,'5_2':0.0},(371,720):{'3_1':0.0,'5_1':0.0},(371,719):{'3_1':0.0,'4_1':0.0},(371,718):{'3_1':0.03},(371,717):{'3_1':0.03,'4_1':0.0},(371,716):{'3_1':0.0,'5_2':0.0},(371,715):{'3_1':0.03},(371,714):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(371,713):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(371,712):{'4_1':0.0},(371,711):{'3_1':0.09,'4_1':0.0},(371,710):{'3_1':0.03},(371,709):{'3_1':0.03,'4_1':0.0},(371,708):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(371,707):{'3_1':0.0,'6_1':0.0},(371,706):{'3_1':0.09,'4_1':0.0},(371,705):{'3_1':0.06,'5_2':0.0},(371,704):{'3_1':0.03},(371,703):{'3_1':0.0,'5_2':0.0},(371,702):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(371,701):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(371,700):{'3_1':0.09,'9_1':0.0},(371,699):{'3_1':0.06,'5_1':0.0},(371,698):{'3_1':0.03},(371,697):{'3_1':0.03,'4_1':0.0},(371,696):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(371,695):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(371,694):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_6':0.0},(371,693):{'3_1':0.03,'5_2':0.0},(371,692):{'3_1':0.09,'5_2':0.0},(371,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(371,690):{'3_1':0.06,'7_6':0.0,'4_1':0.0},(371,689):{'3_1':0.06,'5_2':0.0},(371,688):{'3_1':0.03,'4_1':0.0},(371,687):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(371,686):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'7_1':0.0,'9_1':0.0},(371,685):{'3_1':0.0},(371,684):{'3_1':0.0,'5_2':0.0},(371,683):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(371,682):{'3_1':0.06,'5_1':0.0},(371,681):{'3_1':0.03},(371,680):{'3_1':0.03},(371,679):{'3_1':0.06},(371,678):{'3_1':0.03},(371,677):{'3_1':0.03},(371,676):{'3_1':0.0,'4_1':0.0},(371,675):{'3_1':0.06,'4_1':0.0},(371,674):{'3_1':0.03,'4_1':0.0},(371,673):{'3_1':0.0,'6_1':0.0},(371,672):{'3_1':0.03,'5_2':0.0},(371,671):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(371,670):{'3_1':0.06,'6_2':0.0},(371,669):{'3_1':0.0,'4_1':0.0},(371,668):{'3_1':0.03,'4_1':0.0},(371,667):{'3_1':0.09},(371,666):{'3_1':0.03,'4_1':0.0},(371,665):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(371,664):{'3_1':0.03,'4_1':0.0},(371,663):{'3_1':0.09},(371,662):{'3_1':0.0},(371,661):{'3_1':0.03,'4_1':0.0},(371,660):{'3_1':0.03,'4_1':0.0},(371,659):{'3_1':0.03,'5_1':0.0},(371,658):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(371,657):{'3_1':0.06,'7_1':0.0},(371,656):{'3_1':0.03},(371,655):{'3_1':0.09,'4_1':0.0},(371,654):{'3_1':0.03,'4_1':0.0},(371,653):{'3_1':0.09,'5_2':0.0},(371,652):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(371,651):{'3_1':0.06,'4_1':0.0},(371,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(371,649):{'3_1':0.06,'5_2':0.0},(371,648):{'3_1':0.06,'4_1':0.0},(371,647):{'3_1':0.03},(371,646):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(371,645):{'3_1':0.03,'4_1':0.0},(371,644):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(371,643):{'3_1':0.09,'4_1':0.0},(371,642):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(371,641):{'3_1':0.06},(371,640):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'9_1':0.0},(371,639):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(371,638):{'3_1':0.15},(371,637):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(371,636):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(371,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(371,634):{'3_1':0.09,'5_2':0.0},(371,633):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(371,632):{'3_1':0.03,'4_1':0.0},(371,631):{'3_1':0.09,'4_1':0.0,'7_3':0.0},(371,630):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(371,629):{'3_1':0.03,'5_2':0.0},(371,628):{'3_1':0.06},(371,627):{'3_1':0.06,'4_1':0.0},(371,626):{'3_1':0.12,'5_1':0.0},(371,625):{'3_1':0.09},(371,624):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(371,623):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(371,622):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(371,621):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(371,620):{'3_1':0.0},(371,619):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(371,618):{'3_1':0.06,'5_1':0.0},(371,617):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(371,616):{'3_1':0.03,'4_1':0.0},(371,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(371,614):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(371,613):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(371,612):{'3_1':0.03},(371,611):{'3_1':0.0},(371,610):{'3_1':0.03,'4_1':0.0},(371,609):{'3_1':0.03,'5_2':0.0},(371,608):{'3_1':0.0},(371,607):{'3_1':0.0,'4_1':0.0},(371,606):{'3_1':0.0,'4_1':0.0},(371,605):{'3_1':0.0},(371,604):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(371,603):{'3_1':0.0},(371,602):{'3_1':0.0},(371,601):{'6_1':0.0,'3_1':0.0},(371,600):{'3_1':0.0,'4_1':0.0},(371,599):{'3_1':0.0},(371,598):{'3_1':0.0,'4_1':0.0},(371,597):{'3_1':0.0,'5_2':0.0},(371,596):{'3_1':0.03},(371,595):{'3_1':0.0,'4_1':0.0},(371,594):{'4_1':0.03,'3_1':0.0,'8_20|3_1#3_1':0.0},(371,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(371,592):{'3_1':0.0,'6_2':0.0},(371,591):{'3_1':0.0,'5_2':0.0},(371,590):{'4_1':0.0},(371,589):{'4_1':0.0,'3_1':0.0},(371,588):{'3_1':0.03,'4_1':0.0},(371,587):{'3_1':0.0,'5_2':0.0},(371,586):{'7_6':0.0},(371,585):{'3_1':0.0,'4_1':0.0},(371,584):{'3_1':0.0,'4_1':0.0},(371,583):{'4_1':0.0,'6_1':0.0},(371,582):{'4_1':0.0,'3_1':0.0},(371,581):{'4_1':0.0},(371,580):{'4_1':0.0},(371,579):{'3_1':0.0,'4_1':0.0},(371,577):{'3_1':0.0},(371,575):{'3_1':0.0},(371,574):{'3_1':0.0,'4_1':0.0},(371,573):{'3_1':0.0},(371,572):{'3_1':0.0},(371,571):{'3_1':0.0,'4_1':0.0},(371,569):{'4_1':0.0},(371,567):{'3_1':0.03,'4_1':0.0},(371,566):{'3_1':0.0,'4_1':0.0},(371,565):{'4_1':0.0},(371,564):{'3_1':0.0,'4_1':0.0},(371,563):{'4_1':0.0},(371,562):{'3_1':0.0},(371,561):{'3_1':0.0},(371,560):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(371,559):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(371,558):{'3_1':0.0,'4_1':0.0},(371,557):{'4_1':0.0,'3_1':0.0},(371,556):{'3_1':0.0,'4_1':0.0},(371,555):{'3_1':0.0,'4_1':0.0},(371,554):{'3_1':0.0,'4_1':0.0},(371,553):{'3_1':0.0},(371,552):{'3_1':0.03},(371,551):{'3_1':0.03,'4_1':0.0},(371,550):{'4_1':0.0},(371,549):{'4_1':0.0,'6_1':0.0},(371,548):{'4_1':0.03,'3_1':0.0},(371,547):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(371,546):{'3_1':0.03,'4_1':0.0},(371,545):{'3_1':0.0},(371,544):{'3_1':0.0,'4_1':0.0},(371,543):{'4_1':0.0,'3_1':0.0},(371,542):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(371,541):{'4_1':0.0,'3_1':0.0},(371,540):{'3_1':0.0,'4_1':0.0},(371,539):{'3_1':0.03},(371,538):{'3_1':0.0,'4_1':0.0},(371,537):{'3_1':0.0},(371,536):{'3_1':0.03,'4_1':0.0},(371,535):{'4_1':0.03},(371,534):{'3_1':0.0,'4_1':0.0},(371,533):{'3_1':0.03,'4_1':0.0},(371,532):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(371,531):{'3_1':0.03,'4_1':0.0},(371,530):{'3_1':0.03,'4_1':0.0},(371,528):{'4_1':0.0},(371,527):{'3_1':0.03,'4_1':0.0},(371,526):{'3_1':0.06,'4_1':0.0},(371,525):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(371,524):{'3_1':0.0},(371,523):{'3_1':0.03,'4_1':0.0},(371,522):{'3_1':0.0},(371,521):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(371,520):{'4_1':0.0,'3_1':0.0},(371,519):{'3_1':0.0,'4_1':0.0},(371,518):{'4_1':0.0,'3_1':0.0},(371,517):{'4_1':0.0,'3_1':0.0},(371,516):{'4_1':0.03,'3_1':0.0},(371,515):{'3_1':0.0,'4_1':0.0},(371,514):{'3_1':0.0,'4_1':0.0},(371,513):{'4_1':0.0},(371,512):{'3_1':0.0,'4_1':0.0},(371,511):{'4_1':0.03,'3_1':0.0},(371,510):{'4_1':0.0},(371,508):{'3_1':0.0,'4_1':0.0},(371,507):{'4_1':0.0,'3_1':0.0},(371,506):{'3_1':0.0,'4_1':0.0},(371,505):{'3_1':0.0,'8_20|3_1#3_1':0.0},(371,504):{'3_1':0.0},(371,503):{'3_1':0.0,'5_2':0.0},(371,502):{'3_1':0.0},(371,501):{'3_1':0.0,'4_1':0.0},(371,500):{'4_1':0.0},(371,499):{'3_1':0.0},(371,498):{'4_1':0.0,'3_1':0.0},(371,496):{'4_1':0.0,'3_1':0.0},(371,495):{'3_1':0.0},(371,494):{'3_1':0.0},(371,493):{'4_1':0.03,'3_1':0.0},(371,492):{'3_1':0.0,'4_1':0.0},(371,491):{'3_1':0.0,'4_1':0.0},(371,490):{'3_1':0.0,'4_1':0.0},(371,489):{'3_1':0.0,'4_1':0.0},(371,488):{'4_1':0.0,'5_1':0.0},(371,487):{'3_1':0.0,'4_1':0.0},(371,486):{'3_1':0.03},(371,485):{'3_1':0.0},(371,484):{'3_1':0.0},(371,483):{'3_1':0.06,'4_1':0.0},(371,482):{'3_1':0.0},(371,481):{'3_1':0.0,'5_1':0.0},(371,480):{'3_1':0.03},(371,479):{'3_1':0.03},(371,477):{'3_1':0.0},(371,476):{'3_1':0.0},(371,475):{'3_1':0.03},(371,474):{'3_1':0.0,'4_1':0.0},(371,473):{'3_1':0.0},(371,472):{'3_1':0.0},(371,471):{'3_1':0.0},(371,469):{'3_1':0.0},(371,468):{'3_1':0.03},(371,462):{'3_1':0.0,'4_1':0.0},(371,457):{'3_1':0.0},(371,456):{'3_1':0.0},(371,455):{'3_1':0.0},(371,450):{'3_1':0.0},(371,447):{'3_1':0.0},(371,446):{'3_1':0.0},(371,445):{'3_1':0.0},(371,444):{'3_1':0.0},(371,442):{'3_1':0.0},(371,441):{'3_1':0.0},(371,439):{'3_1':0.0},(371,438):{'3_1':0.0,'5_1':0.0},(371,437):{'3_1':0.0},(371,436):{'3_1':0.03},(371,435):{'3_1':0.0},(371,434):{'3_1':0.0},(371,433):{'3_1':0.0},(371,432):{'3_1':0.0},(371,431):{'3_1':0.0},(371,430):{'3_1':0.0},(371,429):{'3_1':0.0},(371,427):{'3_1':0.0},(371,426):{'3_1':0.0},(371,423):{'3_1':0.0},(371,390):{'3_1':0.0},(371,387):{'3_1':0.0},(372,727):{'3_1':0.03},(372,726):{'3_1':0.0},(372,725):{'3_1':0.06},(372,724):{'3_1':0.03,'4_1':0.0},(372,723):{'3_1':0.0,'5_1':0.0},(372,722):{'3_1':0.03},(372,721):{'3_1':0.0},(372,720):{'3_1':0.06,'5_1':0.0},(372,719):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(372,718):{'3_1':0.0},(372,717):{'3_1':0.0},(372,716):{'3_1':0.0,'4_1':0.0},(372,715):{'3_1':0.0,'4_1':0.0},(372,714):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(372,713):{'3_1':0.03,'4_1':0.0},(372,712):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(372,711):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(372,710):{'3_1':0.06,'4_1':0.0},(372,709):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(372,708):{'3_1':0.06,'5_2':0.0},(372,707):{'3_1':0.03},(372,706):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(372,705):{'3_1':0.0,'5_1':0.0},(372,704):{'3_1':0.0,'4_1':0.0},(372,703):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(372,702):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(372,701):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(372,700):{'3_1':0.09,'4_1':0.0},(372,699):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(372,698):{'3_1':0.06,'7_6':0.0,'5_2':0.0},(372,697):{'3_1':0.03,'5_1':0.0},(372,696):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(372,695):{'3_1':0.03,'5_2':0.0},(372,694):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(372,693):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(372,692):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(372,691):{'3_1':0.06,'5_2':0.0},(372,690):{'3_1':0.12},(372,689):{'3_1':0.0},(372,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(372,687):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(372,686):{'3_1':0.06},(372,685):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(372,684):{'3_1':0.09,'5_1':0.0},(372,683):{'3_1':0.03},(372,682):{'3_1':0.06},(372,681):{'3_1':0.06},(372,680):{'3_1':0.03},(372,679):{'3_1':0.09},(372,678):{'3_1':0.0},(372,677):{'3_1':0.06,'4_1':0.0},(372,676):{'3_1':0.09,'4_1':0.0},(372,675):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(372,674):{'3_1':0.03,'4_1':0.0},(372,673):{'3_1':0.03,'5_1':0.0},(372,672):{'3_1':0.03,'5_2':0.0},(372,671):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(372,670):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(372,669):{'3_1':0.03,'7_5':0.0},(372,668):{'3_1':0.03},(372,667):{'3_1':0.06,'4_1':0.0},(372,666):{'3_1':0.03},(372,665):{'3_1':0.03,'5_2':0.0},(372,664):{'3_1':0.06,'5_1':0.0},(372,663):{'3_1':0.06},(372,662):{'3_1':0.03},(372,661):{'3_1':0.03,'4_1':0.0},(372,660):{'3_1':0.03,'4_1':0.0},(372,659):{'3_1':0.03,'4_1':0.0},(372,658):{'3_1':0.0},(372,657):{'3_1':0.03,'4_1':0.0},(372,656):{'3_1':0.06,'4_1':0.0},(372,655):{'3_1':0.06,'5_1':0.0},(372,654):{'3_1':0.0},(372,653):{'3_1':0.03},(372,652):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(372,651):{'3_1':0.03,'4_1':0.0},(372,650):{'3_1':0.06},(372,649):{'3_1':0.06,'6_1':0.0},(372,648):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(372,647):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(372,646):{'3_1':0.03,'5_1':0.0},(372,645):{'3_1':0.0,'5_2':0.0},(372,644):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(372,643):{'3_1':0.09},(372,642):{'3_1':0.03,'5_1':0.0},(372,641):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(372,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(372,639):{'3_1':0.06},(372,638):{'3_1':0.12,'4_1':0.0},(372,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(372,636):{'3_1':0.03,'5_1':0.0},(372,635):{'3_1':0.09},(372,634):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(372,633):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(372,632):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(372,631):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(372,630):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(372,629):{'3_1':0.03,'4_1':0.0},(372,628):{'3_1':0.06,'5_2':0.0},(372,627):{'3_1':0.06,'5_1':0.0},(372,626):{'3_1':0.09,'5_1':0.0},(372,625):{'3_1':0.06},(372,624):{'3_1':0.03,'5_1':0.0},(372,623):{'3_1':0.09,'5_1':0.0},(372,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(372,621):{'3_1':0.0,'4_1':0.0},(372,620):{'3_1':0.03},(372,619):{'3_1':0.03},(372,618):{'3_1':0.09},(372,617):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(372,616):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(372,615):{'3_1':0.06,'4_1':0.0},(372,614):{'3_1':0.03,'4_1':0.0},(372,613):{'3_1':0.0,'5_2':0.0},(372,612):{'3_1':0.0,'4_1':0.0},(372,611):{'3_1':0.0},(372,610):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(372,609):{'3_1':0.0},(372,608):{'3_1':0.03,'5_1':0.0},(372,607):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(372,606):{'3_1':0.0},(372,605):{'3_1':0.0,'4_1':0.0},(372,604):{'3_1':0.03,'4_1':0.0},(372,603):{'3_1':0.0},(372,602):{'3_1':0.03,'4_1':0.0},(372,601):{'3_1':0.0},(372,600):{'3_1':0.0},(372,599):{'3_1':0.0},(372,598):{'3_1':0.0},(372,597):{'3_1':0.0,'4_1':0.0},(372,596):{'3_1':0.03,'4_1':0.0},(372,595):{'3_1':0.03,'4_1':0.0},(372,594):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(372,593):{'3_1':0.0,'4_1':0.0},(372,592):{'3_1':0.0,'4_1':0.0},(372,591):{'3_1':0.0,'4_1':0.0},(372,590):{'3_1':0.0},(372,589):{'3_1':0.0},(372,588):{'3_1':0.0,'8_20|3_1#3_1':0.0},(372,587):{'3_1':0.0},(372,585):{'3_1':0.0},(372,584):{'3_1':0.0,'4_1':0.0},(372,583):{'3_1':0.0},(372,582):{'3_1':0.0,'4_1':0.0},(372,581):{'4_1':0.0},(372,580):{'3_1':0.0},(372,579):{'3_1':0.0},(372,578):{'3_1':0.0},(372,577):{'4_1':0.0,'3_1':0.0},(372,576):{'3_1':0.0},(372,575):{'4_1':0.0},(372,574):{'3_1':0.0},(372,573):{'4_1':0.0},(372,572):{'4_1':0.0},(372,571):{'5_2':0.0},(372,570):{'3_1':0.0,'4_1':0.0},(372,569):{'3_1':0.0},(372,568):{'3_1':0.0},(372,567):{'3_1':0.0,'4_1':0.0},(372,566):{'3_1':0.0,'4_1':0.0},(372,565):{'3_1':0.0,'5_2':0.0},(372,564):{'3_1':0.0,'5_2':0.0},(372,563):{'3_1':0.0},(372,562):{'3_1':0.0,'5_1':0.0},(372,561):{'3_1':0.03,'4_1':0.0},(372,560):{'3_1':0.0,'4_1':0.0},(372,559):{'4_1':0.0},(372,558):{'3_1':0.0},(372,557):{'3_1':0.0,'4_1':0.0},(372,556):{'3_1':0.0,'4_1':0.0},(372,555):{'3_1':0.0},(372,554):{'3_1':0.0},(372,553):{'3_1':0.0},(372,552):{'3_1':0.03},(372,551):{'3_1':0.0},(372,550):{'3_1':0.03,'4_1':0.0},(372,549):{'3_1':0.0},(372,548):{'3_1':0.0,'4_1':0.0},(372,547):{'4_1':0.03,'3_1':0.0},(372,546):{'3_1':0.0,'4_1':0.0},(372,545):{'4_1':0.0},(372,544):{'3_1':0.0,'4_1':0.0},(372,543):{'3_1':0.0},(372,542):{'3_1':0.03,'4_1':0.0},(372,541):{'3_1':0.0},(372,540):{'3_1':0.0},(372,539):{'3_1':0.03,'4_1':0.0},(372,538):{'3_1':0.0,'4_1':0.0},(372,537):{'3_1':0.03},(372,536):{'3_1':0.0,'4_1':0.0},(372,535):{'3_1':0.0},(372,534):{'3_1':0.0},(372,533):{'3_1':0.03},(372,532):{'4_1':0.0,'3_1':0.0},(372,531):{'3_1':0.0,'4_1':0.0},(372,530):{'3_1':0.0},(372,529):{'4_1':0.0},(372,528):{'3_1':0.0},(372,527):{'3_1':0.0,'4_1':0.0},(372,526):{'3_1':0.0,'4_1':0.0},(372,525):{'4_1':0.0,'3_1':0.0},(372,524):{'4_1':0.0,'3_1':0.0},(372,523):{'3_1':0.0,'4_1':0.0},(372,522):{'4_1':0.0},(372,521):{'3_1':0.0,'4_1':0.0},(372,519):{'3_1':0.0,'4_1':0.0},(372,518):{'3_1':0.0,'4_1':0.0},(372,516):{'4_1':0.0},(372,515):{'4_1':0.0,'3_1':0.0},(372,514):{'4_1':0.0},(372,513):{'4_1':0.0,'3_1':0.0},(372,511):{'4_1':0.0},(372,510):{'3_1':0.0},(372,509):{'4_1':0.0,'3_1':0.0},(372,508):{'4_1':0.0},(372,507):{'3_1':0.0,'4_1':0.0},(372,506):{'4_1':0.0,'3_1':0.0},(372,505):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(372,503):{'3_1':0.0,'4_1':0.0},(372,499):{'3_1':0.0},(372,498):{'3_1':0.0},(372,497):{'3_1':0.0},(372,496):{'3_1':0.0,'4_1':0.0},(372,495):{'3_1':0.0},(372,494):{'3_1':0.0,'4_1':0.0},(372,493):{'3_1':0.0},(372,492):{'3_1':0.0},(372,491):{'3_1':0.03},(372,490):{'3_1':0.0,'4_1':0.0},(372,489):{'4_1':0.0},(372,488):{'3_1':0.0,'4_1':0.0},(372,487):{'3_1':0.03},(372,486):{'3_1':0.0},(372,484):{'4_1':0.0},(372,483):{'3_1':0.0},(372,482):{'3_1':0.0},(372,481):{'3_1':0.03},(372,480):{'3_1':0.0},(372,479):{'3_1':0.0},(372,478):{'3_1':0.0},(372,477):{'3_1':0.03},(372,476):{'3_1':0.03},(372,475):{'3_1':0.03,'4_1':0.0},(372,474):{'3_1':0.0},(372,473):{'3_1':0.0,'5_1':0.0},(372,472):{'3_1':0.0},(372,471):{'3_1':0.0},(372,470):{'3_1':0.0},(372,469):{'3_1':0.0},(372,460):{'3_1':0.0},(372,459):{'3_1':0.0},(372,458):{'3_1':0.0},(372,457):{'3_1':0.0},(372,456):{'3_1':0.0},(372,452):{'3_1':0.0},(372,446):{'3_1':0.0},(372,445):{'3_1':0.0},(372,443):{'3_1':0.0},(372,441):{'3_1':0.0},(372,440):{'3_1':0.0},(372,438):{'3_1':0.0},(372,436):{'3_1':0.0},(372,434):{'3_1':0.0},(372,431):{'3_1':0.0},(372,430):{'3_1':0.0},(372,429):{'3_1':0.0},(372,428):{'3_1':0.0},(372,427):{'3_1':0.0},(372,426):{'3_1':0.0},(372,424):{'3_1':0.0},(372,423):{'3_1':0.0},(372,422):{'3_1':0.0},(372,421):{'3_1':0.0},(372,419):{'3_1':0.0},(372,418):{'3_1':0.0},(372,399):{'3_1':0.0},(372,386):{'5_2':0.0},(372,385):{'3_1':0.0},(373,727):{'3_1':0.03},(373,726):{'3_1':0.03,'5_1':0.0},(373,725):{'3_1':0.0},(373,724):{'3_1':0.0},(373,723):{'3_1':0.0},(373,722):{'3_1':0.03},(373,721):{'3_1':0.06,'5_2':0.0},(373,720):{'3_1':0.0},(373,719):{'3_1':0.0},(373,718):{'3_1':0.03},(373,717):{'3_1':0.03,'5_2':0.0},(373,716):{'3_1':0.03},(373,715):{'3_1':0.06},(373,714):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(373,713):{'3_1':0.03},(373,712):{'3_1':0.03,'5_2':0.0},(373,711):{'3_1':0.06},(373,710):{'3_1':0.0},(373,709):{'3_1':0.03,'5_2':0.0},(373,708):{'3_1':0.06,'4_1':0.0},(373,707):{'3_1':0.03},(373,706):{'3_1':0.03,'5_2':0.0},(373,705):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(373,704):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(373,703):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(373,702):{'3_1':0.06,'5_2':0.0},(373,701):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(373,700):{'3_1':0.0,'5_2':0.0},(373,699):{'3_1':0.06},(373,698):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(373,697):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(373,696):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_6':0.0},(373,695):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(373,694):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(373,693):{'3_1':0.0,'4_1':0.0},(373,692):{'3_1':0.0},(373,691):{'3_1':0.09,'4_1':0.0},(373,690):{'5_2':0.0,'3_1':0.0},(373,689):{'3_1':0.03,'4_1':0.0},(373,688):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(373,687):{'3_1':0.03},(373,686):{'3_1':0.03,'5_2':0.0},(373,685):{'3_1':0.03,'5_2':0.0},(373,684):{'3_1':0.0,'4_1':0.0},(373,683):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(373,682):{'3_1':0.09},(373,681):{'3_1':0.09},(373,680):{'3_1':0.03},(373,679):{'3_1':0.09},(373,678):{'3_1':0.06,'4_1':0.0},(373,677):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(373,676):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(373,675):{'3_1':0.06,'4_1':0.0},(373,674):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(373,673):{'3_1':0.03},(373,672):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(373,671):{'3_1':0.09},(373,670):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(373,669):{'3_1':0.06},(373,668):{'3_1':0.03},(373,667):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(373,666):{'3_1':0.03,'5_2':0.0},(373,665):{'3_1':0.03},(373,664):{'3_1':0.0},(373,663):{'3_1':0.09},(373,662):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(373,661):{'3_1':0.03},(373,660):{'3_1':0.06},(373,659):{'3_1':0.09,'4_1':0.0},(373,658):{'3_1':0.06},(373,657):{'3_1':0.06,'5_2':0.0},(373,656):{'3_1':0.0},(373,655):{'3_1':0.03,'4_1':0.0},(373,654):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(373,653):{'3_1':0.06},(373,652):{'3_1':0.0},(373,651):{'3_1':0.03,'4_1':0.0},(373,650):{'3_1':0.03,'5_2':0.0},(373,649):{'3_1':0.0},(373,648):{'3_1':0.03,'5_2':0.0},(373,647):{'3_1':0.06,'4_1':0.0},(373,646):{'3_1':0.03,'4_1':0.0},(373,645):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(373,644):{'3_1':0.06,'5_2':0.0},(373,643):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(373,642):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(373,641):{'3_1':0.06,'5_1':0.0},(373,640):{'3_1':0.06,'4_1':0.0},(373,639):{'3_1':0.06,'7_1':0.0},(373,638):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(373,637):{'3_1':0.12,'4_1':0.0},(373,636):{'3_1':0.06,'4_1':0.0},(373,635):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(373,634):{'3_1':0.06},(373,633):{'3_1':0.0,'4_1':0.0},(373,632):{'3_1':0.03,'5_2':0.0},(373,631):{'3_1':0.06},(373,630):{'3_1':0.12},(373,629):{'3_1':0.03},(373,628):{'3_1':0.03,'4_1':0.0},(373,627):{'3_1':0.03},(373,626):{'3_1':0.09,'4_1':0.0},(373,625):{'3_1':0.12,'5_1':0.0,'6_2':0.0},(373,624):{'3_1':0.03,'5_2':0.0},(373,623):{'3_1':0.09,'5_1':0.0},(373,622):{'3_1':0.09,'5_2':0.0},(373,621):{'3_1':0.06},(373,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(373,619):{'3_1':0.03},(373,618):{'3_1':0.06,'4_1':0.0},(373,617):{'3_1':0.0,'4_1':0.0},(373,616):{'3_1':0.03,'5_2':0.0},(373,615):{'3_1':0.09},(373,614):{'3_1':0.03},(373,613):{'3_1':0.03,'5_1':0.0},(373,612):{'3_1':0.03},(373,611):{'3_1':0.0,'4_1':0.0},(373,610):{'3_1':0.0},(373,609):{'3_1':0.0,'4_1':0.0},(373,608):{'3_1':0.03},(373,607):{'3_1':0.0},(373,606):{'3_1':0.0,'5_2':0.0},(373,605):{'3_1':0.0},(373,604):{'3_1':0.0,'4_1':0.0},(373,603):{'3_1':0.0},(373,602):{'3_1':0.0},(373,601):{'4_1':0.0},(373,600):{'3_1':0.0},(373,599):{'3_1':0.0,'5_2':0.0},(373,597):{'3_1':0.03},(373,596):{'3_1':0.0,'4_1':0.0},(373,595):{'4_1':0.0,'3_1':0.0},(373,594):{'3_1':0.0},(373,592):{'3_1':0.0,'5_1':0.0},(373,590):{'3_1':0.0,'4_1':0.0},(373,589):{'3_1':0.0},(373,588):{'3_1':0.0,'4_1':0.0},(373,587):{'3_1':0.0},(373,586):{'3_1':0.03,'5_2':0.0},(373,585):{'3_1':0.03},(373,584):{'3_1':0.0,'4_1':0.0},(373,583):{'3_1':0.0},(373,582):{'3_1':0.0,'4_1':0.0},(373,581):{'3_1':0.0},(373,580):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(373,579):{'3_1':0.0},(373,578):{'3_1':0.0,'4_1':0.0},(373,577):{'3_1':0.0,'4_1':0.0},(373,576):{'3_1':0.0},(373,575):{'3_1':0.0},(373,574):{'4_1':0.03},(373,573):{'3_1':0.0,'6_1':0.0},(373,572):{'3_1':0.0},(373,571):{'3_1':0.0},(373,570):{'3_1':0.0},(373,569):{'5_1':0.0},(373,568):{'4_1':0.0},(373,567):{'3_1':0.0,'4_1':0.0},(373,566):{'3_1':0.0},(373,565):{'3_1':0.0,'4_1':0.0},(373,562):{'3_1':0.0},(373,561):{'3_1':0.0,'4_1':0.0},(373,560):{'3_1':0.0,'5_1':0.0},(373,559):{'3_1':0.0,'4_1':0.0},(373,558):{'4_1':0.0,'3_1':0.0},(373,557):{'3_1':0.0,'4_1':0.0},(373,556):{'3_1':0.0,'4_1':0.0},(373,554):{'3_1':0.0},(373,553):{'4_1':0.0},(373,552):{'3_1':0.0},(373,551):{'3_1':0.0},(373,550):{'3_1':0.03},(373,549):{'3_1':0.03,'4_1':0.0},(373,548):{'3_1':0.03},(373,547):{'3_1':0.0,'4_1':0.0},(373,546):{'3_1':0.0},(373,545):{'3_1':0.0,'4_1':0.0},(373,543):{'3_1':0.0},(373,542):{'3_1':0.03,'4_1':0.0},(373,541):{'3_1':0.0,'4_1':0.0},(373,540):{'3_1':0.0},(373,539):{'3_1':0.0},(373,538):{'3_1':0.0,'4_1':0.0},(373,537):{'3_1':0.0,'4_1':0.0},(373,536):{'4_1':0.0,'3_1':0.0},(373,535):{'3_1':0.0,'4_1':0.0},(373,534):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'6_2':0.0},(373,533):{'3_1':0.03,'4_1':0.0},(373,532):{'3_1':0.03},(373,531):{'3_1':0.03,'4_1':0.0},(373,530):{'3_1':0.03},(373,529):{'4_1':0.0},(373,528):{'3_1':0.0,'4_1':0.0},(373,527):{'3_1':0.03,'4_1':0.0},(373,526):{'3_1':0.0,'4_1':0.0},(373,525):{'3_1':0.0},(373,524):{'4_1':0.0},(373,523):{'3_1':0.03,'5_1':0.0},(373,522):{'3_1':0.0},(373,521):{'3_1':0.0},(373,520):{'3_1':0.0,'4_1':0.0},(373,519):{'4_1':0.0,'6_2':0.0},(373,518):{'4_1':0.0},(373,517):{'4_1':0.0},(373,515):{'3_1':0.0},(373,514):{'4_1':0.0},(373,513):{'3_1':0.0,'4_1':0.0},(373,512):{'3_1':0.0,'4_1':0.0},(373,511):{'4_1':0.0},(373,510):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(373,508):{'3_1':0.0,'4_1':0.0},(373,507):{'3_1':0.0},(373,506):{'4_1':0.0,'5_2':0.0},(373,505):{'3_1':0.0,'6_2':0.0},(373,503):{'3_1':0.0},(373,502):{'3_1':0.0,'4_1':0.0},(373,501):{'3_1':0.0},(373,500):{'4_1':0.0},(373,499):{'3_1':0.0},(373,498):{'4_1':0.0},(373,497):{'4_1':0.0},(373,496):{'3_1':0.0,'4_1':0.0},(373,494):{'3_1':0.0},(373,493):{'3_1':0.0},(373,491):{'3_1':0.0,'4_1':0.0},(373,490):{'3_1':0.0},(373,489):{'3_1':0.0},(373,488):{'3_1':0.0},(373,487):{'3_1':0.0,'4_1':0.0},(373,486):{'3_1':0.0},(373,485):{'3_1':0.0,'4_1':0.0},(373,484):{'3_1':0.0},(373,483):{'3_1':0.0},(373,482):{'3_1':0.0},(373,481):{'3_1':0.0},(373,480):{'3_1':0.03,'5_1':0.0},(373,479):{'3_1':0.03},(373,478):{'5_1':0.0},(373,477):{'3_1':0.0},(373,476):{'3_1':0.03},(373,475):{'3_1':0.0},(373,474):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(373,472):{'3_1':0.0,'4_1':0.0},(373,470):{'3_1':0.0},(373,469):{'3_1':0.0},(373,468):{'3_1':0.0},(373,467):{'3_1':0.0},(373,466):{'3_1':0.0,'5_1':0.0},(373,464):{'3_1':0.0},(373,463):{'3_1':0.0},(373,460):{'3_1':0.0},(373,459):{'3_1':0.0},(373,458):{'3_1':0.0},(373,457):{'3_1':0.0},(373,456):{'3_1':0.0},(373,453):{'3_1':0.0},(373,451):{'3_1':0.0},(373,450):{'3_1':0.0},(373,449):{'3_1':0.0},(373,448):{'3_1':0.0},(373,447):{'3_1':0.0},(373,446):{'3_1':0.0},(373,445):{'3_1':0.0},(373,443):{'3_1':0.0},(373,442):{'3_1':0.0,'5_1':0.0},(373,441):{'3_1':0.0,'5_1':0.0},(373,440):{'3_1':0.0},(373,437):{'3_1':0.0},(373,436):{'3_1':0.0},(373,435):{'3_1':0.0},(373,434):{'3_1':0.0},(373,433):{'3_1':0.0},(373,432):{'3_1':0.03},(373,431):{'3_1':0.03},(373,430):{'3_1':0.06},(373,429):{'3_1':0.0},(373,427):{'3_1':0.0},(373,426):{'3_1':0.0},(373,424):{'3_1':0.03},(373,423):{'3_1':0.0},(373,420):{'3_1':0.0},(373,383):{'3_1':0.0},(374,727):{'3_1':0.03},(374,726):{'3_1':0.0},(374,725):{'3_1':0.03,'5_2':0.0},(374,724):{'3_1':0.0,'6_1':0.0},(374,723):{'3_1':0.0},(374,722):{'3_1':0.0},(374,721):{'3_1':0.03,'5_2':0.0},(374,720):{'3_1':0.0,'4_1':0.0},(374,719):{'3_1':0.0},(374,718):{'3_1':0.03,'4_1':0.0},(374,717):{'3_1':0.0},(374,716):{'3_1':0.03},(374,715):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(374,714):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(374,713):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(374,712):{'3_1':0.0},(374,711):{'3_1':0.0},(374,710):{'3_1':0.06},(374,709):{'3_1':0.06,'4_1':0.0},(374,708):{'3_1':0.03,'5_2':0.0},(374,707):{'3_1':0.03},(374,706):{'3_1':0.06,'5_1':0.0},(374,705):{'3_1':0.0,'4_1':0.0},(374,704):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(374,703):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(374,702):{'3_1':0.03},(374,701):{'3_1':0.06},(374,700):{'3_1':0.03},(374,699):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(374,698):{'3_1':0.03},(374,697):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(374,696):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(374,695):{'3_1':0.03,'5_2':0.0},(374,694):{'3_1':0.06},(374,693):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(374,692):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(374,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(374,690):{'3_1':0.03},(374,689):{'3_1':0.0,'5_2':0.0},(374,688):{'3_1':0.03,'5_2':0.0},(374,687):{'3_1':0.09,'5_2':0.0},(374,686):{'3_1':0.06},(374,685):{'3_1':0.03,'5_2':0.0},(374,684):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(374,683):{'3_1':0.03},(374,682):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(374,681):{'3_1':0.03,'4_1':0.0},(374,680):{'3_1':0.03,'4_1':0.0},(374,679):{'3_1':0.06,'6_1':0.0,'5_1':0.0,'5_2':0.0},(374,678):{'3_1':0.03},(374,677):{'3_1':0.06,'6_1':0.0},(374,676):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(374,675):{'3_1':0.03,'5_2':0.0},(374,674):{'3_1':0.09,'5_2':0.0},(374,673):{'3_1':0.06},(374,672):{'3_1':0.06},(374,671):{'3_1':0.09,'4_1':0.0},(374,670):{'3_1':0.06,'4_1':0.0},(374,669):{'3_1':0.06},(374,668):{'3_1':0.03},(374,667):{'3_1':0.06},(374,666):{'3_1':0.06,'5_2':0.0},(374,665):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(374,664):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(374,663):{'3_1':0.06},(374,662):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(374,661):{'3_1':0.09,'5_2':0.0},(374,660):{'3_1':0.06},(374,659):{'3_1':0.03},(374,658):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(374,657):{'3_1':0.06},(374,656):{'3_1':0.0},(374,655):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(374,654):{'3_1':0.0,'4_1':0.0},(374,653):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(374,652):{'3_1':0.03,'5_2':0.0},(374,651):{'3_1':0.03,'6_1':0.0},(374,650):{'5_2':0.0,'3_1':0.0},(374,649):{'3_1':0.03,'5_2':0.0},(374,648):{'3_1':0.06,'4_1':0.0},(374,647):{'3_1':0.06},(374,646):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(374,645):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(374,644):{'3_1':0.06,'8_21|3_1#4_1':0.0},(374,643):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(374,642):{'3_1':0.06},(374,641):{'3_1':0.03,'4_1':0.0},(374,640):{'3_1':0.06,'5_1':0.0},(374,639):{'3_1':0.09},(374,638):{'3_1':0.03,'5_2':0.0},(374,637):{'3_1':0.03,'7_1':0.0},(374,636):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(374,635):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(374,634):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(374,633):{'3_1':0.09,'5_1':0.0},(374,632):{'3_1':0.06},(374,631):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(374,630):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(374,629):{'3_1':0.06,'5_1':0.0},(374,628):{'3_1':0.06,'5_2':0.0},(374,627):{'3_1':0.06,'5_2':0.0},(374,626):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(374,625):{'3_1':0.06,'4_1':0.0},(374,624):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(374,623):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(374,622):{'3_1':0.06},(374,621):{'3_1':0.03,'5_2':0.0},(374,620):{'3_1':0.06,'4_1':0.0},(374,619):{'3_1':0.0},(374,618):{'3_1':0.06},(374,617):{'3_1':0.06,'5_2':0.0},(374,616):{'3_1':0.03},(374,615):{'3_1':0.0,'5_2':0.0},(374,614):{'3_1':0.03},(374,613):{'3_1':0.0},(374,612):{'3_1':0.03},(374,611):{'3_1':0.0,'5_2':0.0},(374,610):{'3_1':0.03,'4_1':0.0},(374,609):{'3_1':0.0},(374,608):{'3_1':0.0},(374,607):{'3_1':0.03},(374,606):{'3_1':0.0},(374,605):{'3_1':0.0},(374,604):{'3_1':0.0,'5_2':0.0},(374,603):{'3_1':0.0,'5_1':0.0},(374,602):{'3_1':0.0,'4_1':0.0},(374,601):{'3_1':0.0},(374,600):{'3_1':0.0,'6_1':0.0},(374,599):{'3_1':0.0,'4_1':0.0},(374,598):{'3_1':0.0,'4_1':0.0},(374,597):{'4_1':0.0},(374,596):{'4_1':0.0},(374,595):{'3_1':0.0},(374,594):{'4_1':0.0},(374,593):{'3_1':0.0},(374,592):{'3_1':0.0,'4_1':0.0},(374,591):{'3_1':0.0},(374,590):{'3_1':0.0,'4_1':0.0},(374,589):{'4_1':0.0,'3_1':0.0},(374,588):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(374,586):{'3_1':0.0},(374,584):{'3_1':0.0},(374,583):{'3_1':0.0},(374,581):{'3_1':0.0},(374,580):{'4_1':0.0},(374,579):{'3_1':0.0,'4_1':0.0},(374,578):{'3_1':0.0,'4_1':0.0},(374,577):{'3_1':0.0},(374,576):{'3_1':0.0},(374,575):{'3_1':0.03,'4_1':0.0},(374,573):{'3_1':0.0,'5_2':0.0},(374,572):{'4_1':0.0},(374,571):{'3_1':0.0},(374,570):{'3_1':0.0},(374,568):{'4_1':0.0},(374,566):{'4_1':0.0,'3_1':0.0},(374,565):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(374,564):{'3_1':0.0,'4_1':0.0},(374,563):{'4_1':0.0},(374,562):{'3_1':0.0,'4_1':0.0},(374,561):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(374,560):{'3_1':0.0,'5_1':0.0},(374,559):{'3_1':0.0},(374,558):{'3_1':0.0},(374,557):{'3_1':0.0},(374,556):{'3_1':0.0,'4_1':0.0},(374,555):{'3_1':0.0},(374,554):{'3_1':0.0},(374,553):{'3_1':0.0},(374,552):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(374,551):{'3_1':0.0},(374,550):{'3_1':0.0},(374,549):{'3_1':0.0,'5_1':0.0},(374,548):{'4_1':0.0},(374,547):{'3_1':0.0,'4_1':0.0},(374,546):{'4_1':0.0,'6_1':0.0,'3_1':0.0},(374,545):{'3_1':0.0},(374,544):{'3_1':0.0,'4_1':0.0},(374,543):{'3_1':0.06,'4_1':0.0},(374,542):{'3_1':0.06,'5_1':0.0},(374,541):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(374,540):{'3_1':0.0,'4_1':0.0},(374,539):{'3_1':0.03,'4_1':0.0},(374,538):{'3_1':0.0,'4_1':0.0},(374,537):{'3_1':0.03,'4_1':0.0},(374,536):{'3_1':0.03},(374,535):{'3_1':0.0,'4_1':0.0},(374,534):{'3_1':0.0},(374,533):{'3_1':0.0,'4_1':0.0},(374,532):{'4_1':0.0},(374,531):{'4_1':0.0},(374,530):{'3_1':0.0,'4_1':0.0},(374,529):{'3_1':0.0,'4_1':0.0},(374,528):{'3_1':0.03},(374,527):{'3_1':0.03,'4_1':0.0},(374,526):{'3_1':0.0},(374,525):{'3_1':0.0},(374,524):{'4_1':0.0},(374,523):{'3_1':0.0,'7_1':0.0},(374,522):{'3_1':0.03,'4_1':0.0},(374,521):{'4_1':0.0,'7_1':0.0},(374,520):{'3_1':0.03,'5_1':0.0},(374,519):{'3_1':0.0,'4_1':0.0},(374,518):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(374,517):{'3_1':0.0},(374,516):{'4_1':0.0,'5_1':0.0},(374,515):{'3_1':0.0,'4_1':0.0},(374,514):{'3_1':0.0,'4_1':0.0},(374,513):{'3_1':0.0,'4_1':0.0},(374,512):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(374,511):{'5_1':0.0,'4_1':0.0},(374,510):{'3_1':0.0,'5_1':0.0},(374,509):{'4_1':0.0,'3_1':0.0},(374,508):{'3_1':0.0,'4_1':0.0},(374,507):{'4_1':0.0},(374,506):{'3_1':0.0,'5_1':0.0},(374,505):{'5_1':0.0},(374,504):{'3_1':0.0},(374,503):{'3_1':0.0,'5_2':0.0},(374,502):{'3_1':0.0,'6_1':0.0},(374,499):{'8_2':0.0},(374,497):{'4_1':0.0},(374,496):{'3_1':0.03},(374,495):{'3_1':0.0},(374,493):{'3_1':0.0},(374,492):{'3_1':0.0},(374,491):{'3_1':0.0},(374,490):{'3_1':0.0,'4_1':0.0},(374,489):{'3_1':0.0},(374,488):{'3_1':0.0},(374,487):{'3_1':0.0},(374,486):{'3_1':0.0,'4_1':0.0},(374,485):{'3_1':0.0},(374,484):{'3_1':0.0,'4_1':0.0},(374,483):{'3_1':0.0,'4_1':0.0},(374,482):{'3_1':0.0},(374,480):{'3_1':0.03},(374,479):{'3_1':0.03},(374,478):{'3_1':0.03,'5_1':0.0},(374,477):{'3_1':0.03},(374,476):{'3_1':0.0},(374,475):{'3_1':0.0},(374,474):{'3_1':0.03},(374,473):{'3_1':0.0,'5_1':0.0},(374,472):{'3_1':0.0,'5_1':0.0},(374,471):{'3_1':0.0},(374,470):{'3_1':0.0},(374,469):{'3_1':0.0},(374,468):{'3_1':0.0},(374,465):{'3_1':0.0},(374,464):{'3_1':0.0},(374,463):{'3_1':0.0},(374,460):{'3_1':0.0},(374,458):{'3_1':0.0},(374,457):{'3_1':0.0},(374,455):{'3_1':0.0},(374,454):{'3_1':0.0},(374,452):{'3_1':0.0},(374,447):{'3_1':0.0},(374,445):{'3_1':0.0},(374,442):{'3_1':0.0},(374,440):{'3_1':0.0},(374,438):{'3_1':0.0},(374,437):{'3_1':0.0},(374,436):{'3_1':0.0},(374,435):{'3_1':0.03},(374,434):{'3_1':0.0},(374,432):{'3_1':0.0},(374,431):{'3_1':0.03},(374,430):{'3_1':0.06},(374,429):{'3_1':0.0},(374,428):{'3_1':0.0},(374,427):{'3_1':0.0},(374,426):{'3_1':0.0},(374,424):{'3_1':0.0},(374,423):{'3_1':0.0},(374,422):{'3_1':0.0},(374,421):{'3_1':0.0},(374,401):{'3_1':0.0},(374,396):{'5_2':0.0},(374,395):{'3_1':0.0},(374,390):{'3_1':0.0},(374,386):{'3_1':0.0},(375,727):{'3_1':0.0,'4_1':0.0},(375,726):{'3_1':0.0,'5_2':0.0},(375,725):{'3_1':0.0},(375,724):{'3_1':0.0,'5_1':0.0},(375,723):{'3_1':0.0},(375,722):{'3_1':0.0,'4_1':0.0},(375,721):{'5_2':0.0},(375,720):{'3_1':0.03},(375,719):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(375,718):{'3_1':0.03,'5_1':0.0},(375,717):{'3_1':0.03,'5_2':0.0},(375,716):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(375,715):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(375,714):{'5_2':0.0},(375,713):{'3_1':0.03,'5_1':0.0},(375,712):{'3_1':0.03},(375,711):{'3_1':0.03,'5_1':0.0},(375,710):{'3_1':0.03,'4_1':0.0},(375,709):{'3_1':0.06,'5_1':0.0},(375,708):{'3_1':0.03,'4_1':0.0},(375,707):{'3_1':0.03},(375,706):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(375,705):{'3_1':0.03},(375,704):{'3_1':0.06},(375,703):{'3_1':0.0,'4_1':0.0},(375,702):{'3_1':0.03},(375,701):{'3_1':0.03},(375,700):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(375,699):{'3_1':0.03,'5_2':0.0},(375,698):{'3_1':0.03,'5_2':0.0},(375,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(375,696):{'3_1':0.06,'7_6':0.0},(375,695):{'3_1':0.03},(375,694):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(375,693):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(375,692):{'3_1':0.06,'7_6':0.0},(375,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(375,690):{'3_1':0.03,'4_1':0.0},(375,689):{'3_1':0.06,'5_1':0.0},(375,688):{'3_1':0.03},(375,687):{'3_1':0.06,'5_2':0.0},(375,686):{'3_1':0.06},(375,685):{'3_1':0.06,'4_1':0.0},(375,684):{'3_1':0.03,'4_1':0.0},(375,683):{'3_1':0.03,'4_1':0.0},(375,682):{'3_1':0.03},(375,681):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(375,680):{'3_1':0.0,'4_1':0.0},(375,679):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(375,678):{'3_1':0.03,'5_2':0.0},(375,677):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(375,676):{'3_1':0.03,'6_2':0.0},(375,675):{'3_1':0.03,'5_2':0.0},(375,674):{'3_1':0.03},(375,673):{'3_1':0.06},(375,672):{'3_1':0.0,'4_1':0.0},(375,671):{'3_1':0.03,'5_2':0.0},(375,670):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(375,669):{'3_1':0.03},(375,668):{'3_1':0.06},(375,667):{'3_1':0.06,'4_1':0.0},(375,666):{'3_1':0.03,'5_1':0.0},(375,665):{'3_1':0.0,'4_1':0.0},(375,664):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(375,663):{'3_1':0.03},(375,662):{'3_1':0.03,'4_1':0.0},(375,661):{'3_1':0.0,'4_1':0.0},(375,660):{'3_1':0.06,'5_2':0.0},(375,659):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(375,658):{'3_1':0.0},(375,657):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(375,656):{'3_1':0.03},(375,655):{'3_1':0.06,'4_1':0.0,'7_2':0.0},(375,654):{'3_1':0.0},(375,653):{'3_1':0.03},(375,652):{'3_1':0.03},(375,651):{'3_1':0.03,'5_2':0.0},(375,650):{'3_1':0.03,'5_2':0.0},(375,649):{'3_1':0.03},(375,648):{'3_1':0.0},(375,647):{'3_1':0.12},(375,646):{'3_1':0.06},(375,645):{'3_1':0.03,'4_1':0.0},(375,644):{'3_1':0.09},(375,643):{'3_1':0.09},(375,642):{'3_1':0.03},(375,641):{'3_1':0.06,'4_1':0.0},(375,640):{'3_1':0.09,'5_1':0.0},(375,639):{'3_1':0.06,'5_2':0.0},(375,638):{'3_1':0.03,'4_1':0.0},(375,637):{'3_1':0.12},(375,636):{'3_1':0.03},(375,635):{'3_1':0.03,'5_2':0.0},(375,634):{'3_1':0.09},(375,633):{'3_1':0.06},(375,632):{'3_1':0.06},(375,631):{'3_1':0.03,'4_1':0.0},(375,630):{'3_1':0.03,'5_2':0.0},(375,629):{'3_1':0.03,'5_2':0.0},(375,628):{'3_1':0.06,'5_2':0.0},(375,627):{'3_1':0.06,'4_1':0.0},(375,626):{'3_1':0.09},(375,625):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(375,624):{'3_1':0.03,'4_1':0.0},(375,623):{'3_1':0.12},(375,622):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(375,621):{'3_1':0.03},(375,620):{'3_1':0.03,'6_1':0.0},(375,619):{'3_1':0.03},(375,618):{'3_1':0.03},(375,617):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(375,616):{'3_1':0.03,'5_1':0.0},(375,615):{'3_1':0.0,'5_1':0.0},(375,614):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(375,613):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(375,612):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(375,610):{'3_1':0.0,'4_1':0.0},(375,609):{'3_1':0.0,'4_1':0.0},(375,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(375,607):{'3_1':0.03,'5_1':0.0},(375,606):{'3_1':0.0},(375,603):{'3_1':0.0,'4_1':0.0},(375,602):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(375,601):{'3_1':0.0},(375,600):{'4_1':0.0,'3_1':0.0},(375,598):{'3_1':0.0},(375,597):{'3_1':0.03,'4_1':0.0},(375,596):{'4_1':0.0},(375,595):{'3_1':0.0,'4_1':0.0},(375,594):{'4_1':0.03,'3_1':0.0},(375,593):{'3_1':0.0},(375,592):{'3_1':0.0},(375,591):{'3_1':0.0,'4_1':0.0},(375,590):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(375,588):{'3_1':0.0},(375,587):{'3_1':0.0},(375,585):{'3_1':0.0},(375,584):{'3_1':0.0},(375,583):{'3_1':0.0,'4_1':0.0},(375,582):{'3_1':0.0,'4_1':0.0},(375,581):{'3_1':0.0},(375,580):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(375,579):{'3_1':0.0,'4_1':0.0},(375,578):{'3_1':0.0},(375,577):{'3_1':0.0,'4_1':0.0},(375,575):{'3_1':0.0,'4_1':0.0},(375,574):{'3_1':0.0},(375,571):{'3_1':0.0},(375,570):{'3_1':0.0},(375,569):{'4_1':0.0},(375,566):{'5_2':0.0},(375,565):{'4_1':0.0},(375,564):{'3_1':0.0},(375,563):{'3_1':0.0,'4_1':0.0},(375,562):{'3_1':0.0,'4_1':0.0},(375,561):{'3_1':0.0},(375,560):{'3_1':0.0},(375,559):{'3_1':0.03,'5_1':0.0},(375,558):{'3_1':0.0},(375,557):{'6_2':0.0},(375,556):{'3_1':0.03,'4_1':0.0},(375,555):{'3_1':0.0},(375,554):{'4_1':0.0},(375,553):{'3_1':0.0,'4_1':0.0},(375,552):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(375,551):{'4_1':0.0},(375,550):{'3_1':0.0},(375,549):{'3_1':0.0,'4_1':0.0},(375,548):{'3_1':0.0},(375,547):{'3_1':0.03},(375,546):{'3_1':0.0},(375,545):{'4_1':0.0,'3_1':0.0},(375,544):{'3_1':0.0,'4_1':0.0},(375,543):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(375,542):{'3_1':0.03,'4_1':0.0},(375,541):{'3_1':0.0},(375,540):{'3_1':0.03},(375,539):{'4_1':0.0},(375,538):{'3_1':0.03,'4_1':0.0},(375,537):{'3_1':0.0},(375,536):{'3_1':0.0,'4_1':0.0},(375,535):{'3_1':0.0,'4_1':0.0},(375,534):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(375,533):{'4_1':0.0},(375,532):{'3_1':0.0},(375,531):{'3_1':0.0,'4_1':0.0},(375,530):{'4_1':0.0,'3_1':0.0},(375,529):{'4_1':0.0},(375,528):{'3_1':0.0},(375,527):{'3_1':0.0},(375,526):{'3_1':0.0},(375,525):{'4_1':0.0,'3_1':0.0},(375,524):{'3_1':0.0},(375,522):{'4_1':0.0},(375,521):{'3_1':0.0,'4_1':0.0},(375,520):{'3_1':0.0,'4_1':0.0},(375,519):{'3_1':0.0,'4_1':0.0},(375,518):{'3_1':0.0},(375,517):{'4_1':0.0},(375,515):{'3_1':0.0,'4_1':0.0},(375,514):{'3_1':0.0,'4_1':0.0},(375,513):{'4_1':0.0},(375,512):{'3_1':0.0,'4_1':0.0},(375,511):{'4_1':0.0},(375,510):{'4_1':0.0},(375,509):{'3_1':0.03},(375,508):{'3_1':0.0,'4_1':0.0},(375,507):{'4_1':0.0},(375,506):{'3_1':0.03},(375,505):{'4_1':0.0,'3_1':0.0},(375,504):{'3_1':0.0,'4_1':0.0},(375,501):{'4_1':0.0},(375,498):{'4_1':0.0},(375,497):{'4_1':0.0},(375,496):{'3_1':0.0},(375,495):{'3_1':0.0},(375,494):{'3_1':0.0},(375,493):{'3_1':0.0},(375,492):{'3_1':0.0},(375,491):{'3_1':0.0},(375,490):{'8_2':0.0},(375,489):{'3_1':0.0},(375,488):{'5_1':0.0},(375,487):{'3_1':0.0,'4_1':0.0},(375,486):{'3_1':0.0},(375,485):{'3_1':0.0,'5_1':0.0},(375,484):{'3_1':0.0,'4_1':0.0},(375,483):{'3_1':0.0},(375,482):{'3_1':0.0},(375,481):{'3_1':0.0},(375,480):{'3_1':0.0},(375,479):{'3_1':0.0},(375,478):{'3_1':0.03},(375,477):{'3_1':0.0,'5_1':0.0},(375,476):{'3_1':0.0},(375,475):{'3_1':0.0,'8_1':0.0},(375,474):{'3_1':0.0},(375,473):{'3_1':0.0},(375,472):{'3_1':0.03},(375,471):{'3_1':0.0},(375,470):{'3_1':0.0},(375,469):{'3_1':0.0},(375,467):{'3_1':0.0},(375,466):{'3_1':0.0},(375,465):{'3_1':0.0},(375,464):{'3_1':0.0},(375,461):{'3_1':0.0},(375,460):{'3_1':0.0},(375,457):{'3_1':0.0},(375,456):{'3_1':0.0},(375,455):{'3_1':0.0},(375,452):{'3_1':0.0},(375,450):{'3_1':0.0},(375,449):{'3_1':0.0},(375,448):{'3_1':0.0},(375,445):{'3_1':0.0},(375,443):{'3_1':0.0},(375,442):{'3_1':0.0},(375,441):{'3_1':0.0},(375,439):{'3_1':0.0},(375,438):{'3_1':0.0},(375,437):{'3_1':0.0},(375,436):{'3_1':0.0},(375,435):{'3_1':0.0},(375,434):{'3_1':0.0},(375,433):{'3_1':0.0},(375,432):{'3_1':0.0},(375,431):{'3_1':0.0},(375,430):{'3_1':0.06,'4_1':0.0},(375,428):{'3_1':0.0},(375,425):{'3_1':0.0},(375,424):{'3_1':0.0},(375,422):{'3_1':0.0},(375,418):{'4_1':0.0},(375,401):{'3_1':0.0},(375,399):{'3_1':0.0},(375,398):{'3_1':0.0},(376,727):{'3_1':0.0},(376,726):{'3_1':0.03},(376,725):{'3_1':0.0},(376,724):{'3_1':0.0},(376,723):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(376,722):{'3_1':0.03,'5_1':0.0},(376,721):{'3_1':0.03,'5_2':0.0},(376,720):{'3_1':0.0,'5_2':0.0},(376,719):{'3_1':0.0},(376,718):{'4_1':0.0,'5_2':0.0},(376,717):{'5_1':0.0},(376,716):{'3_1':0.0},(376,715):{'3_1':0.03,'4_1':0.0},(376,714):{'3_1':0.0,'5_2':0.0},(376,713):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(376,712):{'3_1':0.03,'5_2':0.0},(376,711):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(376,710):{'3_1':0.03,'5_1':0.0},(376,709):{'3_1':0.06},(376,708):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(376,707):{'3_1':0.03,'5_2':0.03,'4_1':0.0},(376,706):{'3_1':0.03,'5_1':0.0},(376,705):{'3_1':0.03},(376,704):{'3_1':0.03,'5_2':0.0,'7_6':0.0},(376,703):{'3_1':0.06,'5_2':0.0},(376,702):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(376,701):{'3_1':0.03},(376,700):{'3_1':0.03},(376,699):{'3_1':0.0,'5_2':0.0},(376,698):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(376,697):{'3_1':0.06},(376,696):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(376,695):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(376,694):{'3_1':0.0,'5_2':0.0},(376,693):{'3_1':0.03},(376,692):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(376,691):{'3_1':0.03},(376,690):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(376,689):{'3_1':0.0,'5_2':0.0},(376,688):{'3_1':0.06},(376,687):{'3_1':0.0,'5_2':0.0},(376,686):{'3_1':0.06,'4_1':0.0},(376,685):{'3_1':0.03,'5_2':0.0},(376,684):{'3_1':0.06,'4_1':0.0},(376,683):{'3_1':0.06},(376,682):{'3_1':0.03,'5_2':0.0},(376,681):{'3_1':0.06},(376,680):{'3_1':0.09,'5_2':0.0},(376,679):{'3_1':0.03,'4_1':0.0},(376,678):{'3_1':0.09,'8_1':0.0},(376,677):{'3_1':0.03,'5_2':0.0},(376,676):{'3_1':0.03,'5_2':0.0},(376,675):{'3_1':0.09,'4_1':0.0,'7_6':0.0},(376,674):{'3_1':0.06,'5_2':0.0},(376,673):{'3_1':0.09,'5_2':0.0},(376,672):{'3_1':0.0},(376,671):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(376,670):{'3_1':0.0},(376,669):{'3_1':0.03},(376,668):{'3_1':0.0},(376,667):{'3_1':0.12,'5_1':0.0},(376,666):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(376,665):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(376,664):{'3_1':0.09},(376,663):{'3_1':0.03,'5_1':0.0},(376,662):{'3_1':0.06,'4_1':0.0},(376,661):{'3_1':0.0},(376,660):{'3_1':0.03,'5_2':0.0},(376,659):{'3_1':0.06},(376,658):{'3_1':0.03,'5_2':0.0},(376,657):{'3_1':0.0,'5_2':0.0},(376,656):{'3_1':0.03},(376,655):{'3_1':0.03,'4_1':0.0},(376,654):{'3_1':0.03,'4_1':0.0},(376,653):{'3_1':0.03,'5_1':0.0},(376,652):{'3_1':0.0,'5_1':0.0},(376,651):{'3_1':0.0,'5_2':0.0},(376,650):{'3_1':0.03,'4_1':0.0},(376,649):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(376,648):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(376,647):{'3_1':0.09,'6_1':0.0},(376,646):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(376,645):{'3_1':0.03},(376,644):{'3_1':0.03,'5_1':0.0},(376,643):{'3_1':0.03,'5_1':0.0},(376,642):{'3_1':0.03,'4_1':0.0},(376,641):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(376,640):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(376,639):{'3_1':0.06},(376,638):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(376,637):{'3_1':0.03},(376,636):{'3_1':0.06},(376,635):{'3_1':0.06},(376,634):{'3_1':0.09,'4_1':0.0},(376,633):{'3_1':0.06},(376,632):{'3_1':0.06,'4_1':0.0},(376,631):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(376,630):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(376,629):{'3_1':0.12,'5_2':0.0},(376,628):{'3_1':0.06,'5_1':0.0},(376,627):{'3_1':0.03,'5_1':0.0},(376,626):{'3_1':0.03,'4_1':0.0},(376,625):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(376,624):{'3_1':0.03,'5_2':0.0},(376,623):{'3_1':0.03,'5_1':0.0},(376,622):{'3_1':0.06,'5_1':0.0},(376,621):{'3_1':0.03},(376,620):{'3_1':0.0},(376,619):{'3_1':0.03},(376,618):{'3_1':0.03,'4_1':0.0},(376,617):{'3_1':0.0,'5_2':0.0},(376,616):{'3_1':0.0,'5_1':0.0},(376,615):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(376,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(376,613):{'3_1':0.0,'4_1':0.0},(376,612):{'3_1':0.0},(376,611):{'3_1':0.03},(376,610):{'3_1':0.0},(376,609):{'3_1':0.0},(376,608):{'3_1':0.0},(376,607):{'3_1':0.0,'4_1':0.0},(376,606):{'3_1':0.0},(376,605):{'3_1':0.0},(376,604):{'3_1':0.0},(376,603):{'3_1':0.0},(376,602):{'3_1':0.0,'4_1':0.0},(376,601):{'3_1':0.03},(376,600):{'3_1':0.0},(376,599):{'3_1':0.0,'6_1':0.0},(376,598):{'3_1':0.0,'6_2':0.0},(376,597):{'3_1':0.0},(376,596):{'3_1':0.0},(376,595):{'3_1':0.0},(376,594):{'3_1':0.0},(376,593):{'3_1':0.0,'6_2':0.0},(376,592):{'3_1':0.0,'4_1':0.0},(376,591):{'3_1':0.0,'4_1':0.0},(376,590):{'3_1':0.0},(376,589):{'3_1':0.0},(376,588):{'3_1':0.0},(376,587):{'3_1':0.0,'4_1':0.0},(376,585):{'3_1':0.0},(376,584):{'3_1':0.0},(376,583):{'3_1':0.0},(376,582):{'3_1':0.0,'4_1':0.0},(376,581):{'3_1':0.0},(376,580):{'3_1':0.0},(376,579):{'3_1':0.0},(376,571):{'4_1':0.0},(376,570):{'3_1':0.0},(376,567):{'3_1':0.0},(376,566):{'4_1':0.0},(376,565):{'3_1':0.0,'4_1':0.0},(376,564):{'3_1':0.0},(376,562):{'3_1':0.0,'4_1':0.0},(376,561):{'4_1':0.0},(376,560):{'3_1':0.0,'4_1':0.0},(376,558):{'3_1':0.0},(376,557):{'3_1':0.0},(376,555):{'3_1':0.0},(376,554):{'3_1':0.0},(376,552):{'3_1':0.0,'4_1':0.0},(376,551):{'3_1':0.0},(376,550):{'3_1':0.0},(376,549):{'3_1':0.0,'4_1':0.0},(376,548):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(376,547):{'3_1':0.0,'4_1':0.0},(376,546):{'3_1':0.0,'4_1':0.0},(376,545):{'3_1':0.0,'4_1':0.0},(376,544):{'3_1':0.03,'4_1':0.0},(376,543):{'3_1':0.03},(376,542):{'3_1':0.0,'4_1':0.0},(376,541):{'3_1':0.03},(376,540):{'3_1':0.0,'4_1':0.0},(376,539):{'3_1':0.0,'4_1':0.0},(376,538):{'3_1':0.0},(376,537):{'3_1':0.0,'4_1':0.0},(376,536):{'3_1':0.0,'4_1':0.0},(376,534):{'3_1':0.0,'4_1':0.0},(376,533):{'3_1':0.0,'4_1':0.0},(376,532):{'3_1':0.0},(376,531):{'3_1':0.0,'5_1':0.0},(376,530):{'3_1':0.0,'4_1':0.0},(376,529):{'3_1':0.0},(376,528):{'3_1':0.0},(376,527):{'3_1':0.0},(376,526):{'4_1':0.0,'3_1':0.0},(376,525):{'3_1':0.03},(376,524):{'3_1':0.0},(376,523):{'3_1':0.0},(376,522):{'3_1':0.0},(376,521):{'4_1':0.0},(376,520):{'3_1':0.0,'4_1':0.0},(376,519):{'3_1':0.0},(376,518):{'3_1':0.0,'4_1':0.0},(376,517):{'3_1':0.0},(376,516):{'3_1':0.0,'4_1':0.0},(376,515):{'3_1':0.03,'4_1':0.0},(376,512):{'3_1':0.0},(376,510):{'4_1':0.0},(376,509):{'3_1':0.0},(376,507):{'3_1':0.0},(376,506):{'3_1':0.0,'4_1':0.0},(376,504):{'3_1':0.0},(376,503):{'3_1':0.0},(376,502):{'3_1':0.03,'4_1':0.0},(376,501):{'3_1':0.0},(376,500):{'3_1':0.0},(376,499):{'5_1':0.0},(376,498):{'4_1':0.0},(376,497):{'3_1':0.0},(376,495):{'3_1':0.0},(376,494):{'3_1':0.0},(376,493):{'3_1':0.0},(376,492):{'4_1':0.0},(376,491):{'3_1':0.0,'4_1':0.0},(376,490):{'3_1':0.0},(376,489):{'3_1':0.0},(376,488):{'3_1':0.0,'4_1':0.0},(376,487):{'3_1':0.0},(376,486):{'3_1':0.0},(376,485):{'4_1':0.0},(376,484):{'3_1':0.0},(376,483):{'3_1':0.03},(376,482):{'3_1':0.0},(376,481):{'3_1':0.0},(376,480):{'3_1':0.03},(376,479):{'3_1':0.0},(376,478):{'3_1':0.03},(376,477):{'3_1':0.0},(376,476):{'3_1':0.0},(376,475):{'3_1':0.0},(376,474):{'3_1':0.0},(376,473):{'3_1':0.0},(376,472):{'3_1':0.0},(376,471):{'3_1':0.0},(376,470):{'3_1':0.0},(376,469):{'4_1':0.0},(376,468):{'3_1':0.0},(376,467):{'3_1':0.0},(376,466):{'5_1':0.0},(376,465):{'5_1':0.0},(376,464):{'3_1':0.0},(376,463):{'3_1':0.0},(376,462):{'3_1':0.0},(376,457):{'3_1':0.0},(376,456):{'3_1':0.0},(376,452):{'3_1':0.0},(376,448):{'3_1':0.0},(376,447):{'3_1':0.0},(376,442):{'3_1':0.0},(376,441):{'3_1':0.0},(376,440):{'3_1':0.0},(376,439):{'3_1':0.0},(376,438):{'3_1':0.0},(376,436):{'3_1':0.0},(376,435):{'3_1':0.0,'5_1':0.0},(376,434):{'3_1':0.0},(376,433):{'3_1':0.0},(376,432):{'5_1':0.0,'3_1':0.0},(376,431):{'3_1':0.0},(376,430):{'3_1':0.0},(376,429):{'3_1':0.03},(376,428):{'3_1':0.0},(376,427):{'3_1':0.0},(376,426):{'3_1':0.0},(376,425):{'3_1':0.0},(376,424):{'3_1':0.0},(376,423):{'3_1':0.0},(376,422):{'3_1':0.0},(376,421):{'3_1':0.0},(376,399):{'3_1':0.0},(376,397):{'7_2':0.0},(377,727):{'3_1':0.0},(377,726):{'3_1':0.0,'4_1':0.0},(377,725):{'3_1':0.0,'6_2':0.0},(377,724):{'3_1':0.03,'4_1':0.0},(377,723):{'3_1':0.0,'5_2':0.0},(377,722):{'3_1':0.0},(377,721):{'3_1':0.0,'5_2':0.0},(377,720):{'3_1':0.03,'4_1':0.0},(377,719):{'3_1':0.03},(377,718):{'3_1':0.03},(377,717):{'3_1':0.03},(377,716):{'3_1':0.0},(377,715):{'3_1':0.0,'4_1':0.0},(377,714):{'3_1':0.0},(377,713):{'3_1':0.03,'5_2':0.0},(377,712):{'3_1':0.06,'4_1':0.0},(377,711):{'3_1':0.03,'4_1':0.0},(377,710):{'3_1':0.0},(377,709):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(377,708):{'3_1':0.03},(377,707):{'3_1':0.0,'4_1':0.0},(377,706):{'3_1':0.03},(377,705):{'3_1':0.03},(377,704):{'3_1':0.03,'5_2':0.0},(377,703):{'3_1':0.03},(377,702):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(377,701):{'3_1':0.09},(377,700):{'3_1':0.06,'5_2':0.0,'7_6':0.0},(377,699):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(377,698):{'3_1':0.03,'4_1':0.0},(377,697):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(377,696):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(377,695):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_6':0.0},(377,694):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(377,693):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(377,692):{'3_1':0.03,'4_1':0.0},(377,691):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(377,690):{'3_1':0.03,'5_2':0.0},(377,689):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(377,688):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(377,687):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(377,686):{'3_1':0.06,'7_6':0.0},(377,685):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(377,684):{'3_1':0.03},(377,683):{'3_1':0.03},(377,682):{'3_1':0.06,'4_1':0.0},(377,681):{'3_1':0.03,'5_2':0.0},(377,680):{'3_1':0.06},(377,679):{'3_1':0.06,'5_2':0.0},(377,678):{'3_1':0.12,'5_1':0.0},(377,677):{'3_1':0.0,'5_2':0.0},(377,676):{'3_1':0.09},(377,675):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(377,674):{'3_1':0.06,'5_2':0.0},(377,673):{'3_1':0.03,'5_2':0.0},(377,672):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(377,671):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(377,670):{'3_1':0.0,'5_2':0.0},(377,669):{'3_1':0.06},(377,668):{'3_1':0.09,'4_1':0.0},(377,667):{'3_1':0.03},(377,666):{'3_1':0.03,'4_1':0.0},(377,665):{'3_1':0.03,'4_1':0.0},(377,664):{'3_1':0.06},(377,663):{'3_1':0.09,'5_1':0.0},(377,662):{'3_1':0.03},(377,661):{'3_1':0.06,'4_1':0.0},(377,660):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(377,659):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(377,658):{'3_1':0.03},(377,657):{'3_1':0.06},(377,656):{'3_1':0.0,'4_1':0.0},(377,655):{'3_1':0.03,'5_2':0.0},(377,654):{'3_1':0.06},(377,653):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(377,652):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(377,651):{'3_1':0.03},(377,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(377,649):{'3_1':0.0,'4_1':0.0},(377,648):{'3_1':0.0,'4_1':0.0},(377,647):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(377,646):{'5_2':0.0,'4_1':0.0},(377,645):{'3_1':0.03,'5_1':0.0},(377,644):{'3_1':0.03,'5_1':0.0},(377,643):{'3_1':0.06,'5_2':0.0},(377,642):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(377,641):{'3_1':0.03,'5_1':0.0},(377,640):{'3_1':0.06,'7_3':0.0},(377,639):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(377,638):{'3_1':0.03},(377,637):{'3_1':0.03},(377,636):{'3_1':0.03,'5_2':0.0},(377,635):{'3_1':0.03,'4_1':0.0},(377,634):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(377,633):{'3_1':0.03,'4_1':0.0},(377,632):{'3_1':0.03},(377,631):{'3_1':0.09},(377,630):{'3_1':0.06},(377,629):{'3_1':0.09},(377,628):{'3_1':0.06,'4_1':0.0},(377,627):{'3_1':0.0},(377,626):{'3_1':0.0,'5_2':0.0},(377,625):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(377,624):{'3_1':0.06},(377,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(377,622):{'3_1':0.03,'4_1':0.0},(377,621):{'3_1':0.03,'5_1':0.0},(377,620):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(377,619):{'3_1':0.06},(377,618):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(377,617):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(377,616):{'3_1':0.03},(377,615):{'3_1':0.03,'4_1':0.0},(377,614):{'3_1':0.03,'5_1':0.0},(377,613):{'3_1':0.03,'4_1':0.0},(377,612):{'3_1':0.03},(377,610):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(377,609):{'3_1':0.0},(377,608):{'3_1':0.0,'5_2':0.0},(377,607):{'3_1':0.0},(377,606):{'3_1':0.0,'4_1':0.0},(377,605):{'3_1':0.0,'6_1':0.0},(377,604):{'3_1':0.0},(377,603):{'4_1':0.0},(377,602):{'3_1':0.0,'4_1':0.0},(377,601):{'3_1':0.0},(377,600):{'3_1':0.0,'4_1':0.0},(377,599):{'3_1':0.0,'4_1':0.0},(377,598):{'3_1':0.0},(377,597):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(377,596):{'4_1':0.0},(377,594):{'3_1':0.03,'4_1':0.0},(377,593):{'3_1':0.0,'4_1':0.0},(377,592):{'3_1':0.0},(377,591):{'3_1':0.0},(377,590):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(377,589):{'3_1':0.0,'7_6':0.0},(377,588):{'3_1':0.0},(377,586):{'3_1':0.0},(377,585):{'3_1':0.0},(377,584):{'3_1':0.0},(377,583):{'3_1':0.0},(377,582):{'3_1':0.0},(377,581):{'3_1':0.0,'4_1':0.0},(377,580):{'3_1':0.0},(377,576):{'3_1':0.0,'4_1':0.0},(377,575):{'3_1':0.0},(377,574):{'3_1':0.0,'4_1':0.0},(377,573):{'3_1':0.0},(377,571):{'4_1':0.0,'3_1':0.0},(377,569):{'4_1':0.0,'3_1':0.0},(377,567):{'3_1':0.0},(377,566):{'4_1':0.0,'3_1':0.0},(377,565):{'4_1':0.0,'3_1':0.0},(377,564):{'3_1':0.0},(377,563):{'3_1':0.0},(377,562):{'3_1':0.0,'4_1':0.0},(377,561):{'3_1':0.0},(377,560):{'3_1':0.0},(377,558):{'3_1':0.0},(377,557):{'3_1':0.0},(377,556):{'3_1':0.0},(377,555):{'3_1':0.0},(377,554):{'3_1':0.0},(377,553):{'4_1':0.0},(377,552):{'3_1':0.0,'4_1':0.0},(377,551):{'3_1':0.0,'4_1':0.0},(377,550):{'3_1':0.0},(377,549):{'3_1':0.0,'4_1':0.0},(377,548):{'3_1':0.0,'4_1':0.0},(377,547):{'3_1':0.0},(377,546):{'3_1':0.03},(377,545):{'3_1':0.0},(377,543):{'3_1':0.0},(377,542):{'3_1':0.03},(377,541):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(377,540):{'3_1':0.0,'4_1':0.0},(377,539):{'3_1':0.03},(377,538):{'3_1':0.06},(377,537):{'3_1':0.03},(377,536):{'3_1':0.03,'4_1':0.0},(377,535):{'4_1':0.0,'3_1':0.0},(377,534):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(377,533):{'3_1':0.06,'4_1':0.0},(377,532):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(377,531):{'3_1':0.0},(377,530):{'3_1':0.03},(377,529):{'3_1':0.0},(377,528):{'3_1':0.0},(377,527):{'3_1':0.03},(377,526):{'3_1':0.0},(377,525):{'3_1':0.03},(377,524):{'4_1':0.0},(377,523):{'3_1':0.03,'5_2':0.0},(377,522):{'3_1':0.0},(377,521):{'3_1':0.0},(377,520):{'3_1':0.0},(377,519):{'3_1':0.0},(377,517):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(377,516):{'3_1':0.03},(377,515):{'3_1':0.0,'5_1':0.0},(377,514):{'3_1':0.0},(377,513):{'3_1':0.0},(377,512):{'3_1':0.0,'5_1':0.0},(377,511):{'3_1':0.0},(377,510):{'4_1':0.0,'5_1':0.0},(377,509):{'5_1':0.0},(377,508):{'5_1':0.0},(377,507):{'3_1':0.0},(377,506):{'3_1':0.0},(377,505):{'5_1':0.0},(377,504):{'3_1':0.0,'5_2':0.0},(377,503):{'3_1':0.0,'5_1':0.0},(377,502):{'3_1':0.0},(377,501):{'3_1':0.0},(377,500):{'3_1':0.0},(377,499):{'3_1':0.0},(377,498):{'3_1':0.0},(377,497):{'3_1':0.0,'4_1':0.0},(377,496):{'3_1':0.0,'4_1':0.0},(377,493):{'3_1':0.0},(377,491):{'3_1':0.0},(377,490):{'3_1':0.0},(377,489):{'4_1':0.0},(377,488):{'3_1':0.0,'4_1':0.0},(377,486):{'3_1':0.0},(377,485):{'3_1':0.0},(377,483):{'3_1':0.0},(377,482):{'3_1':0.0},(377,481):{'3_1':0.03},(377,480):{'3_1':0.0,'5_1':0.0},(377,479):{'3_1':0.0,'5_1':0.0},(377,478):{'3_1':0.0},(377,477):{'3_1':0.03},(377,476):{'3_1':0.0},(377,474):{'3_1':0.03},(377,473):{'3_1':0.0},(377,472):{'3_1':0.0},(377,471):{'3_1':0.0},(377,470):{'5_1':0.0},(377,469):{'3_1':0.0},(377,468):{'3_1':0.0},(377,466):{'3_1':0.0},(377,464):{'3_1':0.0},(377,463):{'3_1':0.0},(377,462):{'3_1':0.0},(377,461):{'3_1':0.0},(377,460):{'3_1':0.0},(377,455):{'3_1':0.0},(377,454):{'3_1':0.0},(377,453):{'3_1':0.0},(377,448):{'3_1':0.0},(377,446):{'3_1':0.0},(377,445):{'3_1':0.0},(377,443):{'3_1':0.0},(377,442):{'3_1':0.0},(377,441):{'3_1':0.0},(377,438):{'3_1':0.0},(377,437):{'3_1':0.0},(377,436):{'3_1':0.0},(377,435):{'3_1':0.0},(377,434):{'3_1':0.0},(377,433):{'3_1':0.0},(377,431):{'3_1':0.0},(377,430):{'3_1':0.03,'5_1':0.0},(377,429):{'3_1':0.0},(377,428):{'3_1':0.0},(377,427):{'3_1':0.0},(377,426):{'3_1':0.0},(377,424):{'3_1':0.0},(377,423):{'3_1':0.0},(377,422):{'3_1':0.0},(377,421):{'3_1':0.0},(377,419):{'4_1':0.0},(377,418):{'3_1':0.0},(377,409):{'3_1':0.0},(378,727):{'3_1':0.0},(378,726):{'3_1':0.0},(378,725):{'3_1':0.0},(378,724):{'4_1':0.0},(378,723):{'3_1':0.0},(378,721):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(378,720):{'3_1':0.0,'4_1':0.0},(378,719):{'3_1':0.0,'5_2':0.0},(378,718):{'3_1':0.03},(378,717):{'3_1':0.0},(378,716):{'3_1':0.0,'5_1':0.0},(378,715):{'3_1':0.0,'5_1':0.0},(378,714):{'6_1':0.0},(378,713):{'3_1':0.03,'5_2':0.0},(378,712):{'3_1':0.06,'4_1':0.0},(378,711):{'3_1':0.03,'4_1':0.0},(378,710):{'3_1':0.03},(378,709):{'3_1':0.03},(378,708):{'3_1':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(378,707):{'3_1':0.03,'5_2':0.0},(378,706):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(378,705):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(378,704):{'3_1':0.0,'5_1':0.0},(378,703):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(378,702):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'9_1':0.0},(378,701):{'3_1':0.03,'4_1':0.0},(378,700):{'3_1':0.03,'5_2':0.0},(378,699):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(378,698):{'3_1':0.0},(378,697):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(378,696):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(378,695):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(378,694):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(378,693):{'3_1':0.03},(378,692):{'3_1':0.0},(378,691):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(378,690):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(378,689):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(378,688):{'3_1':0.06,'5_2':0.0},(378,687):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(378,686):{'3_1':0.0},(378,685):{'3_1':0.03},(378,684):{'3_1':0.03,'5_2':0.0},(378,683):{'3_1':0.03,'4_1':0.0},(378,682):{'3_1':0.03,'5_1':0.0},(378,681):{'3_1':0.09,'4_1':0.0},(378,680):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(378,679):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(378,678):{'3_1':0.06},(378,677):{'3_1':0.03},(378,676):{'3_1':0.0,'5_1':0.0},(378,675):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(378,674):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(378,673):{'3_1':0.0,'4_1':0.0},(378,672):{'3_1':0.0,'5_1':0.0},(378,671):{'3_1':0.0,'5_2':0.0},(378,670):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(378,669):{'3_1':0.0,'5_2':0.0,'8_6':0.0},(378,668):{'3_1':0.06},(378,667):{'3_1':0.03,'5_2':0.0},(378,666):{'3_1':0.03,'5_1':0.0},(378,665):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(378,664):{'3_1':0.06,'5_2':0.0},(378,663):{'3_1':0.0,'4_1':0.0},(378,662):{'3_1':0.0,'4_1':0.0},(378,661):{'3_1':0.03},(378,660):{'3_1':0.06},(378,659):{'3_1':0.03},(378,658):{'3_1':0.09,'4_1':0.0},(378,657):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(378,656):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(378,655):{'3_1':0.03,'4_1':0.0},(378,654):{'3_1':0.0},(378,653):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(378,652):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(378,651):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(378,650):{'3_1':0.06,'5_2':0.0},(378,649):{'3_1':0.03},(378,648):{'3_1':0.0,'4_1':0.0},(378,647):{'3_1':0.03},(378,646):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(378,645):{'3_1':0.0,'5_1':0.0},(378,644):{'3_1':0.06,'7_5':0.0},(378,643):{'3_1':0.03,'5_2':0.0},(378,642):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(378,641):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(378,640):{'3_1':0.12},(378,639):{'3_1':0.09},(378,638):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(378,637):{'3_1':0.09},(378,636):{'3_1':0.03,'5_1':0.0},(378,635):{'3_1':0.09},(378,634):{'3_1':0.03},(378,633):{'3_1':0.09,'5_1':0.0},(378,632):{'3_1':0.06,'5_2':0.0},(378,631):{'3_1':0.03,'5_2':0.0},(378,630):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(378,629):{'3_1':0.06},(378,628):{'3_1':0.06},(378,627):{'3_1':0.03,'5_1':0.0},(378,626):{'3_1':0.06,'4_1':0.0},(378,625):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(378,624):{'3_1':0.03,'6_1':0.0},(378,623):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(378,622):{'3_1':0.03,'6_1':0.0},(378,621):{'3_1':0.03,'5_1':0.0},(378,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(378,619):{'3_1':0.03,'4_1':0.0},(378,618):{'3_1':0.0,'4_1':0.0},(378,617):{'3_1':0.09,'5_2':0.0},(378,616):{'3_1':0.0},(378,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(378,614):{'3_1':0.03},(378,613):{'3_1':0.03},(378,612):{'4_1':0.0},(378,611):{'3_1':0.0},(378,610):{'5_2':0.0},(378,609):{'3_1':0.0},(378,608):{'3_1':0.0,'5_2':0.0},(378,607):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(378,605):{'3_1':0.0,'5_2':0.0},(378,604):{'3_1':0.0,'4_1':0.0},(378,603):{'3_1':0.0},(378,602):{'4_1':0.0,'6_1':0.0},(378,601):{'3_1':0.0},(378,600):{'3_1':0.0,'5_1':0.0},(378,599):{'3_1':0.0,'4_1':0.0},(378,598):{'3_1':0.0},(378,597):{'4_1':0.0},(378,596):{'3_1':0.03,'4_1':0.0},(378,595):{'3_1':0.0},(378,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(378,593):{'3_1':0.0,'5_1':0.0},(378,592):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(378,591):{'3_1':0.0},(378,590):{'3_1':0.0},(378,589):{'3_1':0.0},(378,588):{'3_1':0.0},(378,586):{'3_1':0.0,'8_20|3_1#3_1':0.0},(378,583):{'3_1':0.0,'5_2':0.0},(378,582):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(378,581):{'3_1':0.0},(378,580):{'3_1':0.0},(378,579):{'3_1':0.0},(378,578):{'3_1':0.0},(378,576):{'3_1':0.0},(378,575):{'3_1':0.0},(378,574):{'4_1':0.0},(378,573):{'3_1':0.0},(378,569):{'3_1':0.0,'4_1':0.0},(378,568):{'3_1':0.0},(378,567):{'3_1':0.0,'5_2':0.0},(378,566):{'3_1':0.0,'4_1':0.0},(378,564):{'3_1':0.0},(378,563):{'3_1':0.0},(378,561):{'3_1':0.0,'4_1':0.0},(378,560):{'3_1':0.0},(378,559):{'3_1':0.0,'4_1':0.0},(378,558):{'4_1':0.0},(378,556):{'3_1':0.0,'4_1':0.0},(378,554):{'4_1':0.0},(378,553):{'4_1':0.0},(378,552):{'3_1':0.0,'4_1':0.0},(378,551):{'3_1':0.0},(378,550):{'4_1':0.0},(378,549):{'3_1':0.03},(378,548):{'3_1':0.0},(378,547):{'3_1':0.0},(378,546):{'3_1':0.0,'4_1':0.0},(378,545):{'3_1':0.0},(378,544):{'3_1':0.0},(378,543):{'3_1':0.06,'4_1':0.0},(378,542):{'3_1':0.03,'4_1':0.0},(378,541):{'3_1':0.0},(378,539):{'3_1':0.0,'5_2':0.0},(378,538):{'3_1':0.0},(378,537):{'3_1':0.0,'5_2':0.0},(378,536):{'3_1':0.0},(378,535):{'3_1':0.0},(378,534):{'3_1':0.03},(378,533):{'3_1':0.03},(378,532):{'3_1':0.0},(378,531):{'3_1':0.0,'4_1':0.0},(378,530):{'3_1':0.0},(378,529):{'3_1':0.0,'4_1':0.0},(378,528):{'3_1':0.0,'4_1':0.0},(378,527):{'3_1':0.0},(378,526):{'3_1':0.0},(378,525):{'3_1':0.0,'4_1':0.0},(378,524):{'3_1':0.0,'4_1':0.0},(378,523):{'3_1':0.0},(378,522):{'3_1':0.0},(378,521):{'4_1':0.0},(378,520):{'3_1':0.0},(378,519):{'3_1':0.0,'4_1':0.0},(378,518):{'3_1':0.0},(378,517):{'3_1':0.0,'4_1':0.0},(378,516):{'3_1':0.0,'4_1':0.0},(378,515):{'3_1':0.0},(378,514):{'3_1':0.0,'4_1':0.0},(378,513):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(378,512):{'3_1':0.0,'4_1':0.0},(378,511):{'3_1':0.0},(378,510):{'3_1':0.0,'4_1':0.0},(378,509):{'3_1':0.0},(378,507):{'3_1':0.0},(378,504):{'3_1':0.0},(378,503):{'3_1':0.0},(378,502):{'3_1':0.0},(378,501):{'3_1':0.0},(378,500):{'3_1':0.0},(378,498):{'3_1':0.0},(378,496):{'3_1':0.0},(378,495):{'3_1':0.0,'4_1':0.0},(378,494):{'3_1':0.0},(378,493):{'3_1':0.0,'4_1':0.0},(378,491):{'3_1':0.0},(378,489):{'3_1':0.03},(378,488):{'3_1':0.0},(378,487):{'3_1':0.0},(378,486):{'3_1':0.0},(378,485):{'3_1':0.0},(378,483):{'3_1':0.0},(378,482):{'3_1':0.0},(378,481):{'3_1':0.0},(378,480):{'3_1':0.0},(378,479):{'3_1':0.03},(378,477):{'3_1':0.0},(378,476):{'3_1':0.03},(378,475):{'3_1':0.0},(378,474):{'3_1':0.0},(378,473):{'3_1':0.0,'5_1':0.0},(378,471):{'3_1':0.0},(378,470):{'3_1':0.0},(378,469):{'3_1':0.0},(378,468):{'3_1':0.0},(378,467):{'3_1':0.0},(378,466):{'3_1':0.0},(378,465):{'3_1':0.0},(378,464):{'3_1':0.0},(378,463):{'3_1':0.0},(378,462):{'5_2':0.0},(378,461):{'3_1':0.0},(378,460):{'3_1':0.0},(378,457):{'3_1':0.0},(378,456):{'3_1':0.0},(378,455):{'3_1':0.0},(378,451):{'3_1':0.0},(378,449):{'3_1':0.0},(378,448):{'3_1':0.0},(378,447):{'3_1':0.0},(378,446):{'3_1':0.0},(378,440):{'3_1':0.0,'4_1':0.0},(378,439):{'3_1':0.0},(378,437):{'3_1':0.03},(378,436):{'3_1':0.0},(378,435):{'3_1':0.0},(378,434):{'3_1':0.0,'5_1':0.0},(378,432):{'3_1':0.0},(378,431):{'3_1':0.0},(378,430):{'3_1':0.0},(378,429):{'3_1':0.0},(378,428):{'3_1':0.0},(378,424):{'3_1':0.0},(378,421):{'3_1':0.0},(378,420):{'3_1':0.0},(378,400):{'3_1':0.0},(378,399):{'3_1':0.0},(379,727):{'3_1':0.0},(379,726):{'3_1':0.0},(379,725):{'3_1':0.03,'8_20|3_1#3_1':0.0},(379,724):{'3_1':0.0,'4_1':0.0},(379,723):{'3_1':0.03,'5_2':0.0},(379,722):{'3_1':0.0},(379,721):{'3_1':0.0},(379,720):{'3_1':0.0},(379,719):{'3_1':0.0},(379,718):{'3_1':0.03,'5_2':0.0},(379,717):{'3_1':0.0,'5_2':0.0},(379,716):{'3_1':0.0},(379,715):{'3_1':0.0,'5_2':0.0},(379,714):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(379,713):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(379,712):{'3_1':0.06},(379,711):{'3_1':0.03,'5_1':0.0},(379,710):{'3_1':0.0},(379,709):{'3_1':0.0,'5_2':0.0},(379,708):{'3_1':0.0},(379,707):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(379,706):{'3_1':0.03,'5_1':0.0},(379,705):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(379,704):{'3_1':0.03},(379,703):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(379,702):{'3_1':0.03,'5_2':0.0},(379,701):{'3_1':0.03},(379,700):{'3_1':0.03},(379,699):{'3_1':0.0,'7_3':0.0,'7_6':0.0},(379,698):{'3_1':0.06,'5_2':0.0},(379,697):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(379,696):{'3_1':0.03},(379,695):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(379,694):{'3_1':0.0,'4_1':0.0},(379,693):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(379,692):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(379,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(379,690):{'3_1':0.09,'4_1':0.0},(379,689):{'3_1':0.09,'5_1':0.0},(379,688):{'3_1':0.03},(379,687):{'3_1':0.03},(379,686):{'3_1':0.03,'5_2':0.0},(379,685):{'3_1':0.06,'4_1':0.0},(379,684):{'3_1':0.03},(379,683):{'3_1':0.06},(379,682):{'3_1':0.06,'5_2':0.0,'7_3':0.0},(379,681):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(379,680):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(379,679):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(379,678):{'3_1':0.03,'8_3':0.0},(379,677):{'3_1':0.03},(379,676):{'3_1':0.0,'5_2':0.0},(379,675):{'3_1':0.12},(379,674):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(379,673):{'3_1':0.03},(379,672):{'3_1':0.06},(379,671):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(379,670):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(379,669):{'3_1':0.0},(379,668):{'3_1':0.06},(379,667):{'3_1':0.0},(379,666):{'3_1':0.0},(379,665):{'3_1':0.0,'4_1':0.0},(379,664):{'3_1':0.03,'5_2':0.0},(379,663):{'3_1':0.06,'5_2':0.0},(379,662):{'3_1':0.0,'6_1':0.0},(379,661):{'3_1':0.03,'4_1':0.0},(379,660):{'3_1':0.0,'4_1':0.0},(379,659):{'3_1':0.03},(379,658):{'3_1':0.0},(379,657):{'3_1':0.0,'4_1':0.0},(379,656):{'3_1':0.0,'4_1':0.0},(379,655):{'3_1':0.03,'5_2':0.0},(379,654):{'3_1':0.03},(379,653):{'3_1':0.03},(379,652):{'3_1':0.0},(379,651):{'3_1':0.03,'5_2':0.0},(379,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(379,649):{'3_1':0.0,'4_1':0.0},(379,648):{'3_1':0.03},(379,647):{'3_1':0.03,'6_1':0.0},(379,646):{'3_1':0.03,'5_2':0.0},(379,645):{'3_1':0.0},(379,644):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(379,643):{'3_1':0.06},(379,642):{'3_1':0.03},(379,641):{'3_1':0.06,'5_2':0.0},(379,640):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(379,639):{'3_1':0.03},(379,638):{'3_1':0.06,'5_1':0.0},(379,637):{'3_1':0.06,'5_1':0.0},(379,636):{'3_1':0.06,'5_2':0.0},(379,635):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(379,634):{'3_1':0.03,'5_2':0.0},(379,633):{'3_1':0.0},(379,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(379,631):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(379,630):{'3_1':0.06,'5_2':0.0},(379,629):{'3_1':0.06},(379,628):{'3_1':0.12},(379,627):{'3_1':0.03},(379,626):{'3_1':0.06},(379,625):{'3_1':0.12,'5_2':0.0},(379,624):{'3_1':0.06,'4_1':0.0},(379,623):{'3_1':0.03,'5_2':0.0},(379,622):{'3_1':0.03,'4_1':0.0},(379,621):{'3_1':0.0,'4_1':0.0},(379,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(379,619):{'3_1':0.03},(379,618):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(379,617):{'3_1':0.0},(379,616):{'3_1':0.0},(379,615):{'3_1':0.03},(379,614):{'3_1':0.0,'5_1':0.0},(379,613):{'3_1':0.0,'5_2':0.0},(379,612):{'3_1':0.03},(379,611):{'3_1':0.03},(379,610):{'3_1':0.03},(379,609):{'4_1':0.0,'3_1':0.0},(379,608):{'3_1':0.0,'4_1':0.0},(379,607):{'3_1':0.03,'4_1':0.0},(379,606):{'3_1':0.0,'4_1':0.0},(379,605):{'3_1':0.03},(379,604):{'3_1':0.0},(379,603):{'4_1':0.0},(379,602):{'3_1':0.0},(379,600):{'3_1':0.0,'5_1':0.0},(379,599):{'3_1':0.0},(379,598):{'3_1':0.0},(379,597):{'3_1':0.0,'5_2':0.0},(379,596):{'3_1':0.0},(379,594):{'3_1':0.0,'7_1':0.0},(379,593):{'3_1':0.0},(379,592):{'3_1':0.0,'4_1':0.0},(379,591):{'3_1':0.0},(379,590):{'7_6':0.0},(379,589):{'3_1':0.0,'4_1':0.0},(379,588):{'4_1':0.0},(379,587):{'3_1':0.0},(379,586):{'3_1':0.0},(379,584):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(379,583):{'4_1':0.0},(379,581):{'3_1':0.0},(379,579):{'3_1':0.0},(379,578):{'4_1':0.0},(379,576):{'3_1':0.0},(379,575):{'3_1':0.0,'5_2':0.0},(379,574):{'4_1':0.0,'3_1':0.0},(379,573):{'3_1':0.0,'5_2':0.0},(379,572):{'3_1':0.0,'5_1':0.0},(379,571):{'3_1':0.0},(379,570):{'3_1':0.0},(379,569):{'3_1':0.0},(379,568):{'3_1':0.0},(379,566):{'3_1':0.0},(379,565):{'3_1':0.0,'5_1':0.0},(379,564):{'3_1':0.0,'4_1':0.0},(379,563):{'3_1':0.0},(379,562):{'4_1':0.0},(379,561):{'3_1':0.0},(379,559):{'3_1':0.0,'4_1':0.0},(379,558):{'3_1':0.03},(379,556):{'3_1':0.03,'4_1':0.0},(379,555):{'3_1':0.03,'4_1':0.0},(379,554):{'3_1':0.0},(379,553):{'3_1':0.0},(379,552):{'3_1':0.03,'4_1':0.0},(379,551):{'3_1':0.0,'4_1':0.0},(379,549):{'3_1':0.0},(379,548):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(379,547):{'3_1':0.0,'4_1':0.0},(379,546):{'4_1':0.0,'3_1':0.0},(379,545):{'3_1':0.0},(379,544):{'3_1':0.0},(379,543):{'3_1':0.0,'4_1':0.0},(379,542):{'3_1':0.0},(379,541):{'3_1':0.0,'4_1':0.0},(379,540):{'4_1':0.0,'3_1':0.0},(379,539):{'3_1':0.0},(379,538):{'3_1':0.0},(379,537):{'3_1':0.0,'4_1':0.0},(379,536):{'3_1':0.0},(379,535):{'3_1':0.03},(379,534):{'3_1':0.0},(379,533):{'3_1':0.0},(379,532):{'3_1':0.0,'4_1':0.0},(379,531):{'3_1':0.0},(379,530):{'3_1':0.0},(379,529):{'3_1':0.0},(379,528):{'3_1':0.0},(379,527):{'3_1':0.0,'4_1':0.0},(379,526):{'3_1':0.03,'4_1':0.0},(379,525):{'3_1':0.0,'5_1':0.0},(379,524):{'3_1':0.0},(379,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(379,521):{'3_1':0.0},(379,520):{'4_1':0.0},(379,519):{'4_1':0.0},(379,518):{'3_1':0.0},(379,516):{'3_1':0.0,'4_1':0.0},(379,515):{'3_1':0.0,'4_1':0.0},(379,514):{'4_1':0.0},(379,512):{'3_1':0.0},(379,511):{'3_1':0.0},(379,510):{'3_1':0.0},(379,509):{'3_1':0.0,'4_1':0.0},(379,506):{'3_1':0.0},(379,505):{'3_1':0.0},(379,504):{'3_1':0.0},(379,503):{'3_1':0.0},(379,502):{'3_1':0.0,'4_1':0.0},(379,501):{'3_1':0.0,'4_1':0.0},(379,497):{'3_1':0.0,'4_1':0.0},(379,496):{'3_1':0.03},(379,495):{'3_1':0.03},(379,494):{'4_1':0.0},(379,493):{'3_1':0.0},(379,491):{'3_1':0.0},(379,490):{'3_1':0.0,'4_1':0.0},(379,489):{'3_1':0.0},(379,487):{'3_1':0.0},(379,485):{'3_1':0.0},(379,484):{'3_1':0.0},(379,483):{'3_1':0.0},(379,482):{'3_1':0.0,'4_1':0.0},(379,481):{'3_1':0.03},(379,480):{'3_1':0.03},(379,479):{'3_1':0.06},(379,478):{'3_1':0.0},(379,477):{'3_1':0.0},(379,476):{'3_1':0.0,'5_1':0.0},(379,475):{'3_1':0.0},(379,474):{'3_1':0.03},(379,472):{'3_1':0.06},(379,471):{'3_1':0.0,'5_1':0.0},(379,470):{'3_1':0.0},(379,469):{'3_1':0.0},(379,467):{'3_1':0.0},(379,466):{'4_1':0.0},(379,464):{'3_1':0.0},(379,460):{'3_1':0.0},(379,458):{'3_1':0.0},(379,457):{'5_2':0.0},(379,455):{'3_1':0.0},(379,448):{'3_1':0.0},(379,446):{'3_1':0.0},(379,444):{'3_1':0.0},(379,443):{'3_1':0.0},(379,442):{'3_1':0.0},(379,441):{'3_1':0.0},(379,439):{'3_1':0.0,'4_1':0.0},(379,437):{'3_1':0.0},(379,436):{'3_1':0.0},(379,435):{'3_1':0.0},(379,434):{'3_1':0.03},(379,432):{'3_1':0.0},(379,431):{'3_1':0.0},(379,430):{'3_1':0.0},(379,429):{'3_1':0.0},(379,427):{'3_1':0.0},(379,426):{'3_1':0.0},(379,425):{'3_1':0.0},(379,424):{'3_1':0.0,'4_1':0.0},(379,422):{'3_1':0.0},(379,418):{'3_1':0.0},(379,401):{'3_1':0.0},(379,400):{'3_1':0.0},(379,394):{'3_1':0.0},(380,727):{'3_1':0.0},(380,726):{'3_1':0.0},(380,725):{'3_1':0.03,'4_1':0.0},(380,724):{'3_1':0.0,'5_1':0.0},(380,723):{'5_2':0.0},(380,722):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(380,721):{'3_1':0.03},(380,720):{'3_1':0.03,'5_2':0.0},(380,719):{'3_1':0.0,'4_1':0.0},(380,718):{'3_1':0.0,'5_2':0.0},(380,717):{'3_1':0.0},(380,716):{'3_1':0.0},(380,715):{'3_1':0.0,'6_1':0.0},(380,714):{'3_1':0.03,'4_1':0.0},(380,713):{'3_1':0.0,'5_2':0.0},(380,712):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(380,711):{'3_1':0.06},(380,710):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(380,709):{'3_1':0.0},(380,708):{'3_1':0.03},(380,707):{'3_1':0.03,'5_1':0.0},(380,706):{'3_1':0.06},(380,705):{'3_1':0.06},(380,704):{'3_1':0.03,'6_2':0.0,'7_1':0.0},(380,703):{'3_1':0.03,'5_2':0.0},(380,702):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(380,701):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(380,700):{'3_1':0.03},(380,699):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(380,698):{'3_1':0.03,'5_2':0.0},(380,697):{'3_1':0.0,'4_1':0.0},(380,696):{'3_1':0.03},(380,695):{'3_1':0.03,'5_1':0.0},(380,694):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(380,693):{'5_2':0.0,'3_1':0.0},(380,692):{'3_1':0.06},(380,691):{'3_1':0.03,'4_1':0.0},(380,690):{'3_1':0.09,'4_1':0.0},(380,689):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(380,688):{'3_1':0.0,'5_1':0.0},(380,687):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(380,686):{'3_1':0.06,'4_1':0.0},(380,685):{'3_1':0.06},(380,684):{'3_1':0.03},(380,683):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(380,682):{'3_1':0.03},(380,681):{'3_1':0.06,'4_1':0.0},(380,680):{'3_1':0.03},(380,679):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(380,678):{'3_1':0.0},(380,677):{'3_1':0.0,'4_1':0.0},(380,676):{'3_1':0.06,'4_1':0.0},(380,675):{'3_1':0.06,'5_2':0.0},(380,674):{'3_1':0.03,'5_1':0.0},(380,673):{'3_1':0.03},(380,672):{'3_1':0.03,'5_2':0.0},(380,671):{'3_1':0.06},(380,670):{'3_1':0.03,'5_1':0.0},(380,669):{'3_1':0.03},(380,668):{'3_1':0.03,'4_1':0.0},(380,667):{'3_1':0.03},(380,666):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(380,665):{'3_1':0.0},(380,664):{'3_1':0.0,'5_1':0.0},(380,663):{'3_1':0.0},(380,662):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(380,661):{'3_1':0.03},(380,660):{'3_1':0.09},(380,659):{'3_1':0.03},(380,658):{'3_1':0.0},(380,657):{'3_1':0.06,'5_2':0.0},(380,656):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(380,655):{'3_1':0.03},(380,654):{'3_1':0.03,'5_1':0.0},(380,653):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(380,652):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(380,651):{'3_1':0.03,'4_1':0.0},(380,650):{'3_1':0.0},(380,649):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(380,648):{'3_1':0.0},(380,647):{'3_1':0.0,'5_2':0.0},(380,646):{'3_1':0.06},(380,645):{'3_1':0.06,'5_1':0.0},(380,644):{'3_1':0.03,'5_1':0.0},(380,643):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(380,642):{'3_1':0.06},(380,641):{'3_1':0.09,'4_1':0.0},(380,640):{'3_1':0.09,'4_1':0.0},(380,639):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(380,638):{'3_1':0.0,'4_1':0.0},(380,637):{'3_1':0.09},(380,636):{'3_1':0.03,'5_2':0.0},(380,635):{'3_1':0.0},(380,634):{'3_1':0.09,'5_2':0.0},(380,633):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(380,632):{'3_1':0.03},(380,631):{'3_1':0.03,'5_1':0.0},(380,630):{'3_1':0.06,'5_1':0.0},(380,629):{'3_1':0.0},(380,628):{'3_1':0.03,'5_2':0.0},(380,627):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(380,626):{'3_1':0.06,'5_1':0.0},(380,625):{'3_1':0.12,'5_1':0.0},(380,624):{'3_1':0.0,'5_1':0.0},(380,623):{'3_1':0.03,'5_1':0.0},(380,622):{'3_1':0.03,'5_2':0.0},(380,621):{'3_1':0.03,'4_1':0.0},(380,620):{'3_1':0.03},(380,619):{'3_1':0.03},(380,618):{'3_1':0.03,'5_1':0.0},(380,617):{'3_1':0.06,'5_2':0.0},(380,616):{'3_1':0.03},(380,615):{'3_1':0.0},(380,614):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(380,613):{'3_1':0.0},(380,612):{'3_1':0.0},(380,611):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(380,610):{'3_1':0.03},(380,609):{'3_1':0.0},(380,608):{'3_1':0.0},(380,607):{'3_1':0.03},(380,606):{'3_1':0.0},(380,605):{'3_1':0.0,'4_1':0.0},(380,604):{'3_1':0.0},(380,602):{'3_1':0.0},(380,601):{'3_1':0.0},(380,600):{'3_1':0.0,'5_1':0.0},(380,599):{'3_1':0.0},(380,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(380,597):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(380,596):{'3_1':0.0},(380,594):{'3_1':0.0,'4_1':0.0},(380,592):{'3_1':0.0},(380,591):{'5_2':0.0},(380,590):{'3_1':0.0},(380,589):{'3_1':0.0,'7_6':0.0},(380,588):{'3_1':0.0},(380,585):{'3_1':0.0},(380,582):{'3_1':0.0},(380,581):{'4_1':0.0},(380,580):{'3_1':0.0},(380,576):{'3_1':0.0},(380,575):{'3_1':0.0,'4_1':0.0},(380,574):{'3_1':0.0},(380,572):{'3_1':0.0},(380,569):{'3_1':0.0,'4_1':0.0},(380,568):{'3_1':0.0},(380,567):{'3_1':0.0},(380,566):{'3_1':0.0},(380,565):{'4_1':0.0},(380,564):{'3_1':0.0},(380,563):{'3_1':0.0,'4_1':0.0},(380,561):{'4_1':0.0},(380,559):{'3_1':0.0},(380,558):{'4_1':0.0},(380,557):{'3_1':0.0},(380,556):{'3_1':0.0,'4_1':0.0},(380,555):{'3_1':0.0,'4_1':0.0},(380,553):{'3_1':0.0,'4_1':0.0},(380,552):{'3_1':0.0},(380,551):{'3_1':0.03},(380,550):{'3_1':0.0},(380,549):{'4_1':0.0},(380,548):{'3_1':0.0},(380,547):{'3_1':0.0,'4_1':0.0},(380,546):{'3_1':0.0},(380,545):{'3_1':0.0},(380,544):{'3_1':0.0,'4_1':0.0},(380,542):{'3_1':0.0},(380,541):{'3_1':0.0},(380,540):{'3_1':0.03,'4_1':0.0},(380,539):{'3_1':0.0},(380,538):{'3_1':0.03},(380,537):{'3_1':0.0,'4_1':0.0},(380,536):{'3_1':0.0,'4_1':0.0},(380,535):{'3_1':0.0,'4_1':0.0},(380,534):{'3_1':0.0},(380,533):{'3_1':0.0},(380,532):{'3_1':0.0},(380,531):{'3_1':0.0},(380,530):{'3_1':0.0,'4_1':0.0},(380,529):{'3_1':0.0,'4_1':0.0},(380,528):{'3_1':0.03},(380,527):{'4_1':0.0},(380,526):{'3_1':0.03},(380,525):{'3_1':0.0,'4_1':0.0},(380,524):{'3_1':0.0,'4_1':0.0},(380,523):{'3_1':0.0},(380,522):{'3_1':0.0},(380,521):{'3_1':0.0},(380,520):{'3_1':0.0},(380,519):{'3_1':0.03},(380,518):{'3_1':0.0},(380,517):{'3_1':0.03},(380,516):{'3_1':0.0},(380,514):{'3_1':0.0},(380,512):{'4_1':0.0,'3_1':0.0},(380,510):{'3_1':0.0,'4_1':0.0},(380,507):{'3_1':0.0},(380,503):{'3_1':0.0},(380,500):{'3_1':0.0,'4_1':0.0},(380,498):{'3_1':0.0},(380,496):{'3_1':0.0},(380,495):{'3_1':0.0},(380,494):{'3_1':0.0,'5_1':0.0},(380,492):{'3_1':0.03},(380,491):{'3_1':0.0},(380,490):{'3_1':0.0},(380,488):{'3_1':0.0},(380,486):{'3_1':0.0},(380,485):{'3_1':0.0},(380,484):{'3_1':0.0},(380,483):{'3_1':0.0},(380,482):{'3_1':0.0},(380,481):{'3_1':0.0},(380,480):{'3_1':0.03},(380,479):{'3_1':0.0},(380,478):{'3_1':0.03},(380,477):{'3_1':0.06},(380,475):{'3_1':0.0},(380,474):{'3_1':0.0},(380,473):{'3_1':0.0},(380,471):{'3_1':0.0},(380,468):{'3_1':0.0},(380,467):{'3_1':0.0},(380,466):{'3_1':0.0},(380,465):{'3_1':0.0},(380,463):{'4_1':0.0},(380,461):{'3_1':0.0},(380,458):{'3_1':0.0},(380,455):{'3_1':0.0},(380,450):{'3_1':0.0},(380,442):{'3_1':0.0},(380,441):{'3_1':0.0},(380,439):{'3_1':0.0,'5_1':0.0},(380,438):{'3_1':0.0},(380,436):{'3_1':0.0},(380,435):{'3_1':0.03,'5_1':0.0},(380,433):{'3_1':0.0},(380,432):{'3_1':0.0},(380,430):{'3_1':0.03},(380,429):{'3_1':0.0},(380,427):{'3_1':0.0},(380,423):{'3_1':0.0},(380,420):{'4_1':0.0},(380,417):{'3_1':0.0},(380,415):{'3_1':0.0},(380,410):{'3_1':0.0},(380,408):{'3_1':0.0},(380,400):{'3_1':0.0},(381,727):{'3_1':0.0},(381,726):{'3_1':0.0,'5_2':0.0},(381,725):{'3_1':0.0},(381,724):{'3_1':0.03},(381,723):{'3_1':0.0},(381,722):{'3_1':0.0},(381,721):{'3_1':0.0},(381,720):{'3_1':0.03},(381,719):{'3_1':0.0,'5_2':0.0},(381,718):{'3_1':0.03},(381,717):{'3_1':0.03},(381,716):{'3_1':0.0},(381,715):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(381,714):{'3_1':0.03,'5_2':0.0},(381,713):{'3_1':0.0,'5_2':0.0},(381,712):{'3_1':0.0,'5_1':0.0},(381,711):{'3_1':0.0},(381,710):{'3_1':0.06},(381,709):{'3_1':0.03},(381,708):{'3_1':0.06,'8_21|3_1#4_1':0.0},(381,707):{'3_1':0.06},(381,706):{'3_1':0.06,'4_1':0.0},(381,705):{'3_1':0.03,'5_1':0.0},(381,704):{'3_1':0.0,'5_2':0.0},(381,703):{'3_1':0.0,'4_1':0.0},(381,702):{'3_1':0.0,'4_1':0.0},(381,701):{'3_1':0.06,'5_2':0.0},(381,700):{'3_1':0.03},(381,699):{'3_1':0.0,'4_1':0.0},(381,698):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(381,697):{'5_2':0.0,'3_1':0.0},(381,696):{'3_1':0.0},(381,695):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(381,694):{'3_1':0.0},(381,693):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(381,692):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(381,691):{'3_1':0.03,'4_1':0.0},(381,690):{'3_1':0.06},(381,689):{'3_1':0.0,'5_2':0.0},(381,688):{'3_1':0.0,'5_2':0.0},(381,687):{'3_1':0.06,'5_2':0.0},(381,686):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(381,685):{'3_1':0.03,'4_1':0.0},(381,684):{'3_1':0.06},(381,683):{'3_1':0.03,'4_1':0.0},(381,682):{'3_1':0.0,'4_1':0.0,'7_1':0.0,'8_1':0.0},(381,681):{'3_1':0.03,'5_1':0.0},(381,680):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(381,679):{'3_1':0.06,'4_1':0.0},(381,678):{'3_1':0.03,'4_1':0.0},(381,677):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(381,676):{'3_1':0.06,'5_1':0.0},(381,675):{'3_1':0.06},(381,674):{'3_1':0.06,'5_2':0.0},(381,673):{'3_1':0.03},(381,672):{'3_1':0.03},(381,671):{'3_1':0.06,'5_2':0.0},(381,670):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(381,669):{'3_1':0.06},(381,668):{'3_1':0.06},(381,667):{'3_1':0.06},(381,666):{'3_1':0.06,'5_2':0.0},(381,665):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(381,664):{'3_1':0.03,'5_2':0.0},(381,663):{'3_1':0.03},(381,662):{'3_1':0.03},(381,661):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(381,660):{'3_1':0.03,'4_1':0.0},(381,659):{'3_1':0.03,'5_1':0.0},(381,658):{'3_1':0.0,'5_2':0.0},(381,657):{'3_1':0.03,'5_1':0.0},(381,656):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(381,655):{'3_1':0.0},(381,654):{'3_1':0.03},(381,653):{'3_1':0.0,'4_1':0.0},(381,652):{'3_1':0.0},(381,651):{'3_1':0.06},(381,650):{'3_1':0.03,'5_2':0.0},(381,649):{'3_1':0.03,'4_1':0.0},(381,648):{'3_1':0.03,'5_2':0.0},(381,647):{'3_1':0.06},(381,646):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(381,645):{'3_1':0.03,'5_1':0.0},(381,644):{'3_1':0.06},(381,643):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(381,642):{'3_1':0.0},(381,641):{'3_1':0.03,'4_1':0.0},(381,640):{'3_1':0.06,'5_1':0.0},(381,639):{'3_1':0.09},(381,638):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(381,637):{'3_1':0.06,'5_2':0.0},(381,636):{'3_1':0.03},(381,635):{'3_1':0.06},(381,634):{'3_1':0.03},(381,633):{'3_1':0.06,'5_2':0.0},(381,632):{'3_1':0.06,'5_2':0.0},(381,631):{'3_1':0.03,'4_1':0.0},(381,630):{'3_1':0.03,'5_2':0.0},(381,629):{'3_1':0.06,'5_2':0.0},(381,628):{'3_1':0.06},(381,627):{'3_1':0.06,'5_2':0.0},(381,626):{'3_1':0.12},(381,625):{'3_1':0.03},(381,624):{'3_1':0.06},(381,623):{'3_1':0.06},(381,622):{'3_1':0.06,'5_1':0.0},(381,621):{'3_1':0.03,'5_1':0.0},(381,620):{'3_1':0.0,'4_1':0.0},(381,619):{'3_1':0.03},(381,618):{'3_1':0.0,'5_1':0.0},(381,617):{'3_1':0.06,'4_1':0.0},(381,616):{'3_1':0.03},(381,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(381,614):{'3_1':0.03,'4_1':0.0},(381,613):{'3_1':0.0,'5_1':0.0},(381,612):{'3_1':0.0},(381,611):{'3_1':0.0,'4_1':0.0},(381,610):{'3_1':0.0,'5_2':0.0},(381,609):{'3_1':0.0},(381,608):{'3_1':0.0},(381,607):{'3_1':0.0},(381,606):{'3_1':0.0},(381,605):{'3_1':0.0,'6_1':0.0},(381,604):{'3_1':0.0},(381,602):{'3_1':0.0},(381,601):{'3_1':0.0,'5_1':0.0},(381,600):{'3_1':0.0},(381,598):{'4_1':0.0},(381,597):{'4_1':0.0},(381,596):{'3_1':0.0,'5_2':0.0},(381,594):{'3_1':0.0,'5_2':0.0},(381,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(381,592):{'3_1':0.0,'4_1':0.0},(381,590):{'4_1':0.0,'3_1':0.0},(381,589):{'3_1':0.0,'4_1':0.0},(381,588):{'3_1':0.0},(381,587):{'3_1':0.0,'4_1':0.0},(381,585):{'4_1':0.0},(381,583):{'3_1':0.0,'5_2':0.0},(381,574):{'3_1':0.0},(381,573):{'3_1':0.0},(381,572):{'3_1':0.03},(381,570):{'3_1':0.0,'4_1':0.0},(381,569):{'4_1':0.0,'3_1':0.0},(381,568):{'3_1':0.0},(381,567):{'3_1':0.0},(381,566):{'4_1':0.0,'3_1':0.0},(381,565):{'3_1':0.0,'6_2':0.0},(381,564):{'4_1':0.0},(381,563):{'3_1':0.0,'4_1':0.0},(381,562):{'3_1':0.0},(381,561):{'3_1':0.0,'4_1':0.0},(381,560):{'3_1':0.0,'4_1':0.0},(381,559):{'3_1':0.0,'4_1':0.0},(381,558):{'3_1':0.03,'4_1':0.0},(381,557):{'3_1':0.0},(381,556):{'3_1':0.0},(381,555):{'3_1':0.0,'5_1':0.0},(381,554):{'3_1':0.03},(381,553):{'3_1':0.0,'4_1':0.0},(381,552):{'3_1':0.0},(381,551):{'3_1':0.0,'4_1':0.0},(381,550):{'3_1':0.0},(381,549):{'3_1':0.0},(381,548):{'3_1':0.0,'4_1':0.0},(381,547):{'3_1':0.0},(381,546):{'3_1':0.0,'4_1':0.0},(381,545):{'3_1':0.0,'4_1':0.0},(381,544):{'3_1':0.0},(381,543):{'3_1':0.0},(381,542):{'3_1':0.0},(381,541):{'3_1':0.0},(381,540):{'3_1':0.0,'4_1':0.0},(381,539):{'3_1':0.0},(381,538):{'3_1':0.0},(381,537):{'3_1':0.0},(381,536):{'3_1':0.03,'4_1':0.0},(381,535):{'3_1':0.03},(381,534):{'3_1':0.03},(381,533):{'3_1':0.0,'4_1':0.0},(381,532):{'3_1':0.0},(381,531):{'4_1':0.0},(381,530):{'3_1':0.0,'4_1':0.0},(381,529):{'3_1':0.03},(381,528):{'3_1':0.0,'4_1':0.0},(381,527):{'3_1':0.0},(381,525):{'3_1':0.03},(381,524):{'3_1':0.0},(381,523):{'3_1':0.0,'4_1':0.0},(381,522):{'3_1':0.0},(381,521):{'3_1':0.0},(381,520):{'3_1':0.0},(381,519):{'3_1':0.0},(381,518):{'3_1':0.0},(381,516):{'3_1':0.0},(381,515):{'3_1':0.0},(381,514):{'3_1':0.0},(381,512):{'3_1':0.0},(381,511):{'3_1':0.0},(381,509):{'3_1':0.0},(381,508):{'3_1':0.0},(381,507):{'3_1':0.0},(381,506):{'3_1':0.0,'4_1':0.0},(381,504):{'3_1':0.0},(381,502):{'3_1':0.0},(381,501):{'3_1':0.0},(381,500):{'3_1':0.03},(381,499):{'3_1':0.0},(381,496):{'3_1':0.0},(381,495):{'3_1':0.0},(381,492):{'3_1':0.0},(381,491):{'3_1':0.0},(381,490):{'3_1':0.0},(381,489):{'3_1':0.0},(381,488):{'3_1':0.0},(381,487):{'3_1':0.0},(381,486):{'3_1':0.0},(381,485):{'3_1':0.0},(381,484):{'3_1':0.0,'5_1':0.0},(381,483):{'3_1':0.0},(381,482):{'3_1':0.0},(381,481):{'3_1':0.0},(381,480):{'3_1':0.0},(381,479):{'3_1':0.03},(381,478):{'3_1':0.03},(381,477):{'3_1':0.0},(381,476):{'3_1':0.0},(381,475):{'3_1':0.0},(381,474):{'3_1':0.0},(381,473):{'3_1':0.0,'5_1':0.0},(381,472):{'3_1':0.0,'4_1':0.0},(381,471):{'3_1':0.0},(381,470):{'3_1':0.0},(381,469):{'3_1':0.0},(381,468):{'3_1':0.0,'4_1':0.0},(381,465):{'3_1':0.0,'5_1':0.0},(381,463):{'3_1':0.0},(381,462):{'3_1':0.0},(381,461):{'3_1':0.0},(381,460):{'3_1':0.0},(381,457):{'3_1':0.0},(381,452):{'3_1':0.0},(381,450):{'3_1':0.0},(381,449):{'3_1':0.0},(381,448):{'3_1':0.0},(381,446):{'3_1':0.0},(381,445):{'3_1':0.0},(381,442):{'3_1':0.0},(381,440):{'3_1':0.0},(381,439):{'3_1':0.0},(381,438):{'3_1':0.0},(381,437):{'3_1':0.0},(381,436):{'3_1':0.0},(381,435):{'3_1':0.0},(381,434):{'4_1':0.0},(381,433):{'3_1':0.0,'5_1':0.0},(381,432):{'3_1':0.0},(381,431):{'3_1':0.0},(381,430):{'3_1':0.0,'4_1':0.0},(381,429):{'3_1':0.0,'5_2':0.0},(381,428):{'3_1':0.0,'4_1':0.0},(381,427):{'3_1':0.0},(381,425):{'3_1':0.0},(381,417):{'3_1':0.0},(381,412):{'3_1':0.0},(381,408):{'3_1':0.0},(381,399):{'3_1':0.0},(381,397):{'3_1':0.0},(381,393):{'5_2':0.0},(382,727):{'3_1':0.0},(382,726):{'3_1':0.0},(382,724):{'3_1':0.0},(382,723):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(382,722):{'3_1':0.03,'5_2':0.0},(382,721):{'3_1':0.03},(382,720):{'3_1':0.0},(382,719):{'3_1':0.0,'4_1':0.0},(382,718):{'5_1':0.0},(382,717):{'3_1':0.0},(382,715):{'3_1':0.0,'4_1':0.0},(382,714):{'3_1':0.0},(382,713):{'3_1':0.03,'5_1':0.0},(382,712):{'3_1':0.03,'5_2':0.0},(382,711):{'3_1':0.03},(382,710):{'3_1':0.0},(382,709):{'3_1':0.03},(382,708):{'3_1':0.0,'5_2':0.0},(382,707):{'3_1':0.0},(382,706):{'3_1':0.06},(382,705):{'3_1':0.0},(382,704):{'3_1':0.03},(382,703):{'3_1':0.03,'5_1':0.0,'7_6':0.0},(382,702):{'3_1':0.03},(382,701):{'3_1':0.03},(382,700):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(382,699):{'3_1':0.0,'5_2':0.0},(382,698):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(382,697):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(382,696):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(382,695):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(382,694):{'3_1':0.03},(382,693):{'3_1':0.03,'5_2':0.0},(382,692):{'3_1':0.0,'5_2':0.0},(382,691):{'3_1':0.03,'4_1':0.0},(382,690):{'3_1':0.03,'6_1':0.0},(382,689):{'5_2':0.0,'3_1':0.0,'6_1':0.0},(382,688):{'3_1':0.03},(382,687):{'3_1':0.03},(382,686):{'3_1':0.03,'5_1':0.0,'9_1':0.0},(382,685):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'9_1':0.0},(382,684):{'3_1':0.03,'5_2':0.0},(382,683):{'3_1':0.03,'5_2':0.0},(382,682):{'3_1':0.06},(382,681):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(382,680):{'3_1':0.0},(382,679):{'3_1':0.03,'5_2':0.0},(382,678):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(382,677):{'3_1':0.0},(382,676):{'5_2':0.0,'3_1':0.0,'7_3':0.0},(382,675):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(382,674):{'3_1':0.0,'7_4':0.0},(382,673):{'3_1':0.0},(382,672):{'3_1':0.0,'5_1':0.0},(382,671):{'3_1':0.03,'4_1':0.0},(382,670):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(382,669):{'3_1':0.0},(382,668):{'3_1':0.03},(382,667):{'3_1':0.09,'4_1':0.0},(382,666):{'3_1':0.03,'5_2':0.0},(382,665):{'3_1':0.03},(382,664):{'3_1':0.03},(382,663):{'3_1':0.03},(382,662):{'3_1':0.06,'4_1':0.0},(382,661):{'3_1':0.0,'5_2':0.0},(382,660):{'3_1':0.0},(382,659):{'3_1':0.03},(382,658):{'3_1':0.03,'5_1':0.0},(382,657):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(382,656):{'3_1':0.0,'4_1':0.0},(382,655):{'3_1':0.06},(382,654):{'3_1':0.06},(382,653):{'3_1':0.03,'5_1':0.0},(382,652):{'3_1':0.03},(382,651):{'3_1':0.0},(382,650):{'3_1':0.0,'5_2':0.0},(382,649):{'3_1':0.03},(382,648):{'3_1':0.03,'4_1':0.0},(382,647):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(382,646):{'3_1':0.03,'5_1':0.0},(382,645):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(382,644):{'3_1':0.06,'5_2':0.0},(382,643):{'3_1':0.03},(382,642):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(382,641):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(382,640):{'3_1':0.09,'5_2':0.0},(382,639):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(382,638):{'3_1':0.03},(382,637):{'3_1':0.06},(382,636):{'3_1':0.06,'5_2':0.0},(382,635):{'3_1':0.03,'8_20|3_1#3_1':0.0},(382,634):{'3_1':0.03,'5_2':0.0},(382,633):{'3_1':0.09,'5_2':0.0},(382,632):{'3_1':0.03},(382,631):{'3_1':0.12},(382,630):{'3_1':0.09,'5_2':0.0},(382,629):{'3_1':0.03,'5_2':0.0},(382,628):{'3_1':0.03},(382,627):{'3_1':0.06,'5_1':0.0},(382,626):{'3_1':0.06,'5_2':0.0},(382,625):{'3_1':0.03,'5_2':0.0},(382,624):{'3_1':0.03,'5_2':0.0},(382,623):{'3_1':0.03},(382,622):{'3_1':0.03,'4_1':0.0},(382,621):{'3_1':0.0},(382,620):{'3_1':0.03},(382,619):{'3_1':0.06},(382,618):{'3_1':0.0},(382,616):{'3_1':0.03,'5_2':0.0},(382,615):{'3_1':0.06},(382,614):{'3_1':0.03},(382,613):{'3_1':0.03,'4_1':0.0},(382,612):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(382,610):{'3_1':0.0},(382,609):{'3_1':0.0},(382,608):{'3_1':0.0,'4_1':0.0},(382,607):{'3_1':0.0},(382,606):{'3_1':0.03},(382,605):{'3_1':0.0},(382,604):{'3_1':0.03},(382,602):{'3_1':0.0},(382,601):{'3_1':0.0,'5_1':0.0},(382,600):{'3_1':0.0,'4_1':0.0},(382,599):{'3_1':0.0},(382,598):{'3_1':0.0},(382,597):{'3_1':0.0,'4_1':0.0},(382,596):{'4_1':0.0,'3_1':0.0},(382,595):{'3_1':0.0},(382,594):{'3_1':0.0,'4_1':0.0},(382,593):{'3_1':0.03},(382,592):{'3_1':0.0},(382,590):{'3_1':0.0,'4_1':0.0},(382,589):{'3_1':0.0},(382,588):{'3_1':0.03,'4_1':0.0},(382,587):{'3_1':0.0,'4_1':0.0},(382,586):{'3_1':0.0,'4_1':0.0},(382,585):{'4_1':0.0},(382,584):{'3_1':0.0},(382,583):{'3_1':0.0,'4_1':0.0},(382,582):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(382,580):{'3_1':0.0},(382,579):{'3_1':0.0,'5_1':0.0},(382,578):{'3_1':0.0,'4_1':0.0},(382,575):{'3_1':0.0},(382,574):{'3_1':0.0},(382,573):{'3_1':0.0},(382,571):{'3_1':0.0},(382,569):{'3_1':0.0},(382,568):{'4_1':0.0},(382,564):{'3_1':0.0,'4_1':0.0},(382,563):{'3_1':0.0},(382,562):{'3_1':0.0},(382,560):{'3_1':0.0},(382,559):{'3_1':0.0,'5_1':0.0},(382,558):{'3_1':0.0,'4_1':0.0},(382,556):{'4_1':0.0},(382,555):{'3_1':0.0,'4_1':0.0},(382,554):{'3_1':0.0},(382,553):{'3_1':0.0},(382,552):{'3_1':0.0},(382,551):{'3_1':0.0},(382,550):{'3_1':0.0},(382,549):{'3_1':0.0,'6_1':0.0},(382,548):{'3_1':0.0},(382,547):{'3_1':0.0},(382,546):{'3_1':0.03,'4_1':0.0},(382,545):{'3_1':0.0},(382,544):{'3_1':0.0},(382,543):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(382,542):{'3_1':0.0},(382,541):{'3_1':0.0},(382,539):{'3_1':0.0},(382,538):{'3_1':0.0,'4_1':0.0},(382,537):{'3_1':0.0},(382,536):{'3_1':0.0},(382,535):{'3_1':0.0},(382,534):{'3_1':0.0,'4_1':0.0},(382,533):{'3_1':0.0},(382,532):{'3_1':0.0,'4_1':0.0},(382,531):{'3_1':0.0},(382,530):{'3_1':0.03,'4_1':0.0},(382,529):{'3_1':0.0,'4_1':0.0},(382,528):{'3_1':0.0,'4_1':0.0},(382,527):{'3_1':0.0},(382,526):{'3_1':0.06,'4_1':0.0},(382,525):{'3_1':0.03},(382,524):{'3_1':0.0},(382,523):{'3_1':0.0},(382,522):{'3_1':0.0,'4_1':0.0},(382,520):{'3_1':0.0},(382,519):{'3_1':0.0},(382,518):{'3_1':0.0},(382,517):{'3_1':0.0},(382,516):{'3_1':0.0,'4_1':0.0},(382,514):{'3_1':0.0},(382,512):{'3_1':0.0},(382,510):{'3_1':0.0},(382,509):{'3_1':0.0},(382,508):{'3_1':0.0},(382,506):{'3_1':0.0},(382,504):{'3_1':0.0},(382,503):{'3_1':0.0},(382,502):{'3_1':0.0},(382,501):{'3_1':0.0},(382,495):{'3_1':0.0},(382,494):{'3_1':0.03},(382,493):{'4_1':0.0},(382,492):{'3_1':0.0},(382,491):{'3_1':0.0},(382,489):{'3_1':0.0},(382,488):{'3_1':0.0},(382,487):{'3_1':0.0},(382,486):{'3_1':0.0},(382,485):{'3_1':0.0},(382,484):{'3_1':0.0,'5_1':0.0},(382,483):{'3_1':0.0},(382,482):{'3_1':0.0},(382,481):{'3_1':0.0,'5_1':0.0},(382,480):{'3_1':0.0,'5_1':0.0},(382,479):{'3_1':0.0},(382,478):{'3_1':0.03},(382,477):{'3_1':0.0},(382,476):{'3_1':0.0,'4_1':0.0},(382,475):{'3_1':0.0},(382,474):{'3_1':0.0,'5_1':0.0},(382,473):{'3_1':0.0},(382,472):{'3_1':0.0},(382,471):{'3_1':0.03},(382,470):{'3_1':0.0},(382,469):{'3_1':0.0,'5_1':0.0},(382,466):{'3_1':0.0},(382,459):{'3_1':0.0},(382,451):{'3_1':0.0},(382,449):{'3_1':0.0},(382,445):{'3_1':0.0},(382,443):{'3_1':0.0},(382,442):{'3_1':0.0},(382,441):{'3_1':0.0},(382,440):{'3_1':0.0},(382,438):{'3_1':0.0},(382,437):{'3_1':0.0},(382,436):{'3_1':0.0},(382,435):{'3_1':0.0},(382,434):{'3_1':0.0},(382,433):{'3_1':0.0},(382,432):{'3_1':0.0},(382,430):{'3_1':0.0},(382,429):{'3_1':0.0},(382,425):{'4_1':0.0},(382,424):{'3_1':0.0,'4_1':0.0},(382,403):{'3_1':0.0},(382,402):{'3_1':0.0},(382,401):{'3_1':0.0},(382,399):{'3_1':0.0},(382,395):{'3_1':0.0},(383,727):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(383,725):{'3_1':0.0},(383,724):{'3_1':0.0},(383,723):{'3_1':0.0},(383,722):{'3_1':0.03},(383,721):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(383,720):{'3_1':0.0},(383,719):{'3_1':0.03},(383,718):{'3_1':0.03},(383,717):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(383,716):{'5_2':0.0},(383,715):{'3_1':0.0,'4_1':0.0},(383,714):{'3_1':0.0},(383,713):{'3_1':0.03,'5_2':0.0},(383,712):{'3_1':0.06,'5_1':0.0},(383,711):{'3_1':0.03},(383,710):{'3_1':0.03,'4_1':0.0},(383,709):{'3_1':0.03},(383,708):{'3_1':0.0,'5_2':0.0},(383,707):{'3_1':0.06,'4_1':0.0},(383,706):{'3_1':0.0,'5_2':0.0},(383,705):{'3_1':0.03,'5_2':0.0},(383,704):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(383,703):{'3_1':0.0,'5_2':0.0},(383,702):{'3_1':0.0},(383,701):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(383,700):{'3_1':0.03},(383,699):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(383,698):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(383,697):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(383,696):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(383,695):{'3_1':0.03},(383,694):{'3_1':0.0,'4_1':0.0},(383,693):{'5_2':0.0},(383,692):{'3_1':0.03,'4_1':0.0},(383,691):{'3_1':0.06},(383,690):{'3_1':0.06,'4_1':0.0},(383,689):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(383,688):{'3_1':0.0,'5_2':0.0},(383,687):{'3_1':0.0,'5_2':0.0},(383,686):{'3_1':0.03,'5_2':0.0},(383,685):{'3_1':0.06},(383,684):{'3_1':0.03,'5_2':0.0},(383,683):{'3_1':0.06},(383,682):{'3_1':0.0},(383,681):{'3_1':0.0},(383,680):{'3_1':0.06,'5_2':0.0,'5_1':0.0,'7_1':0.0},(383,679):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(383,678):{'3_1':0.06},(383,677):{'3_1':0.0},(383,676):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(383,675):{'3_1':0.0,'5_1':0.0},(383,674):{'3_1':0.0},(383,673):{'3_1':0.03,'5_2':0.0},(383,672):{'3_1':0.03,'5_2':0.0},(383,671):{'3_1':0.03},(383,670):{'3_1':0.03},(383,669):{'3_1':0.03},(383,668):{'3_1':0.03,'5_1':0.0},(383,667):{'3_1':0.03},(383,666):{'3_1':0.0},(383,665):{'3_1':0.03},(383,664):{'3_1':0.0},(383,663):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(383,662):{'3_1':0.03,'6_1':0.0},(383,661):{'3_1':0.06,'4_1':0.0},(383,660):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(383,659):{'3_1':0.03,'4_1':0.0},(383,658):{'3_1':0.0,'5_2':0.0},(383,657):{'3_1':0.03},(383,656):{'3_1':0.0},(383,655):{'3_1':0.03,'5_2':0.0},(383,654):{'3_1':0.03,'5_2':0.0},(383,653):{'3_1':0.06,'4_1':0.0},(383,652):{'3_1':0.06},(383,651):{'3_1':0.03,'4_1':0.0},(383,650):{'3_1':0.03},(383,649):{'3_1':0.03,'5_2':0.0},(383,648):{'3_1':0.0},(383,647):{'3_1':0.03},(383,646):{'3_1':0.03,'5_2':0.0},(383,645):{'3_1':0.06},(383,644):{'3_1':0.0,'8_20|3_1#3_1':0.0},(383,643):{'3_1':0.03},(383,642):{'3_1':0.06,'4_1':0.0},(383,641):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(383,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(383,639):{'3_1':0.06},(383,638):{'3_1':0.09},(383,637):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(383,636):{'3_1':0.06},(383,635):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(383,634):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(383,633):{'3_1':0.03},(383,632):{'3_1':0.0},(383,631):{'3_1':0.03,'5_1':0.0},(383,630):{'3_1':0.03,'5_2':0.0},(383,629):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(383,628):{'3_1':0.06},(383,627):{'3_1':0.03,'5_1':0.0},(383,626):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(383,625):{'3_1':0.0},(383,624):{'3_1':0.03},(383,623):{'3_1':0.03},(383,622):{'3_1':0.06},(383,621):{'3_1':0.03,'5_2':0.0},(383,620):{'3_1':0.03,'5_2':0.0},(383,619):{'3_1':0.03,'5_2':0.0},(383,618):{'3_1':0.06,'4_1':0.0},(383,617):{'3_1':0.06},(383,616):{'3_1':0.0,'4_1':0.0},(383,615):{'3_1':0.0},(383,614):{'3_1':0.0},(383,613):{'3_1':0.03},(383,612):{'3_1':0.0,'5_2':0.0},(383,611):{'3_1':0.03,'4_1':0.0},(383,610):{'3_1':0.03},(383,609):{'3_1':0.0,'4_1':0.0},(383,608):{'3_1':0.06},(383,607):{'3_1':0.0},(383,606):{'3_1':0.0},(383,605):{'3_1':0.0},(383,604):{'3_1':0.0,'4_1':0.0},(383,603):{'3_1':0.03},(383,602):{'5_2':0.0},(383,601):{'3_1':0.0},(383,599):{'3_1':0.0},(383,598):{'3_1':0.0},(383,597):{'3_1':0.0,'4_1':0.0},(383,596):{'3_1':0.0,'5_1':0.0},(383,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(383,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(383,592):{'5_1':0.0,'3_1':0.0},(383,591):{'3_1':0.0,'4_1':0.0},(383,590):{'3_1':0.0,'5_1':0.0},(383,589):{'3_1':0.03},(383,588):{'3_1':0.0},(383,587):{'5_1':0.0},(383,586):{'3_1':0.0,'4_1':0.0},(383,585):{'3_1':0.0},(383,584):{'3_1':0.0},(383,583):{'4_1':0.0},(383,582):{'3_1':0.0},(383,581):{'3_1':0.0},(383,579):{'3_1':0.0},(383,578):{'3_1':0.0},(383,575):{'3_1':0.0},(383,573):{'3_1':0.03},(383,572):{'3_1':0.0},(383,571):{'3_1':0.0},(383,570):{'4_1':0.0},(383,569):{'5_1':0.0},(383,568):{'3_1':0.0},(383,567):{'3_1':0.0},(383,563):{'3_1':0.0,'4_1':0.0},(383,562):{'3_1':0.0},(383,561):{'5_1':0.0},(383,559):{'3_1':0.0},(383,558):{'3_1':0.0},(383,557):{'3_1':0.0},(383,554):{'3_1':0.0},(383,552):{'3_1':0.0},(383,551):{'3_1':0.0},(383,550):{'3_1':0.0},(383,549):{'3_1':0.0},(383,548):{'3_1':0.0},(383,547):{'3_1':0.0},(383,546):{'3_1':0.0,'4_1':0.0},(383,545):{'3_1':0.0},(383,544):{'3_1':0.0},(383,543):{'4_1':0.0},(383,542):{'3_1':0.0},(383,541):{'3_1':0.0},(383,540):{'3_1':0.0},(383,539):{'3_1':0.0},(383,538):{'3_1':0.0},(383,537):{'3_1':0.0},(383,536):{'3_1':0.03,'4_1':0.0},(383,535):{'3_1':0.03},(383,534):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(383,533):{'3_1':0.0},(383,532):{'3_1':0.0},(383,531):{'3_1':0.0},(383,529):{'4_1':0.0},(383,528):{'3_1':0.03,'4_1':0.0},(383,527):{'3_1':0.03},(383,526):{'4_1':0.0,'3_1':0.0},(383,525):{'3_1':0.0},(383,524):{'3_1':0.0},(383,523):{'3_1':0.03},(383,522):{'3_1':0.0},(383,521):{'3_1':0.0},(383,519):{'3_1':0.0},(383,518):{'3_1':0.0},(383,515):{'3_1':0.0},(383,514):{'3_1':0.0},(383,513):{'3_1':0.0},(383,512):{'3_1':0.0},(383,509):{'3_1':0.0},(383,508):{'3_1':0.0},(383,507):{'3_1':0.0},(383,506):{'3_1':0.0},(383,505):{'3_1':0.0,'4_1':0.0},(383,504):{'3_1':0.0},(383,502):{'3_1':0.0},(383,501):{'3_1':0.0},(383,500):{'3_1':0.0},(383,498):{'3_1':0.0},(383,497):{'3_1':0.0,'4_1':0.0},(383,496):{'3_1':0.0},(383,495):{'3_1':0.0},(383,493):{'3_1':0.0},(383,492):{'3_1':0.0},(383,491):{'3_1':0.0,'5_1':0.0},(383,490):{'3_1':0.0},(383,489):{'3_1':0.0},(383,488):{'3_1':0.0},(383,485):{'3_1':0.0},(383,484):{'3_1':0.03},(383,482):{'3_1':0.0},(383,481):{'3_1':0.0},(383,479):{'3_1':0.0},(383,478):{'3_1':0.0},(383,477):{'3_1':0.03},(383,476):{'3_1':0.0},(383,474):{'3_1':0.0},(383,473):{'3_1':0.0},(383,472):{'3_1':0.0},(383,471):{'3_1':0.0},(383,470):{'5_1':0.0,'3_1':0.0},(383,469):{'3_1':0.0},(383,468):{'3_1':0.0},(383,467):{'3_1':0.0},(383,466):{'3_1':0.0},(383,465):{'3_1':0.0},(383,464):{'3_1':0.0},(383,461):{'3_1':0.0},(383,457):{'3_1':0.0},(383,456):{'3_1':0.0},(383,450):{'3_1':0.0},(383,446):{'3_1':0.0},(383,445):{'3_1':0.0},(383,440):{'3_1':0.0},(383,439):{'3_1':0.0},(383,438):{'3_1':0.0},(383,435):{'4_1':0.0},(383,434):{'3_1':0.0},(383,430):{'3_1':0.0},(383,429):{'4_1':0.0},(383,426):{'3_1':0.0,'4_1':0.0},(383,425):{'3_1':0.0},(383,424):{'3_1':0.0},(383,413):{'3_1':0.0},(383,411):{'3_1':0.0},(383,406):{'3_1':0.0},(383,405):{'3_1':0.0},(383,404):{'3_1':0.0},(383,403):{'3_1':0.0},(383,402):{'3_1':0.0},(383,399):{'3_1':0.0},(384,727):{'3_1':0.03,'5_2':0.0},(384,726):{'3_1':0.0,'5_2':0.0},(384,725):{'3_1':0.0,'4_1':0.0},(384,724):{'3_1':0.0,'4_1':0.0},(384,723):{'5_1':0.0},(384,722):{'3_1':0.03},(384,721):{'3_1':0.03},(384,720):{'3_1':0.0,'5_1':0.0},(384,719):{'3_1':0.0},(384,718):{'3_1':0.0},(384,716):{'3_1':0.0,'5_2':0.0},(384,715):{'3_1':0.0,'5_1':0.0},(384,714):{'3_1':0.0,'4_1':0.0},(384,713):{'3_1':0.03},(384,712):{'3_1':0.03,'4_1':0.0},(384,711):{'3_1':0.03},(384,710):{'3_1':0.0},(384,709):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(384,708):{'3_1':0.0},(384,707):{'3_1':0.0,'5_2':0.0},(384,706):{'3_1':0.03,'5_2':0.0},(384,705):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(384,704):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(384,703):{'3_1':0.03,'5_2':0.0},(384,702):{'3_1':0.03,'5_2':0.0},(384,701):{'3_1':0.0},(384,700):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(384,699):{'3_1':0.06,'4_1':0.0},(384,698):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(384,697):{'3_1':0.03,'5_2':0.0},(384,696):{'3_1':0.06},(384,695):{'3_1':0.03,'5_2':0.0},(384,694):{'3_1':0.03,'5_2':0.0},(384,693):{'3_1':0.03,'5_2':0.0},(384,692):{'3_1':0.0},(384,691):{'3_1':0.03,'4_1':0.0},(384,690):{'3_1':0.03},(384,689):{'3_1':0.0,'5_1':0.0},(384,688):{'3_1':0.0,'5_2':0.0},(384,687):{'3_1':0.0,'4_1':0.0},(384,686):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(384,685):{'3_1':0.0,'7_1':0.0},(384,684):{'3_1':0.03,'5_2':0.0},(384,683):{'3_1':0.06,'4_1':0.0},(384,682):{'3_1':0.0},(384,681):{'3_1':0.03,'4_1':0.0},(384,680):{'3_1':0.03},(384,679):{'3_1':0.0},(384,678):{'3_1':0.06},(384,677):{'3_1':0.0,'5_1':0.0},(384,676):{'3_1':0.03,'5_2':0.0},(384,675):{'3_1':0.06,'5_2':0.0},(384,674):{'3_1':0.0,'5_1':0.0,'7_4':0.0},(384,673):{'3_1':0.03,'5_2':0.0},(384,672):{'3_1':0.03,'4_1':0.0},(384,671):{'3_1':0.03,'6_1':0.0},(384,670):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(384,669):{'3_1':0.03},(384,668):{'3_1':0.0,'5_2':0.0},(384,667):{'3_1':0.0},(384,666):{'3_1':0.06},(384,665):{'3_1':0.03},(384,664):{'3_1':0.06},(384,663):{'3_1':0.03,'5_2':0.0},(384,662):{'3_1':0.0},(384,661):{'3_1':0.03},(384,660):{'3_1':0.03,'4_1':0.0},(384,659):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(384,658):{'3_1':0.0},(384,657):{'3_1':0.0},(384,656):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(384,655):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(384,654):{'3_1':0.12,'5_2':0.0},(384,653):{'3_1':0.06,'4_1':0.0},(384,652):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(384,651):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(384,650):{'3_1':0.0,'4_1':0.0},(384,649):{'5_2':0.0},(384,648):{'3_1':0.0},(384,647):{'3_1':0.0,'5_2':0.0},(384,646):{'3_1':0.03,'5_2':0.0},(384,645):{'3_1':0.06},(384,644):{'3_1':0.06,'5_2':0.0},(384,643):{'3_1':0.09,'5_1':0.0},(384,642):{'3_1':0.06,'4_1':0.0},(384,641):{'3_1':0.03},(384,640):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(384,639):{'3_1':0.0,'5_2':0.0},(384,638):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(384,637):{'3_1':0.09},(384,636):{'3_1':0.06,'4_1':0.0},(384,635):{'3_1':0.03},(384,634):{'3_1':0.06},(384,633):{'3_1':0.06},(384,632):{'3_1':0.03},(384,631):{'3_1':0.03,'5_2':0.0},(384,630):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(384,629):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(384,628):{'3_1':0.06,'5_1':0.0},(384,627):{'3_1':0.03,'5_2':0.0},(384,626):{'3_1':0.09,'4_1':0.0},(384,625):{'3_1':0.09},(384,624):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(384,623):{'3_1':0.06,'9_1':0.0},(384,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(384,621):{'3_1':0.06,'5_2':0.0},(384,620):{'3_1':0.06},(384,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(384,618):{'3_1':0.06,'4_1':0.0},(384,617):{'3_1':0.03},(384,616):{'3_1':0.03,'4_1':0.0},(384,615):{'3_1':0.0,'4_1':0.0},(384,614):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(384,613):{'3_1':0.03,'4_1':0.0},(384,612):{'3_1':0.0},(384,611):{'3_1':0.03},(384,610):{'3_1':0.0},(384,608):{'3_1':0.0,'4_1':0.0},(384,607):{'3_1':0.03},(384,604):{'3_1':0.0,'4_1':0.0},(384,603):{'4_1':0.0},(384,602):{'3_1':0.0},(384,601):{'3_1':0.0,'4_1':0.0},(384,600):{'3_1':0.0,'8_20|3_1#3_1':0.0},(384,599):{'4_1':0.0},(384,598):{'3_1':0.03},(384,597):{'3_1':0.0,'4_1':0.0},(384,596):{'3_1':0.0,'4_1':0.0},(384,595):{'5_2':0.0},(384,594):{'4_1':0.0,'6_2':0.0},(384,593):{'3_1':0.0,'4_1':0.0},(384,592):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(384,591):{'4_1':0.0},(384,589):{'3_1':0.0,'4_1':0.0},(384,588):{'3_1':0.0},(384,586):{'3_1':0.0},(384,584):{'3_1':0.0},(384,583):{'4_1':0.0,'5_2':0.0},(384,580):{'4_1':0.0},(384,579):{'6_2':0.0},(384,578):{'3_1':0.0},(384,576):{'3_1':0.0,'5_2':0.0},(384,575):{'3_1':0.0},(384,574):{'5_1':0.0},(384,573):{'3_1':0.0,'5_1':0.0},(384,571):{'3_1':0.0,'5_1':0.0},(384,570):{'3_1':0.0},(384,568):{'3_1':0.0},(384,566):{'4_1':0.0,'3_1':0.0},(384,565):{'3_1':0.0},(384,564):{'3_1':0.0},(384,563):{'3_1':0.0},(384,562):{'3_1':0.0},(384,561):{'3_1':0.0,'4_1':0.0},(384,560):{'3_1':0.0},(384,559):{'3_1':0.0},(384,558):{'3_1':0.0},(384,557):{'3_1':0.0},(384,556):{'3_1':0.0},(384,555):{'3_1':0.0},(384,554):{'3_1':0.0},(384,553):{'3_1':0.0},(384,552):{'3_1':0.0},(384,551):{'3_1':0.0,'5_1':0.0},(384,550):{'3_1':0.0},(384,549):{'3_1':0.0},(384,548):{'3_1':0.0,'5_1':0.0},(384,547):{'3_1':0.03},(384,545):{'3_1':0.0},(384,544):{'3_1':0.0},(384,543):{'3_1':0.0,'4_1':0.0},(384,542):{'3_1':0.03},(384,541):{'3_1':0.0},(384,540):{'3_1':0.0,'4_1':0.0},(384,539):{'3_1':0.0,'4_1':0.0},(384,538):{'3_1':0.0},(384,536):{'3_1':0.03,'4_1':0.0},(384,535):{'3_1':0.0},(384,533):{'3_1':0.0},(384,531):{'3_1':0.0,'4_1':0.0},(384,530):{'3_1':0.0},(384,529):{'3_1':0.0},(384,528):{'3_1':0.0},(384,526):{'3_1':0.0,'4_1':0.0},(384,525):{'3_1':0.0,'4_1':0.0},(384,524):{'3_1':0.0},(384,523):{'3_1':0.0},(384,522):{'3_1':0.0},(384,521):{'3_1':0.0,'4_1':0.0},(384,520):{'3_1':0.0,'4_1':0.0},(384,518):{'3_1':0.0,'4_1':0.0},(384,517):{'3_1':0.0},(384,516):{'3_1':0.0},(384,515):{'3_1':0.0},(384,514):{'3_1':0.0,'4_1':0.0},(384,513):{'3_1':0.0},(384,512):{'3_1':0.0},(384,511):{'3_1':0.0},(384,508):{'3_1':0.0},(384,507):{'3_1':0.0},(384,506):{'3_1':0.0},(384,505):{'3_1':0.0},(384,501):{'3_1':0.0,'6_2':0.0},(384,500):{'3_1':0.0},(384,498):{'3_1':0.0},(384,496):{'3_1':0.0},(384,495):{'3_1':0.0},(384,494):{'3_1':0.0},(384,492):{'3_1':0.0},(384,491):{'3_1':0.0},(384,490):{'3_1':0.0,'5_1':0.0},(384,489):{'3_1':0.0,'4_1':0.0},(384,488):{'3_1':0.0},(384,484):{'3_1':0.0},(384,483):{'3_1':0.0},(384,482):{'3_1':0.0,'4_1':0.0},(384,481):{'3_1':0.06},(384,480):{'3_1':0.03},(384,479):{'3_1':0.0},(384,478):{'3_1':0.0},(384,477):{'4_1':0.0},(384,476):{'3_1':0.0},(384,475):{'3_1':0.0},(384,474):{'3_1':0.0},(384,473):{'3_1':0.0,'5_1':0.0},(384,472):{'3_1':0.0},(384,471):{'5_1':0.0},(384,470):{'3_1':0.0},(384,469):{'3_1':0.0},(384,468):{'3_1':0.0},(384,466):{'3_1':0.0},(384,465):{'3_1':0.0},(384,464):{'4_1':0.0},(384,461):{'3_1':0.0},(384,454):{'3_1':0.0},(384,442):{'3_1':0.0},(384,440):{'3_1':0.0},(384,439):{'3_1':0.0},(384,438):{'3_1':0.0},(384,437):{'4_1':0.0},(384,436):{'3_1':0.0},(384,430):{'3_1':0.03,'4_1':0.0},(384,429):{'3_1':0.0,'4_1':0.0},(384,426):{'4_1':0.0},(384,424):{'3_1':0.0},(384,423):{'4_1':0.0},(384,421):{'4_1':0.0},(384,419):{'3_1':0.0},(384,406):{'3_1':0.0},(384,404):{'3_1':0.0},(384,403):{'3_1':0.0},(384,401):{'3_1':0.0},(384,400):{'3_1':0.0},(384,399):{'3_1':0.03},(384,398):{'3_1':0.0},(384,397):{'3_1':0.0},(384,396):{'3_1':0.0},(385,726):{'3_1':0.03},(385,725):{'3_1':0.0},(385,724):{'3_1':0.0},(385,723):{'3_1':0.0,'4_1':0.0},(385,722):{'3_1':0.0,'5_2':0.0},(385,721):{'3_1':0.0},(385,720):{'3_1':0.0},(385,719):{'3_1':0.0},(385,718):{'3_1':0.0,'5_1':0.0},(385,717):{'3_1':0.03,'5_1':0.0},(385,716):{'5_1':0.0},(385,715):{'3_1':0.03},(385,714):{'3_1':0.0,'5_2':0.0},(385,713):{'3_1':0.0,'4_1':0.0},(385,712):{'3_1':0.0,'6_1':0.0},(385,711):{'3_1':0.0,'4_1':0.0},(385,710):{'3_1':0.0,'5_2':0.0},(385,709):{'4_1':0.0},(385,708):{'3_1':0.03,'5_2':0.0},(385,707):{'3_1':0.03},(385,706):{'3_1':0.03},(385,705):{'3_1':0.0,'5_1':0.0},(385,704):{'3_1':0.0,'5_2':0.0},(385,703):{'3_1':0.0,'5_2':0.0},(385,702):{'3_1':0.03},(385,701):{'3_1':0.0},(385,699):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_6':0.0},(385,698):{'3_1':0.06,'5_2':0.0},(385,697):{'3_1':0.0,'5_2':0.0},(385,696):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'5_1':0.0},(385,695):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(385,694):{'3_1':0.03,'5_2':0.0},(385,693):{'3_1':0.0,'4_1':0.0},(385,692):{'3_1':0.0,'5_2':0.0},(385,691):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(385,690):{'3_1':0.0,'5_2':0.0},(385,689):{'3_1':0.0},(385,688):{'3_1':0.03,'4_1':0.0},(385,687):{'3_1':0.03},(385,686):{'3_1':0.03,'5_1':0.0},(385,685):{'3_1':0.06},(385,684):{'3_1':0.06},(385,683):{'3_1':0.03,'7_3':0.0},(385,682):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(385,681):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(385,680):{'3_1':0.03,'4_1':0.0},(385,679):{'3_1':0.03},(385,678):{'3_1':0.03},(385,677):{'3_1':0.0},(385,676):{'3_1':0.03,'4_1':0.0},(385,675):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(385,674):{'3_1':0.06},(385,673):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(385,672):{'3_1':0.0,'5_2':0.0},(385,671):{'3_1':0.03,'5_1':0.0},(385,670):{'3_1':0.0,'4_1':0.0},(385,669):{'3_1':0.06,'5_2':0.0},(385,668):{'3_1':0.0},(385,667):{'3_1':0.06,'5_2':0.0},(385,666):{'3_1':0.03},(385,665):{'3_1':0.0,'5_1':0.0},(385,664):{'3_1':0.0},(385,663):{'3_1':0.0},(385,662):{'3_1':0.03},(385,661):{'3_1':0.06,'4_1':0.0},(385,660):{'3_1':0.0,'4_1':0.0},(385,659):{'3_1':0.0},(385,658):{'3_1':0.03,'4_1':0.0},(385,657):{'3_1':0.06,'5_2':0.0},(385,656):{'3_1':0.0,'5_1':0.0},(385,655):{'3_1':0.0},(385,654):{'3_1':0.06,'4_1':0.0},(385,653):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(385,652):{'3_1':0.0},(385,651):{'3_1':0.03},(385,650):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(385,649):{'3_1':0.06},(385,648):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(385,647):{'3_1':0.03,'5_1':0.0},(385,646):{'3_1':0.03},(385,645):{'3_1':0.06,'5_2':0.0},(385,644):{'3_1':0.03},(385,643):{'3_1':0.06},(385,642):{'3_1':0.0,'5_1':0.0},(385,641):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(385,640):{'3_1':0.03,'5_1':0.0},(385,639):{'3_1':0.06},(385,638):{'3_1':0.0},(385,637):{'3_1':0.03},(385,636):{'3_1':0.0},(385,635):{'3_1':0.09,'4_1':0.0},(385,634):{'3_1':0.03,'5_2':0.0},(385,633):{'3_1':0.09,'5_2':0.0},(385,632):{'3_1':0.06},(385,631):{'3_1':0.09},(385,630):{'3_1':0.06,'4_1':0.0},(385,629):{'3_1':0.03},(385,628):{'3_1':0.03},(385,627):{'3_1':0.06,'4_1':0.0},(385,626):{'3_1':0.06,'4_1':0.0},(385,625):{'3_1':0.06},(385,624):{'3_1':0.03,'5_2':0.0},(385,623):{'3_1':0.06},(385,622):{'3_1':0.03,'4_1':0.0},(385,621):{'3_1':0.03,'5_2':0.0},(385,620):{'3_1':0.0},(385,619):{'3_1':0.0,'5_2':0.0},(385,618):{'3_1':0.03,'5_1':0.0},(385,617):{'3_1':0.03},(385,616):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(385,615):{'3_1':0.03},(385,614):{'3_1':0.0,'5_2':0.0},(385,613):{'3_1':0.03},(385,612):{'3_1':0.03},(385,611):{'3_1':0.0,'5_2':0.0},(385,609):{'3_1':0.0},(385,608):{'3_1':0.0},(385,607):{'3_1':0.0},(385,606):{'5_2':0.0},(385,605):{'3_1':0.0},(385,604):{'3_1':0.0,'5_2':0.0},(385,602):{'5_1':0.0,'3_1':0.0},(385,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(385,600):{'3_1':0.0},(385,599):{'4_1':0.0},(385,598):{'3_1':0.0},(385,596):{'4_1':0.0},(385,594):{'3_1':0.03},(385,593):{'3_1':0.0},(385,592):{'4_1':0.0},(385,591):{'3_1':0.0},(385,590):{'3_1':0.0,'4_1':0.0},(385,589):{'3_1':0.0,'4_1':0.0},(385,588):{'4_1':0.0},(385,587):{'3_1':0.0},(385,586):{'3_1':0.0},(385,584):{'3_1':0.0,'5_2':0.0},(385,583):{'3_1':0.0,'4_1':0.0},(385,582):{'3_1':0.03},(385,580):{'4_1':0.0},(385,579):{'3_1':0.0},(385,575):{'4_1':0.0},(385,574):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(385,573):{'3_1':0.0,'4_1':0.0},(385,572):{'4_1':0.0},(385,570):{'3_1':0.0},(385,569):{'3_1':0.0},(385,567):{'3_1':0.0},(385,566):{'3_1':0.0},(385,565):{'3_1':0.0,'4_1':0.0},(385,564):{'3_1':0.0},(385,562):{'3_1':0.0},(385,561):{'3_1':0.0,'4_1':0.0},(385,560):{'5_1':0.0},(385,559):{'3_1':0.0,'5_1':0.0},(385,558):{'3_1':0.0,'4_1':0.0},(385,557):{'3_1':0.03},(385,556):{'3_1':0.03,'4_1':0.0},(385,553):{'3_1':0.0},(385,552):{'3_1':0.0,'4_1':0.0},(385,551):{'3_1':0.0,'4_1':0.0},(385,550):{'3_1':0.03,'4_1':0.0},(385,549):{'3_1':0.0},(385,548):{'3_1':0.0},(385,547):{'3_1':0.0,'5_1':0.0},(385,546):{'3_1':0.0},(385,545):{'3_1':0.0,'4_1':0.0},(385,544):{'4_1':0.0},(385,543):{'3_1':0.0},(385,541):{'3_1':0.0,'4_1':0.0},(385,540):{'3_1':0.0,'4_1':0.0},(385,538):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(385,537):{'3_1':0.0,'4_1':0.0},(385,536):{'3_1':0.0},(385,535):{'3_1':0.06},(385,534):{'3_1':0.0},(385,533):{'3_1':0.03},(385,532):{'3_1':0.0},(385,531):{'3_1':0.0,'4_1':0.0},(385,530):{'3_1':0.03,'4_1':0.0},(385,529):{'3_1':0.0,'4_1':0.0},(385,528):{'3_1':0.0},(385,527):{'3_1':0.03,'4_1':0.0},(385,526):{'3_1':0.0},(385,525):{'3_1':0.0},(385,524):{'3_1':0.0},(385,523):{'3_1':0.0},(385,522):{'3_1':0.0},(385,521):{'3_1':0.0,'4_1':0.0},(385,520):{'3_1':0.0,'5_1':0.0},(385,519):{'3_1':0.0},(385,518):{'3_1':0.0},(385,517):{'3_1':0.0},(385,516):{'3_1':0.0},(385,515):{'3_1':0.0},(385,514):{'3_1':0.03},(385,513):{'3_1':0.0},(385,512):{'3_1':0.0},(385,511):{'3_1':0.0},(385,510):{'5_2':0.0},(385,509):{'3_1':0.0},(385,508):{'3_1':0.0},(385,506):{'3_1':0.0},(385,505):{'3_1':0.0},(385,504):{'3_1':0.0},(385,502):{'3_1':0.0},(385,501):{'3_1':0.0},(385,500):{'3_1':0.0},(385,499):{'3_1':0.0},(385,498):{'3_1':0.0},(385,497):{'3_1':0.0},(385,496):{'3_1':0.0},(385,495):{'3_1':0.0},(385,494):{'5_1':0.0},(385,493):{'3_1':0.0},(385,492):{'3_1':0.0},(385,491):{'3_1':0.0},(385,490):{'3_1':0.0},(385,487):{'3_1':0.0},(385,485):{'3_1':0.0},(385,484):{'3_1':0.0},(385,483):{'3_1':0.0},(385,482):{'3_1':0.0},(385,481):{'3_1':0.0},(385,480):{'3_1':0.0},(385,479):{'3_1':0.0,'4_1':0.0},(385,477):{'3_1':0.0},(385,476):{'3_1':0.0},(385,475):{'3_1':0.0},(385,474):{'3_1':0.0},(385,473):{'3_1':0.0},(385,472):{'3_1':0.0},(385,471):{'3_1':0.0},(385,470):{'3_1':0.0},(385,469):{'3_1':0.0},(385,468):{'3_1':0.0},(385,463):{'3_1':0.0},(385,461):{'3_1':0.0},(385,458):{'3_1':0.0},(385,453):{'3_1':0.0},(385,446):{'3_1':0.0},(385,445):{'3_1':0.0},(385,442):{'4_1':0.0},(385,439):{'4_1':0.0},(385,437):{'3_1':0.0},(385,434):{'3_1':0.0},(385,431):{'3_1':0.0},(385,430):{'3_1':0.0},(385,429):{'4_1':0.0},(385,428):{'4_1':0.0},(385,427):{'3_1':0.0},(385,425):{'3_1':0.0},(385,421):{'3_1':0.0},(385,412):{'3_1':0.0},(385,408):{'3_1':0.0},(385,406):{'3_1':0.0},(385,403):{'3_1':0.0},(385,402):{'3_1':0.0},(385,401):{'3_1':0.0},(385,400):{'3_1':0.0},(385,399):{'3_1':0.0},(385,398):{'3_1':0.0},(385,397):{'3_1':0.0},(385,395):{'3_1':0.0},(386,727):{'3_1':0.0},(386,726):{'3_1':0.0},(386,725):{'3_1':0.03},(386,724):{'3_1':0.0,'5_2':0.0},(386,723):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(386,722):{'3_1':0.0},(386,721):{'3_1':0.0},(386,720):{'3_1':0.03,'5_2':0.0},(386,719):{'3_1':0.0,'4_1':0.0},(386,718):{'3_1':0.0,'5_2':0.0},(386,717):{'3_1':0.0},(386,716):{'3_1':0.0},(386,715):{'3_1':0.0},(386,714):{'3_1':0.03},(386,713):{'3_1':0.0},(386,712):{'3_1':0.03},(386,711):{'3_1':0.0,'5_2':0.0},(386,710):{'3_1':0.0,'5_2':0.0},(386,709):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(386,708):{'3_1':0.0,'5_2':0.0},(386,707):{'3_1':0.0},(386,706):{'3_1':0.0},(386,705):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(386,704):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(386,703):{'3_1':0.0,'5_1':0.0},(386,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(386,701):{'3_1':0.03,'5_1':0.0},(386,700):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(386,699):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'9_1':0.0},(386,698):{'3_1':0.03,'5_1':0.0},(386,697):{'3_1':0.03,'7_6':0.0},(386,696):{'3_1':0.03},(386,695):{'3_1':0.0},(386,694):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(386,693):{'3_1':0.03},(386,692):{'3_1':0.0,'5_2':0.0},(386,691):{'3_1':0.0},(386,690):{'3_1':0.03,'5_2':0.0},(386,689):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(386,688):{'3_1':0.0,'5_2':0.0},(386,687):{'3_1':0.03},(386,686):{'3_1':0.06,'7_1':0.0},(386,685):{'3_1':0.03,'5_2':0.0},(386,684):{'3_1':0.03,'4_1':0.0},(386,683):{'3_1':0.03},(386,682):{'3_1':0.03},(386,681):{'3_1':0.03,'4_1':0.0},(386,680):{'3_1':0.0,'4_1':0.0},(386,679):{'3_1':0.06},(386,678):{'3_1':0.03,'5_2':0.0},(386,677):{'3_1':0.03,'5_2':0.0},(386,676):{'3_1':0.03,'5_2':0.0},(386,675):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(386,674):{'3_1':0.0,'4_1':0.0},(386,673):{'3_1':0.0,'4_1':0.0},(386,672):{'3_1':0.0,'4_1':0.0},(386,671):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(386,670):{'3_1':0.03,'4_1':0.0},(386,669):{'3_1':0.0,'5_1':0.0},(386,668):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(386,667):{'3_1':0.0,'4_1':0.0},(386,666):{'3_1':0.03},(386,665):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(386,664):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(386,663):{'3_1':0.03},(386,662):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(386,661):{'3_1':0.03,'4_1':0.0},(386,660):{'3_1':0.0},(386,659):{'3_1':0.03},(386,658):{'3_1':0.03,'5_2':0.0},(386,657):{'5_2':0.0},(386,656):{'3_1':0.03},(386,655):{'3_1':0.03,'5_2':0.0},(386,654):{'3_1':0.03},(386,653):{'3_1':0.03,'5_2':0.0},(386,652):{'3_1':0.0,'5_1':0.0},(386,651):{'3_1':0.0},(386,650):{'3_1':0.0,'4_1':0.0},(386,649):{'3_1':0.0},(386,648):{'3_1':0.03,'4_1':0.0},(386,647):{'3_1':0.0,'5_2':0.0},(386,646):{'3_1':0.09,'5_2':0.0},(386,645):{'3_1':0.03},(386,644):{'3_1':0.03,'5_2':0.0},(386,643):{'3_1':0.03},(386,642):{'3_1':0.06},(386,641):{'3_1':0.0,'4_1':0.0},(386,640):{'3_1':0.09,'5_1':0.0},(386,639):{'3_1':0.03},(386,638):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(386,637):{'3_1':0.09,'5_2':0.0},(386,636):{'3_1':0.0,'5_2':0.0},(386,635):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(386,634):{'3_1':0.06},(386,633):{'3_1':0.03,'5_2':0.0},(386,632):{'3_1':0.0},(386,631):{'3_1':0.0,'4_1':0.0},(386,630):{'3_1':0.03,'4_1':0.0},(386,629):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(386,628):{'3_1':0.06,'5_2':0.0},(386,627):{'3_1':0.0},(386,626):{'3_1':0.03},(386,625):{'3_1':0.03,'4_1':0.0},(386,624):{'3_1':0.03},(386,623):{'3_1':0.06,'4_1':0.0},(386,622):{'3_1':0.0},(386,621):{'3_1':0.0},(386,620):{'3_1':0.06},(386,619):{'3_1':0.03},(386,618):{'3_1':0.0},(386,617):{'3_1':0.06},(386,616):{'3_1':0.03,'5_2':0.0},(386,615):{'3_1':0.0,'5_2':0.0},(386,614):{'3_1':0.03},(386,613):{'3_1':0.0},(386,612):{'3_1':0.03,'5_2':0.0},(386,611):{'3_1':0.0},(386,610):{'3_1':0.0,'5_2':0.0},(386,609):{'3_1':0.06,'5_2':0.0},(386,608):{'3_1':0.0},(386,607):{'3_1':0.0,'6_2':0.0},(386,606):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(386,605):{'4_1':0.0},(386,603):{'5_1':0.0},(386,602):{'3_1':0.0,'5_1':0.0},(386,601):{'3_1':0.0,'5_1':0.0},(386,600):{'3_1':0.0,'4_1':0.0},(386,599):{'3_1':0.0},(386,598):{'6_1':0.0},(386,597):{'3_1':0.0,'4_1':0.0},(386,596):{'3_1':0.03},(386,595):{'3_1':0.0},(386,594):{'3_1':0.0,'4_1':0.0},(386,593):{'5_1':0.0,'3_1':0.0,'4_1':0.0,'5_2':0.0},(386,592):{'4_1':0.0},(386,591):{'3_1':0.0},(386,590):{'4_1':0.0},(386,588):{'3_1':0.03},(386,587):{'5_2':0.0},(386,586):{'4_1':0.0},(386,584):{'3_1':0.0},(386,583):{'3_1':0.0},(386,582):{'3_1':0.0},(386,581):{'3_1':0.0,'4_1':0.0},(386,579):{'3_1':0.0},(386,578):{'3_1':0.0},(386,575):{'3_1':0.0,'4_1':0.0},(386,573):{'3_1':0.0},(386,572):{'3_1':0.0},(386,571):{'3_1':0.0},(386,568):{'3_1':0.0},(386,567):{'3_1':0.0,'4_1':0.0},(386,565):{'3_1':0.0,'4_1':0.0},(386,564):{'3_1':0.0},(386,563):{'3_1':0.0},(386,562):{'3_1':0.0,'4_1':0.0},(386,561):{'3_1':0.0},(386,560):{'3_1':0.0},(386,558):{'3_1':0.0,'4_1':0.0},(386,556):{'3_1':0.0},(386,555):{'3_1':0.0},(386,554):{'3_1':0.0},(386,553):{'3_1':0.0,'4_1':0.0},(386,552):{'4_1':0.0},(386,551):{'3_1':0.0},(386,550):{'3_1':0.0},(386,549):{'3_1':0.0,'4_1':0.0},(386,548):{'4_1':0.0},(386,547):{'3_1':0.0},(386,546):{'3_1':0.0,'4_1':0.0},(386,545):{'3_1':0.0,'4_1':0.0},(386,544):{'3_1':0.0},(386,543):{'4_1':0.0},(386,542):{'3_1':0.0,'4_1':0.0},(386,541):{'3_1':0.0},(386,540):{'4_1':0.0},(386,539):{'3_1':0.0},(386,538):{'3_1':0.0,'4_1':0.0},(386,536):{'3_1':0.0,'4_1':0.0},(386,535):{'3_1':0.0},(386,534):{'3_1':0.0},(386,533):{'3_1':0.0,'4_1':0.0},(386,532):{'3_1':0.0,'4_1':0.0},(386,531):{'3_1':0.0},(386,529):{'3_1':0.0},(386,528):{'3_1':0.0,'4_1':0.0},(386,527):{'3_1':0.0,'4_1':0.0},(386,526):{'3_1':0.0,'4_1':0.0},(386,525):{'3_1':0.0},(386,524):{'3_1':0.0},(386,523):{'3_1':0.0},(386,522):{'4_1':0.0},(386,521):{'3_1':0.0},(386,519):{'3_1':0.0},(386,518):{'4_1':0.0},(386,517):{'4_1':0.0},(386,516):{'3_1':0.0},(386,515):{'3_1':0.0},(386,514):{'3_1':0.0},(386,512):{'3_1':0.0},(386,507):{'3_1':0.0},(386,506):{'3_1':0.0,'4_1':0.0},(386,503):{'3_1':0.0},(386,501):{'3_1':0.0},(386,500):{'3_1':0.0},(386,498):{'3_1':0.03},(386,497):{'3_1':0.0},(386,496):{'3_1':0.0},(386,495):{'3_1':0.0,'4_1':0.0},(386,494):{'3_1':0.0},(386,493):{'3_1':0.0},(386,492):{'3_1':0.0},(386,491):{'3_1':0.0},(386,489):{'5_1':0.0},(386,487):{'3_1':0.0},(386,486):{'3_1':0.0},(386,485):{'3_1':0.03},(386,483):{'3_1':0.0},(386,482):{'3_1':0.0},(386,481):{'3_1':0.0},(386,480):{'3_1':0.0},(386,479):{'3_1':0.0},(386,478):{'3_1':0.0},(386,477):{'3_1':0.0},(386,476):{'3_1':0.03},(386,475):{'3_1':0.0},(386,473):{'3_1':0.03},(386,472):{'3_1':0.0},(386,471):{'3_1':0.0},(386,470):{'3_1':0.0},(386,469):{'3_1':0.0},(386,468):{'3_1':0.0},(386,467):{'4_1':0.0},(386,465):{'4_1':0.0},(386,463):{'3_1':0.0},(386,459):{'4_1':0.0},(386,458):{'3_1':0.0},(386,457):{'3_1':0.0},(386,443):{'3_1':0.0},(386,442):{'3_1':0.0},(386,441):{'3_1':0.03},(386,440):{'3_1':0.0},(386,439):{'3_1':0.0},(386,437):{'3_1':0.0},(386,436):{'3_1':0.0},(386,435):{'4_1':0.0},(386,434):{'3_1':0.0},(386,433):{'3_1':0.0,'4_1':0.0},(386,432):{'4_1':0.0},(386,430):{'4_1':0.0},(386,427):{'4_1':0.0},(386,412):{'3_1':0.0},(386,411):{'3_1':0.0},(386,405):{'3_1':0.0},(386,404):{'3_1':0.0},(386,403):{'3_1':0.0},(386,402):{'3_1':0.0},(386,400):{'3_1':0.0},(386,397):{'3_1':0.0},(387,727):{'5_1':0.0},(387,726):{'3_1':0.0},(387,725):{'3_1':0.0},(387,723):{'3_1':0.0},(387,721):{'5_2':0.0},(387,720):{'3_1':0.0},(387,719):{'3_1':0.0,'4_1':0.0},(387,717):{'3_1':0.0},(387,716):{'3_1':0.0},(387,715):{'3_1':0.03},(387,714):{'3_1':0.0},(387,713):{'3_1':0.0},(387,712):{'3_1':0.0,'5_1':0.0},(387,711):{'3_1':0.0,'5_1':0.0},(387,710):{'3_1':0.0,'4_1':0.0},(387,709):{'3_1':0.0,'4_1':0.0},(387,708):{'3_1':0.03,'5_2':0.0},(387,707):{'3_1':0.03},(387,706):{'3_1':0.0},(387,705):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(387,704):{'3_1':0.03,'5_2':0.0},(387,703):{'3_1':0.0},(387,701):{'3_1':0.0},(387,700):{'3_1':0.0,'4_1':0.0},(387,699):{'3_1':0.03,'7_6':0.0},(387,698):{'3_1':0.0,'5_2':0.0},(387,697):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(387,696):{'3_1':0.0,'5_2':0.0},(387,695):{'3_1':0.0,'4_1':0.0},(387,694):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(387,693):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(387,692):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(387,691):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(387,690):{'3_1':0.03},(387,689):{'3_1':0.03,'5_1':0.0},(387,688):{'3_1':0.03,'5_2':0.0},(387,687):{'3_1':0.03},(387,686):{'3_1':0.0},(387,685):{'3_1':0.0},(387,684):{'3_1':0.0},(387,683):{'3_1':0.0},(387,682):{'3_1':0.06,'5_1':0.0},(387,681):{'3_1':0.03,'5_1':0.0},(387,680):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(387,679):{'3_1':0.03},(387,678):{'3_1':0.06},(387,677):{'3_1':0.0,'4_1':0.0},(387,676):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(387,675):{'3_1':0.03,'5_2':0.0},(387,674):{'3_1':0.03},(387,673):{'3_1':0.03,'5_1':0.0,'8_1':0.0},(387,672):{'3_1':0.0},(387,671):{'3_1':0.0},(387,670):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(387,669):{'3_1':0.0},(387,668):{'3_1':0.0},(387,667):{'3_1':0.0},(387,666):{'3_1':0.0},(387,665):{'3_1':0.0,'4_1':0.0},(387,664):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(387,663):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(387,662):{'3_1':0.03,'5_2':0.0},(387,661):{'3_1':0.0},(387,660):{'3_1':0.06,'4_1':0.0},(387,659):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(387,658):{'3_1':0.03},(387,657):{'3_1':0.0,'8_20|3_1#3_1':0.0},(387,656):{'3_1':0.0},(387,655):{'3_1':0.0},(387,654):{'3_1':0.03},(387,653):{'3_1':0.0,'5_1':0.0},(387,652):{'3_1':0.03},(387,651):{'3_1':0.0},(387,650):{'3_1':0.03,'5_2':0.0},(387,649):{'3_1':0.0,'4_1':0.0},(387,648):{'3_1':0.0,'4_1':0.0},(387,647):{'3_1':0.03},(387,646):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(387,645):{'3_1':0.03,'5_1':0.0},(387,644):{'3_1':0.03},(387,643):{'3_1':0.06},(387,642):{'3_1':0.0,'5_1':0.0},(387,641):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(387,640):{'3_1':0.12,'4_1':0.0},(387,639):{'3_1':0.06,'5_2':0.0},(387,638):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(387,637):{'3_1':0.03},(387,636):{'3_1':0.12},(387,635):{'3_1':0.03},(387,634):{'3_1':0.06,'4_1':0.0},(387,633):{'3_1':0.03},(387,632):{'3_1':0.03,'5_2':0.0},(387,631):{'3_1':0.06},(387,630):{'3_1':0.03,'4_1':0.0},(387,629):{'3_1':0.06},(387,628):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(387,627):{'3_1':0.03,'4_1':0.0},(387,626):{'3_1':0.09},(387,625):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(387,624):{'3_1':0.0},(387,623):{'3_1':0.0,'5_1':0.0},(387,622):{'3_1':0.03},(387,621):{'3_1':0.0,'5_2':0.0},(387,620):{'3_1':0.03,'4_1':0.0},(387,619):{'3_1':0.03},(387,618):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(387,617):{'3_1':0.0,'4_1':0.0},(387,616):{'3_1':0.06},(387,615):{'3_1':0.03},(387,614):{'3_1':0.0},(387,613):{'3_1':0.0},(387,612):{'3_1':0.03},(387,611):{'3_1':0.06},(387,610):{'3_1':0.03},(387,608):{'3_1':0.0},(387,607):{'3_1':0.0},(387,606):{'3_1':0.0,'4_1':0.0},(387,605):{'5_2':0.0},(387,604):{'3_1':0.0},(387,603):{'3_1':0.0,'6_2':0.0},(387,601):{'3_1':0.03},(387,600):{'3_1':0.0},(387,598):{'4_1':0.0},(387,597):{'3_1':0.0},(387,596):{'3_1':0.0,'4_1':0.0},(387,594):{'3_1':0.0},(387,593):{'3_1':0.0,'5_1':0.0},(387,592):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(387,590):{'3_1':0.0,'4_1':0.0},(387,589):{'3_1':0.0,'4_1':0.0},(387,588):{'3_1':0.0},(387,587):{'3_1':0.0,'4_1':0.0},(387,586):{'3_1':0.0},(387,585):{'3_1':0.0},(387,584):{'3_1':0.0},(387,583):{'3_1':0.0},(387,582):{'4_1':0.0},(387,581):{'4_1':0.0},(387,580):{'4_1':0.0},(387,579):{'3_1':0.0},(387,578):{'4_1':0.0},(387,576):{'3_1':0.0,'5_2':0.0},(387,575):{'3_1':0.0},(387,574):{'3_1':0.0},(387,573):{'5_2':0.0},(387,572):{'3_1':0.0},(387,571):{'3_1':0.0},(387,569):{'3_1':0.0},(387,567):{'3_1':0.0},(387,565):{'3_1':0.0,'4_1':0.0},(387,564):{'4_1':0.0},(387,563):{'3_1':0.0},(387,561):{'3_1':0.0},(387,560):{'4_1':0.0,'5_1':0.0},(387,558):{'3_1':0.0},(387,556):{'3_1':0.0},(387,555):{'3_1':0.0,'5_1':0.0},(387,554):{'3_1':0.0},(387,553):{'3_1':0.0,'4_1':0.0},(387,552):{'3_1':0.0},(387,551):{'3_1':0.0},(387,550):{'3_1':0.0,'4_1':0.0},(387,549):{'3_1':0.0},(387,548):{'3_1':0.03},(387,546):{'3_1':0.03},(387,545):{'4_1':0.0},(387,544):{'3_1':0.0},(387,543):{'3_1':0.0},(387,542):{'3_1':0.0},(387,541):{'3_1':0.0},(387,540):{'4_1':0.0},(387,539):{'3_1':0.0},(387,538):{'3_1':0.0,'4_1':0.0},(387,537):{'3_1':0.0},(387,536):{'3_1':0.0},(387,535):{'3_1':0.0},(387,534):{'3_1':0.0,'4_1':0.0},(387,533):{'3_1':0.03},(387,531):{'3_1':0.0},(387,530):{'3_1':0.0,'4_1':0.0},(387,528):{'3_1':0.0},(387,527):{'3_1':0.0},(387,526):{'3_1':0.0},(387,525):{'4_1':0.0},(387,524):{'3_1':0.0},(387,523):{'3_1':0.03,'4_1':0.0},(387,521):{'4_1':0.0},(387,520):{'3_1':0.0},(387,519):{'3_1':0.0},(387,517):{'3_1':0.0},(387,516):{'3_1':0.0},(387,515):{'3_1':0.03},(387,511):{'4_1':0.0},(387,509):{'3_1':0.0},(387,508):{'3_1':0.0},(387,507):{'3_1':0.0,'4_1':0.0},(387,506):{'3_1':0.0},(387,505):{'3_1':0.0},(387,504):{'3_1':0.0},(387,503):{'3_1':0.0},(387,501):{'8_20|3_1#3_1':0.0},(387,498):{'3_1':0.0},(387,497):{'3_1':0.0,'4_1':0.0},(387,495):{'3_1':0.0},(387,494):{'3_1':0.0},(387,493):{'3_1':0.0},(387,492):{'3_1':0.0},(387,491):{'3_1':0.0},(387,490):{'3_1':0.0},(387,489):{'3_1':0.0,'5_1':0.0},(387,487):{'3_1':0.0},(387,485):{'3_1':0.0},(387,484):{'3_1':0.0},(387,483):{'3_1':0.0},(387,482):{'3_1':0.0},(387,481):{'3_1':0.0},(387,480):{'3_1':0.03,'4_1':0.0},(387,479):{'3_1':0.0},(387,478):{'4_1':0.0},(387,476):{'3_1':0.0},(387,475):{'3_1':0.0},(387,474):{'3_1':0.0},(387,473):{'3_1':0.0},(387,472):{'4_1':0.0},(387,471):{'3_1':0.0},(387,470):{'5_1':0.0},(387,469):{'3_1':0.0},(387,468):{'3_1':0.0},(387,455):{'3_1':0.0},(387,447):{'4_1':0.0},(387,444):{'3_1':0.0},(387,441):{'3_1':0.0,'4_1':0.0},(387,440):{'4_1':0.0},(387,437):{'3_1':0.0},(387,435):{'3_1':0.0},(387,434):{'3_1':0.0},(387,433):{'3_1':0.0},(387,431):{'3_1':0.0},(387,430):{'3_1':0.0,'4_1':0.0},(387,426):{'3_1':0.0},(387,425):{'3_1':0.0},(387,416):{'3_1':0.0},(387,415):{'3_1':0.0},(387,409):{'3_1':0.0},(387,405):{'3_1':0.0},(387,404):{'3_1':0.0},(387,403):{'3_1':0.0},(387,400):{'3_1':0.0},(387,393):{'3_1':0.0},(388,727):{'3_1':0.0},(388,726):{'3_1':0.0},(388,725):{'3_1':0.03},(388,723):{'3_1':0.03},(388,722):{'3_1':0.0},(388,721):{'3_1':0.0},(388,720):{'3_1':0.0},(388,719):{'3_1':0.0},(388,718):{'3_1':0.0},(388,717):{'3_1':0.0},(388,716):{'3_1':0.0},(388,715):{'3_1':0.0},(388,713):{'3_1':0.0},(388,712):{'3_1':0.03,'5_2':0.0},(388,711):{'3_1':0.09},(388,710):{'3_1':0.0,'4_1':0.0},(388,709):{'3_1':0.03},(388,708):{'3_1':0.0,'4_1':0.0},(388,707):{'3_1':0.0,'5_2':0.0},(388,706):{'3_1':0.03},(388,705):{'3_1':0.03,'7_1':0.0},(388,704):{'5_2':0.0},(388,703):{'3_1':0.03},(388,702):{'3_1':0.0},(388,701):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(388,700):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(388,699):{'3_1':0.0},(388,698):{'3_1':0.03,'4_1':0.0},(388,697):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(388,696):{'3_1':0.03,'5_2':0.0},(388,695):{'3_1':0.0},(388,694):{'3_1':0.06,'5_2':0.0},(388,693):{'3_1':0.06,'4_1':0.0},(388,692):{'3_1':0.0,'5_2':0.0},(388,691):{'3_1':0.0,'5_1':0.0},(388,690):{'3_1':0.0},(388,689):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(388,688):{'3_1':0.03},(388,687):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(388,686):{'3_1':0.0,'5_2':0.0},(388,685):{'3_1':0.0},(388,684):{'3_1':0.0,'4_1':0.0},(388,683):{'3_1':0.0,'5_1':0.0},(388,682):{'3_1':0.03},(388,681):{'3_1':0.0,'5_1':0.0},(388,680):{'3_1':0.03},(388,679):{'3_1':0.0},(388,678):{'3_1':0.0},(388,677):{'6_1':0.0},(388,676):{'3_1':0.0},(388,675):{'3_1':0.03,'4_1':0.0},(388,674):{'3_1':0.0},(388,673):{'3_1':0.0},(388,672):{'3_1':0.0},(388,671):{'3_1':0.03,'4_1':0.0},(388,670):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(388,669):{'3_1':0.03,'5_2':0.0},(388,668):{'3_1':0.0},(388,667):{'3_1':0.0},(388,666):{'3_1':0.0,'7_4':0.0,'8_3':0.0},(388,665):{'3_1':0.0,'4_1':0.0},(388,664):{'3_1':0.03,'4_1':0.0},(388,663):{'5_2':0.0,'3_1':0.0},(388,662):{'3_1':0.03},(388,661):{'3_1':0.03,'5_2':0.0},(388,660):{'3_1':0.0},(388,659):{'3_1':0.03,'4_1':0.0},(388,658):{'3_1':0.0},(388,657):{'3_1':0.0},(388,656):{'3_1':0.0,'5_1':0.0},(388,655):{'3_1':0.03,'5_1':0.0},(388,654):{'3_1':0.0},(388,653):{'3_1':0.03},(388,652):{'3_1':0.0},(388,651):{'3_1':0.0},(388,650):{'3_1':0.03},(388,649):{'3_1':0.0},(388,648):{'3_1':0.03},(388,647):{'3_1':0.03,'5_2':0.0},(388,646):{'3_1':0.0},(388,645):{'3_1':0.0},(388,644):{'3_1':0.03,'5_2':0.0},(388,643):{'3_1':0.06,'5_2':0.0},(388,642):{'3_1':0.03},(388,641):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(388,640):{'3_1':0.06,'5_1':0.0},(388,639):{'3_1':0.03},(388,638):{'3_1':0.06},(388,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(388,636):{'3_1':0.09},(388,635):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(388,634):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(388,633):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(388,632):{'3_1':0.0,'5_2':0.0},(388,631):{'3_1':0.0},(388,630):{'3_1':0.06},(388,629):{'3_1':0.0},(388,628):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(388,627):{'3_1':0.0},(388,626):{'3_1':0.0},(388,625):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(388,624):{'3_1':0.0},(388,623):{'3_1':0.03,'5_2':0.0},(388,622):{'3_1':0.03},(388,621):{'3_1':0.03,'5_1':0.0},(388,620):{'3_1':0.0},(388,619):{'3_1':0.03},(388,618):{'3_1':0.03},(388,617):{'3_1':0.0,'5_2':0.0},(388,616):{'3_1':0.03},(388,615):{'3_1':0.0},(388,614):{'3_1':0.03,'5_2':0.0},(388,613):{'3_1':0.0},(388,612):{'3_1':0.0},(388,611):{'3_1':0.0,'5_2':0.0},(388,610):{'3_1':0.0},(388,609):{'3_1':0.0},(388,608):{'3_1':0.0,'4_1':0.0},(388,607):{'3_1':0.0,'5_2':0.0},(388,606):{'3_1':0.0},(388,605):{'3_1':0.0},(388,604):{'3_1':0.0},(388,603):{'3_1':0.0},(388,600):{'3_1':0.0},(388,599):{'4_1':0.0},(388,596):{'4_1':0.0,'3_1':0.0},(388,595):{'3_1':0.0},(388,594):{'3_1':0.0,'7_6':0.0,'4_1':0.0,'5_1':0.0},(388,593):{'3_1':0.0,'5_1':0.0},(388,591):{'3_1':0.0},(388,590):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(388,589):{'3_1':0.03},(388,588):{'4_1':0.0},(388,587):{'5_1':0.0},(388,586):{'3_1':0.03,'4_1':0.0},(388,585):{'3_1':0.0},(388,583):{'3_1':0.0},(388,582):{'3_1':0.0},(388,581):{'3_1':0.0,'5_1':0.0},(388,580):{'4_1':0.0},(388,579):{'4_1':0.0},(388,578):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(388,576):{'3_1':0.0,'5_2':0.0},(388,574):{'3_1':0.0,'6_2':0.0},(388,573):{'3_1':0.0,'4_1':0.0},(388,572):{'6_2':0.0},(388,571):{'3_1':0.0,'4_1':0.0},(388,570):{'3_1':0.03},(388,569):{'3_1':0.0,'4_1':0.0},(388,567):{'5_2':0.0},(388,565):{'3_1':0.0},(388,564):{'3_1':0.0},(388,562):{'3_1':0.0},(388,558):{'3_1':0.0},(388,554):{'3_1':0.0},(388,553):{'3_1':0.0},(388,551):{'3_1':0.03},(388,550):{'3_1':0.0},(388,549):{'3_1':0.0},(388,548):{'3_1':0.0},(388,546):{'3_1':0.0},(388,545):{'3_1':0.0},(388,544):{'3_1':0.0},(388,543):{'3_1':0.03},(388,542):{'3_1':0.03},(388,541):{'3_1':0.0},(388,540):{'3_1':0.0},(388,539):{'3_1':0.0,'4_1':0.0},(388,538):{'3_1':0.0},(388,537):{'3_1':0.0},(388,536):{'3_1':0.0},(388,535):{'3_1':0.0},(388,534):{'3_1':0.0},(388,533):{'3_1':0.0,'4_1':0.0},(388,532):{'3_1':0.0,'4_1':0.0},(388,531):{'3_1':0.0},(388,530):{'3_1':0.0},(388,528):{'3_1':0.0,'4_1':0.0},(388,527):{'3_1':0.0},(388,526):{'3_1':0.0},(388,525):{'3_1':0.0,'4_1':0.0},(388,523):{'3_1':0.0},(388,522):{'3_1':0.0,'4_1':0.0},(388,521):{'3_1':0.0},(388,520):{'4_1':0.0},(388,519):{'3_1':0.0},(388,517):{'3_1':0.0},(388,516):{'3_1':0.0,'4_1':0.0},(388,513):{'3_1':0.0},(388,511):{'3_1':0.0},(388,510):{'3_1':0.0},(388,507):{'3_1':0.0},(388,506):{'3_1':0.0},(388,505):{'3_1':0.0},(388,501):{'3_1':0.0},(388,500):{'3_1':0.0},(388,499):{'3_1':0.0},(388,497):{'3_1':0.0},(388,495):{'3_1':0.0},(388,494):{'3_1':0.0,'4_1':0.0},(388,493):{'4_1':0.0},(388,492):{'3_1':0.0},(388,490):{'3_1':0.0},(388,488):{'3_1':0.0},(388,487):{'3_1':0.0},(388,483):{'3_1':0.0},(388,482):{'3_1':0.0},(388,481):{'3_1':0.0},(388,480):{'3_1':0.0},(388,479):{'3_1':0.0},(388,478):{'3_1':0.0},(388,477):{'3_1':0.0},(388,476):{'3_1':0.0},(388,475):{'3_1':0.0},(388,474):{'3_1':0.0},(388,473):{'3_1':0.03},(388,472):{'3_1':0.0},(388,469):{'3_1':0.0},(388,467):{'3_1':0.0},(388,466):{'3_1':0.0},(388,460):{'3_1':0.0},(388,448):{'4_1':0.0},(388,445):{'3_1':0.0},(388,441):{'3_1':0.0},(388,437):{'3_1':0.0},(388,436):{'3_1':0.0},(388,434):{'3_1':0.0,'4_1':0.0},(388,432):{'4_1':0.0},(388,428):{'3_1':0.0},(388,421):{'3_1':0.0},(388,420):{'3_1':0.0},(388,410):{'3_1':0.0},(388,406):{'3_1':0.0},(388,404):{'3_1':0.0},(388,402):{'3_1':0.0},(388,399):{'3_1':0.0},(389,726):{'3_1':0.0},(389,725):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(389,724):{'3_1':0.0,'5_2':0.0},(389,723):{'3_1':0.0},(389,722):{'3_1':0.0,'5_2':0.0},(389,721):{'3_1':0.0},(389,720):{'3_1':0.0,'5_2':0.0},(389,719):{'3_1':0.0},(389,718):{'3_1':0.0},(389,717):{'3_1':0.03,'5_2':0.0},(389,716):{'3_1':0.0},(389,715):{'3_1':0.0,'5_1':0.0},(389,714):{'4_1':0.0,'3_1':0.0},(389,713):{'3_1':0.0,'5_2':0.0},(389,712):{'3_1':0.0},(389,711):{'3_1':0.06},(389,710):{'3_1':0.03},(389,709):{'3_1':0.0,'5_2':0.0},(389,708):{'3_1':0.03,'5_1':0.0},(389,707):{'3_1':0.0},(389,706):{'3_1':0.0,'5_2':0.0},(389,705):{'3_1':0.0,'4_1':0.0},(389,704):{'3_1':0.0},(389,703):{'3_1':0.0,'5_2':0.0},(389,702):{'3_1':0.03},(389,701):{'3_1':0.0},(389,700):{'3_1':0.0,'5_2':0.0},(389,699):{'3_1':0.0,'7_1':0.0},(389,698):{'3_1':0.03,'5_2':0.0},(389,697):{'3_1':0.0},(389,696):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(389,695):{'3_1':0.03,'5_2':0.0},(389,694):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(389,693):{'3_1':0.0,'4_1':0.0},(389,692):{'3_1':0.0},(389,691):{'3_1':0.0,'5_2':0.0},(389,690):{'3_1':0.03,'4_1':0.0},(389,689):{'3_1':0.0,'5_2':0.0},(389,688):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,687):{'3_1':0.0,'5_2':0.0},(389,686):{'3_1':0.03,'7_1':0.0},(389,685):{'3_1':0.06},(389,684):{'3_1':0.0,'4_1':0.0},(389,683):{'3_1':0.03,'4_1':0.0},(389,682):{'3_1':0.03},(389,681):{'3_1':0.0,'4_1':0.0},(389,680):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,679):{'3_1':0.03,'5_2':0.0},(389,678):{'3_1':0.0,'5_2':0.0},(389,677):{'3_1':0.0,'5_2':0.0},(389,676):{'3_1':0.0,'5_2':0.0},(389,675):{'3_1':0.06},(389,674):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(389,673):{'5_1':0.0},(389,672):{'3_1':0.03},(389,671):{'3_1':0.03},(389,670):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,669):{'3_1':0.03},(389,668):{'3_1':0.0},(389,667):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,666):{'3_1':0.0,'5_2':0.0},(389,665):{'3_1':0.03,'4_1':0.0},(389,664):{'3_1':0.03,'5_2':0.0,'8_11':0.0},(389,663):{'3_1':0.03},(389,662):{'3_1':0.0},(389,661):{'3_1':0.0},(389,660):{'3_1':0.0},(389,659):{'3_1':0.03},(389,658):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(389,657):{'3_1':0.0,'5_1':0.0},(389,656):{'3_1':0.0,'4_1':0.0},(389,655):{'3_1':0.0},(389,654):{'3_1':0.03},(389,653):{'3_1':0.03},(389,652):{'3_1':0.0},(389,651):{'3_1':0.03},(389,650):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,649):{'3_1':0.0},(389,648):{'3_1':0.03,'4_1':0.0},(389,647):{'3_1':0.0},(389,646):{'3_1':0.03,'5_2':0.0},(389,645):{'3_1':0.0,'5_1':0.0},(389,644):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(389,643):{'3_1':0.03},(389,642):{'3_1':0.0,'5_2':0.0},(389,641):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(389,640):{'3_1':0.03},(389,639):{'3_1':0.09},(389,638):{'3_1':0.0,'5_1':0.0},(389,637):{'3_1':0.0},(389,636):{'3_1':0.06},(389,635):{'3_1':0.03,'4_1':0.0},(389,634):{'3_1':0.06},(389,633):{'3_1':0.06},(389,632):{'3_1':0.09,'5_2':0.0},(389,631):{'3_1':0.03,'5_2':0.0},(389,630):{'3_1':0.06},(389,629):{'3_1':0.06},(389,628):{'3_1':0.03},(389,627):{'3_1':0.0},(389,626):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(389,625):{'3_1':0.09},(389,624):{'3_1':0.0},(389,623):{'3_1':0.03,'5_1':0.0},(389,622):{'3_1':0.03,'4_1':0.0},(389,621):{'3_1':0.03,'5_2':0.0},(389,620):{'3_1':0.0,'5_2':0.0},(389,619):{'3_1':0.0,'4_1':0.0},(389,618):{'3_1':0.03},(389,617):{'3_1':0.06,'5_2':0.0},(389,616):{'3_1':0.0},(389,615):{'3_1':0.03,'5_1':0.0},(389,614):{'3_1':0.0},(389,613):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(389,612):{'3_1':0.0,'4_1':0.0},(389,611):{'3_1':0.0,'5_2':0.0},(389,610):{'3_1':0.03},(389,608):{'3_1':0.0},(389,607):{'3_1':0.0},(389,606):{'3_1':0.0},(389,605):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(389,604):{'3_1':0.0,'4_1':0.0},(389,603):{'3_1':0.0},(389,602):{'3_1':0.0},(389,601):{'3_1':0.0,'5_1':0.0},(389,600):{'3_1':0.0},(389,599):{'3_1':0.0},(389,598):{'3_1':0.0},(389,596):{'3_1':0.0,'4_1':0.0},(389,595):{'3_1':0.0,'4_1':0.0},(389,593):{'3_1':0.0},(389,592):{'3_1':0.0},(389,591):{'4_1':0.0,'5_2':0.0},(389,589):{'3_1':0.0},(389,588):{'3_1':0.0,'4_1':0.0},(389,587):{'3_1':0.0},(389,586):{'3_1':0.0,'4_1':0.0},(389,585):{'3_1':0.0},(389,584):{'5_2':0.0},(389,583):{'3_1':0.0},(389,581):{'3_1':0.0},(389,580):{'3_1':0.0},(389,579):{'3_1':0.0},(389,578):{'4_1':0.0,'5_2':0.0},(389,577):{'3_1':0.0},(389,575):{'4_1':0.0},(389,573):{'3_1':0.0,'6_2':0.0},(389,571):{'3_1':0.0,'4_1':0.0},(389,570):{'3_1':0.0},(389,569):{'4_1':0.0},(389,568):{'4_1':0.0},(389,567):{'3_1':0.0},(389,566):{'4_1':0.0},(389,565):{'4_1':0.0},(389,564):{'3_1':0.0},(389,562):{'3_1':0.0,'4_1':0.0},(389,561):{'6_2':0.0},(389,560):{'4_1':0.0},(389,555):{'3_1':0.0},(389,554):{'3_1':0.0},(389,553):{'3_1':0.0},(389,550):{'3_1':0.0},(389,548):{'3_1':0.0},(389,547):{'3_1':0.0},(389,546):{'3_1':0.0},(389,545):{'4_1':0.0},(389,544):{'3_1':0.0},(389,543):{'3_1':0.0,'6_1':0.0},(389,542):{'3_1':0.0},(389,540):{'3_1':0.0},(389,539):{'3_1':0.0},(389,537):{'3_1':0.0},(389,536):{'3_1':0.0,'4_1':0.0},(389,534):{'4_1':0.0},(389,533):{'3_1':0.0},(389,532):{'3_1':0.0},(389,531):{'3_1':0.03},(389,530):{'3_1':0.0},(389,529):{'3_1':0.0},(389,528):{'3_1':0.0},(389,525):{'3_1':0.0},(389,523):{'3_1':0.0},(389,522):{'3_1':0.0},(389,521):{'3_1':0.0},(389,519):{'4_1':0.0},(389,518):{'3_1':0.0,'4_1':0.0},(389,515):{'6_2':0.0},(389,514):{'3_1':0.0},(389,513):{'3_1':0.0},(389,510):{'4_1':0.0},(389,509):{'4_1':0.0,'3_1':0.0},(389,508):{'3_1':0.0},(389,507):{'3_1':0.0},(389,506):{'3_1':0.0},(389,505):{'3_1':0.0},(389,504):{'3_1':0.0},(389,501):{'3_1':0.03},(389,498):{'3_1':0.0},(389,496):{'3_1':0.0},(389,490):{'3_1':0.0},(389,489):{'3_1':0.0},(389,488):{'3_1':0.0},(389,487):{'3_1':0.0},(389,486):{'3_1':0.0},(389,485):{'3_1':0.0},(389,484):{'3_1':0.0},(389,481):{'3_1':0.0},(389,480):{'3_1':0.0},(389,479):{'3_1':0.0},(389,477):{'3_1':0.0},(389,476):{'4_1':0.0},(389,475):{'3_1':0.0},(389,473):{'4_1':0.0},(389,472):{'4_1':0.0},(389,471):{'4_1':0.0},(389,470):{'3_1':0.0},(389,469):{'3_1':0.03},(389,468):{'3_1':0.0,'4_1':0.0},(389,467):{'3_1':0.0},(389,466):{'3_1':0.0},(389,465):{'4_1':0.0},(389,463):{'3_1':0.0},(389,458):{'6_1':0.0},(389,442):{'3_1':0.0},(389,439):{'3_1':0.0},(389,438):{'3_1':0.0},(389,437):{'3_1':0.0},(389,436):{'3_1':0.0},(389,434):{'3_1':0.0,'4_1':0.0},(389,433):{'3_1':0.0},(389,432):{'3_1':0.0},(389,431):{'3_1':0.0},(389,430):{'3_1':0.0,'4_1':0.0},(389,429):{'3_1':0.0},(389,427):{'4_1':0.0},(389,424):{'3_1':0.0},(389,423):{'3_1':0.0,'4_1':0.0},(389,419):{'3_1':0.0},(389,416):{'3_1':0.0},(389,413):{'3_1':0.0},(389,408):{'3_1':0.0},(389,406):{'3_1':0.0},(389,404):{'3_1':0.0},(389,403):{'3_1':0.0},(390,727):{'3_1':0.0},(390,726):{'3_1':0.0},(390,725):{'5_1':0.0,'3_1':0.0},(390,722):{'3_1':0.0},(390,721):{'3_1':0.0,'5_1':0.0},(390,720):{'3_1':0.0,'4_1':0.0},(390,719):{'3_1':0.0},(390,718):{'3_1':0.0,'4_1':0.0},(390,717):{'3_1':0.0,'5_2':0.0},(390,716):{'3_1':0.0},(390,715):{'3_1':0.0},(390,714):{'3_1':0.0},(390,713):{'3_1':0.03},(390,712):{'3_1':0.0},(390,711):{'5_2':0.0},(390,710):{'3_1':0.0,'5_2':0.0},(390,709):{'3_1':0.0,'4_1':0.0},(390,708):{'3_1':0.03},(390,707):{'4_1':0.0,'3_1':0.0},(390,706):{'3_1':0.0},(390,705):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(390,704):{'4_1':0.0,'5_2':0.0},(390,703):{'3_1':0.06,'4_1':0.0},(390,702):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(390,701):{'3_1':0.0},(390,700):{'3_1':0.0,'9_1':0.0},(390,699):{'3_1':0.0,'4_1':0.0},(390,698):{'3_1':0.03},(390,697):{'3_1':0.0},(390,696):{'3_1':0.03,'5_2':0.0},(390,695):{'3_1':0.0,'4_1':0.0},(390,694):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(390,693):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(390,692):{'3_1':0.0,'5_1':0.0},(390,691):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(390,690):{'3_1':0.0,'5_2':0.0},(390,689):{'3_1':0.0,'4_1':0.0},(390,688):{'3_1':0.03,'5_1':0.0},(390,687):{'3_1':0.03,'4_1':0.0},(390,686):{'3_1':0.03,'4_1':0.0,'-3':0.0},(390,685):{'3_1':0.0},(390,684):{'3_1':0.0,'4_1':0.0,'-3':0.0},(390,683):{'3_1':0.0,'5_2':0.0},(390,682):{'3_1':0.03,'7_2':0.0},(390,681):{'3_1':0.03,'5_2':0.0},(390,680):{'3_1':0.03,'7_1':0.0},(390,679):{'3_1':0.03},(390,678):{'3_1':0.0,'5_1':0.0},(390,677):{'3_1':0.03,'5_2':0.0},(390,676):{'3_1':0.0},(390,675):{'3_1':0.0},(390,674):{'3_1':0.03},(390,673):{'3_1':0.0,'6_1':0.0},(390,672):{'3_1':0.0},(390,671):{'3_1':0.03,'5_1':0.0},(390,670):{'3_1':0.03},(390,669):{'3_1':0.03},(390,668):{'3_1':0.03},(390,667):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(390,666):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(390,665):{'4_1':0.0},(390,664):{'3_1':0.03,'5_2':0.0},(390,663):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(390,662):{'3_1':0.03},(390,661):{'3_1':0.0},(390,660):{'3_1':0.03,'4_1':0.0},(390,659):{'3_1':0.03},(390,658):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(390,657):{'3_1':0.06,'5_1':0.0},(390,656):{'3_1':0.0,'4_1':0.0},(390,655):{'3_1':0.0,'4_1':0.0},(390,654):{'3_1':0.0,'5_1':0.0},(390,653):{'3_1':0.0,'5_2':0.0},(390,652):{'3_1':0.0,'5_2':0.0},(390,651):{'3_1':0.0,'5_2':0.0},(390,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(390,649):{'3_1':0.0},(390,648):{'3_1':0.0},(390,647):{'3_1':0.0},(390,646):{'3_1':0.03},(390,645):{'3_1':0.0,'5_2':0.0},(390,644):{'3_1':0.0},(390,643):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(390,642):{'3_1':0.03},(390,641):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(390,640):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(390,639):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(390,638):{'3_1':0.06,'4_1':0.0},(390,637):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(390,636):{'3_1':0.0,'5_2':0.0},(390,635):{'3_1':0.03},(390,634):{'3_1':0.06},(390,633):{'3_1':0.0},(390,632):{'3_1':0.0},(390,631):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(390,630):{'3_1':0.06,'4_1':0.0},(390,629):{'3_1':0.03,'6_1':0.0},(390,628):{'3_1':0.06,'4_1':0.0},(390,627):{'3_1':0.03},(390,626):{'3_1':0.06,'5_2':0.0},(390,625):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(390,624):{'3_1':0.03,'5_2':0.0},(390,623):{'3_1':0.0,'5_1':0.0},(390,622):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(390,621):{'3_1':0.03},(390,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(390,619):{'3_1':0.03},(390,618):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(390,617):{'3_1':0.0},(390,616):{'3_1':0.03},(390,615):{'3_1':0.03},(390,614):{'3_1':0.0},(390,613):{'3_1':0.0},(390,612):{'3_1':0.0,'4_1':0.0},(390,611):{'3_1':0.0,'4_1':0.0},(390,610):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(390,609):{'3_1':0.0},(390,608):{'3_1':0.0,'4_1':0.0},(390,607):{'3_1':0.0},(390,606):{'3_1':0.0},(390,605):{'4_1':0.0},(390,604):{'3_1':0.0,'5_2':0.0},(390,603):{'4_1':0.0},(390,602):{'3_1':0.0},(390,600):{'3_1':0.0},(390,597):{'3_1':0.0},(390,596):{'4_1':0.0},(390,595):{'4_1':0.0,'5_2':0.0},(390,594):{'3_1':0.0},(390,593):{'4_1':0.0,'5_1':0.0,'5_2':0.0},(390,592):{'3_1':0.0},(390,591):{'3_1':0.0},(390,590):{'3_1':0.0},(390,589):{'3_1':0.0,'4_1':0.0},(390,588):{'3_1':0.0,'4_1':0.0},(390,586):{'3_1':0.0},(390,585):{'3_1':0.0},(390,581):{'3_1':0.0},(390,579):{'3_1':0.0,'4_1':0.0},(390,578):{'3_1':0.0},(390,577):{'3_1':0.0},(390,576):{'3_1':0.0},(390,574):{'3_1':0.0,'4_1':0.0},(390,572):{'3_1':0.0},(390,570):{'4_1':0.0},(390,569):{'3_1':0.0},(390,567):{'3_1':0.0},(390,566):{'4_1':0.0},(390,565):{'4_1':0.0},(390,564):{'3_1':0.0},(390,563):{'4_1':0.0},(390,562):{'3_1':0.0,'4_1':0.0},(390,559):{'3_1':0.0},(390,558):{'3_1':0.0},(390,556):{'3_1':0.0},(390,555):{'3_1':0.0},(390,553):{'3_1':0.0},(390,552):{'4_1':0.0},(390,551):{'3_1':0.0},(390,550):{'3_1':0.0},(390,549):{'3_1':0.0},(390,548):{'3_1':0.0},(390,547):{'3_1':0.0},(390,545):{'3_1':0.0},(390,544):{'3_1':0.0},(390,543):{'3_1':0.0},(390,542):{'3_1':0.0},(390,541):{'3_1':0.0},(390,540):{'3_1':0.0},(390,539):{'4_1':0.0},(390,537):{'3_1':0.0},(390,536):{'3_1':0.0},(390,535):{'3_1':0.0},(390,534):{'4_1':0.0},(390,533):{'3_1':0.0},(390,532):{'3_1':0.0},(390,531):{'3_1':0.0},(390,530):{'3_1':0.0},(390,528):{'3_1':0.0},(390,527):{'4_1':0.0},(390,526):{'3_1':0.0},(390,525):{'3_1':0.0},(390,524):{'3_1':0.0,'4_1':0.0},(390,523):{'3_1':0.0},(390,521):{'3_1':0.0},(390,520):{'3_1':0.0},(390,519):{'3_1':0.0},(390,518):{'3_1':0.0,'4_1':0.0},(390,517):{'3_1':0.0,'4_1':0.0},(390,515):{'3_1':0.0},(390,514):{'3_1':0.0},(390,513):{'3_1':0.0,'4_1':0.0},(390,511):{'3_1':0.0},(390,510):{'3_1':0.0},(390,507):{'3_1':0.0},(390,506):{'4_1':0.0},(390,501):{'3_1':0.03},(390,498):{'3_1':0.0},(390,497):{'4_1':0.0},(390,496):{'3_1':0.0},(390,495):{'3_1':0.0,'4_1':0.0},(390,494):{'3_1':0.0},(390,493):{'3_1':0.0},(390,490):{'3_1':0.0},(390,489):{'3_1':0.0},(390,487):{'3_1':0.0},(390,485):{'3_1':0.0},(390,482):{'3_1':0.0},(390,480):{'3_1':0.0},(390,479):{'3_1':0.0},(390,478):{'3_1':0.0},(390,477):{'3_1':0.0},(390,476):{'3_1':0.0},(390,474):{'3_1':0.0},(390,472):{'3_1':0.0},(390,471):{'3_1':0.0},(390,470):{'3_1':0.0},(390,468):{'3_1':0.0},(390,467):{'3_1':0.0},(390,466):{'3_1':0.0},(390,463):{'4_1':0.0},(390,461):{'3_1':0.0},(390,454):{'3_1':0.0},(390,446):{'3_1':0.0},(390,445):{'3_1':0.0},(390,440):{'3_1':0.0},(390,438):{'3_1':0.0},(390,436):{'3_1':0.0},(390,435):{'4_1':0.0},(390,434):{'4_1':0.0},(390,433):{'4_1':0.0},(390,432):{'3_1':0.0},(390,431):{'3_1':0.0,'4_1':0.0},(390,430):{'3_1':0.0},(390,429):{'4_1':0.0,'3_1':0.0},(390,428):{'3_1':0.0},(390,421):{'3_1':0.0},(390,406):{'3_1':0.0},(390,402):{'3_1':0.0},(390,398):{'3_1':0.0},(391,727):{'3_1':0.0},(391,726):{'3_1':0.0,'5_1':0.0},(391,725):{'3_1':0.0},(391,724):{'3_1':0.0},(391,723):{'3_1':0.0},(391,722):{'3_1':0.0,'5_1':0.0},(391,721):{'3_1':0.0},(391,720):{'3_1':0.0},(391,719):{'3_1':0.0,'4_1':0.0},(391,718):{'3_1':0.0},(391,717):{'3_1':0.0,'5_2':0.0},(391,716):{'3_1':0.0},(391,715):{'3_1':0.03,'5_2':0.0},(391,714):{'3_1':0.0},(391,713):{'3_1':0.0},(391,712):{'3_1':0.03,'5_2':0.0},(391,711):{'3_1':0.0,'4_1':0.0},(391,710):{'3_1':0.0,'5_1':0.0},(391,709):{'3_1':0.0,'5_1':0.0},(391,708):{'3_1':0.03,'4_1':0.0},(391,707):{'3_1':0.03,'5_1':0.0},(391,706):{'3_1':0.03},(391,705):{'3_1':0.03},(391,704):{'3_1':0.06},(391,703):{'3_1':0.03},(391,702):{'5_2':0.0},(391,701):{'3_1':0.0,'5_2':0.0},(391,700):{'3_1':0.03},(391,699):{'3_1':0.0,'5_2':0.0,'7_6':0.0,'9_1':0.0},(391,698):{'3_1':0.03},(391,697):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(391,696):{'3_1':0.0},(391,695):{'3_1':0.0,'5_2':0.0},(391,694):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(391,693):{'3_1':0.0},(391,692):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(391,691):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(391,690):{'4_1':0.0,'5_2':0.0},(391,689):{'3_1':0.03,'4_1':0.0},(391,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(391,687):{'3_1':0.03},(391,686):{'3_1':0.03,'4_1':0.0},(391,685):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(391,684):{'3_1':0.03,'4_1':0.0},(391,683):{'3_1':0.0,'6_1':0.0},(391,682):{'3_1':0.0,'5_1':0.0},(391,681):{'3_1':0.0,'5_1':0.0},(391,680):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(391,679):{'3_1':0.03},(391,678):{'3_1':0.0,'6_2':0.0,'8_1':0.0},(391,677):{'4_1':0.0,'5_2':0.0},(391,676):{'3_1':0.0,'5_2':0.0},(391,675):{'3_1':0.03},(391,674):{'3_1':0.03},(391,673):{'3_1':0.0},(391,672):{'3_1':0.0,'5_2':0.0},(391,671):{'3_1':0.0},(391,669):{'3_1':0.0},(391,668):{'3_1':0.0},(391,667):{'3_1':0.03},(391,666):{'3_1':0.0},(391,665):{'3_1':0.0,'5_2':0.0},(391,664):{'3_1':0.0},(391,663):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(391,662):{'3_1':0.0,'5_2':0.0},(391,661):{'3_1':0.0},(391,660):{'3_1':0.03},(391,659):{'3_1':0.03,'5_1':0.0},(391,658):{'3_1':0.0},(391,657):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(391,656):{'3_1':0.03,'5_2':0.0},(391,655):{'3_1':0.03},(391,654):{'3_1':0.0},(391,653):{'3_1':0.0},(391,652):{'3_1':0.0,'4_1':0.0},(391,651):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(391,650):{'3_1':0.03},(391,649):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(391,648):{'3_1':0.0},(391,647):{'3_1':0.03,'4_1':0.0},(391,646):{'3_1':0.03},(391,645):{'3_1':0.03,'4_1':0.0},(391,644):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(391,643):{'3_1':0.03},(391,642):{'3_1':0.03,'5_1':0.0},(391,641):{'3_1':0.03},(391,640):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(391,639):{'3_1':0.06,'5_2':0.0},(391,638):{'3_1':0.06,'4_1':0.0},(391,637):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(391,636):{'3_1':0.03},(391,635):{'3_1':0.03,'4_1':0.0},(391,634):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(391,633):{'3_1':0.03},(391,632):{'3_1':0.03},(391,631):{'3_1':0.03},(391,630):{'3_1':0.0,'5_1':0.0},(391,629):{'3_1':0.03},(391,628):{'3_1':0.03,'4_1':0.0},(391,627):{'3_1':0.06,'5_1':0.0},(391,626):{'3_1':0.0,'5_2':0.0},(391,625):{'3_1':0.0},(391,624):{'3_1':0.03},(391,623):{'3_1':0.03,'5_2':0.0},(391,622):{'3_1':0.03},(391,621):{'3_1':0.03},(391,620):{'3_1':0.0},(391,619):{'3_1':0.06,'5_2':0.0},(391,618):{'3_1':0.06},(391,617):{'3_1':0.0},(391,616):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(391,615):{'3_1':0.03},(391,614):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(391,613):{'3_1':0.0,'4_1':0.0},(391,612):{'3_1':0.03,'6_1':0.0},(391,611):{'3_1':0.03},(391,610):{'3_1':0.0},(391,609):{'3_1':0.03,'4_1':0.0},(391,608):{'3_1':0.0},(391,607):{'3_1':0.0},(391,606):{'3_1':0.0},(391,605):{'4_1':0.0},(391,604):{'3_1':0.0,'5_2':0.0},(391,603):{'4_1':0.0},(391,602):{'3_1':0.0},(391,601):{'3_1':0.0},(391,600):{'5_1':0.0},(391,599):{'3_1':0.0},(391,597):{'4_1':0.0,'3_1':0.0},(391,595):{'5_2':0.0},(391,594):{'3_1':0.0},(391,593):{'3_1':0.0},(391,592):{'4_1':0.0},(391,591):{'4_1':0.0},(391,590):{'3_1':0.0},(391,589):{'3_1':0.0,'4_1':0.0},(391,588):{'3_1':0.0,'5_2':0.0},(391,587):{'3_1':0.03},(391,586):{'4_1':0.0},(391,585):{'3_1':0.0},(391,584):{'3_1':0.0},(391,581):{'4_1':0.0},(391,580):{'3_1':0.0},(391,578):{'3_1':0.0,'6_2':0.0},(391,577):{'3_1':0.0},(391,575):{'3_1':0.0,'4_1':0.0},(391,573):{'3_1':0.0},(391,566):{'3_1':0.0},(391,563):{'3_1':0.0},(391,562):{'3_1':0.0},(391,561):{'3_1':0.0},(391,560):{'4_1':0.0},(391,559):{'3_1':0.0},(391,551):{'3_1':0.0},(391,549):{'3_1':0.0,'5_1':0.0},(391,548):{'3_1':0.0,'4_1':0.0},(391,547):{'3_1':0.0},(391,546):{'3_1':0.0},(391,544):{'3_1':0.0},(391,543):{'3_1':0.0},(391,542):{'3_1':0.03},(391,541):{'4_1':0.0},(391,540):{'3_1':0.0},(391,537):{'3_1':0.0},(391,535):{'3_1':0.0},(391,534):{'3_1':0.0},(391,533):{'3_1':0.0},(391,532):{'3_1':0.0},(391,531):{'3_1':0.0},(391,527):{'3_1':0.0},(391,524):{'4_1':0.0},(391,501):{'3_1':0.0},(391,500):{'3_1':0.0},(391,499):{'4_1':0.0,'3_1':0.0},(391,497):{'3_1':0.0},(391,496):{'3_1':0.03},(391,493):{'3_1':0.0},(391,486):{'3_1':0.0},(391,482):{'3_1':0.0},(391,481):{'3_1':0.0},(391,480):{'3_1':0.0},(391,478):{'3_1':0.0},(391,476):{'3_1':0.0},(391,475):{'3_1':0.0},(391,474):{'3_1':0.0},(391,472):{'3_1':0.0},(391,471):{'3_1':0.0},(391,469):{'4_1':0.0},(391,468):{'3_1':0.0},(391,467):{'3_1':0.0},(391,466):{'3_1':0.0},(391,465):{'4_1':0.0},(391,463):{'3_1':0.0},(391,448):{'3_1':0.0,'4_1':0.0},(391,447):{'3_1':0.0},(391,445):{'4_1':0.0},(391,442):{'3_1':0.0},(391,439):{'3_1':0.0,'4_1':0.0},(391,438):{'3_1':0.0},(391,417):{'3_1':0.0},(391,412):{'3_1':0.0},(391,403):{'3_1':0.0},(391,402):{'3_1':0.0},(392,727):{'3_1':0.0},(392,726):{'3_1':0.0},(392,725):{'3_1':0.0},(392,724):{'5_2':0.0},(392,723):{'3_1':0.0},(392,721):{'3_1':0.0},(392,720):{'3_1':0.0},(392,719):{'3_1':0.0,'5_1':0.0},(392,718):{'3_1':0.0},(392,717):{'3_1':0.0,'4_1':0.0},(392,716):{'5_2':0.0},(392,715):{'3_1':0.03},(392,714):{'3_1':0.0},(392,713):{'3_1':0.0,'4_1':0.0},(392,712):{'3_1':0.0},(392,711):{'3_1':0.03},(392,710):{'3_1':0.0,'5_2':0.0},(392,709):{'3_1':0.0,'4_1':0.0},(392,708):{'3_1':0.0,'5_2':0.0},(392,707):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(392,706):{'3_1':0.0},(392,705):{'3_1':0.0},(392,704):{'3_1':0.03,'7_1':0.0,'7_6':0.0},(392,703):{'5_2':0.0},(392,702):{'3_1':0.0},(392,701):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(392,700):{'3_1':0.0,'5_2':0.0},(392,699):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(392,698):{'3_1':0.0},(392,697):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(392,696):{'4_1':0.0},(392,695):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(392,694):{'3_1':0.06},(392,693):{'3_1':0.03,'5_2':0.0},(392,692):{'3_1':0.03,'5_2':0.0},(392,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(392,690):{'3_1':0.03,'5_2':0.0},(392,689):{'3_1':0.03,'4_1':0.0},(392,688):{'3_1':0.03,'4_1':0.0},(392,687):{'3_1':0.0,'4_1':0.0},(392,686):{'3_1':0.0,'4_1':0.0},(392,685):{'3_1':0.03,'4_1':0.0},(392,684):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(392,683):{'3_1':0.06},(392,682):{'3_1':0.0},(392,681):{'3_1':0.0,'4_1':0.0},(392,680):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(392,679):{'3_1':0.03,'5_2':0.0},(392,678):{'3_1':0.0,'5_2':0.0},(392,677):{'5_2':0.0,'3_1':0.0},(392,676):{'3_1':0.0,'5_2':0.0},(392,675):{'3_1':0.03},(392,674):{'3_1':0.0,'5_1':0.0},(392,673):{'3_1':0.03,'5_2':0.0},(392,672):{'3_1':0.0},(392,671):{'3_1':0.0},(392,670):{'3_1':0.03,'5_1':0.0},(392,669):{'3_1':0.03},(392,668):{'3_1':0.03,'5_2':0.0},(392,667):{'3_1':0.03,'5_1':0.0},(392,666):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(392,665):{'4_1':0.0},(392,664):{'3_1':0.0},(392,663):{'3_1':0.0,'5_1':0.0},(392,662):{'3_1':0.03,'6_1':0.0},(392,660):{'3_1':0.0},(392,659):{'3_1':0.03,'5_2':0.0},(392,658):{'3_1':0.03,'5_2':0.0},(392,657):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(392,656):{'3_1':0.0},(392,655):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(392,654):{'3_1':0.0},(392,653):{'3_1':0.03,'4_1':0.0},(392,652):{'3_1':0.0},(392,650):{'3_1':0.0,'5_2':0.0},(392,649):{'3_1':0.0},(392,648):{'5_1':0.0,'5_2':0.0},(392,647):{'3_1':0.06,'4_1':0.0},(392,646):{'3_1':0.03},(392,645):{'3_1':0.03},(392,644):{'3_1':0.06,'4_1':0.0},(392,643):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(392,642):{'3_1':0.03},(392,641):{'3_1':0.03},(392,640):{'3_1':0.0,'4_1':0.0},(392,639):{'3_1':0.03},(392,638):{'3_1':0.0,'4_1':0.0},(392,637):{'3_1':0.0,'5_1':0.0},(392,636):{'3_1':0.03,'5_2':0.0},(392,635):{'3_1':0.0,'5_2':0.0},(392,634):{'3_1':0.03},(392,633):{'3_1':0.03,'4_1':0.0},(392,632):{'3_1':0.03,'5_1':0.0},(392,631):{'4_1':0.0},(392,630):{'3_1':0.06},(392,629):{'3_1':0.0},(392,628):{'3_1':0.06},(392,627):{'3_1':0.0},(392,626):{'3_1':0.0,'4_1':0.0},(392,625):{'3_1':0.06,'5_2':0.0},(392,624):{'3_1':0.0},(392,623):{'3_1':0.03,'4_1':0.0},(392,622):{'3_1':0.03},(392,621):{'3_1':0.03,'5_2':0.0},(392,620):{'3_1':0.0,'4_1':0.0},(392,619):{'3_1':0.0,'4_1':0.0},(392,618):{'3_1':0.0},(392,617):{'3_1':0.03},(392,616):{'3_1':0.0},(392,615):{'3_1':0.03},(392,614):{'3_1':0.03},(392,613):{'3_1':0.0},(392,612):{'3_1':0.03,'5_2':0.0},(392,611):{'3_1':0.0},(392,610):{'3_1':0.06},(392,609):{'3_1':0.0,'5_2':0.0},(392,608):{'3_1':0.0},(392,607):{'3_1':0.0,'4_1':0.0},(392,606):{'3_1':0.0},(392,604):{'3_1':0.0},(392,603):{'4_1':0.0},(392,602):{'3_1':0.0},(392,601):{'3_1':0.0},(392,600):{'4_1':0.0},(392,598):{'3_1':0.0,'6_2':0.0},(392,597):{'4_1':0.0},(392,594):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(392,593):{'3_1':0.0},(392,591):{'3_1':0.0},(392,590):{'3_1':0.0,'4_1':0.0},(392,589):{'3_1':0.0},(392,588):{'5_2':0.0},(392,587):{'3_1':0.03},(392,586):{'3_1':0.0},(392,585):{'3_1':0.0},(392,581):{'3_1':0.0},(392,579):{'4_1':0.0},(392,578):{'3_1':0.0},(392,577):{'4_1':0.0},(392,576):{'3_1':0.0,'4_1':0.0},(392,575):{'3_1':0.0,'4_1':0.0},(392,574):{'3_1':0.0},(392,568):{'4_1':0.0},(392,564):{'4_1':0.0},(392,560):{'3_1':0.0},(392,559):{'3_1':0.0},(392,558):{'3_1':0.0},(392,557):{'3_1':0.0},(392,554):{'3_1':0.0,'4_1':0.0},(392,552):{'3_1':0.0},(392,550):{'3_1':0.0},(392,549):{'3_1':0.0,'5_1':0.0},(392,547):{'3_1':0.0},(392,546):{'3_1':0.0,'4_1':0.0},(392,545):{'3_1':0.0},(392,544):{'3_1':0.0},(392,543):{'3_1':0.0},(392,542):{'3_1':0.0},(392,541):{'3_1':0.0,'5_1':0.0},(392,540):{'3_1':0.0},(392,538):{'4_1':0.0},(392,537):{'3_1':0.0},(392,536):{'3_1':0.0},(392,534):{'3_1':0.0},(392,531):{'3_1':0.0},(392,530):{'3_1':0.0},(392,529):{'3_1':0.0},(392,528):{'3_1':0.0},(392,527):{'3_1':0.0},(392,526):{'3_1':0.0},(392,525):{'3_1':0.03},(392,524):{'3_1':0.0},(392,523):{'3_1':0.0,'4_1':0.0},(392,521):{'3_1':0.0},(392,518):{'4_1':0.0},(392,517):{'3_1':0.0},(392,514):{'3_1':0.0},(392,512):{'3_1':0.0},(392,511):{'3_1':0.0},(392,504):{'3_1':0.0},(392,501):{'3_1':0.0},(392,500):{'3_1':0.0},(392,498):{'3_1':0.0},(392,496):{'3_1':0.0},(392,494):{'3_1':0.0},(392,492):{'3_1':0.0},(392,489):{'3_1':0.0},(392,488):{'3_1':0.0},(392,486):{'3_1':0.0},(392,485):{'3_1':0.0},(392,484):{'3_1':0.0},(392,483):{'3_1':0.0},(392,482):{'3_1':0.0},(392,481):{'3_1':0.0},(392,480):{'3_1':0.0},(392,479):{'3_1':0.0},(392,477):{'3_1':0.0},(392,474):{'3_1':0.0},(392,472):{'3_1':0.0},(392,470):{'3_1':0.0},(392,468):{'3_1':0.0},(392,467):{'3_1':0.0},(392,466):{'3_1':0.0},(392,465):{'4_1':0.0},(392,464):{'3_1':0.0},(392,461):{'3_1':0.0},(392,458):{'3_1':0.0},(392,453):{'3_1':0.0},(392,451):{'3_1':0.0},(392,446):{'3_1':0.0},(392,444):{'3_1':0.0},(392,441):{'3_1':0.0},(392,434):{'3_1':0.0},(392,433):{'3_1':0.0},(392,430):{'4_1':0.0},(392,416):{'3_1':0.0},(392,411):{'3_1':0.0},(393,727):{'3_1':0.0},(393,726):{'3_1':0.0},(393,725):{'3_1':0.0},(393,724):{'3_1':0.0,'5_2':0.0},(393,722):{'3_1':0.0},(393,721):{'3_1':0.0,'5_2':0.0},(393,719):{'3_1':0.0},(393,718):{'3_1':0.03,'5_2':0.0},(393,717):{'3_1':0.0},(393,716):{'3_1':0.0,'4_1':0.0},(393,715):{'3_1':0.03},(393,714):{'3_1':0.0},(393,713):{'3_1':0.0,'5_2':0.0},(393,712):{'3_1':0.0,'5_2':0.0},(393,711):{'3_1':0.0,'5_2':0.0},(393,710):{'3_1':0.0,'4_1':0.0},(393,709):{'3_1':0.0},(393,708):{'3_1':0.03,'5_2':0.0},(393,706):{'3_1':0.0,'5_2':0.0},(393,705):{'3_1':0.0,'4_1':0.0},(393,704):{'3_1':0.0},(393,703):{'3_1':0.0,'4_1':0.0},(393,702):{'3_1':0.0,'5_2':0.0},(393,701):{'3_1':0.0},(393,700):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(393,699):{'3_1':0.03,'5_1':0.0},(393,698):{'3_1':0.0},(393,697):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(393,696):{'3_1':0.06},(393,695):{'3_1':0.0,'5_2':0.0},(393,694):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(393,693):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(393,692):{'3_1':0.0},(393,691):{'3_1':0.03,'5_2':0.0},(393,690):{'3_1':0.06,'5_1':0.0},(393,689):{'3_1':0.03,'5_1':0.0},(393,688):{'3_1':0.03,'4_1':0.0},(393,687):{'3_1':0.0,'5_2':0.0},(393,686):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(393,685):{'3_1':0.03,'7_1':0.0},(393,684):{'3_1':0.0,'4_1':0.0},(393,683):{'5_2':0.0},(393,682):{'3_1':0.03},(393,681):{'3_1':0.03},(393,680):{'3_1':0.0},(393,679):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(393,678):{'3_1':0.03},(393,677):{'3_1':0.03},(393,676):{'3_1':0.0},(393,675):{'5_2':0.0},(393,674):{'3_1':0.0},(393,673):{'3_1':0.0},(393,672):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(393,671):{'3_1':0.03,'5_1':0.0},(393,670):{'3_1':0.0},(393,669):{'3_1':0.0,'5_1':0.0},(393,668):{'3_1':0.03,'4_1':0.0},(393,667):{'3_1':0.03},(393,666):{'3_1':0.0},(393,665):{'3_1':0.0,'6_1':0.0},(393,664):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(393,663):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(393,662):{'5_2':0.0},(393,661):{'3_1':0.03,'4_1':0.0},(393,660):{'3_1':0.0,'4_1':0.0},(393,659):{'3_1':0.0},(393,658):{'3_1':0.0,'5_1':0.0},(393,657):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(393,656):{'3_1':0.0},(393,655):{'3_1':0.0},(393,654):{'3_1':0.0},(393,653):{'3_1':0.03},(393,652):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(393,651):{'3_1':0.0,'5_2':0.0},(393,650):{'3_1':0.0,'5_2':0.0},(393,649):{'3_1':0.0},(393,648):{'3_1':0.03,'4_1':0.0},(393,647):{'3_1':0.0},(393,646):{'3_1':0.0,'5_1':0.0},(393,645):{'3_1':0.0,'5_1':0.0},(393,644):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'-3':0.0},(393,643):{'3_1':0.03,'5_2':0.0},(393,642):{'3_1':0.03,'4_1':0.0},(393,641):{'3_1':0.03,'4_1':0.0},(393,640):{'3_1':0.03,'4_1':0.0},(393,639):{'3_1':0.06},(393,638):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(393,637):{'3_1':0.03},(393,636):{'3_1':0.0,'5_2':0.0},(393,635):{'3_1':0.0},(393,634):{'3_1':0.03,'4_1':0.0},(393,633):{'3_1':0.03,'5_2':0.0},(393,632):{'3_1':0.03},(393,631):{'3_1':0.0},(393,630):{'3_1':0.06},(393,629):{'3_1':0.0,'4_1':0.0},(393,628):{'3_1':0.06},(393,627):{'3_1':0.03},(393,626):{'3_1':0.03},(393,625):{'3_1':0.0,'5_1':0.0},(393,624):{'3_1':0.03},(393,623):{'3_1':0.06,'5_1':0.0},(393,622):{'3_1':0.03,'8_20|3_1#3_1':0.0},(393,621):{'3_1':0.06},(393,620):{'3_1':0.0},(393,619):{'3_1':0.03,'4_1':0.0},(393,618):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(393,617):{'3_1':0.0,'5_2':0.0},(393,616):{'3_1':0.0,'5_2':0.0},(393,615):{'3_1':0.03,'5_2':0.0},(393,614):{'3_1':0.03},(393,613):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(393,612):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(393,611):{'3_1':0.0,'4_1':0.0},(393,610):{'3_1':0.03},(393,609):{'3_1':0.0},(393,608):{'3_1':0.0},(393,607):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(393,605):{'3_1':0.0},(393,604):{'3_1':0.0},(393,601):{'3_1':0.0,'5_1':0.0},(393,600):{'3_1':0.0},(393,599):{'3_1':0.0,'4_1':0.0},(393,598):{'3_1':0.0},(393,597):{'4_1':0.0},(393,596):{'3_1':0.0},(393,595):{'3_1':0.0},(393,594):{'4_1':0.0,'3_1':0.0},(393,593):{'3_1':0.03,'4_1':0.0},(393,592):{'5_1':0.0},(393,591):{'3_1':0.03},(393,590):{'3_1':0.0,'6_2':0.0},(393,589):{'3_1':0.0,'4_1':0.0},(393,588):{'3_1':0.0,'4_1':0.0},(393,587):{'3_1':0.0},(393,585):{'3_1':0.0,'6_2':0.0},(393,584):{'3_1':0.0},(393,582):{'4_1':0.0},(393,581):{'3_1':0.0,'4_1':0.0},(393,580):{'3_1':0.0},(393,579):{'3_1':0.0},(393,578):{'3_1':0.0},(393,577):{'3_1':0.0},(393,576):{'3_1':0.0,'4_1':0.0},(393,575):{'3_1':0.0},(393,574):{'3_1':0.0},(393,572):{'3_1':0.0,'5_2':0.0},(393,571):{'5_2':0.0},(393,570):{'3_1':0.0,'4_1':0.0},(393,569):{'4_1':0.0},(393,567):{'3_1':0.0},(393,566):{'3_1':0.0,'4_1':0.0},(393,565):{'3_1':0.0},(393,564):{'3_1':0.0,'4_1':0.0},(393,563):{'3_1':0.0,'4_1':0.0},(393,561):{'3_1':0.0},(393,560):{'3_1':0.0},(393,555):{'3_1':0.0},(393,554):{'3_1':0.0,'5_1':0.0},(393,553):{'3_1':0.0},(393,552):{'3_1':0.0},(393,551):{'3_1':0.0},(393,550):{'3_1':0.0},(393,548):{'3_1':0.0,'4_1':0.0},(393,547):{'3_1':0.0},(393,546):{'3_1':0.03},(393,545):{'3_1':0.0},(393,544):{'3_1':0.0},(393,542):{'3_1':0.0},(393,541):{'3_1':0.0},(393,540):{'3_1':0.0},(393,538):{'3_1':0.0},(393,536):{'4_1':0.0},(393,535):{'3_1':0.0},(393,534):{'3_1':0.0},(393,533):{'3_1':0.0},(393,532):{'3_1':0.0,'4_1':0.0},(393,531):{'3_1':0.03,'4_1':0.0},(393,530):{'4_1':0.0},(393,529):{'4_1':0.0},(393,528):{'3_1':0.0},(393,527):{'3_1':0.0},(393,526):{'3_1':0.0},(393,524):{'3_1':0.0},(393,523):{'3_1':0.0},(393,521):{'3_1':0.0},(393,520):{'3_1':0.0},(393,517):{'3_1':0.0},(393,516):{'3_1':0.0,'4_1':0.0},(393,515):{'3_1':0.0},(393,514):{'3_1':0.0},(393,512):{'3_1':0.0},(393,511):{'3_1':0.0},(393,509):{'3_1':0.0},(393,508):{'3_1':0.0},(393,505):{'3_1':0.0},(393,502):{'4_1':0.0,'5_2':0.0},(393,500):{'3_1':0.0},(393,499):{'3_1':0.0},(393,498):{'4_1':0.0,'5_1':0.0},(393,497):{'3_1':0.0,'5_1':0.0},(393,495):{'3_1':0.0},(393,494):{'3_1':0.0,'4_1':0.0},(393,493):{'3_1':0.0,'4_1':0.0},(393,492):{'3_1':0.0},(393,488):{'3_1':0.0},(393,485):{'3_1':0.0},(393,483):{'3_1':0.0},(393,482):{'3_1':0.0},(393,481):{'3_1':0.0},(393,480):{'3_1':0.0},(393,479):{'3_1':0.0},(393,478):{'3_1':0.0},(393,476):{'3_1':0.0},(393,475):{'3_1':0.03},(393,474):{'4_1':0.0},(393,473):{'3_1':0.0},(393,472):{'3_1':0.0},(393,471):{'3_1':0.0},(393,467):{'3_1':0.0},(393,465):{'3_1':0.0},(393,464):{'3_1':0.0},(393,454):{'3_1':0.0},(393,451):{'4_1':0.0},(393,444):{'3_1':0.0},(393,440):{'3_1':0.0},(393,439):{'3_1':0.0},(393,438):{'3_1':0.0},(393,436):{'3_1':0.0},(393,435):{'3_1':0.0},(393,434):{'3_1':0.0},(393,432):{'3_1':0.0},(393,429):{'3_1':0.0},(393,428):{'3_1':0.0},(393,427):{'3_1':0.0},(393,425):{'3_1':0.0},(393,420):{'3_1':0.0},(393,417):{'3_1':0.0},(393,409):{'3_1':0.0},(394,727):{'5_2':0.0},(394,726):{'3_1':0.0},(394,725):{'3_1':0.03},(394,724):{'5_2':0.0},(394,723):{'3_1':0.0,'5_1':0.0},(394,722):{'3_1':0.03,'5_1':0.0},(394,721):{'3_1':0.0,'5_2':0.0},(394,720):{'3_1':0.0},(394,719):{'3_1':0.0,'5_1':0.0},(394,718):{'3_1':0.03},(394,717):{'3_1':0.0},(394,716):{'3_1':0.0},(394,715):{'3_1':0.03,'6_2':0.0},(394,714):{'3_1':0.0},(394,713):{'3_1':0.0,'5_1':0.0},(394,712):{'5_2':0.0},(394,711):{'3_1':0.0},(394,710):{'3_1':0.0},(394,709):{'3_1':0.03},(394,708):{'3_1':0.0,'5_2':0.0},(394,707):{'3_1':0.0,'5_2':0.0},(394,706):{'3_1':0.0,'5_2':0.0},(394,705):{'3_1':0.0},(394,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(394,703):{'3_1':0.0},(394,702):{'5_2':0.0},(394,701):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(394,700):{'3_1':0.0},(394,699):{'3_1':0.03,'5_2':0.0},(394,698):{'3_1':0.03},(394,697):{'3_1':0.0},(394,696):{'3_1':0.0,'5_2':0.0},(394,695):{'3_1':0.0},(394,694):{'3_1':0.0},(394,693):{'3_1':0.0},(394,692):{'3_1':0.0,'5_1':0.0},(394,691):{'3_1':0.03,'4_1':0.0},(394,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(394,689):{'3_1':0.03},(394,688):{'3_1':0.0},(394,687):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(394,686):{'3_1':0.0,'5_2':0.0},(394,685):{'3_1':0.0,'5_2':0.0},(394,684):{'3_1':0.03,'4_1':0.0},(394,683):{'3_1':0.0,'4_1':0.0},(394,682):{'3_1':0.03,'4_1':0.0},(394,681):{'3_1':0.0,'5_2':0.0},(394,680):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(394,679):{'3_1':0.06},(394,678):{'3_1':0.0,'7_1':0.0},(394,677):{'3_1':0.0,'5_2':0.0},(394,676):{'3_1':0.0,'4_1':0.0},(394,675):{'3_1':0.0,'5_2':0.0},(394,674):{'3_1':0.06},(394,673):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(394,672):{'3_1':0.03},(394,671):{'3_1':0.03,'4_1':0.0},(394,669):{'3_1':0.0,'6_1':0.0},(394,668):{'3_1':0.0},(394,667):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(394,666):{'3_1':0.0},(394,665):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(394,664):{'3_1':0.03},(394,663):{'3_1':0.03,'5_2':0.0},(394,662):{'3_1':0.0},(394,660):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(394,659):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(394,658):{'3_1':0.0,'4_1':0.0},(394,657):{'3_1':0.0,'5_2':0.0},(394,656):{'3_1':0.0,'5_2':0.0},(394,655):{'3_1':0.0,'5_1':0.0},(394,654):{'3_1':0.03},(394,653):{'3_1':0.0},(394,652):{'3_1':0.0,'5_1':0.0},(394,651):{'3_1':0.03,'5_2':0.0},(394,649):{'3_1':0.0},(394,648):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(394,647):{'3_1':0.0,'5_2':0.0},(394,646):{'3_1':0.0,'5_2':0.0},(394,645):{'3_1':0.0,'5_2':0.0},(394,644):{'3_1':0.06,'5_2':0.0},(394,643):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(394,642):{'3_1':0.03},(394,641):{'3_1':0.06,'4_1':0.0},(394,640):{'3_1':0.06,'5_1':0.0},(394,639):{'3_1':0.03,'4_1':0.0},(394,638):{'3_1':0.0,'5_2':0.0},(394,637):{'3_1':0.03},(394,636):{'3_1':0.06},(394,635):{'3_1':0.03,'5_2':0.0},(394,634):{'3_1':0.0},(394,633):{'3_1':0.0,'5_2':0.0},(394,632):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(394,631):{'3_1':0.03},(394,630):{'3_1':0.03,'4_1':0.0},(394,629):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(394,628):{'3_1':0.03},(394,627):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(394,626):{'3_1':0.03},(394,625):{'3_1':0.03,'5_1':0.0},(394,624):{'3_1':0.03,'5_1':0.0},(394,623):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(394,622):{'3_1':0.06,'5_1':0.0},(394,621):{'3_1':0.03,'4_1':0.0},(394,620):{'3_1':0.03},(394,619):{'3_1':0.03},(394,618):{'3_1':0.03,'4_1':0.0},(394,617):{'3_1':0.03},(394,616):{'3_1':0.03},(394,615):{'3_1':0.03},(394,614):{'3_1':0.03,'5_2':0.0},(394,613):{'3_1':0.0},(394,612):{'3_1':0.0},(394,611):{'3_1':0.03},(394,610):{'3_1':0.0},(394,609):{'3_1':0.0},(394,608):{'3_1':0.03},(394,607):{'3_1':0.0},(394,606):{'3_1':0.0},(394,605):{'3_1':0.0},(394,604):{'3_1':0.0},(394,603):{'3_1':0.0},(394,602):{'3_1':0.0},(394,601):{'5_1':0.0,'3_1':0.0},(394,599):{'5_1':0.0},(394,598):{'3_1':0.0,'4_1':0.0},(394,596):{'4_1':0.0},(394,595):{'3_1':0.0},(394,593):{'3_1':0.0},(394,592):{'3_1':0.0},(394,591):{'3_1':0.0,'5_2':0.0},(394,590):{'4_1':0.0},(394,589):{'3_1':0.0},(394,588):{'3_1':0.0},(394,586):{'3_1':0.0},(394,585):{'3_1':0.0},(394,583):{'3_1':0.0,'5_2':0.0},(394,581):{'3_1':0.0},(394,580):{'3_1':0.0},(394,579):{'3_1':0.0},(394,575):{'3_1':0.0},(394,574):{'4_1':0.0},(394,573):{'3_1':0.0},(394,572):{'3_1':0.0},(394,571):{'3_1':0.0,'5_1':0.0},(394,570):{'3_1':0.0},(394,568):{'3_1':0.03,'5_1':0.0},(394,567):{'3_1':0.0},(394,566):{'3_1':0.0,'4_1':0.0},(394,565):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(394,564):{'3_1':0.0},(394,563):{'3_1':0.0},(394,562):{'3_1':0.0},(394,561):{'3_1':0.0},(394,558):{'3_1':0.0,'4_1':0.0},(394,557):{'3_1':0.03},(394,555):{'3_1':0.0},(394,554):{'3_1':0.0},(394,553):{'3_1':0.03},(394,552):{'3_1':0.0},(394,550):{'3_1':0.0},(394,549):{'3_1':0.03},(394,547):{'3_1':0.0},(394,546):{'3_1':0.0},(394,545):{'3_1':0.0},(394,543):{'3_1':0.0,'4_1':0.0},(394,541):{'3_1':0.0},(394,539):{'3_1':0.0},(394,537):{'3_1':0.03},(394,536):{'3_1':0.0},(394,535):{'3_1':0.0},(394,531):{'3_1':0.0},(394,529):{'3_1':0.0},(394,526):{'3_1':0.0},(394,525):{'3_1':0.0},(394,524):{'6_1':0.0},(394,523):{'3_1':0.0},(394,520):{'4_1':0.0},(394,517):{'3_1':0.0},(394,516):{'3_1':0.0},(394,513):{'3_1':0.0},(394,511):{'3_1':0.0},(394,510):{'3_1':0.0},(394,509):{'3_1':0.0},(394,506):{'3_1':0.0},(394,505):{'3_1':0.0},(394,501):{'3_1':0.0,'4_1':0.0},(394,500):{'3_1':0.0},(394,497):{'3_1':0.0},(394,496):{'3_1':0.0},(394,495):{'3_1':0.0},(394,493):{'3_1':0.0},(394,492):{'3_1':0.0},(394,491):{'3_1':0.0},(394,490):{'3_1':0.0},(394,489):{'3_1':0.0},(394,488):{'3_1':0.0},(394,485):{'4_1':0.0},(394,484):{'3_1':0.0},(394,482):{'3_1':0.0},(394,481):{'3_1':0.0},(394,480):{'3_1':0.0},(394,479):{'3_1':0.0},(394,478):{'3_1':0.0},(394,477):{'3_1':0.0},(394,474):{'3_1':0.0},(394,473):{'3_1':0.0},(394,470):{'3_1':0.0},(394,469):{'3_1':0.0},(394,468):{'3_1':0.0},(394,467):{'3_1':0.0},(394,462):{'3_1':0.0},(394,460):{'3_1':0.0},(394,457):{'3_1':0.0},(394,454):{'3_1':0.0},(394,450):{'3_1':0.0},(394,445):{'3_1':0.0},(394,443):{'3_1':0.0},(394,436):{'3_1':0.0},(394,435):{'3_1':0.0},(394,434):{'3_1':0.0},(394,431):{'3_1':0.0},(394,428):{'3_1':0.0},(394,417):{'3_1':0.0},(394,412):{'3_1':0.0},(394,410):{'3_1':0.0},(394,406):{'3_1':0.0},(395,727):{'3_1':0.0,'5_2':0.0},(395,726):{'3_1':0.0,'4_1':0.0},(395,725):{'3_1':0.0,'8_20|3_1#3_1':0.0},(395,724):{'3_1':0.0,'5_1':0.0},(395,723):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(395,722):{'5_1':0.0},(395,721):{'3_1':0.0},(395,720):{'3_1':0.0,'5_2':0.0},(395,719):{'3_1':0.0},(395,718):{'3_1':0.0},(395,717):{'3_1':0.0},(395,716):{'3_1':0.0},(395,715):{'3_1':0.0},(395,714):{'3_1':0.03},(395,712):{'3_1':0.03},(395,711):{'3_1':0.0,'5_2':0.0},(395,710):{'3_1':0.03,'5_2':0.0},(395,709):{'3_1':0.0},(395,708):{'3_1':0.0},(395,707):{'3_1':0.03,'8_21|3_1#4_1':0.0},(395,706):{'3_1':0.0,'4_1':0.0},(395,705):{'3_1':0.03},(395,704):{'5_2':0.0,'3_1':0.0},(395,703):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(395,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(395,701):{'3_1':0.0,'5_2':0.0},(395,700):{'3_1':0.03},(395,699):{'3_1':0.0},(395,698):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(395,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(395,696):{'3_1':0.03,'5_2':0.0},(395,695):{'3_1':0.0},(395,694):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(395,693):{'3_1':0.03},(395,692):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(395,691):{'3_1':0.03,'5_2':0.0},(395,690):{'3_1':0.03,'5_2':0.0},(395,689):{'3_1':0.03,'5_2':0.0},(395,688):{'3_1':0.03},(395,687):{'3_1':0.0,'4_1':0.0},(395,686):{'3_1':0.0,'4_1':0.0},(395,685):{'3_1':0.0,'5_2':0.0},(395,684):{'3_1':0.03},(395,683):{'3_1':0.0},(395,681):{'3_1':0.03},(395,680):{'3_1':0.06,'5_2':0.0},(395,679):{'3_1':0.0},(395,678):{'3_1':0.03},(395,677):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(395,676):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(395,675):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(395,674):{'3_1':0.03},(395,673):{'3_1':0.03},(395,672):{'3_1':0.03,'5_2':0.0},(395,671):{'3_1':0.0},(395,670):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(395,669):{'3_1':0.0},(395,668):{'3_1':0.03,'4_1':0.0},(395,667):{'5_2':0.0},(395,666):{'3_1':0.03},(395,665):{'3_1':0.0,'5_1':0.0},(395,664):{'3_1':0.0},(395,663):{'3_1':0.0,'4_1':0.0},(395,662):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(395,661):{'3_1':0.0},(395,660):{'3_1':0.03},(395,659):{'3_1':0.0,'5_2':0.0},(395,658):{'5_2':0.0,'3_1':0.0},(395,657):{'3_1':0.03},(395,656):{'3_1':0.0,'5_2':0.0},(395,655):{'3_1':0.0,'4_1':0.0},(395,654):{'4_1':0.0,'7_3':0.0},(395,653):{'3_1':0.03},(395,652):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(395,651):{'3_1':0.0,'4_1':0.0},(395,650):{'3_1':0.0},(395,649):{'3_1':0.0},(395,648):{'3_1':0.03},(395,646):{'3_1':0.0},(395,645):{'3_1':0.0,'4_1':0.0},(395,644):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(395,643):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(395,642):{'3_1':0.0},(395,641):{'3_1':0.03},(395,640):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(395,639):{'3_1':0.06},(395,638):{'3_1':0.03,'5_2':0.0},(395,637):{'3_1':0.0},(395,636):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(395,635):{'5_2':0.0,'3_1':0.0},(395,634):{'3_1':0.03},(395,633):{'3_1':0.0},(395,632):{'3_1':0.0,'4_1':0.0},(395,631):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(395,630):{'3_1':0.0},(395,629):{'3_1':0.0,'4_1':0.0},(395,628):{'3_1':0.03},(395,627):{'5_1':0.0,'3_1':0.0},(395,626):{'3_1':0.0},(395,625):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(395,624):{'3_1':0.03},(395,623):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(395,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(395,621):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(395,620):{'3_1':0.0},(395,619):{'3_1':0.03},(395,618):{'3_1':0.03},(395,617):{'3_1':0.0},(395,616):{'3_1':0.0},(395,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(395,614):{'3_1':0.03},(395,613):{'3_1':0.0,'5_1':0.0},(395,612):{'3_1':0.03},(395,611):{'3_1':0.0},(395,610):{'3_1':0.0,'5_1':0.0},(395,609):{'3_1':0.03},(395,608):{'3_1':0.03},(395,606):{'3_1':0.0},(395,605):{'3_1':0.0},(395,604):{'3_1':0.0,'5_2':0.0},(395,603):{'3_1':0.0,'5_2':0.0},(395,602):{'3_1':0.0},(395,601):{'3_1':0.0,'5_1':0.0},(395,600):{'3_1':0.0},(395,599):{'3_1':0.0},(395,598):{'3_1':0.0,'4_1':0.0},(395,597):{'5_1':0.0},(395,596):{'3_1':0.03},(395,595):{'3_1':0.0,'4_1':0.0},(395,594):{'3_1':0.0,'4_1':0.0},(395,593):{'3_1':0.0},(395,591):{'4_1':0.0},(395,590):{'3_1':0.0},(395,589):{'3_1':0.0},(395,588):{'3_1':0.0},(395,587):{'3_1':0.0},(395,586):{'3_1':0.0,'4_1':0.0},(395,584):{'3_1':0.0},(395,583):{'3_1':0.0},(395,582):{'3_1':0.03,'5_1':0.0},(395,581):{'3_1':0.0},(395,580):{'3_1':0.0},(395,579):{'3_1':0.0},(395,578):{'3_1':0.0},(395,577):{'3_1':0.0,'5_2':0.0},(395,576):{'3_1':0.0},(395,575):{'3_1':0.0,'4_1':0.0},(395,574):{'4_1':0.0},(395,573):{'3_1':0.0},(395,571):{'3_1':0.0},(395,570):{'3_1':0.0},(395,568):{'3_1':0.0},(395,566):{'3_1':0.0},(395,565):{'3_1':0.0},(395,563):{'3_1':0.0},(395,562):{'3_1':0.0},(395,561):{'3_1':0.0},(395,560):{'3_1':0.0,'4_1':0.0},(395,559):{'3_1':0.0},(395,558):{'3_1':0.0},(395,557):{'3_1':0.0},(395,554):{'3_1':0.0},(395,553):{'3_1':0.0},(395,552):{'3_1':0.0},(395,550):{'3_1':0.0},(395,549):{'3_1':0.0,'4_1':0.0},(395,548):{'3_1':0.0,'4_1':0.0},(395,547):{'3_1':0.0},(395,546):{'3_1':0.0},(395,545):{'3_1':0.03},(395,544):{'3_1':0.0},(395,543):{'3_1':0.0},(395,542):{'3_1':0.0},(395,541):{'3_1':0.0},(395,540):{'3_1':0.0},(395,539):{'3_1':0.0},(395,537):{'3_1':0.0},(395,536):{'3_1':0.0},(395,535):{'3_1':0.0},(395,534):{'3_1':0.0},(395,533):{'3_1':0.03,'4_1':0.0},(395,532):{'3_1':0.0},(395,530):{'3_1':0.0},(395,529):{'3_1':0.0},(395,528):{'3_1':0.0},(395,527):{'3_1':0.0},(395,526):{'3_1':0.0},(395,524):{'8_1':0.0},(395,523):{'3_1':0.03},(395,521):{'3_1':0.0},(395,518):{'3_1':0.0},(395,517):{'3_1':0.0},(395,516):{'3_1':0.0},(395,514):{'3_1':0.0},(395,513):{'3_1':0.0},(395,512):{'3_1':0.0},(395,511):{'3_1':0.0},(395,508):{'3_1':0.0},(395,506):{'3_1':0.0},(395,500):{'3_1':0.0},(395,499):{'3_1':0.0},(395,498):{'3_1':0.0},(395,497):{'3_1':0.0},(395,496):{'3_1':0.0},(395,492):{'3_1':0.0},(395,491):{'8_1':0.0},(395,489):{'3_1':0.0},(395,488):{'5_1':0.0},(395,486):{'3_1':0.0},(395,484):{'3_1':0.0},(395,481):{'3_1':0.0},(395,480):{'3_1':0.03},(395,474):{'3_1':0.0},(395,473):{'3_1':0.0},(395,470):{'3_1':0.0},(395,469):{'3_1':0.0},(395,468):{'3_1':0.0},(395,467):{'3_1':0.0},(395,463):{'3_1':0.0},(395,461):{'3_1':0.0},(395,458):{'3_1':0.0},(395,456):{'3_1':0.0},(395,450):{'3_1':0.0},(395,445):{'3_1':0.0,'7_2':0.0},(395,443):{'3_1':0.0},(395,442):{'3_1':0.0},(395,441):{'3_1':0.0},(395,439):{'3_1':0.0},(395,436):{'3_1':0.0},(395,434):{'3_1':0.0},(395,431):{'3_1':0.0},(395,430):{'3_1':0.0},(395,426):{'3_1':0.0},(395,425):{'3_1':0.0},(395,424):{'3_1':0.0},(395,418):{'3_1':0.0},(395,416):{'3_1':0.0},(395,406):{'3_1':0.0},(396,727):{'3_1':0.03},(396,726):{'3_1':0.0,'8_2':0.0},(396,725):{'4_1':0.0,'7_3':0.0},(396,724):{'3_1':0.03,'5_1':0.0},(396,723):{'3_1':0.0},(396,722):{'3_1':0.0,'5_1':0.0},(396,721):{'3_1':0.0,'5_2':0.0},(396,720):{'5_1':0.0},(396,719):{'3_1':0.0,'5_2':0.0},(396,718):{'3_1':0.0},(396,717):{'3_1':0.0},(396,716):{'5_2':0.0,'3_1':0.0},(396,715):{'3_1':0.0},(396,714):{'3_1':0.03},(396,713):{'3_1':0.0},(396,712):{'3_1':0.0},(396,711):{'3_1':0.03},(396,710):{'3_1':0.0},(396,709):{'3_1':0.06,'4_1':0.0},(396,708):{'3_1':0.0},(396,707):{'3_1':0.0},(396,706):{'3_1':0.0,'4_1':0.0},(396,705):{'3_1':0.0,'5_1':0.0},(396,704):{'3_1':0.03},(396,703):{'3_1':0.03,'5_2':0.0},(396,702):{'3_1':0.0,'7_1':0.0},(396,701):{'3_1':0.0},(396,700):{'3_1':0.03},(396,699):{'3_1':0.0},(396,698):{'3_1':0.0,'5_2':0.0},(396,697):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(396,696):{'3_1':0.03},(396,695):{'3_1':0.03},(396,694):{'3_1':0.03,'5_2':0.0},(396,693):{'3_1':0.06,'5_2':0.0},(396,692):{'3_1':0.0,'4_1':0.0},(396,691):{'3_1':0.03,'5_2':0.0},(396,690):{'3_1':0.06},(396,689):{'3_1':0.0},(396,688):{'3_1':0.06,'4_1':0.0},(396,687):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(396,686):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(396,685):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(396,684):{'3_1':0.03},(396,683):{'3_1':0.06,'5_2':0.0},(396,682):{'3_1':0.03,'5_2':0.0},(396,681):{'3_1':0.06,'5_2':0.0},(396,680):{'3_1':0.0,'9_1':0.0},(396,679):{'3_1':0.03},(396,678):{'3_1':0.03},(396,677):{'3_1':0.03,'5_2':0.0},(396,676):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(396,675):{'3_1':0.03,'4_1':0.0},(396,674):{'3_1':0.03,'5_2':0.0},(396,673):{'3_1':0.0},(396,672):{'5_2':0.0,'3_1':0.0},(396,671):{'3_1':0.03,'5_1':0.0},(396,670):{'3_1':0.03},(396,669):{'3_1':0.0},(396,668):{'3_1':0.0},(396,667):{'3_1':0.03,'4_1':0.0},(396,666):{'3_1':0.0},(396,665):{'3_1':0.03},(396,664):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(396,663):{'3_1':0.0,'5_2':0.0},(396,662):{'3_1':0.03,'4_1':0.0},(396,661):{'3_1':0.0,'5_2':0.0},(396,660):{'3_1':0.03},(396,659):{'3_1':0.03},(396,658):{'4_1':0.0},(396,657):{'3_1':0.0,'5_2':0.0},(396,656):{'3_1':0.0},(396,655):{'3_1':0.03},(396,654):{'3_1':0.03},(396,653):{'3_1':0.09},(396,652):{'3_1':0.06,'5_1':0.0},(396,651):{'3_1':0.03,'5_1':0.0},(396,650):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(396,649):{'4_1':0.0},(396,648):{'3_1':0.0},(396,647):{'3_1':0.06},(396,646):{'3_1':0.03},(396,645):{'3_1':0.0},(396,644):{'3_1':0.03,'4_1':0.0},(396,643):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_1':0.0},(396,642):{'3_1':0.09},(396,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(396,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(396,639):{'3_1':0.06,'5_2':0.0},(396,638):{'3_1':0.03,'5_2':0.0},(396,637):{'3_1':0.03,'5_2':0.0},(396,636):{'3_1':0.0},(396,635):{'3_1':0.06},(396,634):{'3_1':0.09,'5_1':0.0},(396,633):{'3_1':0.0,'5_2':0.0},(396,632):{'3_1':0.03,'4_1':0.0},(396,631):{'3_1':0.03},(396,630):{'3_1':0.06},(396,629):{'3_1':0.03,'6_1':0.0},(396,628):{'3_1':0.03},(396,627):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(396,626):{'3_1':0.03},(396,625):{'3_1':0.06},(396,624):{'3_1':0.06,'4_1':0.0},(396,623):{'3_1':0.06,'4_1':0.0},(396,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(396,621):{'3_1':0.03},(396,620):{'3_1':0.06},(396,619):{'3_1':0.03,'5_2':0.0},(396,618):{'3_1':0.0,'5_1':0.0},(396,617):{'3_1':0.0,'6_1':0.0},(396,616):{'3_1':0.0,'4_1':0.0},(396,615):{'3_1':0.03},(396,614):{'3_1':0.0,'5_2':0.0},(396,613):{'3_1':0.03},(396,612):{'3_1':0.0,'4_1':0.0},(396,611):{'3_1':0.0,'4_1':0.0},(396,610):{'3_1':0.0,'6_1':0.0},(396,609):{'3_1':0.0,'4_1':0.0},(396,608):{'3_1':0.0},(396,607):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(396,606):{'3_1':0.0},(396,605):{'4_1':0.0},(396,603):{'3_1':0.0,'4_1':0.0},(396,602):{'3_1':0.0},(396,601):{'3_1':0.0},(396,600):{'3_1':0.0,'5_2':0.0},(396,599):{'3_1':0.0,'6_2':0.0},(396,597):{'7_6':0.0},(396,596):{'3_1':0.0,'4_1':0.0},(396,595):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(396,594):{'3_1':0.0},(396,592):{'3_1':0.03},(396,591):{'3_1':0.0,'6_1':0.0},(396,590):{'3_1':0.0},(396,589):{'3_1':0.0,'4_1':0.0},(396,588):{'4_1':0.0},(396,587):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(396,586):{'3_1':0.03,'4_1':0.0},(396,585):{'3_1':0.0,'5_1':0.0},(396,584):{'3_1':0.0},(396,583):{'5_1':0.0},(396,582):{'3_1':0.0},(396,581):{'3_1':0.0},(396,580):{'3_1':0.0},(396,578):{'3_1':0.0,'7_6':0.0},(396,576):{'3_1':0.0},(396,574):{'3_1':0.0},(396,573):{'3_1':0.0},(396,572):{'3_1':0.0},(396,571):{'3_1':0.0},(396,570):{'3_1':0.0,'4_1':0.0},(396,569):{'3_1':0.0,'4_1':0.0},(396,567):{'3_1':0.03},(396,566):{'3_1':0.0},(396,565):{'3_1':0.0},(396,564):{'3_1':0.0,'4_1':0.0},(396,563):{'3_1':0.03,'4_1':0.0},(396,562):{'3_1':0.0},(396,561):{'4_1':0.0},(396,560):{'3_1':0.0},(396,559):{'3_1':0.0,'4_1':0.0},(396,558):{'3_1':0.0,'4_1':0.0},(396,557):{'3_1':0.03},(396,556):{'3_1':0.0},(396,555):{'3_1':0.0,'4_1':0.0},(396,554):{'3_1':0.03,'5_1':0.0},(396,553):{'3_1':0.0},(396,552):{'3_1':0.0},(396,551):{'3_1':0.03},(396,550):{'3_1':0.03},(396,549):{'3_1':0.0},(396,548):{'3_1':0.0},(396,547):{'3_1':0.0},(396,546):{'3_1':0.03},(396,545):{'3_1':0.0},(396,544):{'3_1':0.0},(396,543):{'5_1':0.0},(396,542):{'3_1':0.0},(396,541):{'3_1':0.03},(396,538):{'3_1':0.0},(396,537):{'3_1':0.0},(396,536):{'3_1':0.0},(396,533):{'3_1':0.0},(396,521):{'3_1':0.0,'4_1':0.0},(396,520):{'4_1':0.0},(396,518):{'3_1':0.0},(396,517):{'3_1':0.0},(396,516):{'3_1':0.0},(396,515):{'4_1':0.0},(396,513):{'3_1':0.0},(396,512):{'3_1':0.0},(396,511):{'3_1':0.0},(396,510):{'3_1':0.0},(396,509):{'3_1':0.0},(396,508):{'3_1':0.0},(396,506):{'6_1':0.0},(396,502):{'3_1':0.0},(396,501):{'3_1':0.0},(396,500):{'5_1':0.0},(396,493):{'3_1':0.0},(396,492):{'3_1':0.0},(396,491):{'3_1':0.0},(396,489):{'3_1':0.0},(396,488):{'3_1':0.0},(396,486):{'3_1':0.0},(396,485):{'3_1':0.0},(396,484):{'3_1':0.0},(396,482):{'3_1':0.0},(396,481):{'3_1':0.0},(396,479):{'3_1':0.0},(396,478):{'3_1':0.0},(396,477):{'3_1':0.0},(396,476):{'4_1':0.0},(396,475):{'3_1':0.0},(396,474):{'3_1':0.0},(396,473):{'3_1':0.0},(396,472):{'3_1':0.0},(396,470):{'3_1':0.0},(396,469):{'3_1':0.0},(396,468):{'8_1':0.0},(396,465):{'3_1':0.0},(396,462):{'3_1':0.0},(396,458):{'3_1':0.0},(396,457):{'3_1':0.0},(396,454):{'3_1':0.0},(396,453):{'3_1':0.0},(396,435):{'3_1':0.0},(396,432):{'3_1':0.0},(396,430):{'3_1':0.0},(396,427):{'3_1':0.0},(396,424):{'3_1':0.0},(396,412):{'4_1':0.0},(396,411):{'3_1':0.0},(396,408):{'3_1':0.0},(397,727):{'3_1':0.0},(397,726):{'3_1':0.0},(397,725):{'3_1':0.03},(397,724):{'3_1':0.0,'5_2':0.0},(397,723):{'3_1':0.0,'5_2':0.0},(397,722):{'3_1':0.0,'4_1':0.0},(397,721):{'3_1':0.0,'5_2':0.0},(397,720):{'3_1':0.0},(397,719):{'3_1':0.0},(397,718):{'3_1':0.0,'5_2':0.0},(397,717):{'3_1':0.0,'5_2':0.0},(397,715):{'3_1':0.03},(397,714):{'3_1':0.03,'5_2':0.0},(397,713):{'3_1':0.0,'4_1':0.0},(397,712):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(397,711):{'3_1':0.0},(397,710):{'3_1':0.0},(397,709):{'3_1':0.03},(397,708):{'3_1':0.0},(397,707):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(397,706):{'3_1':0.03},(397,705):{'3_1':0.0},(397,704):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(397,703):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(397,702):{'3_1':0.03,'5_1':0.0},(397,701):{'3_1':0.0},(397,700):{'3_1':0.0,'5_1':0.0},(397,699):{'3_1':0.0,'5_2':0.0},(397,698):{'3_1':0.0,'5_2':0.0},(397,697):{'3_1':0.03,'4_1':0.0},(397,696):{'3_1':0.03},(397,695):{'3_1':0.03,'5_2':0.0},(397,694):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(397,693):{'3_1':0.0,'5_1':0.0},(397,692):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(397,691):{'3_1':0.03},(397,690):{'3_1':0.06},(397,689):{'3_1':0.03,'5_1':0.0},(397,688):{'3_1':0.03,'5_2':0.0},(397,687):{'3_1':0.06,'5_2':0.0},(397,686):{'3_1':0.03},(397,685):{'3_1':0.03,'4_1':0.0},(397,684):{'3_1':0.06},(397,683):{'3_1':0.0,'4_1':0.0},(397,682):{'3_1':0.06,'5_1':0.0},(397,681):{'3_1':0.03,'5_2':0.0},(397,680):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(397,679):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(397,678):{'3_1':0.03},(397,677):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(397,676):{'3_1':0.0},(397,675):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(397,674):{'3_1':0.09,'5_2':0.0},(397,673):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(397,672):{'3_1':0.0,'5_2':0.0,'7_3':0.0},(397,671):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(397,670):{'3_1':0.03,'4_1':0.0,'8_12':0.0},(397,669):{'3_1':0.0},(397,668):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(397,667):{'3_1':0.06,'5_1':0.0},(397,666):{'3_1':0.0,'4_1':0.0},(397,665):{'3_1':0.0,'5_2':0.0},(397,664):{'3_1':0.03,'4_1':0.0},(397,663):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(397,662):{'3_1':0.0,'4_1':0.0},(397,661):{'3_1':0.03},(397,660):{'3_1':0.03,'4_1':0.0},(397,659):{'3_1':0.0,'4_1':0.0},(397,658):{'3_1':0.0},(397,657):{'3_1':0.03,'5_2':0.0},(397,656):{'3_1':0.0,'7_1':0.0},(397,655):{'3_1':0.03,'5_2':0.0},(397,654):{'3_1':0.03},(397,653):{'3_1':0.0,'5_1':0.0},(397,652):{'3_1':0.0},(397,651):{'3_1':0.0},(397,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(397,649):{'3_1':0.0},(397,648):{'3_1':0.09,'6_2':0.0},(397,647):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(397,646):{'3_1':0.03,'5_2':0.0},(397,645):{'3_1':0.03,'5_2':0.0},(397,644):{'3_1':0.06,'5_1':0.0},(397,643):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(397,642):{'3_1':0.03},(397,641):{'3_1':0.06,'5_1':0.0},(397,640):{'3_1':0.06,'5_2':0.0},(397,639):{'3_1':0.06,'5_2':0.0},(397,638):{'3_1':0.03,'5_2':0.0},(397,637):{'3_1':0.03,'4_1':0.0},(397,636):{'3_1':0.06,'5_1':0.0},(397,635):{'3_1':0.0},(397,634):{'3_1':0.09,'5_2':0.0},(397,633):{'3_1':0.09,'5_1':0.0},(397,632):{'3_1':0.03},(397,631):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(397,630):{'3_1':0.06,'5_2':0.0},(397,629):{'3_1':0.06,'5_1':0.0},(397,628):{'3_1':0.03,'4_1':0.0},(397,627):{'3_1':0.03,'5_2':0.0},(397,626):{'3_1':0.03,'4_1':0.0},(397,625):{'3_1':0.03},(397,624):{'3_1':0.0,'4_1':0.0},(397,623):{'3_1':0.03},(397,622):{'3_1':0.03},(397,621):{'3_1':0.0},(397,620):{'3_1':0.03},(397,619):{'3_1':0.03,'4_1':0.0},(397,618):{'3_1':0.03},(397,617):{'3_1':0.03},(397,616):{'3_1':0.03},(397,615):{'3_1':0.0,'4_1':0.0},(397,614):{'3_1':0.06},(397,613):{'3_1':0.0},(397,612):{'3_1':0.0},(397,611):{'3_1':0.0},(397,610):{'3_1':0.0},(397,609):{'3_1':0.0,'5_2':0.0},(397,608):{'3_1':0.0,'4_1':0.0},(397,607):{'3_1':0.0},(397,603):{'3_1':0.0,'4_1':0.0},(397,602):{'3_1':0.0},(397,601):{'3_1':0.0,'5_1':0.0},(397,600):{'3_1':0.0},(397,598):{'3_1':0.0,'4_1':0.0},(397,597):{'3_1':0.0},(397,596):{'3_1':0.0,'4_1':0.0},(397,595):{'3_1':0.0},(397,594):{'3_1':0.0,'5_1':0.0},(397,593):{'4_1':0.0,'3_1':0.0},(397,592):{'3_1':0.0},(397,591):{'3_1':0.0},(397,590):{'3_1':0.0,'4_1':0.0},(397,589):{'3_1':0.0},(397,588):{'3_1':0.0},(397,587):{'3_1':0.0},(397,586):{'3_1':0.0,'5_2':0.0},(397,585):{'3_1':0.0},(397,584):{'4_1':0.0},(397,583):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(397,581):{'3_1':0.0,'4_1':0.0},(397,580):{'3_1':0.0},(397,579):{'3_1':0.0},(397,578):{'3_1':0.0},(397,577):{'3_1':0.0},(397,575):{'3_1':0.0},(397,574):{'3_1':0.0,'4_1':0.0},(397,573):{'3_1':0.0},(397,572):{'3_1':0.0},(397,571):{'4_1':0.0},(397,570):{'3_1':0.03},(397,569):{'3_1':0.0,'4_1':0.0},(397,568):{'3_1':0.0},(397,567):{'3_1':0.0},(397,566):{'3_1':0.0},(397,565):{'3_1':0.0},(397,564):{'4_1':0.0},(397,563):{'3_1':0.0},(397,561):{'3_1':0.0},(397,559):{'3_1':0.0},(397,558):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(397,556):{'3_1':0.0},(397,555):{'3_1':0.0,'4_1':0.0},(397,554):{'4_1':0.0,'3_1':0.0},(397,553):{'3_1':0.0},(397,552):{'3_1':0.0,'4_1':0.0},(397,550):{'3_1':0.0},(397,549):{'3_1':0.0},(397,548):{'3_1':0.0},(397,547):{'3_1':0.0},(397,546):{'3_1':0.0},(397,545):{'3_1':0.0},(397,544):{'3_1':0.0,'6_1':0.0},(397,543):{'3_1':0.0},(397,542):{'3_1':0.0},(397,541):{'3_1':0.0},(397,540):{'3_1':0.0},(397,539):{'3_1':0.0},(397,538):{'3_1':0.0,'4_1':0.0},(397,537):{'3_1':0.0,'4_1':0.0},(397,536):{'3_1':0.0,'4_1':0.0},(397,535):{'3_1':0.03},(397,534):{'3_1':0.0},(397,533):{'3_1':0.0,'5_1':0.0},(397,532):{'3_1':0.0},(397,531):{'3_1':0.0},(397,530):{'3_1':0.0},(397,529):{'3_1':0.0},(397,528):{'3_1':0.0},(397,527):{'3_1':0.0},(397,525):{'3_1':0.0},(397,524):{'3_1':0.0},(397,523):{'3_1':0.0},(397,522):{'3_1':0.0},(397,520):{'3_1':0.0},(397,517):{'3_1':0.03},(397,515):{'3_1':0.0},(397,511):{'3_1':0.0},(397,510):{'3_1':0.0},(397,509):{'3_1':0.0},(397,508):{'3_1':0.0},(397,506):{'3_1':0.0},(397,505):{'3_1':0.03},(397,501):{'3_1':0.0},(397,499):{'3_1':0.0},(397,498):{'3_1':0.0},(397,496):{'3_1':0.0},(397,495):{'3_1':0.03},(397,493):{'3_1':0.0},(397,491):{'3_1':0.0},(397,490):{'3_1':0.0},(397,488):{'3_1':0.0},(397,487):{'3_1':0.0},(397,486):{'3_1':0.0},(397,485):{'3_1':0.0},(397,483):{'3_1':0.0},(397,482):{'3_1':0.0},(397,480):{'3_1':0.0},(397,474):{'3_1':0.0},(397,473):{'3_1':0.0,'4_1':0.0},(397,472):{'3_1':0.0},(397,471):{'3_1':0.0},(397,469):{'3_1':0.0},(397,468):{'3_1':0.0},(397,467):{'3_1':0.0,'4_1':0.0},(397,466):{'3_1':0.0},(397,463):{'3_1':0.0},(397,462):{'3_1':0.0},(397,460):{'3_1':0.0},(397,459):{'3_1':0.0},(397,436):{'3_1':0.0},(397,430):{'3_1':0.0},(397,427):{'3_1':0.0},(397,416):{'3_1':0.0},(397,415):{'3_1':0.0},(397,413):{'3_1':0.0},(397,412):{'3_1':0.0},(397,410):{'3_1':0.0},(397,408):{'3_1':0.0},(398,727):{'3_1':0.0},(398,726):{'3_1':0.0},(398,725):{'3_1':0.0},(398,724):{'3_1':0.0},(398,723):{'3_1':0.0},(398,722):{'3_1':0.03},(398,721):{'3_1':0.03,'5_1':0.0},(398,720):{'3_1':0.0},(398,719):{'3_1':0.03},(398,718):{'3_1':0.03,'5_1':0.0},(398,717):{'3_1':0.03},(398,716):{'3_1':0.03},(398,715):{'3_1':0.03},(398,714):{'3_1':0.0,'5_2':0.0},(398,713):{'3_1':0.03,'4_1':0.0},(398,712):{'3_1':0.0,'5_2':0.0},(398,711):{'3_1':0.06},(398,710):{'3_1':0.03,'5_2':0.0},(398,709):{'3_1':0.0,'5_2':0.0},(398,708):{'3_1':0.0,'5_2':0.0},(398,707):{'3_1':0.03},(398,706):{'3_1':0.03,'5_2':0.0},(398,705):{'3_1':0.03,'4_1':0.0},(398,704):{'3_1':0.0,'5_2':0.0},(398,703):{'3_1':0.0},(398,702):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(398,701):{'3_1':0.03,'4_1':0.0},(398,700):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(398,699):{'3_1':0.03,'5_1':0.0},(398,698):{'3_1':0.0,'5_1':0.0},(398,697):{'3_1':0.03,'4_1':0.0},(398,696):{'3_1':0.03},(398,695):{'3_1':0.06,'5_2':0.0},(398,694):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(398,693):{'3_1':0.03},(398,692):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(398,691):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'4_1':0.0},(398,690):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(398,689):{'3_1':0.0},(398,688):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(398,687):{'3_1':0.03,'4_1':0.0},(398,686):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(398,685):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(398,684):{'3_1':0.03,'4_1':0.0},(398,683):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(398,682):{'3_1':0.0,'4_1':0.0},(398,681):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(398,680):{'3_1':0.06},(398,679):{'3_1':0.0},(398,678):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(398,677):{'3_1':0.03},(398,676):{'3_1':0.06},(398,675):{'3_1':0.03},(398,674):{'3_1':0.03},(398,673):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(398,672):{'3_1':0.0},(398,671):{'3_1':0.03,'5_1':0.0},(398,670):{'3_1':0.03,'8_1':0.0},(398,669):{'3_1':0.03,'5_1':0.0},(398,668):{'3_1':0.03,'5_2':0.0},(398,667):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(398,666):{'3_1':0.06},(398,665):{'3_1':0.0},(398,664):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(398,663):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(398,662):{'3_1':0.06,'8_1':0.0},(398,661):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(398,660):{'3_1':0.03,'5_2':0.0},(398,659):{'3_1':0.06},(398,658):{'3_1':0.0},(398,657):{'3_1':0.0,'5_2':0.0},(398,656):{'3_1':0.0,'6_1':0.0},(398,655):{'3_1':0.03,'5_1':0.0},(398,654):{'3_1':0.03,'4_1':0.0},(398,653):{'3_1':0.03,'4_1':0.0},(398,652):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(398,651):{'3_1':0.0},(398,650):{'3_1':0.03,'4_1':0.0},(398,649):{'3_1':0.0},(398,648):{'3_1':0.0},(398,647):{'3_1':0.0,'5_1':0.0},(398,646):{'3_1':0.09,'5_2':0.0},(398,645):{'3_1':0.0},(398,644):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(398,643):{'3_1':0.09},(398,642):{'3_1':0.03},(398,641):{'3_1':0.06},(398,640):{'3_1':0.03,'6_2':0.0},(398,639):{'3_1':0.06,'5_1':0.0},(398,638):{'3_1':0.0,'5_2':0.0},(398,637):{'3_1':0.03},(398,636):{'3_1':0.0,'4_1':0.0},(398,635):{'3_1':0.03,'5_1':0.0},(398,634):{'3_1':0.06},(398,633):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(398,632):{'3_1':0.06,'4_1':0.0},(398,631):{'3_1':0.06},(398,630):{'3_1':0.03},(398,629):{'3_1':0.06,'5_2':0.0},(398,628):{'3_1':0.0},(398,627):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(398,626):{'3_1':0.09,'5_2':0.0},(398,625):{'3_1':0.0,'5_1':0.0},(398,624):{'3_1':0.03,'5_2':0.0},(398,623):{'3_1':0.0,'4_1':0.0},(398,622):{'3_1':0.0},(398,621):{'3_1':0.03},(398,620):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(398,619):{'3_1':0.03},(398,618):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(398,617):{'3_1':0.03},(398,616):{'3_1':0.03},(398,615):{'3_1':0.0,'5_1':0.0},(398,614):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(398,613):{'3_1':0.0,'4_1':0.0},(398,612):{'3_1':0.03},(398,611):{'3_1':0.03},(398,610):{'3_1':0.0},(398,609):{'3_1':0.03,'4_1':0.0},(398,607):{'3_1':0.0},(398,606):{'3_1':0.03},(398,605):{'3_1':0.0},(398,604):{'3_1':0.0},(398,603):{'3_1':0.03,'5_2':0.0},(398,602):{'3_1':0.0},(398,601):{'5_2':0.0},(398,600):{'3_1':0.0},(398,599):{'3_1':0.0,'5_1':0.0},(398,598):{'3_1':0.0,'4_1':0.0},(398,597):{'3_1':0.0},(398,595):{'3_1':0.0},(398,594):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(398,593):{'3_1':0.0},(398,592):{'3_1':0.0,'4_1':0.0},(398,591):{'3_1':0.0,'4_1':0.0},(398,590):{'3_1':0.0},(398,589):{'3_1':0.0},(398,588):{'3_1':0.0},(398,587):{'3_1':0.0},(398,586):{'3_1':0.0},(398,585):{'3_1':0.0},(398,584):{'3_1':0.0},(398,583):{'3_1':0.0},(398,582):{'3_1':0.0},(398,581):{'3_1':0.0},(398,580):{'3_1':0.0},(398,579):{'3_1':0.0},(398,578):{'3_1':0.0},(398,577):{'3_1':0.0},(398,576):{'3_1':0.0,'5_2':0.0},(398,575):{'3_1':0.0},(398,573):{'3_1':0.0},(398,571):{'3_1':0.0},(398,570):{'4_1':0.0},(398,569):{'3_1':0.0,'4_1':0.0},(398,568):{'3_1':0.0},(398,567):{'3_1':0.0,'4_1':0.0},(398,566):{'3_1':0.0},(398,565):{'3_1':0.0},(398,564):{'3_1':0.0},(398,563):{'3_1':0.0},(398,561):{'4_1':0.0},(398,560):{'3_1':0.0},(398,559):{'3_1':0.0},(398,558):{'3_1':0.0},(398,557):{'3_1':0.0},(398,556):{'3_1':0.0},(398,555):{'3_1':0.0,'4_1':0.0},(398,554):{'3_1':0.0},(398,553):{'3_1':0.0},(398,552):{'3_1':0.0},(398,551):{'3_1':0.03},(398,550):{'3_1':0.0},(398,549):{'3_1':0.0,'4_1':0.0},(398,548):{'3_1':0.0,'5_2':0.0},(398,547):{'3_1':0.0},(398,546):{'3_1':0.0,'4_1':0.0},(398,545):{'3_1':0.03,'4_1':0.0},(398,544):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(398,543):{'3_1':0.03},(398,542):{'3_1':0.0,'4_1':0.0},(398,541):{'3_1':0.03},(398,539):{'3_1':0.0},(398,537):{'3_1':0.03},(398,536):{'3_1':0.0,'5_1':0.0},(398,535):{'3_1':0.0},(398,534):{'3_1':0.0},(398,533):{'3_1':0.03},(398,532):{'3_1':0.0},(398,530):{'3_1':0.0},(398,528):{'3_1':0.03},(398,527):{'3_1':0.0},(398,526):{'3_1':0.0},(398,525):{'3_1':0.0},(398,524):{'3_1':0.03},(398,523):{'3_1':0.06},(398,522):{'3_1':0.0},(398,521):{'3_1':0.0},(398,520):{'3_1':0.0},(398,519):{'3_1':0.0},(398,518):{'3_1':0.0},(398,513):{'3_1':0.0},(398,512):{'3_1':0.0},(398,511):{'3_1':0.03},(398,510):{'3_1':0.0},(398,508):{'3_1':0.0},(398,505):{'3_1':0.0},(398,504):{'3_1':0.0},(398,501):{'4_1':0.0},(398,499):{'3_1':0.0},(398,498):{'3_1':0.0},(398,495):{'3_1':0.0},(398,494):{'3_1':0.0},(398,493):{'3_1':0.0},(398,492):{'3_1':0.0},(398,490):{'3_1':0.0},(398,489):{'3_1':0.0},(398,488):{'3_1':0.0},(398,486):{'3_1':0.0},(398,485):{'3_1':0.0},(398,484):{'3_1':0.0},(398,483):{'3_1':0.0},(398,482):{'3_1':0.0},(398,481):{'3_1':0.0},(398,480):{'3_1':0.03},(398,479):{'3_1':0.0},(398,478):{'4_1':0.0},(398,477):{'3_1':0.0},(398,474):{'3_1':0.0},(398,471):{'3_1':0.0},(398,470):{'3_1':0.0},(398,469):{'3_1':0.0},(398,467):{'3_1':0.0,'5_1':0.0},(398,466):{'3_1':0.0},(398,465):{'3_1':0.0},(398,462):{'3_1':0.0},(398,460):{'4_1':0.0},(398,459):{'3_1':0.0},(398,458):{'3_1':0.0},(398,434):{'3_1':0.0},(398,433):{'3_1':0.0},(398,432):{'3_1':0.0},(398,430):{'3_1':0.0,'4_1':0.0},(398,427):{'3_1':0.0},(398,424):{'3_1':0.0},(398,414):{'3_1':0.0},(399,727):{'3_1':0.03,'5_1':0.0},(399,726):{'3_1':0.0},(399,725):{'3_1':0.0},(399,724):{'3_1':0.03},(399,723):{'3_1':0.0,'5_2':0.0},(399,722):{'3_1':0.0},(399,721):{'3_1':0.03},(399,720):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(399,719):{'3_1':0.0},(399,718):{'3_1':0.03,'5_1':0.0},(399,717):{'3_1':0.0,'5_1':0.0},(399,716):{'3_1':0.0,'5_2':0.0},(399,715):{'3_1':0.0,'4_1':0.0},(399,714):{'3_1':0.0,'5_1':0.0},(399,713):{'3_1':0.03,'5_1':0.0},(399,712):{'3_1':0.0},(399,711):{'3_1':0.0,'5_2':0.0},(399,710):{'3_1':0.0,'4_1':0.0},(399,709):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(399,708):{'3_1':0.03,'5_2':0.0},(399,707):{'3_1':0.0},(399,706):{'3_1':0.03},(399,705):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(399,704):{'3_1':0.03,'4_1':0.0},(399,703):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(399,702):{'3_1':0.0,'5_2':0.0},(399,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(399,700):{'3_1':0.0},(399,699):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'7_1':0.0,'7_6':0.0},(399,698):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(399,697):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(399,696):{'3_1':0.06,'4_1':0.0},(399,695):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(399,694):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(399,693):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(399,692):{'3_1':0.0,'5_2':0.0},(399,691):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(399,690):{'3_1':0.03},(399,689):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(399,688):{'3_1':0.06},(399,687):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(399,686):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(399,685):{'3_1':0.09,'5_2':0.0},(399,684):{'3_1':0.03},(399,683):{'3_1':0.06,'5_1':0.0},(399,682):{'3_1':0.03},(399,681):{'3_1':0.06},(399,680):{'3_1':0.0,'5_2':0.0},(399,679):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(399,678):{'3_1':0.03},(399,677):{'3_1':0.0,'4_1':0.0},(399,676):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(399,675):{'3_1':0.03,'5_2':0.0},(399,674):{'3_1':0.03,'5_1':0.0},(399,673):{'3_1':0.06},(399,672):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(399,671):{'3_1':0.03,'5_1':0.0},(399,670):{'3_1':0.0},(399,669):{'3_1':0.0,'5_2':0.0},(399,668):{'3_1':0.03},(399,667):{'3_1':0.03,'5_2':0.0},(399,666):{'3_1':0.0},(399,665):{'3_1':0.0,'4_1':0.0},(399,664):{'3_1':0.0,'5_1':0.0},(399,663):{'3_1':0.0},(399,662):{'3_1':0.03,'6_1':0.0},(399,661):{'3_1':0.06,'4_1':0.0},(399,660):{'3_1':0.0,'5_1':0.0},(399,659):{'3_1':0.03,'4_1':0.0},(399,658):{'3_1':0.0,'4_1':0.0},(399,657):{'3_1':0.0},(399,656):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(399,655):{'7_1':0.0},(399,654):{'3_1':0.03},(399,653):{'3_1':0.0,'4_1':0.0},(399,652):{'3_1':0.03,'6_2':0.0},(399,651):{'3_1':0.0},(399,650):{'3_1':0.0,'5_2':0.0},(399,649):{'3_1':0.06,'4_1':0.0},(399,648):{'3_1':0.03},(399,647):{'3_1':0.03},(399,646):{'3_1':0.03},(399,645):{'3_1':0.03,'5_2':0.0},(399,644):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(399,643):{'3_1':0.06,'4_1':0.0},(399,642):{'3_1':0.0},(399,641):{'3_1':0.09,'5_2':0.0},(399,640):{'3_1':0.06},(399,639):{'3_1':0.03,'5_1':0.0},(399,638):{'3_1':0.0},(399,637):{'3_1':0.03,'4_1':0.0},(399,636):{'3_1':0.0,'5_1':0.0},(399,635):{'3_1':0.03},(399,634):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(399,633):{'3_1':0.0,'5_2':0.0},(399,632):{'3_1':0.03},(399,631):{'3_1':0.03,'5_2':0.0},(399,630):{'3_1':0.06},(399,629):{'3_1':0.06},(399,628):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(399,627):{'3_1':0.03,'5_1':0.0},(399,626):{'3_1':0.06},(399,625):{'3_1':0.0},(399,624):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(399,623):{'3_1':0.03,'5_1':0.0},(399,622):{'3_1':0.03,'6_1':0.0},(399,621):{'3_1':0.0,'5_2':0.0},(399,620):{'3_1':0.06,'5_2':0.0},(399,619):{'3_1':0.06},(399,618):{'3_1':0.03,'4_1':0.0},(399,617):{'3_1':0.03,'5_2':0.0},(399,616):{'3_1':0.03},(399,615):{'3_1':0.03},(399,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(399,613):{'3_1':0.0},(399,612):{'3_1':0.03},(399,611):{'3_1':0.03},(399,610):{'3_1':0.0},(399,609):{'3_1':0.0},(399,608):{'3_1':0.03},(399,606):{'3_1':0.0},(399,605):{'3_1':0.0},(399,604):{'3_1':0.0,'4_1':0.0},(399,603):{'3_1':0.0},(399,602):{'3_1':0.0,'4_1':0.0},(399,601):{'3_1':0.03},(399,600):{'4_1':0.0,'5_1':0.0},(399,598):{'4_1':0.0},(399,596):{'3_1':0.0,'4_1':0.0},(399,595):{'4_1':0.0,'5_2':0.0},(399,593):{'3_1':0.0,'5_1':0.0},(399,592):{'3_1':0.0,'4_1':0.0},(399,591):{'3_1':0.0},(399,590):{'4_1':0.0},(399,589):{'3_1':0.0,'4_1':0.0},(399,588):{'3_1':0.0},(399,587):{'4_1':0.0,'3_1':0.0},(399,586):{'4_1':0.0},(399,585):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(399,584):{'3_1':0.0},(399,583):{'3_1':0.0,'5_2':0.0},(399,582):{'3_1':0.0},(399,581):{'3_1':0.0},(399,580):{'3_1':0.0,'4_1':0.0},(399,577):{'3_1':0.0},(399,576):{'3_1':0.0},(399,575):{'4_1':0.0},(399,574):{'3_1':0.0,'4_1':0.0},(399,571):{'5_1':0.0,'7_1':0.0},(399,570):{'3_1':0.0,'4_1':0.0},(399,569):{'3_1':0.0},(399,567):{'3_1':0.0},(399,565):{'4_1':0.0},(399,564):{'3_1':0.0},(399,563):{'3_1':0.0},(399,562):{'3_1':0.0},(399,561):{'4_1':0.0},(399,560):{'3_1':0.0,'5_1':0.0},(399,559):{'3_1':0.0},(399,558):{'3_1':0.0},(399,557):{'3_1':0.0},(399,555):{'3_1':0.0},(399,554):{'3_1':0.0},(399,553):{'4_1':0.0,'5_1':0.0},(399,552):{'3_1':0.0,'4_1':0.0},(399,551):{'3_1':0.0},(399,549):{'3_1':0.0,'5_1':0.0},(399,548):{'3_1':0.0},(399,547):{'3_1':0.0},(399,546):{'3_1':0.0,'5_1':0.0},(399,545):{'3_1':0.0},(399,544):{'3_1':0.0,'7_1':0.0},(399,542):{'3_1':0.0},(399,540):{'3_1':0.03},(399,538):{'3_1':0.03},(399,536):{'3_1':0.0,'7_1':0.0},(399,534):{'3_1':0.0},(399,533):{'3_1':0.0},(399,532):{'3_1':0.0,'5_1':0.0},(399,531):{'3_1':0.03},(399,530):{'3_1':0.0},(399,529):{'3_1':0.0},(399,528):{'4_1':0.0},(399,527):{'3_1':0.0},(399,526):{'3_1':0.0},(399,525):{'3_1':0.0},(399,523):{'3_1':0.0},(399,518):{'3_1':0.0,'4_1':0.0},(399,517):{'3_1':0.0},(399,514):{'3_1':0.0},(399,510):{'3_1':0.0},(399,505):{'6_2':0.0},(399,504):{'3_1':0.0},(399,503):{'4_1':0.0},(399,502):{'3_1':0.0},(399,496):{'3_1':0.0},(399,495):{'7_1':0.0},(399,494):{'3_1':0.0},(399,493):{'3_1':0.0,'7_1':0.0},(399,490):{'3_1':0.0,'5_1':0.0},(399,485):{'3_1':0.0},(399,484):{'3_1':0.0},(399,483):{'3_1':0.0,'4_1':0.0},(399,482):{'3_1':0.0},(399,481):{'3_1':0.0},(399,480):{'3_1':0.03},(399,479):{'3_1':0.03},(399,478):{'3_1':0.0},(399,477):{'3_1':0.03},(399,476):{'3_1':0.0},(399,475):{'3_1':0.0},(399,474):{'3_1':0.0,'5_1':0.0},(399,472):{'3_1':0.0},(399,470):{'3_1':0.0},(399,468):{'3_1':0.0},(399,467):{'3_1':0.0},(399,463):{'3_1':0.0},(399,454):{'3_1':0.0},(399,434):{'3_1':0.0},(399,431):{'3_1':0.0},(399,410):{'3_1':0.0},(400,727):{'3_1':0.0},(400,726):{'3_1':0.0},(400,725):{'3_1':0.03},(400,724):{'3_1':0.0,'5_1':0.0},(400,723):{'3_1':0.0},(400,722):{'3_1':0.03,'4_1':0.0},(400,721):{'3_1':0.0},(400,720):{'3_1':0.0,'4_1':0.0},(400,719):{'3_1':0.03,'5_2':0.0},(400,718):{'3_1':0.03},(400,717):{'3_1':0.0,'5_2':0.0},(400,716):{'3_1':0.0},(400,715):{'3_1':0.03},(400,714):{'3_1':0.03},(400,713):{'3_1':0.03,'5_2':0.0},(400,712):{'3_1':0.03},(400,711):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(400,710):{'3_1':0.03},(400,709):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(400,708):{'5_2':0.03,'3_1':0.03},(400,707):{'3_1':0.03,'5_1':0.0},(400,706):{'3_1':0.0,'5_1':0.0},(400,705):{'3_1':0.03},(400,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(400,703):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(400,702):{'3_1':0.0,'5_2':0.0},(400,701):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(400,700):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(400,699):{'3_1':0.03},(400,698):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(400,697):{'3_1':0.0,'5_1':0.0},(400,696):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(400,695):{'3_1':0.03},(400,694):{'3_1':0.03,'5_1':0.0},(400,693):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(400,692):{'3_1':0.06,'5_1':0.0},(400,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(400,690):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(400,689):{'3_1':0.03},(400,688):{'3_1':0.03,'5_2':0.0},(400,687):{'3_1':0.03,'4_1':0.0},(400,686):{'3_1':0.03},(400,685):{'3_1':0.06,'5_2':0.0},(400,684):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(400,683):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(400,682):{'3_1':0.06,'4_1':0.0},(400,681):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_6':0.0},(400,680):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(400,679):{'3_1':0.06},(400,678):{'3_1':0.03,'4_1':0.0},(400,677):{'3_1':0.03,'5_2':0.0},(400,676):{'3_1':0.06},(400,675):{'3_1':0.09},(400,674):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(400,673):{'3_1':0.0},(400,672):{'3_1':0.0},(400,671):{'3_1':0.03},(400,670):{'3_1':0.03,'4_1':0.0},(400,669):{'3_1':0.03},(400,668):{'3_1':0.03,'4_1':0.0},(400,667):{'3_1':0.06},(400,666):{'3_1':0.03},(400,665):{'3_1':0.03,'4_1':0.0},(400,664):{'3_1':0.0},(400,663):{'3_1':0.0,'5_2':0.0},(400,662):{'3_1':0.03,'4_1':0.0},(400,661):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(400,660):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(400,659):{'3_1':0.06,'5_2':0.0},(400,658):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(400,657):{'3_1':0.03,'7_1':0.0},(400,656):{'3_1':0.0,'4_1':0.0},(400,655):{'3_1':0.03,'5_1':0.0},(400,654):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(400,653):{'3_1':0.0,'5_2':0.0},(400,652):{'3_1':0.0,'5_1':0.0},(400,651):{'3_1':0.03,'5_1':0.0},(400,650):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(400,649):{'3_1':0.0,'5_2':0.0},(400,648):{'3_1':0.0,'5_1':0.0},(400,647):{'3_1':0.03},(400,646):{'3_1':0.0},(400,645):{'3_1':0.06,'5_1':0.0},(400,644):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(400,643):{'3_1':0.06},(400,642):{'3_1':0.03,'4_1':0.0},(400,641):{'3_1':0.03},(400,640):{'3_1':0.06,'4_1':0.0},(400,639):{'3_1':0.03,'4_1':0.0},(400,638):{'3_1':0.0,'5_2':0.0},(400,637):{'3_1':0.03},(400,636):{'3_1':0.03},(400,635):{'3_1':0.09},(400,634):{'3_1':0.03,'5_2':0.0},(400,633):{'3_1':0.03,'8_20|3_1#3_1':0.0},(400,632):{'3_1':0.06},(400,631):{'3_1':0.0},(400,630):{'3_1':0.06,'5_1':0.0},(400,629):{'3_1':0.03},(400,628):{'3_1':0.03},(400,627):{'3_1':0.03},(400,626):{'3_1':0.06,'5_2':0.0},(400,625):{'3_1':0.0,'4_1':0.0},(400,624):{'3_1':0.03,'5_2':0.0},(400,623):{'3_1':0.03,'5_2':0.0},(400,622):{'3_1':0.06,'4_1':0.0},(400,621):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(400,620):{'3_1':0.0},(400,619):{'3_1':0.09,'4_1':0.0},(400,618):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(400,617):{'3_1':0.03},(400,616):{'3_1':0.0,'5_2':0.0},(400,615):{'3_1':0.03},(400,614):{'3_1':0.0},(400,613):{'3_1':0.03,'5_2':0.0},(400,612):{'3_1':0.0},(400,611):{'3_1':0.03},(400,610):{'3_1':0.0},(400,608):{'3_1':0.0,'5_1':0.0},(400,606):{'3_1':0.0},(400,605):{'4_1':0.0},(400,603):{'3_1':0.0},(400,602):{'3_1':0.0},(400,601):{'3_1':0.0,'4_1':0.0},(400,600):{'3_1':0.03},(400,599):{'3_1':0.0},(400,597):{'3_1':0.0},(400,596):{'3_1':0.0,'6_1':0.0},(400,594):{'3_1':0.0},(400,593):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(400,591):{'3_1':0.0,'5_2':0.0},(400,590):{'3_1':0.0,'4_1':0.0},(400,589):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(400,588):{'3_1':0.0,'5_1':0.0},(400,587):{'3_1':0.0,'4_1':0.0},(400,586):{'3_1':0.0},(400,585):{'3_1':0.0,'4_1':0.0},(400,584):{'7_5':0.0},(400,583):{'3_1':0.0},(400,581):{'3_1':0.0},(400,579):{'3_1':0.0},(400,578):{'3_1':0.0},(400,577):{'3_1':0.0,'4_1':0.0},(400,575):{'3_1':0.0},(400,574):{'3_1':0.0,'5_2':0.0},(400,573):{'3_1':0.03},(400,572):{'3_1':0.0},(400,571):{'3_1':0.0},(400,569):{'3_1':0.0,'4_1':0.0},(400,568):{'3_1':0.0},(400,567):{'3_1':0.0},(400,564):{'3_1':0.0,'4_1':0.0},(400,563):{'3_1':0.0},(400,562):{'3_1':0.0},(400,560):{'3_1':0.0,'4_1':0.0},(400,558):{'3_1':0.0,'4_1':0.0},(400,556):{'4_1':0.0},(400,555):{'3_1':0.0,'5_1':0.0},(400,554):{'3_1':0.0},(400,553):{'3_1':0.0,'4_1':0.0},(400,552):{'3_1':0.0},(400,550):{'3_1':0.0},(400,549):{'3_1':0.03},(400,548):{'3_1':0.0},(400,547):{'3_1':0.0},(400,546):{'3_1':0.0},(400,545):{'3_1':0.06},(400,543):{'3_1':0.03},(400,542):{'3_1':0.03},(400,541):{'3_1':0.03,'4_1':0.0},(400,540):{'3_1':0.0},(400,539):{'3_1':0.0},(400,538):{'3_1':0.0,'5_2':0.0},(400,537):{'4_1':0.0,'3_1':0.0},(400,536):{'3_1':0.0},(400,535):{'4_1':0.0,'3_1':0.0},(400,534):{'3_1':0.03,'4_1':0.0},(400,533):{'3_1':0.0},(400,532):{'3_1':0.0},(400,530):{'3_1':0.0},(400,529):{'3_1':0.0},(400,527):{'3_1':0.03},(400,526):{'3_1':0.0},(400,525):{'3_1':0.0,'4_1':0.0},(400,524):{'3_1':0.0},(400,523):{'3_1':0.0},(400,522):{'3_1':0.0,'4_1':0.0},(400,520):{'3_1':0.0},(400,519):{'3_1':0.0},(400,515):{'3_1':0.0},(400,514):{'3_1':0.0},(400,511):{'3_1':0.0},(400,508):{'3_1':0.0},(400,506):{'3_1':0.0,'4_1':0.0},(400,505):{'3_1':0.0},(400,503):{'3_1':0.0},(400,501):{'3_1':0.0},(400,499):{'3_1':0.0},(400,495):{'3_1':0.0,'5_1':0.0},(400,489):{'3_1':0.0},(400,487):{'3_1':0.0},(400,486):{'7_1':0.0},(400,485):{'3_1':0.0},(400,484):{'3_1':0.0},(400,483):{'3_1':0.0},(400,482):{'3_1':0.0},(400,481):{'3_1':0.0},(400,480):{'3_1':0.0,'5_1':0.0},(400,479):{'4_1':0.0,'5_1':0.0},(400,478):{'3_1':0.0,'5_1':0.0},(400,477):{'5_1':0.0},(400,476):{'3_1':0.0,'5_1':0.0},(400,475):{'3_1':0.0},(400,474):{'3_1':0.0},(400,473):{'3_1':0.0},(400,472):{'3_1':0.0},(400,469):{'3_1':0.0},(400,468):{'3_1':0.0},(400,463):{'3_1':0.0},(400,461):{'3_1':0.0},(400,458):{'3_1':0.0},(400,456):{'3_1':0.0},(400,431):{'3_1':0.0},(400,428):{'3_1':0.0},(400,411):{'3_1':0.0},(401,727):{'3_1':0.0},(401,726):{'3_1':0.0,'4_1':0.0},(401,725):{'3_1':0.03,'5_2':0.0},(401,724):{'3_1':0.06},(401,723):{'3_1':0.0},(401,722):{'3_1':0.06,'4_1':0.0},(401,721):{'3_1':0.0},(401,720):{'3_1':0.03,'4_1':0.0},(401,719):{'3_1':0.03},(401,718):{'3_1':0.0,'4_1':0.0},(401,717):{'3_1':0.03},(401,716):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(401,715):{'3_1':0.0},(401,714):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(401,713):{'3_1':0.03},(401,712):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(401,711):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(401,710):{'3_1':0.0},(401,709):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(401,708):{'3_1':0.0,'5_2':0.0},(401,707):{'3_1':0.03,'5_2':0.0},(401,706):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(401,705):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(401,704):{'3_1':0.0,'7_1':0.0},(401,703):{'3_1':0.03,'5_2':0.0},(401,702):{'3_1':0.03,'5_2':0.0,'5_1':0.0,'7_1':0.0},(401,701):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(401,700):{'3_1':0.03,'5_1':0.0},(401,699):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(401,698):{'3_1':0.0},(401,697):{'3_1':0.06,'4_1':0.0},(401,696):{'3_1':0.09,'4_1':0.0},(401,695):{'3_1':0.03},(401,694):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(401,693):{'3_1':0.03},(401,692):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(401,691):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(401,690):{'3_1':0.06,'5_2':0.0},(401,689):{'3_1':0.03},(401,688):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_6':0.0},(401,687):{'3_1':0.0,'7_3':0.0},(401,686):{'3_1':0.03},(401,685):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(401,684):{'3_1':0.06,'5_1':0.0},(401,683):{'3_1':0.06},(401,682):{'3_1':0.03,'5_2':0.0},(401,681):{'3_1':0.03,'5_1':0.0},(401,680):{'3_1':0.06,'4_1':0.0},(401,679):{'3_1':0.03},(401,678):{'3_1':0.06},(401,677):{'3_1':0.03},(401,676):{'3_1':0.06},(401,675):{'3_1':0.06},(401,674):{'3_1':0.03},(401,673):{'3_1':0.06,'4_1':0.0},(401,672):{'3_1':0.03,'5_1':0.0},(401,671):{'3_1':0.06,'4_1':0.0},(401,670):{'3_1':0.06,'5_1':0.0},(401,669):{'3_1':0.0},(401,668):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(401,667):{'3_1':0.03},(401,666):{'3_1':0.09},(401,665):{'3_1':0.0,'4_1':0.0},(401,664):{'3_1':0.0},(401,663):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(401,662):{'3_1':0.0,'6_1':0.0},(401,661):{'3_1':0.03},(401,660):{'3_1':0.03},(401,659):{'3_1':0.03},(401,658):{'3_1':0.03,'5_2':0.0},(401,657):{'3_1':0.0,'4_1':0.0},(401,656):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(401,655):{'3_1':0.03,'5_1':0.0},(401,654):{'3_1':0.03},(401,653):{'3_1':0.06,'4_1':0.0},(401,652):{'3_1':0.0,'5_1':0.0},(401,651):{'3_1':0.0},(401,650):{'3_1':0.03},(401,649):{'3_1':0.0},(401,648):{'3_1':0.03},(401,647):{'3_1':0.0,'5_1':0.0},(401,646):{'3_1':0.06,'4_1':0.0},(401,645):{'3_1':0.03},(401,644):{'3_1':0.03,'5_2':0.0},(401,643):{'3_1':0.09,'5_1':0.0},(401,642):{'3_1':0.03},(401,641):{'3_1':0.06,'4_1':0.0},(401,640):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(401,639):{'3_1':0.0},(401,638):{'3_1':0.03},(401,637):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(401,636):{'3_1':0.03},(401,635):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(401,634):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(401,633):{'3_1':0.03,'4_1':0.0},(401,632):{'3_1':0.03},(401,631):{'3_1':0.03,'4_1':0.0},(401,630):{'3_1':0.03},(401,629):{'3_1':0.03},(401,628):{'3_1':0.06},(401,627):{'3_1':0.0},(401,626):{'3_1':0.03,'4_1':0.0},(401,625):{'3_1':0.06,'5_1':0.0},(401,624):{'3_1':0.03,'5_2':0.0},(401,623):{'3_1':0.0,'5_2':0.0},(401,622):{'3_1':0.06,'5_2':0.0},(401,621):{'3_1':0.03},(401,620):{'3_1':0.0},(401,619):{'3_1':0.0},(401,618):{'3_1':0.06,'4_1':0.0},(401,617):{'3_1':0.03},(401,616):{'3_1':0.0},(401,615):{'3_1':0.0},(401,614):{'3_1':0.0,'4_1':0.0},(401,613):{'3_1':0.0},(401,612):{'3_1':0.0},(401,611):{'3_1':0.0},(401,610):{'3_1':0.0},(401,609):{'5_1':0.0},(401,607):{'3_1':0.0},(401,604):{'3_1':0.0},(401,603):{'3_1':0.03},(401,602):{'3_1':0.0},(401,600):{'3_1':0.0,'4_1':0.0},(401,599):{'4_1':0.0},(401,598):{'3_1':0.0},(401,597):{'3_1':0.0},(401,595):{'3_1':0.0},(401,594):{'3_1':0.0},(401,593):{'3_1':0.0},(401,591):{'3_1':0.0},(401,590):{'3_1':0.0},(401,589):{'3_1':0.0},(401,588):{'3_1':0.0},(401,587):{'3_1':0.0},(401,583):{'3_1':0.0},(401,580):{'4_1':0.0},(401,578):{'4_1':0.0},(401,577):{'3_1':0.0},(401,575):{'3_1':0.0},(401,574):{'3_1':0.0,'4_1':0.0},(401,572):{'4_1':0.0},(401,571):{'5_2':0.0},(401,570):{'3_1':0.0},(401,569):{'4_1':0.0},(401,568):{'3_1':0.0},(401,567):{'3_1':0.0},(401,566):{'3_1':0.0},(401,564):{'3_1':0.0},(401,562):{'3_1':0.0},(401,560):{'3_1':0.0},(401,559):{'3_1':0.0,'4_1':0.0},(401,558):{'3_1':0.0},(401,557):{'3_1':0.0,'4_1':0.0},(401,554):{'3_1':0.0},(401,553):{'3_1':0.0},(401,551):{'3_1':0.0},(401,550):{'4_1':0.0,'3_1':0.0},(401,549):{'3_1':0.0,'4_1':0.0},(401,548):{'3_1':0.0},(401,547):{'3_1':0.0},(401,546):{'3_1':0.0,'4_1':0.0},(401,544):{'3_1':0.0,'5_1':0.0},(401,543):{'3_1':0.0},(401,542):{'3_1':0.0},(401,541):{'3_1':0.0},(401,540):{'3_1':0.03},(401,539):{'3_1':0.0,'4_1':0.0},(401,538):{'3_1':0.0},(401,537):{'3_1':0.0,'4_1':0.0},(401,536):{'3_1':0.03},(401,535):{'3_1':0.0,'4_1':0.0},(401,534):{'3_1':0.0},(401,533):{'4_1':0.0},(401,532):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(401,531):{'3_1':0.0},(401,530):{'3_1':0.0,'4_1':0.0},(401,529):{'3_1':0.0},(401,528):{'4_1':0.0},(401,527):{'3_1':0.0},(401,526):{'3_1':0.0},(401,524):{'3_1':0.0},(401,523):{'3_1':0.03},(401,522):{'3_1':0.0},(401,520):{'3_1':0.0},(401,519):{'4_1':0.0},(401,518):{'4_1':0.0,'5_1':0.0},(401,517):{'3_1':0.0},(401,516):{'3_1':0.0,'4_1':0.0},(401,515):{'3_1':0.0},(401,513):{'3_1':0.0,'4_1':0.0},(401,496):{'3_1':0.0},(401,495):{'3_1':0.0},(401,494):{'3_1':0.0},(401,492):{'3_1':0.0},(401,490):{'3_1':0.0},(401,488):{'3_1':0.0},(401,487):{'4_1':0.0},(401,486):{'3_1':0.03},(401,485):{'3_1':0.0},(401,484):{'3_1':0.0},(401,483):{'3_1':0.0},(401,482):{'3_1':0.0},(401,481):{'3_1':0.0},(401,480):{'3_1':0.0},(401,479):{'3_1':0.03},(401,477):{'3_1':0.0},(401,475):{'4_1':0.0},(401,473):{'3_1':0.0},(401,472):{'3_1':0.0},(401,471):{'3_1':0.0},(401,469):{'3_1':0.0},(401,468):{'3_1':0.0},(401,467):{'3_1':0.0},(401,434):{'3_1':0.0},(401,432):{'3_1':0.0},(402,727):{'3_1':0.0},(402,726):{'3_1':0.0},(402,725):{'3_1':0.0},(402,724):{'3_1':0.0,'9_1':0.0},(402,723):{'3_1':0.0,'4_1':0.0},(402,722):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(402,721):{'3_1':0.0,'5_1':0.0},(402,720):{'3_1':0.0,'5_1':0.0},(402,719):{'3_1':0.0},(402,718):{'3_1':0.0},(402,717):{'3_1':0.0},(402,716):{'3_1':0.0},(402,715):{'3_1':0.0},(402,714):{'3_1':0.06,'5_2':0.0},(402,713):{'5_1':0.0},(402,712):{'3_1':0.03,'5_1':0.0},(402,711):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(402,710):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(402,709):{'3_1':0.03,'5_2':0.0},(402,708):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(402,707):{'3_1':0.03,'5_2':0.0,'7_3':0.0},(402,706):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(402,705):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(402,704):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(402,703):{'3_1':0.0,'5_1':0.0},(402,702):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(402,701):{'3_1':0.0,'4_1':0.0},(402,700):{'3_1':0.0,'5_2':0.0},(402,699):{'3_1':0.03},(402,698):{'3_1':0.06,'5_2':0.0},(402,697):{'3_1':0.03,'5_2':0.0},(402,696):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(402,695):{'3_1':0.03,'5_2':0.0},(402,694):{'3_1':0.0},(402,693):{'3_1':0.03,'5_2':0.0},(402,692):{'3_1':0.09,'4_1':0.0},(402,691):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(402,690):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_1':0.0},(402,689):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(402,688):{'3_1':0.06,'4_1':0.0},(402,687):{'3_1':0.03},(402,686):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(402,685):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(402,684):{'3_1':0.06},(402,683):{'3_1':0.03,'5_2':0.0},(402,682):{'3_1':0.06,'5_2':0.0},(402,681):{'3_1':0.06,'4_1':0.0},(402,680):{'3_1':0.0},(402,679):{'3_1':0.0,'4_1':0.0},(402,678):{'3_1':0.03,'4_1':0.0},(402,677):{'3_1':0.0},(402,676):{'3_1':0.03,'4_1':0.0},(402,675):{'3_1':0.06},(402,674):{'3_1':0.03},(402,673):{'3_1':0.03,'4_1':0.0},(402,672):{'3_1':0.0},(402,671):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(402,670):{'3_1':0.03,'5_1':0.0},(402,669):{'3_1':0.06},(402,668):{'3_1':0.03,'4_1':0.0},(402,667):{'3_1':0.0,'5_2':0.0},(402,666):{'3_1':0.0},(402,665):{'3_1':0.03,'5_2':0.0},(402,664):{'3_1':0.06},(402,663):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(402,662):{'3_1':0.06,'4_1':0.0},(402,661):{'3_1':0.03,'5_2':0.0},(402,660):{'3_1':0.03,'4_1':0.0},(402,659):{'3_1':0.03},(402,658):{'3_1':0.0},(402,657):{'3_1':0.03},(402,656):{'3_1':0.0,'5_2':0.0},(402,655):{'3_1':0.0},(402,654):{'3_1':0.0},(402,653):{'3_1':0.03,'5_1':0.0},(402,652):{'3_1':0.0,'5_2':0.0},(402,651):{'3_1':0.06},(402,650):{'3_1':0.03,'5_1':0.0},(402,649):{'3_1':0.0,'5_2':0.0},(402,648):{'3_1':0.0,'4_1':0.0},(402,647):{'3_1':0.06,'5_2':0.0},(402,646):{'3_1':0.03},(402,645):{'3_1':0.03},(402,644):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(402,643):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(402,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(402,641):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(402,640):{'3_1':0.12},(402,639):{'3_1':0.03},(402,638):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(402,637):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(402,636):{'3_1':0.0,'4_1':0.0},(402,635):{'3_1':0.06},(402,634):{'3_1':0.09},(402,633):{'3_1':0.06},(402,632):{'5_2':0.0},(402,631):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(402,630):{'3_1':0.03},(402,629):{'3_1':0.03},(402,628):{'3_1':0.06,'4_1':0.0},(402,627):{'3_1':0.03},(402,626):{'3_1':0.03},(402,625):{'3_1':0.0,'5_2':0.0},(402,624):{'3_1':0.0,'7_3':0.0},(402,623):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(402,622):{'3_1':0.03,'4_1':0.0},(402,621):{'3_1':0.03},(402,620):{'3_1':0.0},(402,619):{'3_1':0.03,'5_1':0.0},(402,618):{'3_1':0.06,'4_1':0.0},(402,617):{'3_1':0.03,'4_1':0.0},(402,616):{'3_1':0.03},(402,615):{'3_1':0.03},(402,614):{'3_1':0.0},(402,613):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(402,612):{'3_1':0.0,'4_1':0.0},(402,611):{'3_1':0.03},(402,610):{'3_1':0.0},(402,609):{'3_1':0.0},(402,608):{'4_1':0.0},(402,607):{'3_1':0.0,'4_1':0.0},(402,606):{'3_1':0.0},(402,605):{'3_1':0.03},(402,604):{'3_1':0.0,'5_2':0.0},(402,603):{'3_1':0.0},(402,602):{'3_1':0.0},(402,601):{'3_1':0.0},(402,599):{'3_1':0.03},(402,598):{'3_1':0.0},(402,597):{'3_1':0.0,'4_1':0.0},(402,595):{'3_1':0.0},(402,594):{'3_1':0.03},(402,593):{'3_1':0.0},(402,592):{'3_1':0.0},(402,589):{'3_1':0.0},(402,588):{'5_2':0.0},(402,587):{'6_2':0.0},(402,585):{'4_1':0.0},(402,579):{'3_1':0.0},(402,576):{'4_1':0.0},(402,575):{'4_1':0.0},(402,570):{'3_1':0.0},(402,569):{'3_1':0.0},(402,568):{'3_1':0.0},(402,565):{'3_1':0.0},(402,564):{'4_1':0.0},(402,563):{'3_1':0.0},(402,562):{'3_1':0.0},(402,561):{'3_1':0.0,'4_1':0.0},(402,558):{'3_1':0.0},(402,555):{'3_1':0.0},(402,554):{'3_1':0.0},(402,552):{'3_1':0.0,'4_1':0.0},(402,549):{'3_1':0.0},(402,548):{'3_1':0.0,'4_1':0.0},(402,547):{'3_1':0.0},(402,546):{'3_1':0.0},(402,545):{'4_1':0.0},(402,543):{'3_1':0.0,'4_1':0.0},(402,542):{'3_1':0.0},(402,541):{'3_1':0.0},(402,540):{'4_1':0.0},(402,538):{'3_1':0.0},(402,537):{'3_1':0.0,'4_1':0.0},(402,536):{'3_1':0.0,'5_1':0.0},(402,535):{'4_1':0.0,'5_1':0.0},(402,534):{'3_1':0.0},(402,533):{'3_1':0.0},(402,532):{'4_1':0.0,'3_1':0.0},(402,531):{'3_1':0.0},(402,530):{'3_1':0.0,'4_1':0.0},(402,529):{'3_1':0.0},(402,528):{'3_1':0.0,'4_1':0.0},(402,527):{'3_1':0.0},(402,526):{'3_1':0.0},(402,525):{'3_1':0.0,'4_1':0.0},(402,524):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(402,523):{'3_1':0.0},(402,522):{'4_1':0.0,'5_1':0.0},(402,520):{'3_1':0.0},(402,519):{'3_1':0.0,'4_1':0.0},(402,518):{'3_1':0.0},(402,517):{'3_1':0.0,'4_1':0.0},(402,516):{'3_1':0.0,'7_1':0.0},(402,514):{'4_1':0.0},(402,489):{'3_1':0.0},(402,486):{'3_1':0.0},(402,485):{'3_1':0.0,'4_1':0.0},(402,484):{'3_1':0.0,'4_1':0.0},(402,483):{'3_1':0.0},(402,480):{'3_1':0.0},(402,479):{'3_1':0.03},(402,478):{'3_1':0.0},(402,477):{'3_1':0.0},(402,476):{'3_1':0.0},(403,727):{'3_1':0.0},(403,726):{'3_1':0.03},(403,725):{'3_1':0.03},(403,723):{'3_1':0.0},(403,722):{'3_1':0.03},(403,721):{'3_1':0.06,'5_1':0.0},(403,720):{'3_1':0.03,'4_1':0.0},(403,719):{'3_1':0.0},(403,718):{'3_1':0.0},(403,717):{'3_1':0.0},(403,716):{'3_1':0.0,'5_1':0.0},(403,715):{'3_1':0.0},(403,714):{'3_1':0.0},(403,713):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(403,712):{'3_1':0.0,'5_1':0.0},(403,711):{'3_1':0.03},(403,710):{'3_1':0.0,'5_1':0.0},(403,709):{'3_1':0.03},(403,708):{'3_1':0.03,'4_1':0.0},(403,707):{'3_1':0.03,'6_1':0.0},(403,706):{'3_1':0.03},(403,705):{'3_1':0.0},(403,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(403,703):{'3_1':0.03},(403,702):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(403,701):{'3_1':0.03,'4_1':0.0},(403,700):{'3_1':0.06,'4_1':0.0},(403,699):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(403,698):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(403,697):{'3_1':0.03},(403,696):{'3_1':0.03,'5_1':0.0},(403,695):{'3_1':0.03,'4_1':0.0},(403,694):{'3_1':0.0,'5_2':0.0},(403,693):{'3_1':0.0,'5_1':0.0},(403,692):{'3_1':0.03,'5_2':0.0},(403,691):{'3_1':0.03,'5_1':0.0},(403,690):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(403,689):{'3_1':0.03},(403,688):{'3_1':0.06},(403,687):{'3_1':0.03,'7_1':0.0},(403,686):{'3_1':0.06},(403,685):{'3_1':0.03},(403,684):{'3_1':0.06,'4_1':0.0},(403,683):{'3_1':0.06,'7_1':0.0},(403,682):{'3_1':0.03,'5_2':0.0},(403,681):{'3_1':0.0,'7_1':0.0},(403,680):{'3_1':0.06},(403,679):{'3_1':0.09,'4_1':0.0},(403,678):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(403,677):{'3_1':0.03},(403,676):{'3_1':0.03},(403,675):{'3_1':0.03,'4_1':0.0},(403,674):{'3_1':0.06,'4_1':0.0},(403,673):{'3_1':0.03},(403,672):{'3_1':0.03,'5_1':0.0},(403,671):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(403,670):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(403,669):{'3_1':0.03,'5_2':0.0},(403,668):{'3_1':0.0},(403,667):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(403,666):{'3_1':0.0},(403,665):{'3_1':0.03,'5_1':0.0},(403,664):{'3_1':0.03,'7_1':0.0},(403,663):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(403,662):{'3_1':0.03,'5_1':0.0},(403,661):{'5_1':0.0,'6_1':0.0},(403,660):{'3_1':0.03,'5_1':0.0},(403,659):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(403,658):{'3_1':0.0},(403,657):{'3_1':0.03,'5_1':0.0},(403,656):{'3_1':0.03,'5_1':0.0},(403,655):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(403,654):{'3_1':0.0,'5_1':0.0},(403,653):{'3_1':0.0},(403,652):{'3_1':0.03,'5_2':0.0},(403,651):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(403,650):{'3_1':0.0},(403,649):{'3_1':0.03},(403,648):{'3_1':0.0,'5_1':0.0},(403,647):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(403,646):{'3_1':0.0,'5_1':0.0},(403,645):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(403,644):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(403,643):{'3_1':0.0,'5_1':0.0},(403,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(403,641):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(403,640):{'3_1':0.03},(403,639):{'3_1':0.06},(403,638):{'3_1':0.03,'5_1':0.0},(403,637):{'3_1':0.03},(403,636):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(403,635):{'3_1':0.06},(403,634):{'3_1':0.0},(403,633):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(403,632):{'3_1':0.03,'4_1':0.0},(403,631):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(403,630):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(403,629):{'3_1':0.0},(403,628):{'3_1':0.03},(403,627):{'3_1':0.03,'5_1':0.0},(403,626):{'3_1':0.03,'5_1':0.0},(403,625):{'3_1':0.0},(403,624):{'3_1':0.03,'4_1':0.0},(403,623):{'3_1':0.03},(403,622):{'3_1':0.0},(403,621):{'3_1':0.03,'5_1':0.0},(403,620):{'3_1':0.0},(403,619):{'3_1':0.0},(403,618):{'3_1':0.03,'4_1':0.0},(403,617):{'3_1':0.03},(403,616):{'3_1':0.0},(403,615):{'3_1':0.03},(403,614):{'3_1':0.0},(403,613):{'3_1':0.0},(403,612):{'3_1':0.0,'5_1':0.0},(403,611):{'3_1':0.0,'4_1':0.0},(403,610):{'3_1':0.0},(403,609):{'3_1':0.0},(403,608):{'3_1':0.0},(403,607):{'3_1':0.0},(403,606):{'3_1':0.0},(403,605):{'3_1':0.0},(403,603):{'4_1':0.0},(403,601):{'3_1':0.03},(403,600):{'3_1':0.0},(403,599):{'3_1':0.0,'4_1':0.0},(403,598):{'3_1':0.0},(403,597):{'3_1':0.0},(403,595):{'3_1':0.0},(403,594):{'3_1':0.0,'4_1':0.0},(403,593):{'3_1':0.0},(403,592):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(403,591):{'3_1':0.0,'4_1':0.0},(403,590):{'3_1':0.0},(403,589):{'3_1':0.0},(403,588):{'3_1':0.0},(403,586):{'3_1':0.0},(403,583):{'3_1':0.0,'4_1':0.0},(403,582):{'4_1':0.0},(403,580):{'3_1':0.0},(403,579):{'4_1':0.0},(403,578):{'3_1':0.0},(403,577):{'3_1':0.0},(403,575):{'3_1':0.0},(403,574):{'3_1':0.0},(403,572):{'3_1':0.0,'4_1':0.0},(403,570):{'3_1':0.0},(403,569):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(403,567):{'3_1':0.0},(403,566):{'3_1':0.0},(403,565):{'5_1':0.0,'3_1':0.0},(403,564):{'3_1':0.0,'7_1':0.0},(403,563):{'4_1':0.0},(403,561):{'5_1':0.0},(403,560):{'3_1':0.0},(403,559):{'5_1':0.0,'4_1':0.0},(403,558):{'3_1':0.0},(403,557):{'3_1':0.0},(403,555):{'3_1':0.0},(403,554):{'3_1':0.0},(403,553):{'3_1':0.0},(403,551):{'3_1':0.0,'4_1':0.0},(403,549):{'3_1':0.0},(403,548):{'4_1':0.0},(403,547):{'3_1':0.03},(403,546):{'4_1':0.0,'3_1':0.0},(403,545):{'3_1':0.0,'4_1':0.0},(403,544):{'3_1':0.0},(403,543):{'3_1':0.0},(403,542):{'3_1':0.0},(403,541):{'3_1':0.0},(403,539):{'3_1':0.0},(403,538):{'3_1':0.03,'6_2':0.0},(403,537):{'3_1':0.0,'4_1':0.0},(403,536):{'3_1':0.0,'4_1':0.0},(403,535):{'3_1':0.03,'4_1':0.0},(403,534):{'3_1':0.0},(403,533):{'3_1':0.0,'4_1':0.0},(403,532):{'4_1':0.0},(403,531):{'3_1':0.0},(403,530):{'3_1':0.0,'4_1':0.0},(403,529):{'3_1':0.0,'4_1':0.0},(403,528):{'4_1':0.0},(403,527):{'3_1':0.0,'4_1':0.0},(403,525):{'4_1':0.0},(403,523):{'3_1':0.0},(403,522):{'3_1':0.0,'4_1':0.0},(403,519):{'3_1':0.0},(403,517):{'3_1':0.0},(403,516):{'4_1':0.0},(403,514):{'4_1':0.0},(403,513):{'4_1':0.0},(403,495):{'3_1':0.0},(403,494):{'3_1':0.0},(403,489):{'3_1':0.0},(403,486):{'3_1':0.0},(403,484):{'3_1':0.0},(403,482):{'3_1':0.0},(403,481):{'3_1':0.0},(403,480):{'3_1':0.0},(403,479):{'3_1':0.0},(403,477):{'3_1':0.0},(403,475):{'3_1':0.0},(403,474):{'3_1':0.0},(403,473):{'3_1':0.0},(403,468):{'3_1':0.0},(403,467):{'3_1':0.0},(403,463):{'3_1':0.0},(403,461):{'5_2':0.0},(403,460):{'3_1':0.0},(403,458):{'3_1':0.0},(404,727):{'3_1':0.0,'6_1':0.0},(404,726):{'3_1':0.0},(404,725):{'3_1':0.0,'5_1':0.0},(404,724):{'3_1':0.0},(404,723):{'3_1':0.0},(404,721):{'3_1':0.0},(404,720):{'3_1':0.0,'4_1':0.0},(404,719):{'3_1':0.0},(404,718):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(404,717):{'3_1':0.0,'5_2':0.0},(404,716):{'3_1':0.0},(404,715):{'3_1':0.0},(404,714):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(404,713):{'3_1':0.03},(404,712):{'3_1':0.03,'4_1':0.0},(404,711):{'3_1':0.0,'7_1':0.0},(404,710):{'3_1':0.0,'4_1':0.0},(404,709):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(404,708):{'3_1':0.0,'5_1':0.0},(404,707):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(404,706):{'3_1':0.03,'4_1':0.0},(404,705):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(404,704):{'3_1':0.0},(404,703):{'3_1':0.06},(404,702):{'3_1':0.0},(404,701):{'3_1':0.0,'7_6':0.0},(404,700):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(404,699):{'3_1':0.0,'4_1':0.0},(404,698):{'3_1':0.0},(404,697):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(404,696):{'3_1':0.06,'5_2':0.0},(404,695):{'3_1':0.03},(404,694):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(404,693):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(404,692):{'3_1':0.03,'9_1':0.0},(404,691):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(404,690):{'3_1':0.06},(404,689):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(404,688):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(404,687):{'3_1':0.03},(404,686):{'3_1':0.03},(404,685):{'3_1':0.06,'5_1':0.0},(404,684):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(404,683):{'3_1':0.0,'5_1':0.0},(404,682):{'3_1':0.03},(404,681):{'3_1':0.03,'4_1':0.0},(404,680):{'3_1':0.03,'5_1':0.03,'4_1':0.0},(404,679):{'3_1':0.0},(404,678):{'3_1':0.0},(404,677):{'3_1':0.03,'4_1':0.0},(404,676):{'3_1':0.06,'4_1':0.0},(404,675):{'3_1':0.06,'4_1':0.0},(404,674):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(404,673):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(404,672):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(404,671):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(404,670):{'3_1':0.0},(404,669):{'3_1':0.03,'4_1':0.0},(404,668):{'3_1':0.0,'4_1':0.0},(404,667):{'3_1':0.0},(404,666):{'3_1':0.03,'5_1':0.0},(404,665):{'3_1':0.0},(404,664):{'3_1':0.03,'5_2':0.0},(404,663):{'3_1':0.0,'5_2':0.0},(404,662):{'3_1':0.0,'4_1':0.0},(404,661):{'3_1':0.0,'4_1':0.0},(404,660):{'3_1':0.0,'4_1':0.0},(404,659):{'3_1':0.03,'4_1':0.0},(404,658):{'3_1':0.0},(404,657):{'3_1':0.06},(404,656):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(404,655):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(404,654):{'3_1':0.03,'5_1':0.0},(404,653):{'3_1':0.03,'4_1':0.0},(404,652):{'3_1':0.0},(404,651):{'3_1':0.0},(404,650):{'3_1':0.0,'5_1':0.0},(404,649):{'3_1':0.0,'5_1':0.0},(404,648):{'3_1':0.03},(404,647):{'3_1':0.0},(404,646):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(404,645):{'3_1':0.0},(404,644):{'3_1':0.06,'4_1':0.0},(404,643):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(404,642):{'3_1':0.03},(404,641):{'3_1':0.03},(404,640):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(404,639):{'3_1':0.06},(404,638):{'3_1':0.06,'4_1':0.0},(404,637):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(404,636):{'3_1':0.0,'4_1':0.0},(404,635):{'3_1':0.06,'5_1':0.0},(404,634):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(404,633):{'5_1':0.0},(404,632):{'3_1':0.03},(404,631):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(404,630):{'3_1':0.03},(404,629):{'3_1':0.0},(404,628):{'3_1':0.0,'5_1':0.0},(404,627):{'3_1':0.0,'5_1':0.0},(404,626):{'3_1':0.0,'5_1':0.0},(404,625):{'3_1':0.0},(404,624):{'3_1':0.0},(404,623):{'3_1':0.06,'5_1':0.0},(404,622):{'3_1':0.0,'4_1':0.0},(404,621):{'3_1':0.0},(404,620):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(404,619):{'3_1':0.0,'4_1':0.0},(404,618):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(404,617):{'3_1':0.0,'5_2':0.0},(404,616):{'3_1':0.0,'4_1':0.0},(404,615):{'3_1':0.09},(404,614):{'3_1':0.03},(404,613):{'3_1':0.0},(404,612):{'3_1':0.03,'4_1':0.0},(404,611):{'3_1':0.03},(404,610):{'5_2':0.0},(404,609):{'3_1':0.03},(404,608):{'3_1':0.0},(404,607):{'3_1':0.0},(404,606):{'3_1':0.0},(404,605):{'3_1':0.0},(404,604):{'3_1':0.0},(404,603):{'3_1':0.0},(404,602):{'3_1':0.0},(404,601):{'3_1':0.0},(404,599):{'3_1':0.0},(404,598):{'4_1':0.0},(404,596):{'3_1':0.0},(404,595):{'4_1':0.0},(404,594):{'3_1':0.0},(404,593):{'6_2':0.0},(404,592):{'3_1':0.0},(404,590):{'3_1':0.0},(404,589):{'3_1':0.0},(404,588):{'3_1':0.0},(404,587):{'3_1':0.0},(404,585):{'4_1':0.0},(404,582):{'3_1':0.0,'4_1':0.0},(404,578):{'3_1':0.0},(404,577):{'4_1':0.0},(404,576):{'4_1':0.0},(404,573):{'3_1':0.0},(404,570):{'4_1':0.0},(404,566):{'3_1':0.0},(404,564):{'4_1':0.0},(404,562):{'3_1':0.0,'4_1':0.0},(404,561):{'3_1':0.0},(404,560):{'3_1':0.0},(404,558):{'3_1':0.0},(404,557):{'3_1':0.0},(404,556):{'4_1':0.0},(404,554):{'3_1':0.0},(404,553):{'3_1':0.0},(404,552):{'3_1':0.0},(404,550):{'3_1':0.0,'4_1':0.0},(404,549):{'3_1':0.0},(404,546):{'3_1':0.0},(404,544):{'3_1':0.0},(404,541):{'3_1':0.0},(404,540):{'3_1':0.0},(404,539):{'4_1':0.0},(404,538):{'3_1':0.0},(404,537):{'3_1':0.0},(404,536):{'3_1':0.0},(404,535):{'3_1':0.0,'5_1':0.0},(404,534):{'3_1':0.0},(404,533):{'3_1':0.0},(404,532):{'3_1':0.0,'5_1':0.0},(404,531):{'3_1':0.0,'5_1':0.0},(404,528):{'3_1':0.0,'7_1':0.0},(404,527):{'3_1':0.0},(404,526):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(404,525):{'3_1':0.0},(404,524):{'3_1':0.0},(404,523):{'3_1':0.03},(404,521):{'4_1':0.03},(404,520):{'3_1':0.0,'4_1':0.0},(404,519):{'3_1':0.0},(404,518):{'3_1':0.0},(404,516):{'3_1':0.0},(404,515):{'3_1':0.0,'4_1':0.0},(404,514):{'3_1':0.0},(404,495):{'3_1':0.0,'4_1':0.0},(404,493):{'3_1':0.0},(404,491):{'3_1':0.0},(404,487):{'3_1':0.0},(404,485):{'4_1':0.0},(404,483):{'3_1':0.0},(404,481):{'3_1':0.0},(404,480):{'3_1':0.0},(404,478):{'3_1':0.0},(404,477):{'3_1':0.0},(404,476):{'3_1':0.0},(404,469):{'3_1':0.0},(405,727):{'3_1':0.0},(405,725):{'3_1':0.0},(405,724):{'3_1':0.0},(405,723):{'3_1':0.0,'4_1':0.0},(405,722):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(405,721):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(405,720):{'3_1':0.03,'4_1':0.0},(405,719):{'7_1':0.0},(405,718):{'3_1':0.0,'5_1':0.0},(405,717):{'3_1':0.0},(405,716):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(405,715):{'3_1':0.03,'4_1':0.0},(405,714):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(405,713):{'3_1':0.0},(405,712):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(405,711):{'3_1':0.03},(405,710):{'3_1':0.03,'7_1':0.0},(405,709):{'5_1':0.0,'3_1':0.0},(405,708):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(405,707):{'3_1':0.03,'4_1':0.0},(405,706):{'3_1':0.0},(405,705):{'3_1':0.0,'4_1':0.0},(405,704):{'3_1':0.0,'7_1':0.0},(405,703):{'3_1':0.0,'5_1':0.0},(405,702):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(405,701):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'3_1#5_1':0.0},(405,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(405,699):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(405,698):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(405,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(405,696):{'3_1':0.03,'5_2':0.0},(405,695):{'3_1':0.03},(405,694):{'3_1':0.03,'5_2':0.0},(405,693):{'3_1':0.0},(405,692):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(405,691):{'3_1':0.0,'5_1':0.0},(405,690):{'3_1':0.03,'5_2':0.0},(405,689):{'3_1':0.06},(405,688):{'3_1':0.03,'5_2':0.0},(405,687):{'3_1':0.03,'4_1':0.0},(405,686):{'3_1':0.03,'7_1':0.0},(405,685):{'3_1':0.03,'7_1':0.0,'5_1':0.0,'-3':0.0},(405,684):{'3_1':0.03,'5_1':0.0},(405,683):{'3_1':0.0,'5_1':0.0},(405,682):{'3_1':0.0,'5_2':0.0},(405,681):{'3_1':0.06},(405,680):{'3_1':0.03},(405,679):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(405,678):{'3_1':0.03},(405,677):{'3_1':0.06},(405,676):{'3_1':0.03,'4_1':0.0},(405,675):{'3_1':0.03,'5_2':0.0},(405,674):{'3_1':0.03},(405,673):{'3_1':0.03},(405,672):{'3_1':0.03,'7_1':0.0},(405,671):{'3_1':0.06,'7_1':0.0,'9_1':0.0},(405,670):{'3_1':0.03},(405,669):{'3_1':0.0},(405,668):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(405,667):{'3_1':0.03,'7_1':0.0},(405,666):{'3_1':0.0,'4_1':0.0},(405,665):{'3_1':0.0},(405,664):{'4_1':0.0},(405,663):{'3_1':0.03,'5_1':0.0},(405,662):{'4_1':0.0},(405,661):{'3_1':0.0,'4_1':0.0},(405,660):{'3_1':0.03,'4_1':0.0},(405,659):{'5_2':0.0,'7_3':0.0},(405,658):{'3_1':0.0},(405,657):{'3_1':0.03},(405,656):{'3_1':0.0,'4_1':0.0},(405,655):{'5_1':0.0},(405,654):{'3_1':0.0},(405,653):{'3_1':0.0},(405,652):{'3_1':0.03},(405,651):{'3_1':0.0},(405,650):{'3_1':0.0},(405,649):{'5_1':0.0},(405,648):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(405,647):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(405,646):{'3_1':0.0},(405,645):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(405,644):{'3_1':0.0,'5_2':0.0},(405,643):{'3_1':0.03,'5_1':0.0},(405,642):{'3_1':0.06,'6_1':0.0,'5_1':0.0},(405,641):{'3_1':0.03,'4_1':0.0},(405,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(405,639):{'3_1':0.0,'5_2':0.0},(405,638):{'3_1':0.03,'5_1':0.0},(405,637):{'3_1':0.03},(405,636):{'3_1':0.06,'5_1':0.0},(405,635):{'3_1':0.03},(405,634):{'3_1':0.06},(405,633):{'3_1':0.03},(405,632):{'3_1':0.03},(405,631):{'5_2':0.0},(405,630):{'3_1':0.0},(405,629):{'3_1':0.03},(405,628):{'3_1':0.0},(405,627):{'3_1':0.0,'5_1':0.0},(405,626):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(405,625):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(405,624):{'3_1':0.0,'4_1':0.0},(405,623):{'3_1':0.0},(405,622):{'3_1':0.03},(405,621):{'3_1':0.03},(405,619):{'3_1':0.03},(405,618):{'3_1':0.06},(405,617):{'3_1':0.03},(405,616):{'3_1':0.03,'4_1':0.0},(405,615):{'3_1':0.0},(405,614):{'3_1':0.0},(405,613):{'3_1':0.0},(405,612):{'3_1':0.0},(405,611):{'3_1':0.0},(405,608):{'3_1':0.0},(405,607):{'3_1':0.03},(405,604):{'3_1':0.0},(405,603):{'3_1':0.0},(405,601):{'3_1':0.0},(405,599):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(405,595):{'3_1':0.0},(405,592):{'3_1':0.0,'4_1':0.0},(405,591):{'4_1':0.0},(405,590):{'3_1':0.0},(405,589):{'3_1':0.0},(405,588):{'4_1':0.0},(405,587):{'4_1':0.0},(405,586):{'3_1':0.0,'5_1':0.0},(405,583):{'3_1':0.0,'4_1':0.0},(405,581):{'3_1':0.0},(405,580):{'3_1':0.0},(405,579):{'3_1':0.0},(405,577):{'3_1':0.0},(405,574):{'3_1':0.0,'4_1':0.0},(405,571):{'3_1':0.0},(405,570):{'3_1':0.0},(405,567):{'3_1':0.0},(405,566):{'3_1':0.0},(405,565):{'3_1':0.0},(405,562):{'3_1':0.0},(405,560):{'3_1':0.0},(405,558):{'4_1':0.0},(405,556):{'3_1':0.0},(405,553):{'3_1':0.0},(405,552):{'3_1':0.03},(405,551):{'3_1':0.0},(405,550):{'3_1':0.0},(405,549):{'4_1':0.0},(405,548):{'3_1':0.0,'4_1':0.0},(405,546):{'5_1':0.0},(405,545):{'3_1':0.0},(405,544):{'3_1':0.0},(405,543):{'3_1':0.0},(405,542):{'3_1':0.0},(405,541):{'3_1':0.0},(405,540):{'3_1':0.0,'4_1':0.0},(405,539):{'3_1':0.0},(405,538):{'4_1':0.0},(405,537):{'3_1':0.0},(405,535):{'4_1':0.0},(405,533):{'3_1':0.0},(405,532):{'3_1':0.0,'4_1':0.0},(405,531):{'3_1':0.0},(405,530):{'3_1':0.03},(405,529):{'4_1':0.0},(405,528):{'4_1':0.0},(405,527):{'3_1':0.0},(405,524):{'3_1':0.0},(405,523):{'3_1':0.0,'4_1':0.0},(405,520):{'3_1':0.0},(405,516):{'3_1':0.0},(405,485):{'3_1':0.0},(405,483):{'3_1':0.0},(405,482):{'3_1':0.0},(405,480):{'3_1':0.0},(405,477):{'3_1':0.0},(405,476):{'3_1':0.0},(405,475):{'3_1':0.0,'4_1':0.0},(405,473):{'3_1':0.0},(405,471):{'3_1':0.0},(405,468):{'3_1':0.0},(405,461):{'3_1':0.0},(405,434):{'3_1':0.0},(405,429):{'3_1':0.0},(406,727):{'3_1':0.0,'5_2':0.0},(406,726):{'3_1':0.0,'4_1':0.0},(406,725):{'3_1':0.0,'5_2':0.0},(406,724):{'4_1':0.0},(406,723):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(406,722):{'3_1':0.03},(406,721):{'3_1':0.0,'4_1':0.0},(406,720):{'3_1':0.0},(406,719):{'3_1':0.0},(406,718):{'5_2':0.0},(406,717):{'3_1':0.03,'5_2':0.0},(406,716):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(406,715):{'3_1':0.0,'5_2':0.0},(406,714):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(406,713):{'3_1':0.0,'4_1':0.0},(406,712):{'3_1':0.03},(406,711):{'3_1':0.03},(406,710):{'3_1':0.0},(406,709):{'3_1':0.06},(406,708):{'3_1':0.03},(406,707):{'3_1':0.03},(406,706):{'3_1':0.0,'5_2':0.0},(406,705):{'4_1':0.0,'3_1':0.0,'5_2':0.0,'7_1':0.0,'9_1':0.0,'-3':0.0},(406,704):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(406,703):{'5_2':0.0},(406,702):{'3_1':0.0,'5_2':0.0},(406,701):{'3_1':0.03,'5_2':0.0},(406,700):{'3_1':0.03,'5_1':0.0},(406,699):{'3_1':0.0,'5_2':0.0},(406,698):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(406,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(406,695):{'3_1':0.03},(406,694):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(406,693):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(406,692):{'3_1':0.03},(406,691):{'3_1':0.0},(406,690):{'3_1':0.0},(406,689):{'3_1':0.0,'5_1':0.0},(406,688):{'3_1':0.0,'5_1':0.0},(406,687):{'3_1':0.09},(406,686):{'3_1':0.03,'9_1':0.0},(406,685):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(406,684):{'3_1':0.0},(406,683):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(406,682):{'3_1':0.03},(406,681):{'3_1':0.0,'4_1':0.0},(406,680):{'4_1':0.0},(406,679):{'3_1':0.03,'7_1':0.0},(406,678):{'3_1':0.03,'4_1':0.0},(406,677):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(406,676):{'3_1':0.06},(406,675):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(406,674):{'3_1':0.03,'5_1':0.0},(406,673):{'3_1':0.03,'5_1':0.0},(406,672):{'3_1':0.0,'4_1':0.0},(406,671):{'3_1':0.0},(406,670):{'3_1':0.06},(406,669):{'3_1':0.03,'4_1':0.0},(406,668):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(406,667):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(406,666):{'4_1':0.0,'3_1':0.0},(406,665):{'3_1':0.03,'5_1':0.0},(406,664):{'3_1':0.03,'6_1':0.0},(406,663):{'3_1':0.06},(406,662):{'3_1':0.0},(406,661):{'3_1':0.03},(406,660):{'3_1':0.03,'5_1':0.0},(406,659):{'3_1':0.03,'5_1':0.0},(406,658):{'3_1':0.0,'4_1':0.0},(406,657):{'3_1':0.03,'4_1':0.0},(406,656):{'3_1':0.0},(406,655):{'3_1':0.03,'5_1':0.0},(406,654):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(406,653):{'3_1':0.03},(406,652):{'3_1':0.0},(406,651):{'5_1':0.0,'3_1':0.0},(406,650):{'3_1':0.0,'6_1':0.0},(406,649):{'3_1':0.03,'4_1':0.0},(406,648):{'3_1':0.03},(406,647):{'3_1':0.0,'5_2':0.0},(406,646):{'3_1':0.03,'5_1':0.0},(406,645):{'3_1':0.03},(406,644):{'3_1':0.03},(406,643):{'3_1':0.0,'5_1':0.0},(406,642):{'3_1':0.03,'4_1':0.0},(406,641):{'3_1':0.03,'4_1':0.0},(406,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(406,639):{'3_1':0.0,'5_1':0.0},(406,638):{'3_1':0.06},(406,637):{'3_1':0.03,'4_1':0.0},(406,636):{'3_1':0.0,'5_1':0.0},(406,635):{'3_1':0.03,'4_1':0.0},(406,634):{'3_1':0.0,'5_1':0.0},(406,633):{'3_1':0.03},(406,632):{'3_1':0.03},(406,631):{'3_1':0.0,'4_1':0.0},(406,630):{'3_1':0.0,'5_1':0.0},(406,629):{'3_1':0.03},(406,628):{'3_1':0.03},(406,627):{'3_1':0.0,'5_1':0.0},(406,626):{'3_1':0.03},(406,625):{'3_1':0.0},(406,624):{'3_1':0.0},(406,623):{'3_1':0.03},(406,622):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(406,621):{'3_1':0.0,'7_1':0.0},(406,620):{'3_1':0.0,'5_2':0.0},(406,619):{'3_1':0.03,'5_1':0.0},(406,618):{'3_1':0.03},(406,617):{'3_1':0.0},(406,616):{'3_1':0.0},(406,615):{'3_1':0.03,'5_2':0.0},(406,614):{'3_1':0.03},(406,613):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(406,612):{'3_1':0.0},(406,611):{'3_1':0.0,'7_6':0.0},(406,610):{'4_1':0.0},(406,609):{'3_1':0.03},(406,608):{'3_1':0.0},(406,607):{'3_1':0.03},(406,606):{'3_1':0.0,'4_1':0.0},(406,605):{'3_1':0.0},(406,604):{'3_1':0.0},(406,602):{'3_1':0.0},(406,601):{'3_1':0.0,'5_2':0.0},(406,600):{'5_1':0.0},(406,599):{'3_1':0.0,'4_1':0.0},(406,598):{'3_1':0.0},(406,597):{'3_1':0.0},(406,596):{'4_1':0.0},(406,595):{'3_1':0.0,'5_1':0.0},(406,594):{'3_1':0.03},(406,593):{'3_1':0.0},(406,592):{'3_1':0.0},(406,591):{'3_1':0.0},(406,589):{'3_1':0.0},(406,588):{'3_1':0.0},(406,587):{'3_1':0.0},(406,586):{'3_1':0.0},(406,585):{'4_1':0.0},(406,582):{'3_1':0.0},(406,581):{'4_1':0.0},(406,580):{'3_1':0.0},(406,579):{'3_1':0.0},(406,578):{'3_1':0.0},(406,575):{'3_1':0.0},(406,572):{'3_1':0.0},(406,570):{'3_1':0.0},(406,567):{'3_1':0.0},(406,565):{'3_1':0.0,'5_1':0.0},(406,564):{'3_1':0.0},(406,563):{'3_1':0.0},(406,561):{'3_1':0.0},(406,560):{'3_1':0.0},(406,558):{'3_1':0.0},(406,557):{'3_1':0.0,'4_1':0.0},(406,556):{'3_1':0.0},(406,553):{'3_1':0.0},(406,552):{'4_1':0.0},(406,551):{'3_1':0.0},(406,550):{'3_1':0.0},(406,549):{'3_1':0.03},(406,548):{'3_1':0.0,'5_1':0.0},(406,547):{'3_1':0.0},(406,546):{'3_1':0.03},(406,545):{'3_1':0.03,'4_1':0.0},(406,544):{'3_1':0.0},(406,543):{'3_1':0.0},(406,542):{'3_1':0.0,'4_1':0.0},(406,541):{'3_1':0.0},(406,539):{'3_1':0.0,'5_1':0.0},(406,538):{'3_1':0.0},(406,537):{'3_1':0.0},(406,536):{'3_1':0.0},(406,535):{'3_1':0.0,'8_1':0.0},(406,534):{'3_1':0.0},(406,533):{'4_1':0.0},(406,532):{'3_1':0.0},(406,531):{'3_1':0.0},(406,530):{'3_1':0.0},(406,529):{'3_1':0.0,'4_1':0.0},(406,528):{'3_1':0.0},(406,526):{'3_1':0.0},(406,525):{'3_1':0.0,'4_1':0.0},(406,523):{'3_1':0.0},(406,522):{'3_1':0.0},(406,521):{'4_1':0.0},(406,519):{'3_1':0.0},(406,486):{'3_1':0.0,'7_1':0.0},(406,485):{'5_1':0.0},(406,483):{'3_1':0.0,'5_1':0.0},(406,482):{'7_1':0.0},(406,481):{'3_1':0.0},(406,479):{'3_1':0.03,'5_1':0.0},(406,475):{'3_1':0.0},(406,472):{'3_1':0.0,'5_1':0.0},(406,470):{'3_1':0.0},(406,466):{'5_1':0.0},(406,464):{'3_1':0.0},(406,462):{'3_1':0.0},(406,417):{'3_1':0.0},(407,725):{'3_1':0.0},(407,724):{'3_1':0.0},(407,723):{'3_1':0.0},(407,722):{'3_1':0.03},(407,721):{'3_1':0.0,'5_1':0.0},(407,720):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(407,718):{'3_1':0.0},(407,717):{'3_1':0.0},(407,716):{'3_1':0.0},(407,714):{'3_1':0.0},(407,713):{'3_1':0.03},(407,712):{'3_1':0.03},(407,711):{'3_1':0.03},(407,710):{'3_1':0.03},(407,709):{'3_1':0.03},(407,708):{'3_1':0.0},(407,707):{'3_1':0.0,'5_2':0.0},(407,706):{'3_1':0.0,'4_1':0.0},(407,705):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(407,704):{'3_1':0.0,'5_2':0.0},(407,703):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(407,702):{'3_1':0.03},(407,701):{'3_1':0.03},(407,700):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(407,699):{'3_1':0.0,'5_2':0.0},(407,698):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(407,697):{'3_1':0.03},(407,696):{'3_1':0.03,'5_2':0.0,'7_5':0.0},(407,695):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(407,694):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(407,693):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(407,692):{'5_2':0.0,'3_1':0.0},(407,691):{'3_1':0.03},(407,690):{'3_1':0.03},(407,689):{'3_1':0.0,'4_1':0.0},(407,688):{'3_1':0.0},(407,687):{'3_1':0.06},(407,686):{'3_1':0.03,'4_1':0.0},(407,685):{'3_1':0.06,'4_1':0.0},(407,684):{'3_1':0.0,'9_1':0.0},(407,683):{'3_1':0.03,'4_1':0.0},(407,682):{'3_1':0.03},(407,681):{'3_1':0.03},(407,680):{'3_1':0.03,'5_1':0.0},(407,679):{'3_1':0.0},(407,678):{'3_1':0.03,'5_2':0.0},(407,677):{'3_1':0.03},(407,676):{'3_1':0.09,'4_1':0.0},(407,675):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(407,674):{'3_1':0.06},(407,673):{'3_1':0.06,'5_1':0.0},(407,672):{'3_1':0.03},(407,671):{'3_1':0.03,'5_1':0.0},(407,670):{'3_1':0.0},(407,669):{'3_1':0.03,'4_1':0.0},(407,668):{'3_1':0.03},(407,667):{'3_1':0.03},(407,666):{'3_1':0.03},(407,665):{'3_1':0.0},(407,664):{'3_1':0.03,'4_1':0.0},(407,663):{'3_1':0.03},(407,662):{'3_1':0.0,'4_1':0.0,'8_14':0.0},(407,661):{'3_1':0.0},(407,660):{'3_1':0.0},(407,659):{'3_1':0.0,'4_1':0.0},(407,658):{'3_1':0.03,'5_1':0.0},(407,657):{'3_1':0.0},(407,656):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(407,655):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(407,654):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'9_1':0.0},(407,653):{'3_1':0.03},(407,652):{'3_1':0.03},(407,651):{'3_1':0.0,'5_1':0.0},(407,650):{'7_1':0.0,'3_1':0.0},(407,649):{'3_1':0.03},(407,648):{'5_1':0.0,'3_1':0.0},(407,647):{'3_1':0.03},(407,646):{'3_1':0.0,'4_1':0.0},(407,645):{'3_1':0.0,'7_1':0.0},(407,644):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_1':0.0},(407,643):{'3_1':0.06,'5_1':0.0,'7_1':0.0,'9_1':0.0},(407,642):{'3_1':0.03},(407,641):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(407,640):{'3_1':0.06,'4_1':0.0},(407,639):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(407,638):{'3_1':0.03,'7_1':0.0},(407,637):{'3_1':0.03},(407,636):{'3_1':0.0,'7_1':0.0},(407,635):{'3_1':0.0,'7_1':0.0},(407,634):{'3_1':0.06,'5_1':0.0},(407,633):{'3_1':0.03,'7_1':0.0},(407,632):{'3_1':0.03,'7_1':0.0},(407,631):{'3_1':0.0,'5_1':0.0},(407,630):{'3_1':0.0,'5_1':0.0},(407,629):{'3_1':0.0,'5_1':0.0},(407,628):{'3_1':0.0,'5_1':0.0},(407,627):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(407,626):{'3_1':0.03},(407,625):{'3_1':0.03,'5_1':0.0},(407,624):{'3_1':0.0,'5_1':0.0},(407,623):{'3_1':0.0,'5_1':0.0},(407,622):{'3_1':0.0,'5_2':0.0},(407,621):{'3_1':0.03,'4_1':0.0},(407,620):{'3_1':0.0},(407,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(407,618):{'3_1':0.0},(407,617):{'3_1':0.0},(407,616):{'3_1':0.0,'4_1':0.0},(407,615):{'3_1':0.03,'4_1':0.0},(407,614):{'3_1':0.0},(407,613):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(407,612):{'3_1':0.0},(407,611):{'3_1':0.0},(407,610):{'3_1':0.0,'5_1':0.0},(407,609):{'3_1':0.0,'4_1':0.0},(407,608):{'3_1':0.0,'4_1':0.0},(407,607):{'3_1':0.0},(407,606):{'3_1':0.0},(407,605):{'4_1':0.0},(407,602):{'4_1':0.0},(407,601):{'3_1':0.0,'5_1':0.0},(407,600):{'5_1':0.0,'3_1':0.0},(407,599):{'3_1':0.0,'5_1':0.0},(407,595):{'5_1':0.0},(407,594):{'5_2':0.0},(407,593):{'3_1':0.0},(407,592):{'3_1':0.0},(407,590):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(407,589):{'3_1':0.0,'4_1':0.0},(407,588):{'5_1':0.0},(407,587):{'3_1':0.0},(407,586):{'3_1':0.0,'4_1':0.0},(407,585):{'3_1':0.0},(407,584):{'3_1':0.0},(407,583):{'4_1':0.0},(407,580):{'3_1':0.0},(407,579):{'3_1':0.0},(407,577):{'3_1':0.0},(407,574):{'3_1':0.0},(407,571):{'3_1':0.0},(407,568):{'3_1':0.0},(407,567):{'3_1':0.0},(407,563):{'3_1':0.0},(407,562):{'3_1':0.0},(407,561):{'4_1':0.0},(407,558):{'3_1':0.0,'8_20|3_1#3_1':0.0},(407,557):{'3_1':0.0,'4_1':0.0},(407,556):{'3_1':0.0},(407,555):{'3_1':0.0},(407,554):{'3_1':0.0,'5_2':0.0},(407,553):{'3_1':0.0,'5_2':0.0},(407,552):{'4_1':0.0},(407,551):{'5_2':0.0},(407,550):{'3_1':0.0,'6_1':0.0},(407,548):{'3_1':0.03},(407,546):{'3_1':0.0},(407,545):{'3_1':0.0,'4_1':0.0},(407,544):{'3_1':0.03,'4_1':0.0},(407,543):{'3_1':0.0},(407,542):{'3_1':0.0},(407,541):{'3_1':0.0,'4_1':0.0},(407,540):{'3_1':0.0},(407,539):{'3_1':0.03},(407,538):{'3_1':0.0},(407,537):{'3_1':0.0},(407,534):{'3_1':0.0},(407,533):{'3_1':0.0},(407,532):{'3_1':0.0,'5_1':0.0},(407,531):{'3_1':0.03,'4_1':0.0},(407,530):{'4_1':0.0},(407,528):{'3_1':0.0},(407,525):{'4_1':0.0},(407,524):{'3_1':0.0},(407,523):{'3_1':0.0},(407,522):{'3_1':0.0,'4_1':0.0},(407,518):{'3_1':0.0},(407,515):{'3_1':0.0},(407,510):{'3_1':0.0},(407,494):{'3_1':0.0},(407,492):{'4_1':0.0},(407,489):{'3_1':0.0},(407,488):{'3_1':0.0},(407,481):{'3_1':0.0,'5_1':0.0},(407,480):{'3_1':0.0},(407,479):{'3_1':0.0},(407,476):{'3_1':0.0},(407,474):{'3_1':0.0},(407,471):{'7_1':0.0},(407,463):{'3_1':0.0},(408,727):{'3_1':0.03},(408,726):{'3_1':0.0},(408,725):{'3_1':0.03},(408,724):{'3_1':0.03},(408,723):{'3_1':0.0},(408,722):{'3_1':0.0,'4_1':0.0},(408,721):{'3_1':0.0,'5_2':0.0},(408,720):{'3_1':0.0},(408,719):{'3_1':0.0},(408,718):{'5_1':0.0},(408,717):{'3_1':0.0},(408,715):{'3_1':0.0},(408,714):{'3_1':0.0},(408,713):{'3_1':0.0},(408,712):{'4_1':0.0},(408,711):{'3_1':0.0},(408,710):{'3_1':0.0,'4_1':0.0},(408,709):{'3_1':0.0},(408,708):{'3_1':0.0},(408,707):{'3_1':0.0},(408,706):{'3_1':0.0,'5_1':0.0},(408,705):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(408,704):{'3_1':0.0,'4_1':0.0},(408,703):{'3_1':0.0},(408,702):{'3_1':0.0,'5_2':0.0},(408,701):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(408,700):{'3_1':0.03},(408,699):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(408,698):{'3_1':0.03},(408,697):{'3_1':0.03,'4_1':0.0},(408,696):{'3_1':0.0,'7_1':0.0},(408,695):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(408,694):{'3_1':0.03},(408,693):{'3_1':0.03,'4_1':0.0},(408,692):{'3_1':0.03},(408,691):{'3_1':0.06,'5_2':0.0},(408,690):{'3_1':0.03,'5_1':0.0},(408,689):{'3_1':0.0},(408,688):{'3_1':0.09},(408,687):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(408,686):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'9_1':0.0},(408,685):{'3_1':0.03},(408,684):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(408,683):{'3_1':0.03,'5_1':0.0},(408,682):{'3_1':0.06,'4_1':0.0},(408,681):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(408,680):{'3_1':0.03},(408,679):{'3_1':0.03,'4_1':0.0},(408,678):{'3_1':0.09},(408,677):{'3_1':0.03},(408,676):{'3_1':0.0},(408,675):{'3_1':0.06},(408,674):{'3_1':0.0,'5_1':0.0},(408,673):{'3_1':0.0,'5_2':0.0},(408,672):{'3_1':0.06,'5_1':0.0},(408,671):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(408,670):{'3_1':0.0,'5_1':0.0},(408,669):{'3_1':0.03},(408,668):{'3_1':0.03,'4_1':0.0},(408,667):{'3_1':0.0},(408,666):{'3_1':0.03},(408,665):{'3_1':0.0},(408,664):{'3_1':0.0,'5_1':0.0},(408,663):{'3_1':0.0,'5_1':0.0},(408,662):{'3_1':0.03},(408,660):{'3_1':0.0,'5_2':0.0},(408,659):{'3_1':0.03},(408,658):{'3_1':0.0},(408,657):{'3_1':0.0},(408,656):{'3_1':0.03},(408,655):{'3_1':0.03},(408,654):{'3_1':0.0,'4_1':0.0},(408,653):{'3_1':0.0},(408,652):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(408,651):{'5_1':0.0},(408,650):{'3_1':0.0},(408,649):{'3_1':0.0,'6_1':0.0},(408,648):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(408,647):{'3_1':0.0,'5_2':0.0},(408,646):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(408,645):{'3_1':0.0,'5_1':0.0},(408,644):{'3_1':0.03,'5_2':0.0},(408,643):{'3_1':0.03,'7_1':0.0},(408,642):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(408,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(408,639):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(408,638):{'3_1':0.03,'7_1':0.0},(408,637):{'3_1':0.0,'4_1':0.0},(408,636):{'3_1':0.0},(408,635):{'3_1':0.0},(408,634):{'3_1':0.03,'4_1':0.0},(408,633):{'3_1':0.0},(408,632):{'3_1':0.03,'5_1':0.0},(408,631):{'3_1':0.0},(408,630):{'5_1':0.0,'3_1':0.0},(408,629):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(408,628):{'3_1':0.0},(408,627):{'3_1':0.0},(408,626):{'3_1':0.09},(408,625):{'3_1':0.0},(408,624):{'3_1':0.06,'5_1':0.0},(408,623):{'3_1':0.0,'5_1':0.0},(408,622):{'3_1':0.0},(408,621):{'3_1':0.06},(408,620):{'3_1':0.0},(408,619):{'3_1':0.03,'5_1':0.0},(408,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(408,617):{'3_1':0.0,'5_1':0.0},(408,616):{'3_1':0.03},(408,615):{'3_1':0.03},(408,614):{'3_1':0.0},(408,613):{'3_1':0.0},(408,612):{'3_1':0.0},(408,611):{'3_1':0.0},(408,610):{'3_1':0.0},(408,609):{'3_1':0.03},(408,608):{'3_1':0.0},(408,607):{'4_1':0.0},(408,606):{'3_1':0.0,'4_1':0.0},(408,604):{'3_1':0.0},(408,603):{'5_1':0.0,'5_2':0.0},(408,601):{'3_1':0.0},(408,600):{'3_1':0.0,'5_1':0.0},(408,598):{'3_1':0.0},(408,597):{'3_1':0.0},(408,596):{'3_1':0.0},(408,594):{'3_1':0.03},(408,593):{'3_1':0.0,'4_1':0.0},(408,590):{'3_1':0.0},(408,588):{'3_1':0.0},(408,587):{'5_2':0.0},(408,586):{'3_1':0.0},(408,585):{'3_1':0.0,'5_2':0.0},(408,584):{'3_1':0.0,'4_1':0.0},(408,579):{'5_2':0.0},(408,576):{'4_1':0.0},(408,575):{'3_1':0.0},(408,574):{'3_1':0.0},(408,572):{'3_1':0.0},(408,571):{'3_1':0.0},(408,566):{'4_1':0.0},(408,565):{'3_1':0.0},(408,564):{'3_1':0.0},(408,563):{'3_1':0.0,'4_1':0.0},(408,556):{'3_1':0.0},(408,553):{'3_1':0.0},(408,552):{'3_1':0.0,'4_1':0.0},(408,550):{'3_1':0.0},(408,549):{'3_1':0.0},(408,548):{'3_1':0.0},(408,547):{'3_1':0.0},(408,546):{'3_1':0.0},(408,545):{'3_1':0.03},(408,544):{'3_1':0.0,'4_1':0.0},(408,543):{'3_1':0.03,'4_1':0.0},(408,542):{'3_1':0.0},(408,541):{'3_1':0.0,'5_1':0.0},(408,540):{'3_1':0.03,'5_1':0.0},(408,539):{'5_1':0.0},(408,538):{'3_1':0.0},(408,537):{'3_1':0.0},(408,536):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(408,535):{'3_1':0.0},(408,534):{'3_1':0.0},(408,533):{'3_1':0.0},(408,532):{'3_1':0.0,'5_1':0.0},(408,531):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(408,530):{'5_1':0.0},(408,529):{'3_1':0.0,'4_1':0.0},(408,526):{'3_1':0.0,'5_1':0.0},(408,525):{'3_1':0.0,'7_1':0.0},(408,523):{'3_1':0.0},(408,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(408,521):{'5_1':0.0},(408,519):{'3_1':0.0},(408,518):{'3_1':0.0},(408,516):{'3_1':0.0},(408,515):{'3_1':0.0},(408,508):{'4_1':0.0,'5_1':0.0},(408,507):{'3_1':0.0},(408,505):{'3_1':0.0},(408,495):{'3_1':0.0},(408,492):{'3_1':0.0},(408,488):{'3_1':0.0},(408,483):{'3_1':0.0},(408,480):{'3_1':0.0},(408,479):{'5_1':0.0},(408,476):{'3_1':0.0},(408,472):{'3_1':0.0},(408,469):{'3_1':0.0},(408,461):{'3_1':0.0},(409,727):{'3_1':0.0},(409,726):{'3_1':0.0},(409,724):{'3_1':0.0},(409,723):{'3_1':0.0,'4_1':0.0},(409,722):{'3_1':0.0},(409,720):{'3_1':0.03},(409,719):{'3_1':0.0},(409,718):{'3_1':0.0},(409,717):{'3_1':0.0,'5_1':0.0},(409,716):{'3_1':0.0},(409,715):{'3_1':0.0},(409,714):{'3_1':0.0,'8_20|3_1#3_1':0.0},(409,713):{'3_1':0.03,'4_1':0.0},(409,712):{'3_1':0.03},(409,711):{'3_1':0.0,'4_1':0.0},(409,710):{'3_1':0.03},(409,709):{'3_1':0.0},(409,708):{'3_1':0.0},(409,707):{'3_1':0.0,'4_1':0.0},(409,706):{'3_1':0.0,'4_1':0.0},(409,705):{'3_1':0.0,'5_2':0.0},(409,704):{'3_1':0.0,'4_1':0.0},(409,703):{'3_1':0.0,'5_2':0.0},(409,702):{'3_1':0.0,'5_2':0.0},(409,701):{'3_1':0.0,'5_1':0.0},(409,700):{'3_1':0.0,'5_2':0.0},(409,699):{'3_1':0.0},(409,698):{'3_1':0.0},(409,697):{'3_1':0.03,'5_2':0.0},(409,696):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(409,695):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(409,694):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(409,693):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(409,692):{'3_1':0.03},(409,691):{'3_1':0.03,'5_2':0.0},(409,690):{'3_1':0.03,'5_2':0.0},(409,689):{'3_1':0.03,'5_1':0.0},(409,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(409,687):{'3_1':0.0},(409,686):{'3_1':0.0,'4_1':0.0},(409,685):{'3_1':0.03,'8_20|3_1#3_1':0.0},(409,684):{'3_1':0.03,'5_2':0.0},(409,683):{'3_1':0.06},(409,682):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(409,681):{'3_1':0.03,'4_1':0.0},(409,680):{'3_1':0.03},(409,679):{'3_1':0.06,'4_1':0.0},(409,678):{'3_1':0.03,'4_1':0.0},(409,677):{'3_1':0.06},(409,676):{'3_1':0.03},(409,675):{'3_1':0.03,'4_1':0.0},(409,674):{'3_1':0.03},(409,673):{'3_1':0.03},(409,672):{'3_1':0.03},(409,671):{'3_1':0.0},(409,669):{'3_1':0.06,'5_1':0.0},(409,668):{'3_1':0.03,'4_1':0.0},(409,667):{'3_1':0.03,'6_3':0.0},(409,666):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(409,665):{'3_1':0.03},(409,664):{'5_1':0.0,'3_1':0.0},(409,663):{'3_1':0.03,'5_1':0.0},(409,662):{'3_1':0.03},(409,661):{'3_1':0.0,'4_1':0.0},(409,660):{'3_1':0.03},(409,659):{'3_1':0.06,'4_1':0.0},(409,658):{'3_1':0.06},(409,657):{'3_1':0.03,'5_1':0.0},(409,656):{'3_1':0.03},(409,655):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(409,654):{'3_1':0.0},(409,653):{'3_1':0.03,'5_1':0.0},(409,652):{'3_1':0.0},(409,651):{'3_1':0.0},(409,650):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(409,649):{'3_1':0.03,'4_1':0.0},(409,648):{'3_1':0.0},(409,647):{'3_1':0.03},(409,646):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(409,645):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(409,644):{'3_1':0.03,'7_5':0.0},(409,643):{'3_1':0.03},(409,642):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(409,641):{'3_1':0.06},(409,640):{'3_1':0.06,'5_1':0.0},(409,639):{'3_1':0.12},(409,638):{'3_1':0.03,'4_1':0.0},(409,637):{'3_1':0.0,'4_1':0.0},(409,636):{'3_1':0.06,'4_1':0.0},(409,635):{'3_1':0.03,'5_1':0.0},(409,634):{'3_1':0.03,'4_1':0.0},(409,633):{'3_1':0.03,'4_1':0.0},(409,632):{'3_1':0.0,'5_1':0.0},(409,631):{'3_1':0.03,'6_1':0.0},(409,630):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(409,629):{'3_1':0.03},(409,628):{'3_1':0.0},(409,627):{'3_1':0.03,'5_1':0.0},(409,626):{'3_1':0.03},(409,625):{'3_1':0.03},(409,624):{'3_1':0.0},(409,623):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(409,622):{'3_1':0.06,'4_1':0.0},(409,621):{'3_1':0.03},(409,620):{'3_1':0.0},(409,619):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(409,618):{'3_1':0.06},(409,617):{'3_1':0.03,'4_1':0.0},(409,616):{'3_1':0.0},(409,615):{'3_1':0.06},(409,614):{'3_1':0.03},(409,613):{'3_1':0.0},(409,612):{'3_1':0.0},(409,611):{'3_1':0.03},(409,610):{'4_1':0.0},(409,608):{'3_1':0.03},(409,607):{'3_1':0.0},(409,606):{'3_1':0.03},(409,605):{'3_1':0.0,'4_1':0.0},(409,603):{'3_1':0.0,'5_1':0.0},(409,601):{'3_1':0.0},(409,600):{'3_1':0.0},(409,599):{'3_1':0.0,'4_1':0.0},(409,598):{'3_1':0.03},(409,597):{'3_1':0.0},(409,596):{'3_1':0.0,'5_1':0.0},(409,595):{'5_1':0.0},(409,594):{'3_1':0.0,'4_1':0.0},(409,593):{'3_1':0.0,'4_1':0.0},(409,592):{'3_1':0.0},(409,591):{'3_1':0.0,'5_2':0.0},(409,588):{'3_1':0.0},(409,587):{'3_1':0.0,'4_1':0.0},(409,586):{'3_1':0.0,'5_2':0.0},(409,585):{'3_1':0.0},(409,584):{'3_1':0.0},(409,582):{'3_1':0.0},(409,581):{'3_1':0.0},(409,579):{'3_1':0.0},(409,578):{'3_1':0.0},(409,577):{'3_1':0.0},(409,576):{'3_1':0.0},(409,575):{'5_1':0.0},(409,574):{'4_1':0.0},(409,573):{'3_1':0.0},(409,572):{'3_1':0.0},(409,571):{'3_1':0.0},(409,569):{'3_1':0.0},(409,568):{'3_1':0.0,'4_1':0.0},(409,567):{'3_1':0.0,'5_1':0.0},(409,565):{'3_1':0.0},(409,564):{'5_1':0.0},(409,563):{'3_1':0.0},(409,562):{'3_1':0.0},(409,561):{'3_1':0.0,'4_1':0.0},(409,560):{'4_1':0.0},(409,559):{'3_1':0.0,'4_1':0.0},(409,558):{'5_1':0.0},(409,557):{'3_1':0.0},(409,556):{'3_1':0.0},(409,555):{'3_1':0.0,'5_1':0.0},(409,554):{'3_1':0.0},(409,552):{'3_1':0.0,'4_1':0.0},(409,551):{'3_1':0.0},(409,550):{'3_1':0.0},(409,549):{'3_1':0.0},(409,548):{'3_1':0.0},(409,547):{'3_1':0.0,'5_1':0.0},(409,546):{'3_1':0.0},(409,545):{'3_1':0.0},(409,544):{'3_1':0.0},(409,543):{'3_1':0.0},(409,542):{'3_1':0.03},(409,541):{'3_1':0.0},(409,540):{'3_1':0.0},(409,539):{'3_1':0.0},(409,538):{'3_1':0.0},(409,537):{'3_1':0.0},(409,536):{'3_1':0.0},(409,535):{'3_1':0.0},(409,534):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(409,533):{'3_1':0.03},(409,532):{'3_1':0.0},(409,531):{'3_1':0.0},(409,530):{'3_1':0.0},(409,527):{'3_1':0.0},(409,526):{'3_1':0.03},(409,524):{'3_1':0.0},(409,523):{'3_1':0.0},(409,522):{'3_1':0.0},(409,521):{'3_1':0.0,'5_1':0.0},(409,520):{'3_1':0.0},(409,519):{'3_1':0.0},(409,516):{'3_1':0.0},(409,515):{'3_1':0.0},(409,514):{'3_1':0.0},(409,513):{'3_1':0.0,'4_1':0.0},(409,512):{'3_1':0.0},(409,505):{'3_1':0.0},(409,495):{'3_1':0.0},(409,494):{'3_1':0.0},(409,493):{'3_1':0.0},(409,492):{'5_1':0.0},(409,491):{'3_1':0.0},(409,488):{'3_1':0.0,'5_1':0.0},(409,486):{'3_1':0.0},(409,485):{'3_1':0.0},(409,484):{'3_1':0.0},(409,483):{'3_1':0.0},(409,481):{'3_1':0.0},(409,480):{'3_1':0.0},(409,479):{'3_1':0.0,'6_1':0.0},(409,471):{'3_1':0.0},(409,463):{'3_1':0.0},(410,727):{'3_1':0.0},(410,726):{'3_1':0.0},(410,725):{'3_1':0.0,'5_2':0.0},(410,724):{'3_1':0.0,'5_2':0.0},(410,723):{'3_1':0.0},(410,722):{'3_1':0.03},(410,721):{'3_1':0.03,'4_1':0.0},(410,720):{'3_1':0.0},(410,719):{'3_1':0.0},(410,718):{'3_1':0.0,'5_2':0.0},(410,717):{'3_1':0.0},(410,716):{'3_1':0.0},(410,715):{'3_1':0.0},(410,714):{'3_1':0.0},(410,713):{'3_1':0.03},(410,712):{'3_1':0.03},(410,711):{'3_1':0.0,'5_2':0.0},(410,710):{'3_1':0.03,'4_1':0.0},(410,709):{'3_1':0.03,'4_1':0.0},(410,708):{'3_1':0.0,'5_2':0.0},(410,707):{'3_1':0.0,'5_2':0.0},(410,706):{'3_1':0.03},(410,705):{'3_1':0.06},(410,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(410,703):{'3_1':0.03,'7_1':0.0},(410,702):{'3_1':0.03,'5_1':0.0},(410,701):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(410,700):{'3_1':0.03,'5_2':0.0},(410,699):{'3_1':0.0},(410,698):{'3_1':0.0},(410,697):{'3_1':0.03,'5_2':0.0},(410,696):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(410,695):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(410,694):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(410,693):{'3_1':0.03,'4_1':0.0},(410,692):{'3_1':0.06},(410,691):{'3_1':0.03,'6_1':0.0},(410,690):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(410,689):{'3_1':0.03,'5_2':0.0},(410,688):{'3_1':0.0,'5_2':0.0},(410,687):{'3_1':0.03},(410,686):{'3_1':0.03},(410,685):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(410,684):{'3_1':0.03},(410,683):{'3_1':0.09},(410,682):{'3_1':0.03},(410,681):{'3_1':0.0},(410,680):{'3_1':0.03},(410,679):{'3_1':0.03,'5_2':0.0},(410,678):{'3_1':0.06,'5_1':0.0},(410,677):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(410,676):{'3_1':0.06},(410,675):{'3_1':0.03},(410,674):{'3_1':0.0},(410,673):{'3_1':0.0,'5_1':0.0},(410,672):{'3_1':0.0,'5_1':0.0},(410,671):{'3_1':0.03,'4_1':0.0},(410,670):{'3_1':0.0,'5_1':0.0},(410,669):{'3_1':0.0,'5_1':0.0},(410,668):{'3_1':0.0,'5_1':0.0},(410,667):{'3_1':0.03,'4_1':0.0},(410,666):{'3_1':0.0},(410,664):{'3_1':0.0,'4_1':0.0},(410,663):{'3_1':0.03,'4_1':0.0},(410,662):{'3_1':0.0,'5_1':0.0},(410,660):{'3_1':0.03},(410,659):{'3_1':0.03},(410,658):{'3_1':0.0},(410,657):{'5_1':0.0,'3_1':0.0},(410,656):{'3_1':0.03},(410,655):{'3_1':0.06},(410,654):{'3_1':0.0,'5_1':0.0},(410,653):{'3_1':0.03,'5_1':0.0},(410,652):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(410,651):{'3_1':0.03,'4_1':0.0},(410,650):{'3_1':0.0},(410,649):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(410,648):{'3_1':0.03},(410,647):{'3_1':0.06},(410,646):{'3_1':0.03,'4_1':0.0},(410,645):{'3_1':0.06,'5_1':0.0},(410,644):{'3_1':0.0},(410,643):{'3_1':0.06,'4_1':0.0},(410,642):{'3_1':0.0,'5_1':0.0},(410,641):{'3_1':0.09,'6_1':0.0},(410,640):{'3_1':0.03,'4_1':0.0},(410,639):{'3_1':0.03,'4_1':0.0},(410,638):{'3_1':0.06,'4_1':0.0},(410,637):{'3_1':0.03,'5_1':0.0},(410,636):{'3_1':0.0},(410,635):{'3_1':0.03},(410,634):{'3_1':0.03,'4_1':0.0},(410,633):{'3_1':0.03,'4_1':0.0},(410,632):{'3_1':0.03},(410,631):{'3_1':0.0},(410,630):{'3_1':0.03},(410,629):{'3_1':0.0,'4_1':0.0},(410,628):{'3_1':0.06,'4_1':0.0},(410,627):{'3_1':0.0,'5_1':0.0},(410,626):{'3_1':0.0,'5_1':0.0},(410,625):{'3_1':0.0,'4_1':0.0},(410,624):{'3_1':0.03},(410,623):{'3_1':0.0,'5_2':0.0},(410,622):{'3_1':0.03,'4_1':0.0},(410,621):{'3_1':0.0,'8_20|3_1#3_1':0.0},(410,620):{'3_1':0.03},(410,619):{'3_1':0.0},(410,618):{'3_1':0.0},(410,617):{'3_1':0.0},(410,616):{'3_1':0.03,'5_2':0.0},(410,615):{'3_1':0.03},(410,614):{'3_1':0.0},(410,613):{'3_1':0.0},(410,612):{'3_1':0.03},(410,611):{'3_1':0.0},(410,610):{'3_1':0.0,'4_1':0.0},(410,609):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(410,608):{'3_1':0.0},(410,607):{'3_1':0.0},(410,606):{'3_1':0.0,'4_1':0.0},(410,604):{'3_1':0.0},(410,603):{'3_1':0.0},(410,602):{'3_1':0.0,'4_1':0.0},(410,600):{'5_1':0.0},(410,599):{'3_1':0.0,'4_1':0.0},(410,598):{'3_1':0.0},(410,597):{'3_1':0.0},(410,596):{'5_1':0.0},(410,595):{'5_1':0.0},(410,594):{'3_1':0.0},(410,593):{'3_1':0.0,'5_1':0.0},(410,592):{'5_1':0.0},(410,590):{'5_1':0.0},(410,589):{'3_1':0.0},(410,587):{'3_1':0.0,'4_1':0.0},(410,586):{'3_1':0.0},(410,585):{'3_1':0.03,'4_1':0.0},(410,584):{'3_1':0.0},(410,581):{'4_1':0.0},(410,579):{'3_1':0.0},(410,578):{'4_1':0.0},(410,575):{'3_1':0.0},(410,574):{'3_1':0.0},(410,573):{'3_1':0.03},(410,572):{'3_1':0.0},(410,571):{'3_1':0.0},(410,570):{'3_1':0.0},(410,569):{'3_1':0.0},(410,568):{'4_1':0.0},(410,566):{'3_1':0.0},(410,565):{'3_1':0.0},(410,564):{'4_1':0.0,'5_1':0.0},(410,563):{'3_1':0.0},(410,561):{'3_1':0.0},(410,560):{'3_1':0.0},(410,559):{'4_1':0.0},(410,558):{'3_1':0.0},(410,556):{'3_1':0.0},(410,555):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(410,553):{'3_1':0.0},(410,552):{'3_1':0.0},(410,551):{'3_1':0.03},(410,550):{'3_1':0.0,'4_1':0.0},(410,549):{'3_1':0.0},(410,548):{'3_1':0.0},(410,547):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(410,546):{'5_1':0.0},(410,544):{'3_1':0.0},(410,543):{'3_1':0.0},(410,542):{'3_1':0.03},(410,541):{'3_1':0.0,'4_1':0.0},(410,540):{'3_1':0.0},(410,539):{'3_1':0.0},(410,538):{'3_1':0.03},(410,537):{'3_1':0.0},(410,536):{'3_1':0.0},(410,535):{'3_1':0.0},(410,534):{'3_1':0.0},(410,533):{'3_1':0.0},(410,532):{'3_1':0.0},(410,531):{'3_1':0.0},(410,530):{'3_1':0.0},(410,529):{'3_1':0.0},(410,528):{'3_1':0.0},(410,526):{'3_1':0.03},(410,525):{'3_1':0.0},(410,524):{'3_1':0.0},(410,523):{'3_1':0.0},(410,520):{'3_1':0.0},(410,519):{'3_1':0.0},(410,518):{'3_1':0.0},(410,516):{'3_1':0.0},(410,514):{'3_1':0.0},(410,509):{'3_1':0.0},(410,504):{'3_1':0.0},(410,496):{'3_1':0.0},(410,495):{'4_1':0.0},(410,492):{'3_1':0.0},(410,491):{'5_1':0.0},(410,490):{'5_1':0.0},(410,486):{'3_1':0.0,'5_1':0.0},(410,484):{'5_1':0.0,'3_1':0.0},(410,483):{'5_1':0.0},(410,482):{'5_1':0.0},(410,480):{'3_1':0.0},(410,479):{'7_1':0.0},(410,478):{'3_1':0.0},(410,474):{'3_1':0.0},(410,472):{'3_1':0.0},(410,471):{'3_1':0.0},(410,465):{'3_1':0.0},(411,727):{'3_1':0.0,'5_2':0.0},(411,726):{'3_1':0.03},(411,725):{'3_1':0.0},(411,723):{'3_1':0.0,'5_2':0.0},(411,722):{'3_1':0.03},(411,721):{'3_1':0.0},(411,720):{'3_1':0.0,'5_1':0.0},(411,719):{'3_1':0.0},(411,718):{'3_1':0.0,'5_1':0.0},(411,716):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(411,715):{'3_1':0.0},(411,713):{'3_1':0.03},(411,712):{'3_1':0.03},(411,711):{'3_1':0.03,'5_2':0.0},(411,710):{'4_1':0.0},(411,709):{'3_1':0.03,'7_1':0.0},(411,708):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(411,707):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(411,706):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(411,705):{'3_1':0.03,'5_2':0.0},(411,704):{'3_1':0.0,'7_1':0.0},(411,703):{'3_1':0.0,'5_1':0.0},(411,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(411,701):{'3_1':0.0,'5_2':0.0},(411,700):{'3_1':0.06,'5_2':0.0},(411,699):{'3_1':0.03,'5_1':0.0},(411,698):{'3_1':0.03,'4_1':0.0},(411,697):{'3_1':0.03,'5_1':0.0},(411,696):{'3_1':0.0,'4_1':0.0},(411,695):{'3_1':0.0,'5_2':0.0},(411,694):{'3_1':0.0,'5_1':0.0},(411,693):{'3_1':0.03,'5_1':0.0},(411,692):{'3_1':0.0,'5_2':0.0},(411,691):{'3_1':0.03},(411,690):{'3_1':0.03,'5_1':0.0},(411,689):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(411,688):{'3_1':0.03,'5_2':0.0},(411,687):{'3_1':0.0},(411,686):{'3_1':0.03,'5_2':0.0,'9_1':0.0},(411,685):{'3_1':0.0,'7_1':0.0},(411,684):{'9_1':0.0,'3_1':0.0,'5_2':0.0},(411,683):{'3_1':0.06,'4_1':0.0},(411,682):{'3_1':0.0,'5_1':0.0},(411,681):{'3_1':0.03},(411,680):{'3_1':0.06},(411,679):{'3_1':0.03},(411,678):{'3_1':0.03,'4_1':0.0},(411,677):{'3_1':0.06,'5_2':0.0},(411,676):{'3_1':0.06},(411,675):{'3_1':0.0,'4_1':0.0},(411,674):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(411,673):{'3_1':0.0,'7_1':0.0},(411,672):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(411,671):{'3_1':0.0},(411,670):{'3_1':0.03,'4_1':0.0},(411,669):{'3_1':0.0},(411,668):{'3_1':0.03,'4_1':0.0},(411,667):{'3_1':0.0,'4_1':0.0},(411,666):{'3_1':0.0,'5_1':0.0},(411,665):{'3_1':0.0},(411,664):{'3_1':0.03},(411,663):{'3_1':0.03},(411,662):{'3_1':0.0},(411,661):{'4_1':0.0},(411,660):{'3_1':0.03,'5_1':0.0},(411,659):{'3_1':0.03,'5_2':0.0},(411,658):{'3_1':0.03,'6_1':0.0},(411,657):{'3_1':0.06},(411,656):{'3_1':0.06,'5_1':0.0},(411,655):{'3_1':0.0},(411,654):{'3_1':0.03,'4_1':0.0},(411,653):{'3_1':0.03},(411,652):{'3_1':0.0},(411,651):{'3_1':0.0},(411,650):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(411,649):{'3_1':0.0,'4_1':0.0},(411,648):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(411,647):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(411,646):{'3_1':0.0},(411,645):{'3_1':0.0,'5_1':0.0},(411,644):{'3_1':0.06,'5_1':0.0},(411,643):{'3_1':0.06,'5_1':0.0},(411,642):{'3_1':0.03},(411,641):{'3_1':0.0},(411,640):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(411,639):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(411,638):{'3_1':0.06},(411,637):{'3_1':0.06},(411,636):{'3_1':0.0},(411,635):{'3_1':0.03},(411,634):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(411,633):{'3_1':0.0},(411,632):{'3_1':0.03,'4_1':0.0},(411,631):{'3_1':0.0,'5_1':0.0},(411,630):{'3_1':0.03},(411,629):{'3_1':0.03},(411,628):{'3_1':0.0},(411,627):{'3_1':0.03},(411,626):{'3_1':0.03},(411,625):{'3_1':0.03},(411,624):{'3_1':0.0,'4_1':0.0},(411,623):{'3_1':0.03},(411,622):{'3_1':0.06},(411,621):{'3_1':0.06,'4_1':0.0},(411,620):{'3_1':0.06},(411,619):{'3_1':0.03,'4_1':0.0},(411,618):{'3_1':0.0},(411,617):{'3_1':0.0,'5_2':0.0},(411,616):{'3_1':0.0},(411,615):{'3_1':0.0,'4_1':0.0},(411,614):{'3_1':0.0,'5_2':0.0},(411,613):{'3_1':0.0,'4_1':0.0},(411,611):{'3_1':0.0},(411,610):{'3_1':0.0,'5_1':0.0},(411,609):{'3_1':0.0},(411,608):{'3_1':0.03},(411,607):{'3_1':0.0},(411,606):{'4_1':0.0},(411,604):{'3_1':0.0},(411,603):{'3_1':0.0},(411,602):{'3_1':0.0,'4_1':0.0},(411,601):{'3_1':0.0},(411,600):{'3_1':0.0,'4_1':0.0},(411,598):{'4_1':0.0},(411,597):{'3_1':0.0,'5_2':0.0},(411,596):{'4_1':0.0,'5_1':0.0},(411,595):{'3_1':0.0},(411,594):{'3_1':0.03},(411,593):{'3_1':0.0},(411,592):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(411,591):{'3_1':0.0},(411,590):{'4_1':0.0,'3_1':0.0},(411,589):{'3_1':0.0},(411,588):{'3_1':0.0},(411,587):{'3_1':0.0},(411,585):{'3_1':0.0,'5_1':0.0},(411,584):{'3_1':0.0},(411,583):{'3_1':0.0,'5_1':0.0},(411,581):{'3_1':0.0},(411,580):{'3_1':0.0},(411,578):{'3_1':0.0,'4_1':0.0},(411,577):{'3_1':0.0},(411,576):{'3_1':0.0,'4_1':0.0},(411,575):{'3_1':0.0},(411,572):{'3_1':0.0},(411,571):{'3_1':0.0},(411,570):{'3_1':0.0},(411,568):{'3_1':0.0},(411,567):{'3_1':0.0,'7_2':0.0},(411,566):{'3_1':0.0},(411,565):{'3_1':0.0},(411,562):{'3_1':0.0},(411,560):{'3_1':0.0},(411,559):{'3_1':0.0},(411,558):{'3_1':0.0},(411,557):{'3_1':0.0,'5_1':0.0},(411,556):{'3_1':0.0},(411,555):{'3_1':0.0},(411,551):{'3_1':0.0,'4_1':0.0},(411,550):{'3_1':0.0,'5_1':0.0},(411,549):{'3_1':0.03,'5_1':0.0},(411,547):{'3_1':0.06,'4_1':0.0},(411,546):{'3_1':0.03,'4_1':0.0},(411,545):{'3_1':0.03},(411,544):{'3_1':0.0},(411,543):{'4_1':0.0,'3_1':0.0},(411,542):{'3_1':0.03,'5_1':0.0},(411,541):{'3_1':0.0},(411,540):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(411,539):{'3_1':0.0},(411,538):{'3_1':0.0},(411,537):{'3_1':0.03},(411,536):{'3_1':0.0,'4_1':0.0},(411,535):{'3_1':0.0,'5_1':0.0},(411,534):{'3_1':0.0},(411,533):{'3_1':0.0},(411,532):{'3_1':0.0,'4_1':0.0},(411,531):{'3_1':0.0},(411,530):{'3_1':0.0},(411,529):{'3_1':0.0,'4_1':0.0},(411,527):{'3_1':0.0,'4_1':0.0},(411,526):{'3_1':0.0},(411,525):{'3_1':0.0},(411,523):{'3_1':0.0},(411,522):{'3_1':0.0},(411,521):{'7_1':0.0},(411,520):{'3_1':0.0},(411,519):{'3_1':0.0},(411,518):{'3_1':0.0},(411,516):{'3_1':0.0,'7_1':0.0},(411,514):{'4_1':0.0},(411,513):{'5_1':0.0},(411,512):{'4_1':0.0,'5_1':0.0},(411,509):{'3_1':0.0},(411,508):{'3_1':0.0},(411,507):{'5_1':0.0},(411,505):{'5_1':0.0},(411,504):{'5_1':0.0},(411,503):{'3_1':0.0},(411,494):{'5_1':0.0},(411,492):{'5_1':0.0},(411,489):{'3_1':0.0},(411,484):{'3_1':0.0},(411,482):{'3_1':0.0},(412,727):{'3_1':0.0,'5_1':0.0},(412,726):{'3_1':0.0,'5_1':0.0},(412,725):{'3_1':0.0},(412,724):{'3_1':0.0},(412,723):{'3_1':0.0},(412,722):{'3_1':0.03,'5_1':0.0},(412,721):{'3_1':0.0},(412,720):{'3_1':0.0,'5_2':0.0},(412,719):{'3_1':0.0,'5_1':0.0},(412,718):{'3_1':0.0},(412,717):{'3_1':0.0},(412,716):{'3_1':0.0,'4_1':0.0},(412,715):{'3_1':0.0,'5_1':0.0},(412,714):{'3_1':0.0},(412,713):{'3_1':0.03,'5_1':0.0},(412,712):{'3_1':0.03,'4_1':0.0},(412,711):{'3_1':0.0,'5_2':0.0},(412,710):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,709):{'3_1':0.0},(412,708):{'3_1':0.0},(412,707):{'3_1':0.0,'5_2':0.0},(412,706):{'3_1':0.0,'5_2':0.0},(412,705):{'3_1':0.03,'5_2':0.0},(412,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(412,703):{'3_1':0.03,'5_2':0.0},(412,702):{'3_1':0.03,'7_3':0.0},(412,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(412,700):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(412,699):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(412,698):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(412,697):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'4_1':0.0},(412,696):{'3_1':0.0,'5_1':0.0},(412,695):{'3_1':0.06,'5_1':0.0},(412,694):{'3_1':0.03,'5_2':0.0},(412,693):{'3_1':0.03,'5_2':0.0},(412,692):{'3_1':0.03,'5_2':0.0},(412,691):{'3_1':0.03,'5_1':0.0},(412,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(412,689):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(412,688):{'3_1':0.03,'5_1':0.0},(412,687):{'3_1':0.0,'5_1':0.0},(412,686):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(412,685):{'3_1':0.0,'4_1':0.0},(412,684):{'3_1':0.06,'4_1':0.0},(412,683):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(412,682):{'3_1':0.03,'4_1':0.0},(412,681):{'3_1':0.03},(412,680):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(412,679):{'3_1':0.03},(412,678):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(412,677):{'3_1':0.03,'5_1':0.0},(412,676):{'3_1':0.06,'4_1':0.0},(412,675):{'3_1':0.03},(412,674):{'3_1':0.03},(412,673):{'3_1':0.0,'3_1#5_1':0.0},(412,672):{'3_1':0.03,'4_1':0.0},(412,671):{'3_1':0.03},(412,670):{'3_1':0.03},(412,669):{'3_1':0.06},(412,668):{'3_1':0.06,'7_7':0.0},(412,667):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(412,666):{'3_1':0.0,'5_1':0.0},(412,665):{'3_1':0.0,'5_1':0.0},(412,664):{'3_1':0.0,'5_1':0.0},(412,663):{'3_1':0.06},(412,662):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,661):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(412,660):{'3_1':0.0},(412,659):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(412,658):{'3_1':0.0,'4_1':0.0},(412,657):{'3_1':0.03},(412,656):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,655):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(412,654):{'3_1':0.0},(412,653):{'3_1':0.0,'5_2':0.0},(412,652):{'3_1':0.0,'4_1':0.0},(412,651):{'3_1':0.03,'5_1':0.0},(412,650):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,649):{'3_1':0.0,'4_1':0.0},(412,648):{'3_1':0.0},(412,647):{'3_1':0.03},(412,646):{'3_1':0.03},(412,645):{'3_1':0.0,'5_1':0.0},(412,644):{'3_1':0.06,'5_1':0.0},(412,643):{'3_1':0.06},(412,642):{'3_1':0.03},(412,641):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(412,640):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(412,639):{'3_1':0.0},(412,638):{'3_1':0.03,'4_1':0.0},(412,637):{'3_1':0.03},(412,636):{'3_1':0.03},(412,635):{'3_1':0.0},(412,634):{'3_1':0.0,'4_1':0.0},(412,633):{'3_1':0.0},(412,632):{'3_1':0.0},(412,631):{'3_1':0.0},(412,630):{'3_1':0.0,'5_1':0.0},(412,629):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(412,628):{'3_1':0.03},(412,627):{'3_1':0.06,'4_1':0.0},(412,626):{'3_1':0.0},(412,625):{'3_1':0.0,'4_1':0.0},(412,624):{'3_1':0.03},(412,623):{'3_1':0.0},(412,622):{'3_1':0.06,'4_1':0.0},(412,621):{'3_1':0.0,'4_1':0.0},(412,620):{'3_1':0.0},(412,619):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(412,618):{'3_1':0.03,'4_1':0.0},(412,617):{'4_1':0.0,'8_20|3_1#3_1':0.0},(412,616):{'3_1':0.03,'4_1':0.0},(412,615):{'3_1':0.0},(412,614):{'3_1':0.0,'5_2':0.0},(412,613):{'3_1':0.0},(412,612):{'3_1':0.0},(412,611):{'3_1':0.0,'5_1':0.0},(412,610):{'3_1':0.0,'4_1':0.0},(412,609):{'3_1':0.0},(412,608):{'3_1':0.03},(412,607):{'3_1':0.0,'4_1':0.0},(412,606):{'3_1':0.0},(412,605):{'3_1':0.0},(412,600):{'3_1':0.03},(412,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(412,597):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,596):{'3_1':0.0,'5_1':0.0},(412,595):{'3_1':0.0},(412,594):{'3_1':0.0},(412,593):{'3_1':0.03,'4_1':0.0},(412,592):{'3_1':0.0,'5_1':0.0},(412,590):{'3_1':0.0},(412,589):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(412,588):{'4_1':0.0},(412,587):{'3_1':0.0},(412,586):{'5_2':0.0},(412,584):{'3_1':0.0,'4_1':0.0},(412,583):{'3_1':0.0},(412,581):{'4_1':0.0,'5_1':0.0,'3_1':0.0},(412,580):{'3_1':0.0,'4_1':0.0},(412,577):{'3_1':0.0},(412,575):{'4_1':0.0,'5_1':0.0},(412,574):{'3_1':0.0},(412,573):{'5_1':0.0},(412,572):{'3_1':0.0,'4_1':0.0},(412,571):{'3_1':0.0},(412,570):{'3_1':0.0},(412,569):{'3_1':0.0},(412,568):{'3_1':0.0},(412,566):{'3_1':0.0},(412,565):{'3_1':0.0,'4_1':0.0},(412,564):{'3_1':0.0,'5_1':0.0},(412,563):{'3_1':0.0,'5_1':0.0},(412,562):{'3_1':0.0},(412,561):{'3_1':0.0},(412,560):{'3_1':0.0},(412,559):{'3_1':0.0},(412,558):{'3_1':0.0,'5_1':0.0},(412,557):{'3_1':0.0},(412,556):{'3_1':0.0},(412,555):{'3_1':0.0},(412,554):{'3_1':0.0},(412,553):{'3_1':0.0},(412,552):{'3_1':0.0,'4_1':0.0},(412,551):{'3_1':0.0},(412,550):{'3_1':0.03,'4_1':0.0},(412,549):{'3_1':0.0},(412,548):{'3_1':0.0},(412,547):{'3_1':0.0},(412,546):{'3_1':0.0},(412,545):{'3_1':0.0,'5_1':0.0},(412,544):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(412,543):{'3_1':0.0,'5_1':0.0},(412,542):{'3_1':0.0},(412,541):{'3_1':0.0},(412,540):{'3_1':0.03,'5_1':0.0},(412,539):{'3_1':0.03,'5_1':0.0},(412,537):{'3_1':0.0},(412,536):{'4_1':0.0,'5_1':0.0},(412,535):{'3_1':0.0},(412,534):{'3_1':0.0},(412,533):{'3_1':0.0,'5_2':0.0},(412,532):{'3_1':0.03},(412,530):{'3_1':0.0},(412,529):{'3_1':0.0,'5_1':0.0},(412,528):{'4_1':0.0},(412,527):{'3_1':0.0},(412,526):{'3_1':0.0,'5_1':0.0},(412,525):{'3_1':0.0},(412,522):{'3_1':0.0},(412,517):{'3_1':0.0,'5_1':0.0},(412,515):{'3_1':0.0},(412,512):{'3_1':0.0},(412,511):{'3_1':0.0},(412,508):{'3_1':0.0},(412,503):{'3_1':0.0},(412,495):{'3_1':0.0},(412,494):{'3_1':0.0},(412,492):{'3_1':0.0},(412,491):{'3_1':0.0},(412,490):{'3_1':0.0},(412,481):{'3_1':0.0},(412,480):{'3_1':0.0},(412,478):{'3_1':0.0},(412,470):{'3_1':0.0},(412,465):{'3_1':0.0},(412,444):{'3_1':0.0},(412,422):{'3_1':0.0},(412,418):{'3_1':0.0},(413,727):{'3_1':0.03},(413,725):{'3_1':0.0,'4_1':0.0},(413,723):{'3_1':0.0,'5_1':0.0},(413,722):{'3_1':0.0,'5_1':0.0},(413,721):{'3_1':0.0},(413,720):{'3_1':0.0},(413,719):{'3_1':0.0},(413,718):{'3_1':0.0,'5_2':0.0},(413,717):{'3_1':0.06},(413,716):{'3_1':0.0,'5_1':0.0},(413,715):{'3_1':0.0},(413,714):{'3_1':0.03,'5_1':0.0},(413,713):{'3_1':0.03,'5_1':0.0},(413,712):{'3_1':0.0},(413,711):{'3_1':0.03,'5_2':0.0},(413,710):{'3_1':0.03},(413,709):{'3_1':0.03},(413,708):{'3_1':0.0,'5_1':0.0},(413,707):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(413,706):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(413,705):{'3_1':0.03,'5_1':0.0},(413,704):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(413,703):{'3_1':0.0},(413,702):{'3_1':0.0},(413,701):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(413,700):{'3_1':0.03,'5_1':0.0},(413,699):{'3_1':0.06,'4_1':0.0},(413,698):{'3_1':0.0,'5_2':0.0},(413,697):{'3_1':0.06,'5_1':0.0},(413,696):{'3_1':0.0,'5_2':0.0},(413,695):{'3_1':0.03,'5_2':0.0},(413,694):{'3_1':0.06,'5_1':0.0},(413,693):{'3_1':0.03,'4_1':0.0},(413,692):{'3_1':0.03},(413,691):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(413,690):{'3_1':0.06},(413,689):{'3_1':0.03,'5_1':0.0},(413,688):{'3_1':0.0,'6_2':0.0,'7_1':0.0},(413,687):{'3_1':0.03,'5_2':0.0},(413,686):{'3_1':0.0},(413,685):{'3_1':0.03},(413,684):{'3_1':0.06,'5_1':0.0},(413,683):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(413,682):{'3_1':0.0,'4_1':0.0},(413,681):{'3_1':0.06},(413,680):{'3_1':0.03},(413,679):{'3_1':0.03},(413,678):{'3_1':0.06},(413,677):{'3_1':0.03,'4_1':0.0},(413,676):{'3_1':0.0,'5_2':0.0},(413,675):{'3_1':0.03},(413,674):{'3_1':0.0,'7_1':0.0},(413,673):{'3_1':0.03,'7_1':0.0},(413,672):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(413,671):{'3_1':0.03,'5_1':0.0},(413,670):{'3_1':0.03},(413,669):{'3_1':0.0},(413,668):{'3_1':0.0},(413,667):{'3_1':0.03,'5_1':0.0},(413,666):{'3_1':0.0,'5_1':0.0},(413,665):{'3_1':0.0,'5_1':0.0},(413,664):{'3_1':0.0,'4_1':0.0},(413,663):{'3_1':0.03},(413,662):{'3_1':0.03,'5_1':0.0},(413,661):{'3_1':0.06,'4_1':0.0},(413,660):{'3_1':0.03},(413,659):{'3_1':0.03},(413,658):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(413,657):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(413,656):{'3_1':0.03},(413,655):{'3_1':0.03},(413,654):{'3_1':0.0},(413,653):{'3_1':0.03},(413,652):{'3_1':0.06,'5_2':0.0},(413,651):{'3_1':0.03,'7_1':0.0},(413,650):{'3_1':0.0},(413,649):{'3_1':0.0,'7_1':0.0},(413,648):{'3_1':0.03,'4_1':0.0},(413,647):{'3_1':0.03},(413,646):{'3_1':0.03,'5_2':0.0},(413,645):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'-3':0.0},(413,644):{'3_1':0.03},(413,643):{'3_1':0.03,'5_1':0.0},(413,642):{'3_1':0.03,'5_1':0.0},(413,641):{'3_1':0.03},(413,640):{'3_1':0.06,'5_2':0.0},(413,639):{'3_1':0.06},(413,638):{'3_1':0.09},(413,637):{'3_1':0.0,'5_1':0.0},(413,636):{'3_1':0.03},(413,635):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(413,634):{'3_1':0.06},(413,633):{'3_1':0.03,'4_1':0.0},(413,632):{'3_1':0.03,'4_1':0.0},(413,631):{'3_1':0.03,'4_1':0.0},(413,630):{'3_1':0.06,'4_1':0.0},(413,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(413,628):{'3_1':0.03,'5_2':0.0},(413,627):{'3_1':0.0,'4_1':0.0},(413,626):{'3_1':0.03,'5_1':0.0},(413,625):{'3_1':0.06},(413,624):{'3_1':0.0,'4_1':0.0},(413,623):{'3_1':0.0},(413,622):{'5_1':0.0,'5_2':0.0,'7_3':0.0},(413,621):{'3_1':0.03},(413,620):{'3_1':0.0,'5_1':0.0},(413,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(413,618):{'3_1':0.03},(413,617):{'3_1':0.0,'5_1':0.0},(413,616):{'3_1':0.03},(413,615):{'3_1':0.0},(413,614):{'3_1':0.0,'4_1':0.0},(413,613):{'3_1':0.0,'4_1':0.0},(413,612):{'3_1':0.0,'4_1':0.0},(413,611):{'3_1':0.0},(413,610):{'3_1':0.0,'5_2':0.0},(413,609):{'3_1':0.0},(413,608):{'3_1':0.0},(413,607):{'5_1':0.0},(413,606):{'3_1':0.0,'4_1':0.0},(413,605):{'3_1':0.0},(413,604):{'3_1':0.0},(413,602):{'3_1':0.0},(413,600):{'3_1':0.0,'4_1':0.0},(413,599):{'3_1':0.0},(413,598):{'3_1':0.03},(413,597):{'3_1':0.0,'4_1':0.0},(413,596):{'3_1':0.0},(413,595):{'3_1':0.0},(413,594):{'3_1':0.0},(413,593):{'3_1':0.0,'4_1':0.0},(413,591):{'3_1':0.0},(413,590):{'3_1':0.0},(413,589):{'3_1':0.0},(413,587):{'3_1':0.0},(413,586):{'3_1':0.0,'4_1':0.0},(413,585):{'3_1':0.03},(413,584):{'3_1':0.0,'8_20|3_1#3_1':0.0},(413,583):{'3_1':0.0,'4_1':0.0},(413,582):{'3_1':0.06},(413,579):{'3_1':0.0,'5_1':0.0},(413,578):{'3_1':0.0,'5_1':0.0},(413,577):{'3_1':0.0},(413,576):{'3_1':0.0},(413,575):{'3_1':0.0},(413,574):{'3_1':0.0},(413,573):{'3_1':0.0},(413,572):{'3_1':0.0},(413,571):{'3_1':0.0},(413,570):{'3_1':0.0},(413,569):{'3_1':0.0},(413,568):{'3_1':0.0},(413,567):{'3_1':0.0},(413,566):{'3_1':0.03,'4_1':0.0},(413,565):{'3_1':0.0},(413,564):{'3_1':0.0},(413,563):{'5_1':0.0,'3_1':0.0},(413,561):{'3_1':0.0},(413,559):{'4_1':0.0,'6_2':0.0},(413,558):{'3_1':0.0,'5_1':0.0},(413,557):{'3_1':0.03,'5_1':0.0},(413,555):{'5_1':0.0,'3_1':0.0},(413,554):{'3_1':0.0},(413,553):{'5_1':0.0},(413,552):{'3_1':0.0},(413,551):{'3_1':0.0},(413,550):{'3_1':0.03},(413,549):{'3_1':0.03},(413,548):{'3_1':0.0,'5_1':0.0},(413,547):{'3_1':0.0},(413,546):{'3_1':0.03},(413,545):{'3_1':0.0,'5_1':0.0},(413,544):{'3_1':0.03},(413,543):{'3_1':0.06},(413,542):{'3_1':0.03},(413,541):{'3_1':0.0},(413,540):{'3_1':0.03},(413,539):{'3_1':0.0,'4_1':0.0},(413,538):{'3_1':0.0},(413,537):{'3_1':0.0},(413,536):{'3_1':0.0},(413,535):{'3_1':0.0},(413,534):{'3_1':0.0},(413,533):{'3_1':0.0},(413,530):{'3_1':0.0},(413,528):{'3_1':0.0,'5_1':0.0},(413,527):{'3_1':0.0,'5_1':0.0},(413,526):{'3_1':0.0,'5_1':0.0},(413,525):{'3_1':0.0},(413,524):{'5_1':0.0,'3_1':0.0},(413,523):{'3_1':0.0,'5_1':0.0},(413,522):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(413,521):{'3_1':0.0,'5_1':0.0},(413,520):{'5_1':0.0},(413,518):{'3_1':0.0},(413,516):{'5_1':0.0},(413,513):{'5_1':0.0},(413,510):{'3_1':0.0},(413,508):{'3_1':0.0},(413,506):{'3_1':0.0},(413,505):{'3_1':0.0},(413,495):{'3_1':0.0},(413,488):{'3_1':0.0},(413,482):{'3_1':0.0},(413,478):{'3_1':0.0},(413,423):{'5_2':0.0},(413,418):{'3_1':0.0},(414,727):{'7_1':0.0,'5_2':0.0},(414,726):{'7_1':0.0},(414,725):{'3_1':0.03,'5_2':0.0},(414,724):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(414,722):{'3_1':0.0},(414,721):{'3_1':0.0},(414,720):{'3_1':0.0},(414,719):{'3_1':0.0},(414,718):{'3_1':0.0},(414,716):{'5_2':0.0,'4_1':0.0,'7_1':0.0},(414,715):{'3_1':0.0},(414,714):{'3_1':0.0,'5_2':0.0},(414,713):{'3_1':0.03,'5_1':0.0},(414,712):{'3_1':0.03},(414,711):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(414,710):{'4_1':0.0,'3_1':0.0},(414,709):{'3_1':0.0},(414,708):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(414,707):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(414,706):{'3_1':0.03},(414,705):{'3_1':0.0,'6_1':0.0},(414,704):{'3_1':0.03,'5_2':0.0},(414,703):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(414,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(414,701):{'3_1':0.06},(414,700):{'3_1':0.0,'5_2':0.0},(414,699):{'3_1':0.0,'5_2':0.0},(414,698):{'3_1':0.0,'5_2':0.0},(414,697):{'3_1':0.03,'5_2':0.0},(414,696):{'3_1':0.03,'5_2':0.0},(414,695):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(414,694):{'3_1':0.0,'5_1':0.0},(414,693):{'3_1':0.0,'4_1':0.0},(414,692):{'3_1':0.03},(414,691):{'3_1':0.03,'4_1':0.0},(414,690):{'3_1':0.0,'5_2':0.0},(414,689):{'3_1':0.03,'5_2':0.0,'9_1':0.0},(414,688):{'3_1':0.0},(414,687):{'5_1':0.0,'3_1':0.0,'5_2':0.0,'7_1':0.0},(414,686):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(414,685):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(414,684):{'3_1':0.03,'9_1':0.0},(414,683):{'3_1':0.09,'4_1':0.0},(414,682):{'3_1':0.06,'5_2':0.0,'8_1':0.0},(414,681):{'3_1':0.0},(414,680):{'3_1':0.06,'7_3':0.0},(414,679):{'3_1':0.03},(414,678):{'3_1':0.03},(414,677):{'3_1':0.0,'5_2':0.0},(414,676):{'3_1':0.03,'7_1':0.0},(414,675):{'3_1':0.0},(414,674):{'3_1':0.0,'4_1':0.0},(414,673):{'5_1':0.0,'7_1':0.0},(414,672):{'3_1':0.0},(414,671):{'3_1':0.0,'4_1':0.0},(414,670):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(414,669):{'3_1':0.0},(414,668):{'3_1':0.0},(414,667):{'3_1':0.0},(414,666):{'3_1':0.03,'7_1':0.0},(414,665):{'3_1':0.03},(414,664):{'3_1':0.0,'4_1':0.0},(414,663):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(414,662):{'3_1':0.03,'4_1':0.0},(414,661):{'3_1':0.0},(414,660):{'3_1':0.03},(414,659):{'3_1':0.0},(414,658):{'3_1':0.0,'5_1':0.0},(414,657):{'3_1':0.06},(414,656):{'3_1':0.0,'7_1':0.0},(414,655):{'3_1':0.0},(414,654):{'3_1':0.0},(414,653):{'3_1':0.0,'7_1':0.0},(414,652):{'3_1':0.0},(414,651):{'3_1':0.0},(414,650):{'3_1':0.0},(414,649):{'3_1':0.0},(414,648):{'3_1':0.03,'4_1':0.0},(414,647):{'3_1':0.03},(414,646):{'3_1':0.03},(414,645):{'3_1':0.03},(414,644):{'3_1':0.0},(414,643):{'3_1':0.06},(414,642):{'3_1':0.03,'4_1':0.0},(414,641):{'3_1':0.06},(414,640):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(414,639):{'3_1':0.06,'7_1':0.0},(414,638):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(414,637):{'3_1':0.0,'5_2':0.0},(414,636):{'3_1':0.0},(414,635):{'3_1':0.03},(414,634):{'3_1':0.0,'5_1':0.0},(414,633):{'3_1':0.03,'5_1':0.0},(414,632):{'3_1':0.0,'5_1':0.0},(414,631):{'3_1':0.03},(414,630):{'3_1':0.03,'4_1':0.0},(414,629):{'3_1':0.06},(414,628):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(414,627):{'3_1':0.06,'5_2':0.0},(414,626):{'3_1':0.06,'5_1':0.0},(414,625):{'3_1':0.03,'4_1':0.0},(414,624):{'3_1':0.0},(414,623):{'3_1':0.0},(414,622):{'3_1':0.03},(414,621):{'3_1':0.03,'4_1':0.0},(414,620):{'3_1':0.06},(414,619):{'3_1':0.03},(414,618):{'3_1':0.0,'5_1':0.0},(414,617):{'3_1':0.0,'5_1':0.0},(414,616):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(414,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(414,614):{'3_1':0.0,'4_1':0.0},(414,613):{'3_1':0.0,'5_1':0.0},(414,612):{'3_1':0.0},(414,611):{'5_2':0.0},(414,610):{'3_1':0.0},(414,609):{'3_1':0.03,'4_1':0.0},(414,608):{'3_1':0.0},(414,607):{'3_1':0.0},(414,606):{'6_1':0.0},(414,605):{'3_1':0.0},(414,604):{'3_1':0.0},(414,603):{'3_1':0.03},(414,602):{'3_1':0.0},(414,601):{'3_1':0.0},(414,600):{'3_1':0.0},(414,599):{'3_1':0.0,'4_1':0.0},(414,598):{'3_1':0.0},(414,597):{'3_1':0.0},(414,596):{'3_1':0.0,'4_1':0.0},(414,595):{'3_1':0.03,'4_1':0.0},(414,594):{'3_1':0.0},(414,592):{'3_1':0.0,'5_1':0.0},(414,591):{'3_1':0.0,'5_1':0.0},(414,590):{'3_1':0.03,'4_1':0.0},(414,589):{'3_1':0.03,'5_1':0.0},(414,588):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(414,587):{'3_1':0.0},(414,586):{'7_1':0.0},(414,585):{'3_1':0.0},(414,584):{'3_1':0.0},(414,583):{'3_1':0.0,'4_1':0.0},(414,582):{'3_1':0.0,'5_2':0.0},(414,581):{'3_1':0.03},(414,580):{'3_1':0.0},(414,579):{'5_2':0.0},(414,578):{'7_1':0.0},(414,577):{'3_1':0.0},(414,576):{'3_1':0.0,'7_1':0.0},(414,575):{'3_1':0.0},(414,574):{'4_1':0.0},(414,571):{'3_1':0.0,'7_1':0.0},(414,570):{'3_1':0.0},(414,568):{'3_1':0.0},(414,567):{'3_1':0.0},(414,566):{'3_1':0.03},(414,565):{'3_1':0.0,'4_1':0.0},(414,564):{'3_1':0.0,'7_1':0.0,'-3':0.0},(414,563):{'3_1':0.03,'9_1':0.0},(414,562):{'3_1':0.0},(414,561):{'5_1':0.0,'7_1':0.0},(414,560):{'3_1':0.0},(414,559):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(414,558):{'3_1':0.0},(414,557):{'3_1':0.0,'4_1':0.0,'7_5':0.0},(414,556):{'3_1':0.0,'6_2':0.0},(414,555):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(414,554):{'3_1':0.0,'7_1':0.0},(414,553):{'3_1':0.03,'7_1':0.0},(414,552):{'3_1':0.0,'7_1':0.0},(414,551):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(414,550):{'7_1':0.0},(414,549):{'3_1':0.0},(414,548):{'3_1':0.0,'4_1':0.0},(414,547):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'9_1':0.0},(414,546):{'3_1':0.06,'9_1':0.0},(414,545):{'3_1':0.0,'5_1':0.0},(414,544):{'3_1':0.0,'5_1':0.0},(414,543):{'3_1':0.0},(414,542):{'3_1':0.0},(414,541):{'3_1':0.03},(414,540):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(414,539):{'3_1':0.0},(414,538):{'3_1':0.0},(414,537):{'3_1':0.0},(414,536):{'3_1':0.0,'5_1':0.0},(414,535):{'3_1':0.0},(414,534):{'5_1':0.0},(414,533):{'3_1':0.03},(414,532):{'3_1':0.0},(414,531):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(414,530):{'3_1':0.0,'5_1':0.0},(414,529):{'3_1':0.0,'9_1':0.0},(414,528):{'5_1':0.0,'3_1':0.0},(414,527):{'3_1':0.0},(414,526):{'3_1':0.0},(414,525):{'3_1':0.0,'5_1':0.0},(414,524):{'3_1':0.0},(414,523):{'3_1':0.0},(414,522):{'3_1':0.0},(414,521):{'3_1':0.0},(414,520):{'3_1':0.0},(414,519):{'9_1':0.0},(414,518):{'3_1':0.0},(414,516):{'5_1':0.0},(414,515):{'3_1':0.0,'7_1':0.0},(414,514):{'3_1':0.0},(414,511):{'3_1':0.0},(414,508):{'3_1':0.0},(414,506):{'3_1':0.0},(414,505):{'3_1':0.0},(414,504):{'3_1':0.0},(414,503):{'3_1':0.0},(414,495):{'3_1':0.0},(414,490):{'3_1':0.0},(414,489):{'3_1':0.0},(414,486):{'3_1':0.0},(414,484):{'3_1':0.0},(414,480):{'4_1':0.0},(414,471):{'3_1':0.0},(414,467):{'3_1':0.0},(414,463):{'3_1':0.0},(414,421):{'3_1':0.0},(415,726):{'3_1':0.0},(415,725):{'3_1':0.0},(415,724):{'3_1':0.0,'4_1':0.0},(415,723):{'3_1':0.0},(415,722):{'3_1':0.0},(415,721):{'3_1':0.0,'5_2':0.0},(415,720):{'3_1':0.03},(415,719):{'3_1':0.0},(415,718):{'3_1':0.0,'5_2':0.0},(415,717):{'3_1':0.03},(415,716):{'3_1':0.0},(415,715):{'3_1':0.06,'5_1':0.0},(415,714):{'3_1':0.0,'4_1':0.0},(415,713):{'3_1':0.03,'5_1':0.0},(415,712):{'3_1':0.03},(415,711):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(415,710):{'3_1':0.0,'5_2':0.0},(415,709):{'3_1':0.03},(415,708):{'3_1':0.03,'5_1':0.0},(415,707):{'3_1':0.03,'5_2':0.0},(415,706):{'3_1':0.03,'5_1':0.0},(415,705):{'3_1':0.09},(415,704):{'3_1':0.0,'5_2':0.0},(415,703):{'3_1':0.03,'5_2':0.0},(415,702):{'3_1':0.0},(415,701):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(415,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(415,699):{'3_1':0.06,'5_2':0.0},(415,698):{'3_1':0.03,'5_1':0.0,'7_3':0.0},(415,697):{'3_1':0.03,'5_1':0.0},(415,696):{'3_1':0.03,'5_2':0.0},(415,695):{'3_1':0.06,'5_1':0.0,'7_3':0.0},(415,694):{'3_1':0.0,'4_1':0.0},(415,693):{'3_1':0.06},(415,692):{'3_1':0.03,'6_1':0.0},(415,691):{'3_1':0.03,'5_2':0.0},(415,690):{'3_1':0.0},(415,689):{'3_1':0.06,'5_2':0.0,'9_1':0.0},(415,688):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(415,687):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(415,686):{'3_1':0.03,'5_2':0.0},(415,685):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(415,684):{'3_1':0.06,'9_1':0.0},(415,683):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(415,682):{'3_1':0.03},(415,681):{'3_1':0.0,'6_2':0.0,'7_1':0.0,'9_1':0.0},(415,680):{'3_1':0.06},(415,679):{'3_1':0.06,'9_1':0.0},(415,678):{'3_1':0.0},(415,677):{'3_1':0.03,'9_1':0.0,'4_1':0.0,'7_1':0.0},(415,676):{'3_1':0.0,'5_2':0.0},(415,675):{'3_1':0.03},(415,674):{'3_1':0.0,'4_1':0.0},(415,673):{'3_1':0.03,'5_1':0.0,'9_1':0.0},(415,672):{'3_1':0.0},(415,671):{'3_1':0.03},(415,670):{'3_1':0.0,'5_2':0.0},(415,669):{'3_1':0.0},(415,668):{'3_1':0.0},(415,667):{'3_1':0.03,'8_1':0.0},(415,666):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(415,665):{'3_1':0.03},(415,664):{'3_1':0.0},(415,663):{'5_1':0.0,'9_1':0.0},(415,662):{'3_1':0.0},(415,661):{'4_1':0.0,'3_1':0.0},(415,660):{'3_1':0.03},(415,659):{'4_1':0.0,'3_1':0.0},(415,658):{'3_1':0.0,'4_1':0.0},(415,657):{'3_1':0.0},(415,656):{'3_1':0.0},(415,655):{'3_1':0.0,'5_1':0.0},(415,654):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(415,653):{'3_1':0.03},(415,652):{'3_1':0.0,'5_1':0.0},(415,651):{'3_1':0.0,'5_1':0.0},(415,650):{'3_1':0.0},(415,649):{'3_1':0.0,'4_1':0.0},(415,648):{'3_1':0.03,'5_2':0.0},(415,647):{'3_1':0.06},(415,646):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(415,645):{'3_1':0.06},(415,644):{'3_1':0.06},(415,643):{'3_1':0.06,'5_2':0.0},(415,642):{'3_1':0.06},(415,641):{'3_1':0.03},(415,640):{'3_1':0.03,'4_1':0.0},(415,639):{'3_1':0.03},(415,638):{'3_1':0.03},(415,637):{'3_1':0.0,'4_1':0.0},(415,636):{'3_1':0.0},(415,635):{'3_1':0.03,'7_1':0.0},(415,634):{'3_1':0.0},(415,633):{'3_1':0.0},(415,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(415,631):{'3_1':0.03,'4_1':0.0},(415,630):{'5_1':0.0,'3_1':0.0},(415,629):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(415,628):{'3_1':0.03,'4_1':0.0},(415,627):{'3_1':0.06,'7_1':0.0},(415,626):{'3_1':0.06},(415,625):{'3_1':0.06,'4_1':0.0},(415,624):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(415,623):{'3_1':0.03,'5_1':0.0},(415,622):{'3_1':0.0},(415,621):{'3_1':0.03},(415,620):{'3_1':0.03,'4_1':0.0},(415,619):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(415,618):{'3_1':0.03,'4_1':0.0},(415,617):{'3_1':0.0},(415,616):{'3_1':0.03,'5_1':0.0},(415,615):{'3_1':0.03,'7_1':0.0,'-3':0.0},(415,614):{'3_1':0.0,'7_1':0.0},(415,613):{'3_1':0.0,'4_1':0.0},(415,612):{'3_1':0.0,'7_1':0.0},(415,611):{'3_1':0.0},(415,610):{'3_1':0.0},(415,609):{'3_1':0.0},(415,608):{'3_1':0.0,'7_1':0.0,'7_3':0.0},(415,607):{'3_1':0.0,'5_1':0.0},(415,606):{'3_1':0.0},(415,605):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(415,604):{'3_1':0.0,'5_1':0.0},(415,603):{'3_1':0.0,'5_1':0.0},(415,602):{'3_1':0.03,'4_1':0.0},(415,601):{'3_1':0.03},(415,600):{'3_1':0.0,'5_1':0.0},(415,599):{'3_1':0.0,'5_1':0.0},(415,598):{'3_1':0.0},(415,597):{'3_1':0.0},(415,596):{'3_1':0.03,'4_1':0.0},(415,595):{'3_1':0.0},(415,594):{'3_1':0.0},(415,593):{'3_1':0.0,'5_1':0.0},(415,592):{'3_1':0.0},(415,591):{'3_1':0.03,'5_1':0.0},(415,590):{'3_1':0.0},(415,589):{'5_1':0.0},(415,588):{'3_1':0.0,'7_1':0.0},(415,587):{'3_1':0.0,'5_1':0.0},(415,586):{'3_1':0.0,'5_1':0.0},(415,585):{'3_1':0.03,'4_1':0.0},(415,584):{'3_1':0.0},(415,583):{'3_1':0.0,'5_2':0.0},(415,582):{'3_1':0.0},(415,581):{'3_1':0.0},(415,580):{'3_1':0.0,'4_1':0.0},(415,579):{'3_1':0.0},(415,578):{'3_1':0.03},(415,577):{'3_1':0.0,'4_1':0.0},(415,576):{'3_1':0.0,'4_1':0.0},(415,574):{'3_1':0.03},(415,572):{'3_1':0.0},(415,571):{'3_1':0.03,'9_1':0.0},(415,570):{'3_1':0.03,'9_1':0.0},(415,568):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(415,567):{'3_1':0.0,'5_2':0.0},(415,566):{'3_1':0.0,'4_1':0.0},(415,565):{'3_1':0.0,'4_1':0.0},(415,564):{'3_1':0.0},(415,563):{'3_1':0.0,'5_1':0.0},(415,562):{'3_1':0.0,'4_1':0.0},(415,561):{'3_1':0.0,'-3':0.0},(415,560):{'3_1':0.0},(415,559):{'3_1':0.0},(415,558):{'3_1':0.0,'5_2':0.0},(415,557):{'3_1':0.0},(415,556):{'3_1':0.03},(415,555):{'3_1':0.0,'6_2':0.0},(415,554):{'3_1':0.0,'5_1':0.0},(415,553):{'3_1':0.0,'4_1':0.0,'-3':0.0},(415,552):{'3_1':0.0,'9_1':0.0},(415,551):{'3_1':0.03},(415,550):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(415,549):{'3_1':0.03,'4_1':0.0},(415,548):{'3_1':0.0},(415,547):{'3_1':0.0,'9_1':0.0},(415,546):{'3_1':0.0,'-3':0.0},(415,545):{'3_1':0.03,'8_4':0.0},(415,544):{'3_1':0.03},(415,543):{'3_1':0.03},(415,542):{'3_1':0.03},(415,541):{'3_1':0.0},(415,540):{'3_1':0.0},(415,539):{'3_1':0.03},(415,538):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(415,537):{'3_1':0.0,'5_1':0.0,'8_1':0.0,'9_1':0.0},(415,536):{'5_1':0.0,'3_1':0.0},(415,535):{'3_1':0.03},(415,534):{'3_1':0.0},(415,533):{'3_1':0.03},(415,532):{'9_1':0.0},(415,530):{'3_1':0.0},(415,529):{'3_1':0.0,'-3':0.0},(415,528):{'3_1':0.0},(415,527):{'-3':0.0},(415,526):{'3_1':0.0,'9_1':0.0},(415,524):{'3_1':0.0},(415,523):{'3_1':0.0},(415,522):{'3_1':0.0,'7_1':0.0},(415,521):{'3_1':0.0},(415,519):{'4_1':0.0,'7_1':0.0},(415,518):{'3_1':0.0},(415,517):{'3_1':0.0},(415,516):{'5_1':0.0},(415,514):{'3_1':0.0},(415,513):{'3_1':0.0},(415,511):{'3_1':0.0},(415,510):{'3_1':0.0},(415,506):{'3_1':0.0},(415,505):{'3_1':0.0,'4_1':0.0},(415,504):{'3_1':0.0},(415,493):{'3_1':0.0},(415,492):{'3_1':0.0},(415,490):{'3_1':0.0},(415,486):{'3_1':0.0},(415,485):{'3_1':0.0},(415,484):{'3_1':0.0},(415,478):{'3_1':0.0},(415,471):{'3_1':0.0},(415,470):{'3_1':0.0},(415,469):{'3_1':0.0},(415,424):{'3_1':0.0},(415,421):{'3_1':0.0},(415,420):{'3_1':0.0},(415,419):{'3_1':0.0},(416,726):{'3_1':0.0},(416,725):{'3_1':0.0},(416,724):{'3_1':0.0,'5_2':0.0},(416,723):{'3_1':0.0,'5_1':0.0},(416,722):{'3_1':0.03},(416,721):{'3_1':0.0,'4_1':0.0},(416,720):{'3_1':0.0},(416,719):{'3_1':0.03,'5_2':0.0},(416,717):{'3_1':0.0},(416,716):{'3_1':0.0},(416,715):{'3_1':0.0},(416,714):{'3_1':0.0},(416,713):{'3_1':0.03,'5_1':0.0},(416,712):{'3_1':0.03,'5_2':0.0},(416,711):{'3_1':0.03},(416,710):{'3_1':0.06,'5_2':0.0},(416,709):{'3_1':0.03,'5_2':0.0},(416,708):{'3_1':0.0},(416,707):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(416,706):{'3_1':0.03,'5_1':0.0},(416,705):{'3_1':0.03,'5_2':0.0},(416,704):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(416,703):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(416,702):{'3_1':0.06,'5_1':0.0},(416,701):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(416,700):{'3_1':0.03,'5_1':0.0},(416,699):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(416,698):{'3_1':0.03,'5_2':0.0,'-3':0.0},(416,697):{'3_1':0.0,'4_1':0.0},(416,696):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(416,695):{'3_1':0.0,'5_2':0.0},(416,694):{'3_1':0.03,'5_2':0.0},(416,693):{'3_1':0.03,'5_2':0.0},(416,692):{'3_1':0.03},(416,691):{'3_1':0.0},(416,690):{'3_1':0.03,'7_1':0.0},(416,689):{'3_1':0.06},(416,688):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(416,687):{'3_1':0.06},(416,686):{'3_1':0.03,'4_1':0.0},(416,685):{'3_1':0.0,'5_1':0.0},(416,684):{'3_1':0.0},(416,683):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(416,682):{'3_1':0.06,'5_2':0.0},(416,681):{'3_1':0.03,'5_1':0.0},(416,680):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_1':0.0},(416,679):{'3_1':0.0},(416,678):{'3_1':0.06,'5_1':0.0},(416,677):{'3_1':0.03},(416,676):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(416,675):{'3_1':0.0,'5_1':0.0},(416,674):{'5_1':0.0,'3_1':0.0,'5_2':0.0,'7_1':0.0},(416,673):{'5_1':0.0},(416,672):{'3_1':0.0},(416,671):{'3_1':0.0,'5_1':0.0},(416,670):{'3_1':0.0,'5_1':0.0},(416,669):{'3_1':0.03},(416,668):{'3_1':0.06},(416,667):{'3_1':0.03,'5_1':0.0},(416,666):{'3_1':0.06,'8_2':0.0},(416,665):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(416,664):{'3_1':0.03,'4_1':0.0},(416,663):{'3_1':0.03,'5_1':0.0},(416,662):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(416,661):{'3_1':0.0},(416,660):{'3_1':0.03},(416,659):{'3_1':0.0},(416,658):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(416,657):{'3_1':0.0,'4_1':0.0},(416,656):{'3_1':0.03},(416,655):{'3_1':0.0,'5_1':0.0},(416,654):{'5_1':0.0},(416,653):{'3_1':0.0,'5_1':0.0},(416,652):{'3_1':0.03,'5_1':0.0},(416,651):{'3_1':0.03,'5_1':0.0},(416,650):{'3_1':0.03},(416,649):{'3_1':0.03},(416,648):{'3_1':0.0},(416,647):{'3_1':0.0,'5_2':0.0},(416,646):{'3_1':0.03},(416,645):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(416,644):{'3_1':0.06},(416,643):{'3_1':0.03,'5_1':0.0},(416,642):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(416,641):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(416,640):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(416,639):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(416,638):{'3_1':0.03},(416,637):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(416,636):{'3_1':0.03,'5_1':0.0},(416,635):{'3_1':0.03,'4_1':0.0},(416,634):{'3_1':0.0,'6_2':0.0},(416,633):{'3_1':0.03,'4_1':0.0},(416,632):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(416,631):{'3_1':0.03,'4_1':0.0},(416,630):{'3_1':0.03,'4_1':0.0},(416,629):{'3_1':0.06,'4_1':0.0},(416,628):{'3_1':0.03,'4_1':0.0},(416,627):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(416,626):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(416,625):{'3_1':0.03,'5_1':0.0},(416,624):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(416,623):{'3_1':0.06},(416,622):{'3_1':0.0,'5_2':0.0},(416,621):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(416,620):{'3_1':0.03,'4_1':0.0},(416,619):{'3_1':0.06},(416,618):{'3_1':0.03,'4_1':0.0},(416,617):{'3_1':0.03,'4_1':0.0},(416,616):{'3_1':0.03},(416,615):{'3_1':0.03},(416,614):{'3_1':0.03,'4_1':0.0},(416,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(416,612):{'3_1':0.0,'4_1':0.0},(416,610):{'3_1':0.03},(416,609):{'3_1':0.0,'5_1':0.0},(416,608):{'3_1':0.03,'4_1':0.0},(416,607):{'5_1':0.0,'3_1':0.0},(416,606):{'3_1':0.0,'4_1':0.0},(416,605):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(416,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(416,603):{'4_1':0.0},(416,602):{'3_1':0.0,'7_1':0.0},(416,601):{'3_1':0.03,'4_1':0.0},(416,600):{'3_1':0.03,'4_1':0.0},(416,599):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(416,598):{'3_1':0.0},(416,597):{'3_1':0.0,'4_1':0.0},(416,596):{'3_1':0.0},(416,595):{'3_1':0.0},(416,594):{'4_1':0.0},(416,593):{'3_1':0.0},(416,592):{'3_1':0.0},(416,591):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(416,590):{'3_1':0.0,'5_1':0.0},(416,589):{'3_1':0.03},(416,588):{'3_1':0.0},(416,586):{'3_1':0.03},(416,585):{'4_1':0.0,'5_1':0.0},(416,584):{'3_1':0.0,'4_1':0.0},(416,583):{'3_1':0.0},(416,582):{'3_1':0.0,'5_1':0.0},(416,581):{'3_1':0.0},(416,580):{'3_1':0.0},(416,579):{'3_1':0.0,'5_1':0.0},(416,578):{'4_1':0.0,'3_1':0.0},(416,577):{'3_1':0.03},(416,576):{'3_1':0.0,'4_1':0.0},(416,575):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(416,574):{'3_1':0.0,'5_1':0.0},(416,573):{'3_1':0.0},(416,571):{'7_1':0.0,'9_1':0.0},(416,570):{'3_1':0.0},(416,569):{'3_1':0.0,'7_1':0.0},(416,568):{'3_1':0.0},(416,567):{'3_1':0.0},(416,565):{'3_1':0.0},(416,564):{'3_1':0.0,'7_1':0.0},(416,563):{'3_1':0.0,'7_1':0.0},(416,562):{'3_1':0.03,'4_1':0.0},(416,561):{'3_1':0.03,'7_1':0.0},(416,560):{'3_1':0.0},(416,559):{'3_1':0.0,'5_1':0.0},(416,558):{'3_1':0.0,'4_1':0.0},(416,557):{'3_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(416,556):{'3_1':0.03},(416,555):{'3_1':0.03},(416,554):{'3_1':0.0},(416,553):{'3_1':0.0},(416,552):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(416,551):{'3_1':0.03},(416,550):{'3_1':0.09},(416,549):{'3_1':0.0},(416,548):{'3_1':0.03,'5_1':0.0},(416,547):{'3_1':0.0,'5_1':0.0},(416,546):{'3_1':0.03},(416,545):{'3_1':0.06},(416,544):{'3_1':0.03},(416,543):{'3_1':0.09,'5_1':0.0},(416,542):{'3_1':0.09},(416,541):{'3_1':0.06,'7_1':0.0},(416,540):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0},(416,539):{'3_1':0.03,'5_1':0.0},(416,538):{'3_1':0.03,'7_1':0.0},(416,537):{'3_1':0.0,'5_1':0.0},(416,536):{'3_1':0.03},(416,535):{'3_1':0.0,'7_1':0.0},(416,534):{'3_1':0.0,'5_2':0.0},(416,533):{'3_1':0.03},(416,532):{'3_1':0.0,'7_1':0.0},(416,531):{'3_1':0.0},(416,530):{'3_1':0.0,'7_1':0.0},(416,528):{'3_1':0.0,'7_1':0.0},(416,527):{'3_1':0.0},(416,526):{'3_1':0.0},(416,525):{'3_1':0.0},(416,524):{'3_1':0.0,'5_1':0.0},(416,523):{'3_1':0.0},(416,522):{'3_1':0.0},(416,521):{'3_1':0.0},(416,519):{'3_1':0.0},(416,518):{'3_1':0.0},(416,517):{'3_1':0.0},(416,516):{'3_1':0.0},(416,513):{'3_1':0.0},(416,512):{'3_1':0.0},(416,511):{'3_1':0.0},(416,510):{'3_1':0.0},(416,509):{'3_1':0.0},(416,508):{'3_1':0.0},(416,507):{'3_1':0.0},(416,505):{'3_1':0.0},(416,504):{'3_1':0.0},(416,503):{'3_1':0.0},(416,495):{'3_1':0.0},(416,493):{'3_1':0.0},(416,492):{'3_1':0.0},(416,491):{'3_1':0.0},(416,490):{'3_1':0.0},(416,486):{'3_1':0.0},(416,484):{'3_1':0.0},(416,482):{'3_1':0.0},(416,479):{'3_1':0.0},(416,478):{'3_1':0.0},(416,471):{'3_1':0.0},(416,467):{'3_1':0.0},(416,442):{'3_1':0.0},(416,423):{'3_1':0.0},(416,422):{'3_1':0.0},(416,421):{'3_1':0.0},(416,420):{'3_1':0.0},(416,419):{'3_1':0.0},(417,727):{'3_1':0.0},(417,726):{'3_1':0.0},(417,724):{'3_1':0.0},(417,723):{'3_1':0.0,'5_1':0.0},(417,722):{'3_1':0.0,'4_1':0.0},(417,721):{'3_1':0.0},(417,720):{'3_1':0.0,'5_2':0.0},(417,719):{'5_1':0.0,'3_1':0.0},(417,718):{'3_1':0.0},(417,717):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(417,716):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(417,714):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(417,713):{'3_1':0.03},(417,712):{'3_1':0.03,'5_2':0.0},(417,711):{'3_1':0.03,'5_1':0.0},(417,710):{'3_1':0.0},(417,709):{'3_1':0.03,'4_1':0.0},(417,708):{'3_1':0.0,'5_1':0.0},(417,707):{'3_1':0.0},(417,706):{'3_1':0.03},(417,705):{'3_1':0.0,'4_1':0.0},(417,704):{'3_1':0.03,'5_2':0.0},(417,703):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(417,702):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(417,701):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(417,700):{'3_1':0.03},(417,699):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(417,698):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(417,697):{'3_1':0.06,'4_1':0.0},(417,696):{'3_1':0.06,'5_1':0.0},(417,695):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(417,694):{'3_1':0.0},(417,693):{'3_1':0.03,'4_1':0.0},(417,692):{'3_1':0.03},(417,691):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(417,690):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(417,689):{'3_1':0.09,'5_2':0.0},(417,688):{'3_1':0.03,'5_1':0.0},(417,687):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(417,686):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(417,685):{'3_1':0.0,'4_1':0.0},(417,684):{'3_1':0.03,'9_1':0.0},(417,683):{'3_1':0.03,'5_2':0.0},(417,682):{'3_1':0.06,'4_1':0.0},(417,681):{'3_1':0.03},(417,680):{'3_1':0.03},(417,679):{'3_1':0.0},(417,678):{'3_1':0.03,'4_1':0.0},(417,677):{'3_1':0.03,'5_2':0.0},(417,676):{'4_1':0.0},(417,675):{'3_1':0.03},(417,674):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(417,673):{'3_1':0.0},(417,672):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(417,671):{'3_1':0.0,'5_1':0.0},(417,670):{'3_1':0.0,'5_1':0.0},(417,669):{'3_1':0.0,'6_1':0.0},(417,667):{'3_1':0.03,'4_1':0.0},(417,666):{'3_1':0.03},(417,665):{'3_1':0.0},(417,664):{'6_2':0.0},(417,663):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(417,662):{'3_1':0.0,'4_1':0.0},(417,661):{'3_1':0.0,'5_2':0.0},(417,660):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(417,659):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(417,658):{'3_1':0.0,'4_1':0.0},(417,657):{'3_1':0.0,'4_1':0.0},(417,656):{'3_1':0.03,'4_1':0.0},(417,655):{'3_1':0.03,'4_1':0.0},(417,653):{'3_1':0.03,'4_1':0.0},(417,652):{'3_1':0.0},(417,651):{'3_1':0.0},(417,650):{'3_1':0.0,'5_1':0.0},(417,649):{'3_1':0.0,'5_2':0.0},(417,648):{'3_1':0.0},(417,647):{'3_1':0.03,'5_2':0.0},(417,646):{'3_1':0.03,'5_1':0.0},(417,645):{'3_1':0.0},(417,644):{'3_1':0.09},(417,643):{'3_1':0.0},(417,642):{'3_1':0.06},(417,641):{'3_1':0.06},(417,640):{'3_1':0.09,'5_2':0.0},(417,639):{'3_1':0.06},(417,638):{'3_1':0.03},(417,637):{'3_1':0.03,'5_1':0.0},(417,636):{'3_1':0.03},(417,635):{'3_1':0.0},(417,634):{'3_1':0.06,'5_1':0.0},(417,633):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(417,632):{'3_1':0.03},(417,631):{'3_1':0.0,'4_1':0.0},(417,630):{'3_1':0.0},(417,629):{'3_1':0.06,'5_1':0.0},(417,628):{'3_1':0.03,'5_2':0.0},(417,627):{'3_1':0.06,'5_1':0.0,'-3':0.0},(417,626):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(417,625):{'3_1':0.09},(417,624):{'3_1':0.03},(417,623):{'3_1':0.06,'7_1':0.0,'4_1':0.0},(417,622):{'3_1':0.03,'4_1':0.0},(417,621):{'3_1':0.0,'4_1':0.0},(417,620):{'3_1':0.0},(417,619):{'3_1':0.06,'4_1':0.0},(417,618):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'9_1':0.0},(417,617):{'3_1':0.06},(417,616):{'3_1':0.03,'4_1':0.0},(417,615):{'3_1':0.0},(417,614):{'3_1':0.0,'4_1':0.0},(417,613):{'3_1':0.03,'6_2':0.0},(417,612):{'3_1':0.0},(417,611):{'3_1':0.0,'5_2':0.0},(417,609):{'3_1':0.03},(417,608):{'3_1':0.03,'5_2':0.0},(417,607):{'3_1':0.0,'4_1':0.0},(417,606):{'3_1':0.0,'4_1':0.0},(417,605):{'3_1':0.03,'5_1':0.0},(417,604):{'3_1':0.0,'5_2':0.0},(417,603):{'3_1':0.0},(417,602):{'3_1':0.0,'4_1':0.0},(417,601):{'3_1':0.0},(417,600):{'3_1':0.0,'4_1':0.0},(417,599):{'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(417,598):{'3_1':0.0,'5_2':0.0},(417,597):{'3_1':0.0,'7_1':0.0},(417,596):{'3_1':0.0,'4_1':0.0},(417,595):{'3_1':0.0,'4_1':0.0},(417,594):{'3_1':0.0},(417,593):{'3_1':0.0},(417,592):{'3_1':0.03},(417,591):{'4_1':0.0,'7_1':0.0},(417,590):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(417,589):{'3_1':0.0,'7_1':0.0},(417,588):{'3_1':0.0,'4_1':0.0},(417,587):{'3_1':0.0,'8_20|3_1#3_1':0.0},(417,586):{'3_1':0.0},(417,585):{'7_1':0.0},(417,584):{'3_1':0.0},(417,583):{'3_1':0.0,'4_1':0.0},(417,581):{'3_1':0.0,'4_1':0.0},(417,580):{'3_1':0.0},(417,579):{'3_1':0.0},(417,577):{'3_1':0.0,'4_1':0.0},(417,576):{'3_1':0.0,'4_1':0.0},(417,575):{'3_1':0.0},(417,573):{'3_1':0.0,'4_1':0.0},(417,572):{'3_1':0.0},(417,571):{'3_1':0.0},(417,570):{'3_1':0.0,'4_1':0.0},(417,569):{'3_1':0.0,'4_1':0.0},(417,568):{'3_1':0.03,'4_1':0.0},(417,567):{'3_1':0.03,'5_1':0.0},(417,566):{'3_1':0.0},(417,565):{'3_1':0.03,'4_1':0.0},(417,564):{'3_1':0.0,'4_1':0.0},(417,563):{'3_1':0.0},(417,562):{'3_1':0.03,'5_1':0.0},(417,561):{'3_1':0.0,'4_1':0.0},(417,560):{'3_1':0.0},(417,559):{'3_1':0.03},(417,558):{'3_1':0.03},(417,557):{'3_1':0.0},(417,556):{'4_1':0.0},(417,555):{'3_1':0.0,'5_1':0.0},(417,554):{'3_1':0.0,'4_1':0.0},(417,553):{'3_1':0.03,'4_1':0.0},(417,552):{'3_1':0.0,'4_1':0.0},(417,551):{'3_1':0.0},(417,550):{'3_1':0.03},(417,549):{'3_1':0.03,'5_1':0.0},(417,548):{'3_1':0.0},(417,547):{'3_1':0.0,'5_1':0.0},(417,546):{'3_1':0.03,'4_1':0.0},(417,545):{'3_1':0.03},(417,544):{'3_1':0.0},(417,543):{'3_1':0.03},(417,542):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(417,541):{'3_1':0.03,'6_1':0.0},(417,539):{'3_1':0.0,'4_1':0.0},(417,538):{'3_1':0.0},(417,537):{'3_1':0.0},(417,536):{'3_1':0.0},(417,535):{'3_1':0.0},(417,534):{'3_1':0.0},(417,533):{'3_1':0.0},(417,532):{'3_1':0.0,'5_1':0.0},(417,531):{'3_1':0.0},(417,530):{'3_1':0.0},(417,529):{'3_1':0.0},(417,528):{'3_1':0.0},(417,527):{'3_1':0.0,'5_1':0.0},(417,525):{'3_1':0.0},(417,523):{'3_1':0.0},(417,522):{'3_1':0.0},(417,521):{'3_1':0.0},(417,516):{'3_1':0.0},(417,515):{'3_1':0.0},(417,514):{'3_1':0.0},(417,510):{'3_1':0.0},(417,507):{'3_1':0.0},(417,505):{'3_1':0.0},(417,503):{'3_1':0.0},(417,496):{'3_1':0.0},(417,494):{'3_1':0.0},(417,493):{'3_1':0.0},(417,491):{'3_1':0.0},(417,486):{'3_1':0.0},(417,485):{'3_1':0.0},(417,484):{'3_1':0.0},(417,483):{'3_1':0.0},(417,478):{'3_1':0.0},(417,471):{'3_1':0.0},(417,465):{'3_1':0.0},(417,423):{'3_1':0.0},(417,422):{'3_1':0.0},(417,421):{'3_1':0.0},(417,420):{'3_1':0.0},(418,726):{'3_1':0.0},(418,725):{'3_1':0.0},(418,724):{'3_1':0.0,'5_1':0.0},(418,722):{'3_1':0.0,'4_1':0.0},(418,721):{'3_1':0.0,'5_2':0.0},(418,720):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,719):{'3_1':0.0},(418,718):{'3_1':0.03},(418,717):{'3_1':0.0},(418,716):{'3_1':0.03,'5_1':0.0},(418,715):{'3_1':0.0},(418,714):{'3_1':0.0},(418,713):{'3_1':0.03},(418,712):{'3_1':0.0,'4_1':0.0},(418,711):{'3_1':0.0,'5_1':0.0},(418,710):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(418,709):{'3_1':0.03},(418,708):{'3_1':0.0},(418,707):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(418,706):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'7_7':0.0},(418,705):{'3_1':0.03},(418,704):{'3_1':0.0,'5_1':0.0},(418,703):{'3_1':0.0},(418,702):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(418,701):{'3_1':0.0},(418,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(418,699):{'3_1':0.0,'5_1':0.0,'8_19':0.0},(418,698):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(418,697):{'3_1':0.0,'5_2':0.0},(418,696):{'3_1':0.0,'7_1':0.0},(418,695):{'3_1':0.03},(418,694):{'3_1':0.03,'5_1':0.0},(418,693):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,692):{'3_1':0.03,'8_20|3_1#3_1':0.0},(418,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(418,690):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(418,689):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(418,688):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,687):{'3_1':0.0},(418,686):{'3_1':0.0,'-3':0.0},(418,685):{'3_1':0.03,'6_2':0.0,'8_5':0.0},(418,684):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(418,683):{'3_1':0.03,'5_1':0.0},(418,682):{'3_1':0.03,'5_2':0.0},(418,681):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(418,680):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(418,679):{'3_1':0.03,'5_2':0.0},(418,678):{'3_1':0.03,'7_1':0.0},(418,677):{'3_1':0.0},(418,676):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(418,675):{'3_1':0.03},(418,674):{'3_1':0.03,'-3':0.0},(418,673):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(418,672):{'3_1':0.0,'9_1':0.0},(418,671):{'3_1':0.0},(418,670):{'3_1':0.03},(418,668):{'3_1':0.0,'4_1':0.0},(418,667):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(418,666):{'3_1':0.0},(418,665):{'3_1':0.03},(418,664):{'3_1':0.03},(418,663):{'3_1':0.03},(418,662):{'3_1':0.03,'4_1':0.0},(418,661):{'3_1':0.0,'5_2':0.0},(418,660):{'3_1':0.0},(418,659):{'3_1':0.0},(418,658):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(418,657):{'3_1':0.03},(418,656):{'3_1':0.03},(418,655):{'3_1':0.0},(418,654):{'3_1':0.03},(418,653):{'3_1':0.03,'5_1':0.0},(418,652):{'3_1':0.03},(418,651):{'3_1':0.06,'6_2':0.0},(418,650):{'3_1':0.03,'4_1':0.0},(418,649):{'3_1':0.0},(418,648):{'3_1':0.03},(418,647):{'3_1':0.03},(418,646):{'3_1':0.03,'5_1':0.0,'-3':0.0},(418,645):{'3_1':0.03,'5_1':0.0},(418,644):{'3_1':0.06,'5_2':0.0},(418,643):{'3_1':0.03,'6_2':0.0,'-3':0.0},(418,642):{'3_1':0.03},(418,641):{'3_1':0.03},(418,640):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(418,639):{'3_1':0.03,'5_1':0.0},(418,638):{'3_1':0.03,'5_1':0.0},(418,637):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(418,636):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(418,635):{'3_1':0.06},(418,634):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(418,633):{'3_1':0.0,'4_1':0.0},(418,632):{'3_1':0.0},(418,631):{'3_1':0.03},(418,630):{'3_1':0.0,'4_1':0.0},(418,629):{'3_1':0.06,'5_1':0.0},(418,628):{'3_1':0.03},(418,627):{'3_1':0.0,'4_1':0.0},(418,626):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'-3':0.0},(418,625):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(418,624):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(418,623):{'3_1':0.06,'6_3':0.0},(418,622):{'3_1':0.06,'4_1':0.0},(418,621):{'3_1':0.09,'5_2':0.0},(418,620):{'3_1':0.06,'9_1':0.0,'6_3':0.0},(418,619):{'3_1':0.03,'5_1':0.0},(418,618):{'3_1':0.0,'5_2':0.0},(418,617):{'3_1':0.03,'4_1':0.0},(418,616):{'3_1':0.03,'4_1':0.0},(418,615):{'3_1':0.0,'4_1':0.0},(418,614):{'3_1':0.0,'5_1':0.0},(418,613):{'3_1':0.0,'5_1':0.0},(418,612):{'3_1':0.03,'5_1':0.0},(418,611):{'8_20|3_1#3_1':0.0},(418,610):{'3_1':0.0,'5_2':0.0},(418,609):{'3_1':0.06},(418,608):{'3_1':0.03},(418,606):{'3_1':0.0,'4_1':0.0},(418,605):{'3_1':0.03,'5_1':0.0},(418,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,603):{'3_1':0.0},(418,602):{'3_1':0.0},(418,601):{'3_1':0.0},(418,600):{'3_1':0.03,'5_1':0.0},(418,599):{'3_1':0.03,'4_1':0.0},(418,598):{'4_1':0.0},(418,597):{'4_1':0.0},(418,596):{'3_1':0.0},(418,595):{'3_1':0.0,'4_1':0.0},(418,594):{'3_1':0.0},(418,593):{'3_1':0.0,'4_1':0.0},(418,592):{'3_1':0.0},(418,591):{'3_1':0.03,'7_3':0.0},(418,590):{'3_1':0.0},(418,589):{'3_1':0.0,'5_1':0.0},(418,588):{'3_1':0.0},(418,587):{'3_1':0.0,'5_1':0.0},(418,586):{'3_1':0.0},(418,585):{'3_1':0.03,'4_1':0.0},(418,584):{'4_1':0.0},(418,583):{'3_1':0.0},(418,582):{'3_1':0.0,'4_1':0.0},(418,581):{'3_1':0.0,'4_1':0.0},(418,580):{'3_1':0.0},(418,579):{'3_1':0.03},(418,578):{'3_1':0.0,'4_1':0.0},(418,577):{'3_1':0.0},(418,576):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(418,575):{'3_1':0.0},(418,574):{'3_1':0.0},(418,573):{'9_1':0.0},(418,572):{'3_1':0.0,'7_1':0.0},(418,571):{'3_1':0.0,'4_1':0.0},(418,570):{'3_1':0.0,'5_1':0.0},(418,569):{'3_1':0.0,'7_1':0.0},(418,568):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(418,567):{'3_1':0.0,'7_1':0.0},(418,566):{'3_1':0.0},(418,565):{'3_1':0.0,'9_1':0.0},(418,564):{'3_1':0.03,'4_1':0.0},(418,563):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(418,562):{'3_1':0.0,'-3':0.0},(418,561):{'3_1':0.0},(418,560):{'3_1':0.0},(418,559):{'3_1':0.0,'-3':0.0},(418,558):{'3_1':0.0},(418,557):{'3_1':0.09,'5_1':0.0},(418,556):{'3_1':0.0,'9_1':0.0},(418,555):{'3_1':0.03},(418,554):{'3_1':0.0,'4_1':0.0},(418,552):{'3_1':0.0,'4_1':0.0},(418,551):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(418,550):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(418,549):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,548):{'3_1':0.0},(418,547):{'3_1':0.0,'5_1':0.0},(418,546):{'3_1':0.03,'4_1':0.0},(418,545):{'3_1':0.0,'4_1':0.0},(418,544):{'3_1':0.0,'4_1':0.0},(418,543):{'3_1':0.06},(418,542):{'3_1':0.09},(418,541):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(418,540):{'3_1':0.0},(418,539):{'3_1':0.03,'5_1':0.0},(418,538):{'3_1':0.0},(418,537):{'3_1':0.0},(418,536):{'3_1':0.0,'4_1':0.0},(418,535):{'3_1':0.0},(418,534):{'3_1':0.0,'5_2':0.0},(418,533):{'3_1':0.03},(418,532):{'5_1':0.0},(418,531):{'3_1':0.0},(418,530):{'3_1':0.0},(418,529):{'3_1':0.0,'4_1':0.0},(418,528):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(418,527):{'3_1':0.0,'4_1':0.0},(418,525):{'3_1':0.0},(418,524):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(418,523):{'3_1':0.0},(418,521):{'3_1':0.0},(418,519):{'3_1':0.0},(418,517):{'3_1':0.0,'5_1':0.0},(418,516):{'3_1':0.0},(418,513):{'3_1':0.0},(418,512):{'3_1':0.0},(418,511):{'3_1':0.0},(418,510):{'3_1':0.03},(418,509):{'3_1':0.0},(418,506):{'3_1':0.0},(418,505):{'3_1':0.0},(418,504):{'3_1':0.0},(418,503):{'3_1':0.0},(418,500):{'3_1':0.0},(418,499):{'3_1':0.0},(418,497):{'3_1':0.0},(418,493):{'3_1':0.0},(418,489):{'3_1':0.0},(418,488):{'3_1':0.0},(418,486):{'3_1':0.0},(418,484):{'3_1':0.0},(418,483):{'3_1':0.0},(418,464):{'3_1':0.0},(418,425):{'3_1':0.0},(418,423):{'3_1':0.0},(419,727):{'3_1':0.0},(419,726):{'3_1':0.0},(419,725):{'3_1':0.0,'5_1':0.0},(419,724):{'3_1':0.03,'5_1':0.0},(419,723):{'3_1':0.03},(419,722):{'3_1':0.0,'5_1':0.0},(419,721):{'3_1':0.0},(419,720):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(419,719):{'3_1':0.0},(419,718):{'3_1':0.03},(419,717):{'3_1':0.0,'5_1':0.0},(419,716):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(419,715):{'5_1':0.0},(419,714):{'3_1#5_1':0.0},(419,713):{'3_1':0.0,'7_1':0.0},(419,712):{'3_1':0.0,'4_1':0.0},(419,711):{'3_1':0.03,'4_1':0.0},(419,710):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(419,709):{'3_1':0.0},(419,708):{'3_1':0.03},(419,707):{'3_1':0.0,'5_2':0.0},(419,706):{'3_1':0.06,'5_1':0.0},(419,705):{'3_1':0.06},(419,704):{'3_1':0.0,'5_2':0.0},(419,703):{'3_1':0.0,'4_1':0.0},(419,702):{'3_1':0.03,'5_2':0.0},(419,701):{'3_1':0.03},(419,700):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(419,699):{'3_1':0.03},(419,698):{'3_1':0.03,'5_2':0.0},(419,697):{'3_1':0.03,'4_1':0.0},(419,696):{'3_1':0.03},(419,695):{'3_1':0.06,'5_1':0.0},(419,694):{'3_1':0.0},(419,693):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(419,692):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(419,691):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(419,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(419,689):{'3_1':0.03,'4_1':0.0},(419,688):{'3_1':0.0,'4_1':0.0},(419,687):{'3_1':0.03,'7_1':0.0},(419,686):{'3_1':0.03},(419,685):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(419,684):{'3_1':0.0,'7_1':0.0},(419,683):{'3_1':0.0,'4_1':0.0},(419,682):{'3_1':0.0,'4_1':0.0},(419,681):{'3_1':0.03},(419,680):{'3_1':0.06},(419,679):{'3_1':0.03,'5_1':0.0},(419,678):{'3_1':0.0},(419,677):{'3_1':0.03,'4_1':0.0},(419,676):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_4':0.0},(419,675):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(419,674):{'3_1':0.0,'4_1':0.0},(419,673):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(419,672):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'7_1':0.0},(419,671):{'3_1':0.0,'6_1':0.0},(419,670):{'3_1':0.03},(419,669):{'3_1':0.0,'6_1':0.0},(419,668):{'3_1':0.0,'4_1':0.0},(419,667):{'3_1':0.0,'4_1':0.0},(419,666):{'3_1':0.0},(419,665):{'3_1':0.0,'4_1':0.0},(419,664):{'3_1':0.0},(419,663):{'3_1':0.0,'7_1':0.0},(419,662):{'3_1':0.0},(419,661):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(419,660):{'3_1':0.0},(419,659):{'3_1':0.06},(419,656):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(419,655):{'3_1':0.03},(419,654):{'3_1':0.0},(419,653):{'3_1':0.0,'4_1':0.0},(419,652):{'3_1':0.03},(419,651):{'3_1':0.03,'4_1':0.0},(419,650):{'3_1':0.0},(419,649):{'3_1':0.0,'-3':0.0},(419,648):{'3_1':0.0,'4_1':0.0,'-3':0.0},(419,647):{'3_1':0.03},(419,646):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(419,645):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(419,644):{'3_1':0.03,'4_1':0.0},(419,643):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0},(419,642):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(419,641):{'3_1':0.06,'5_2':0.0,'-3':0.0},(419,640):{'3_1':0.06},(419,639):{'3_1':0.03,'5_2':0.0,'-3':0.0},(419,638):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(419,637):{'3_1':0.03},(419,636):{'3_1':0.03},(419,635):{'3_1':0.06,'4_1':0.0},(419,634):{'3_1':0.0},(419,633):{'3_1':0.03,'5_2':0.0},(419,632):{'3_1':0.06,'5_2':0.0},(419,631):{'3_1':0.03,'4_1':0.0},(419,630):{'3_1':0.03,'4_1':0.0,'-3':0.0},(419,629):{'3_1':0.03},(419,628):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(419,627):{'3_1':0.0,'4_1':0.0},(419,626):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(419,625):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(419,624):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(419,623):{'3_1':0.06,'5_1':0.0},(419,622):{'3_1':0.06},(419,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(419,620):{'3_1':0.06},(419,619):{'3_1':0.12,'5_2':0.0},(419,618):{'3_1':0.06,'5_2':0.0},(419,617):{'3_1':0.0,'4_1':0.0},(419,616):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(419,615):{'3_1':0.06,'5_2':0.0},(419,614):{'3_1':0.09},(419,613):{'3_1':0.03,'4_1':0.0},(419,612):{'5_2':0.0},(419,611):{'3_1':0.06,'5_2':0.0},(419,610):{'3_1':0.06,'4_1':0.0},(419,609):{'3_1':0.06,'5_2':0.0},(419,608):{'3_1':0.03},(419,607):{'3_1':0.06,'5_1':0.0},(419,606):{'3_1':0.0,'5_1':0.0},(419,605):{'3_1':0.0,'7_1':0.0},(419,604):{'3_1':0.03,'4_1':0.0},(419,603):{'4_1':0.0},(419,602):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(419,601):{'3_1':0.03,'5_1':0.0},(419,600):{'3_1':0.0,'4_1':0.0},(419,599):{'3_1':0.0,'4_1':0.0},(419,598):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(419,597):{'4_1':0.0,'3_1':0.0},(419,596):{'3_1':0.03,'4_1':0.0},(419,595):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(419,594):{'3_1':0.03},(419,593):{'4_1':0.0,'5_1':0.0},(419,592):{'3_1':0.0,'4_1':0.0},(419,591):{'3_1':0.0},(419,589):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(419,588):{'3_1':0.03,'4_1':0.0},(419,587):{'3_1':0.03,'9_1':0.0},(419,586):{'3_1':0.0,'4_1':0.0},(419,585):{'3_1':0.03},(419,584):{'3_1':0.0},(419,583):{'3_1':0.0,'4_1':0.0},(419,582):{'3_1':0.0},(419,581):{'3_1':0.0,'4_1':0.0},(419,580):{'3_1':0.03,'4_1':0.0},(419,579):{'3_1':0.03},(419,578):{'3_1':0.0,'5_1':0.0},(419,577):{'3_1':0.0,'4_1':0.0},(419,576):{'3_1':0.0},(419,575):{'4_1':0.0,'7_1':0.0,'7_5':0.0},(419,574):{'3_1':0.0},(419,573):{'3_1':0.0},(419,572):{'3_1':0.0},(419,571):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(419,570):{'3_1':0.0,'4_1':0.0},(419,569):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(419,568):{'3_1':0.0,'5_1':0.0},(419,567):{'3_1':0.0,'4_1':0.0},(419,566):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(419,565):{'3_1':0.0,'4_1':0.0},(419,564):{'3_1':0.03,'4_1':0.0},(419,563):{'3_1':0.03},(419,562):{'3_1':0.0},(419,561):{'3_1':0.03},(419,560):{'3_1':0.0},(419,559):{'3_1':0.0},(419,558):{'3_1':0.03,'4_1':0.0},(419,557):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(419,556):{'3_1':0.0,'5_1':0.0,'-3':0.0},(419,555):{'3_1':0.03,'4_1':0.0,'-3':0.0},(419,554):{'3_1':0.0,'5_1':0.0},(419,553):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(419,552):{'3_1':0.0,'4_1':0.0},(419,551):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(419,550):{'3_1':0.03,'4_1':0.0},(419,549):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(419,548):{'3_1':0.03,'5_1':0.0},(419,547):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(419,546):{'3_1':0.06},(419,545):{'3_1':0.09,'5_1':0.0},(419,544):{'3_1':0.09,'5_1':0.0},(419,543):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(419,542):{'3_1':0.06,'5_1':0.0},(419,541):{'3_1':0.09,'5_2':0.0,'7_1':0.0},(419,540):{'3_1':0.06,'5_1':0.0},(419,539):{'3_1':0.03,'5_1':0.0},(419,538):{'3_1':0.03},(419,537):{'3_1':0.0},(419,536):{'3_1':0.0,'5_1':0.0},(419,535):{'3_1':0.0},(419,534):{'3_1':0.0},(419,533):{'3_1':0.0},(419,532):{'3_1':0.0,'7_1':0.0},(419,531):{'3_1':0.0},(419,530):{'5_1':0.0,'3_1':0.0},(419,528):{'5_1':0.0},(419,526):{'3_1':0.0,'5_1':0.0},(419,525):{'3_1':0.0,'5_1':0.0},(419,524):{'3_1':0.0},(419,523):{'3_1':0.0},(419,522):{'3_1':0.0},(419,521):{'3_1':0.0},(419,519):{'3_1':0.0},(419,515):{'3_1':0.0},(419,514):{'3_1':0.0},(419,513):{'3_1':0.0},(419,511):{'3_1':0.0},(419,510):{'3_1':0.0},(419,509):{'4_1':0.0},(419,508):{'3_1':0.0},(419,507):{'3_1':0.0,'4_1':0.0},(419,505):{'3_1':0.0},(419,504):{'3_1':0.0},(419,503):{'3_1':0.0},(419,501):{'3_1':0.0},(419,500):{'3_1':0.0},(419,497):{'3_1':0.0},(419,496):{'3_1':0.0},(419,494):{'3_1':0.0},(419,493):{'3_1':0.0},(419,490):{'3_1':0.0},(419,489):{'3_1':0.0},(419,488):{'3_1':0.0},(419,486):{'3_1':0.0},(419,484):{'3_1':0.0},(419,482):{'3_1':0.0},(419,480):{'3_1':0.0},(419,479):{'3_1':0.0},(419,478):{'3_1':0.0},(419,470):{'3_1':0.0},(419,469):{'3_1':0.0},(419,465):{'3_1':0.0},(419,424):{'3_1':0.0},(420,727):{'3_1':0.0},(420,726):{'3_1':0.0},(420,725):{'3_1':0.0},(420,724):{'3_1':0.0},(420,723):{'3_1':0.03},(420,722):{'3_1':0.03},(420,721):{'3_1':0.03,'5_2':0.0},(420,719):{'3_1':0.0},(420,718):{'3_1':0.0,'5_2':0.0},(420,717):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(420,716):{'3_1':0.0},(420,715):{'3_1':0.0,'5_1':0.0},(420,714):{'3_1':0.03},(420,713):{'3_1':0.03},(420,712):{'3_1':0.0,'5_1':0.0},(420,711):{'3_1':0.03},(420,710):{'3_1':0.0,'4_1':0.0},(420,709):{'3_1':0.03},(420,708):{'3_1':0.0},(420,707):{'3_1':0.03},(420,706):{'3_1':0.0},(420,705):{'3_1':0.0},(420,704):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(420,703):{'3_1':0.0,'9_1':0.0},(420,702):{'5_1':0.0,'3_1':0.0},(420,701):{'3_1':0.03},(420,700):{'5_2':0.03,'3_1':0.0},(420,699):{'3_1':0.06},(420,698):{'3_1':0.03},(420,697):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(420,696):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(420,695):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(420,694):{'3_1':0.03,'5_2':0.0},(420,693):{'3_1':0.0},(420,692):{'3_1':0.03,'5_2':0.0},(420,691):{'3_1':0.06,'5_2':0.0},(420,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(420,689):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(420,688):{'3_1':0.03,'5_1':0.0},(420,687):{'3_1':0.0,'5_2':0.0},(420,686):{'3_1':0.06,'5_2':0.0},(420,685):{'3_1':0.0},(420,684):{'3_1':0.03,'4_1':0.0},(420,683):{'3_1':0.03,'7_4':0.0},(420,682):{'3_1':0.06},(420,681):{'3_1':0.0},(420,680):{'3_1':0.06,'5_2':0.0},(420,679):{'3_1':0.0},(420,678):{'3_1':0.03},(420,677):{'3_1':0.0},(420,676):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(420,675):{'3_1':0.0,'5_1':0.0,'3_1#5_1':0.0},(420,674):{'3_1':0.03},(420,673):{'3_1':0.0},(420,672):{'3_1':0.0,'5_1':0.0},(420,671):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(420,670):{'5_1':0.03,'3_1':0.0,'4_1':0.0},(420,669):{'3_1':0.0,'5_1':0.0},(420,668):{'4_1':0.0,'6_1':0.0},(420,667):{'3_1':0.0},(420,666):{'3_1':0.0},(420,665):{'3_1':0.0},(420,664):{'4_1':0.0},(420,663):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(420,662):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(420,661):{'3_1':0.0},(420,660):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(420,659):{'3_1':0.0,'4_1':0.0},(420,658):{'3_1':0.0,'4_1':0.0},(420,657):{'5_1':0.0},(420,656):{'3_1':0.0,'4_1':0.0},(420,655):{'3_1':0.03,'4_1':0.0,'7_1':0.0,'7_3':0.0},(420,654):{'3_1':0.0},(420,653):{'3_1':0.0},(420,652):{'3_1':0.0},(420,650):{'3_1':0.0},(420,649):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(420,648):{'3_1':0.0,'4_1':0.0},(420,647):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'7_1':0.0},(420,646):{'3_1':0.03},(420,645):{'3_1':0.06,'5_2':0.0},(420,644):{'3_1':0.03,'4_1':0.0},(420,643):{'3_1':0.03},(420,642):{'3_1':0.03,'4_1':0.0},(420,641):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(420,640):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(420,639):{'3_1':0.0,'4_1':0.0},(420,638):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(420,637):{'3_1':0.0,'4_1':0.0},(420,636):{'3_1':0.06},(420,635):{'3_1':0.0,'4_1':0.0},(420,634):{'3_1':0.06,'4_1':0.0},(420,633):{'3_1':0.03},(420,632):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(420,631):{'3_1':0.03,'5_1':0.0,'7_1':0.0,'8_14':0.0},(420,630):{'3_1':0.06,'5_1':0.0},(420,629):{'3_1':0.03,'4_1':0.0},(420,628):{'3_1':0.0,'4_1':0.0},(420,627):{'3_1':0.0,'4_1':0.0},(420,626):{'3_1':0.03},(420,625):{'3_1':0.06,'7_1':0.0},(420,624):{'3_1':0.03,'4_1':0.0},(420,623):{'3_1':0.03,'4_1':0.0},(420,622):{'3_1':0.03,'5_2':0.0},(420,621):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(420,620):{'3_1':0.0,'4_1':0.0},(420,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(420,618):{'3_1':0.0,'4_1':0.0},(420,617):{'3_1':0.03,'4_1':0.0},(420,616):{'3_1':0.03},(420,615):{'3_1':0.03,'4_1':0.0},(420,614):{'3_1':0.03},(420,613):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(420,612):{'4_1':0.0,'3_1':0.0},(420,611):{'3_1':0.03,'5_1':0.0},(420,610):{'3_1':0.0},(420,608):{'3_1':0.0,'4_1':0.0},(420,607):{'3_1':0.0},(420,606):{'3_1':0.0},(420,605):{'3_1':0.0,'5_2':0.0},(420,604):{'3_1':0.03,'4_1':0.0},(420,603):{'3_1':0.03,'4_1':0.0},(420,602):{'4_1':0.0},(420,601):{'3_1':0.0,'4_1':0.0},(420,599):{'4_1':0.0},(420,598):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(420,597):{'3_1':0.0,'4_1':0.0},(420,596):{'4_1':0.03,'3_1':0.0},(420,595):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(420,594):{'3_1':0.0},(420,593):{'3_1':0.03},(420,592):{'3_1':0.0,'5_1':0.0},(420,591):{'3_1':0.0,'8_20|3_1#3_1':0.0},(420,590):{'3_1':0.0,'4_1':0.0},(420,589):{'3_1':0.03},(420,588):{'3_1':0.0},(420,586):{'3_1':0.0,'4_1':0.0},(420,585):{'3_1':0.0,'5_2':0.0},(420,584):{'3_1':0.0},(420,583):{'3_1':0.0},(420,582):{'3_1':0.0,'4_1':0.0},(420,581):{'3_1':0.0,'4_1':0.0},(420,580):{'4_1':0.0,'3_1':0.0},(420,579):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(420,576):{'3_1':0.0},(420,575):{'7_1':0.0},(420,574):{'3_1':0.0,'4_1':0.0},(420,573):{'3_1':0.03,'4_1':0.0},(420,572):{'3_1':0.0,'7_1':0.0},(420,571):{'3_1':0.0,'7_1':0.0},(420,570):{'3_1':0.0},(420,568):{'3_1':0.0},(420,567):{'3_1':0.0},(420,566):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(420,564):{'3_1':0.03,'4_1':0.0},(420,563):{'3_1':0.0,'5_1':0.0},(420,562):{'3_1':0.0},(420,561):{'3_1':0.0},(420,560):{'3_1':0.0},(420,559):{'7_1':0.0,'9_1':0.0},(420,558):{'3_1':0.0},(420,557):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(420,556):{'3_1':0.0,'7_1':0.0},(420,555):{'3_1':0.06},(420,554):{'3_1':0.0,'5_1':0.0,'9_1':0.0},(420,553):{'3_1':0.06,'5_1':0.0},(420,552):{'3_1':0.03,'4_1':0.0},(420,551):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(420,550):{'3_1':0.03},(420,549):{'3_1':0.03},(420,548):{'3_1':0.03,'4_1':0.0},(420,547):{'3_1':0.03,'4_1':0.0},(420,546):{'3_1':0.06},(420,545):{'3_1':0.03},(420,544):{'3_1':0.06,'4_1':0.0},(420,543):{'3_1':0.09},(420,542):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(420,541):{'3_1':0.09},(420,540):{'3_1':0.03},(420,539):{'3_1':0.03,'5_1':0.0},(420,538):{'3_1':0.0,'5_1':0.0},(420,537):{'3_1':0.0,'5_1':0.0},(420,536):{'3_1':0.03},(420,535):{'3_1':0.0},(420,534):{'5_1':0.0,'6_1':0.0},(420,533):{'3_1':0.0},(420,532):{'5_1':0.0},(420,530):{'3_1':0.0},(420,528):{'7_1':0.0,'3_1':0.0},(420,527):{'3_1':0.0,'5_1':0.0},(420,526):{'3_1':0.0},(420,525):{'3_1':0.0},(420,523):{'3_1':0.0},(420,522):{'3_1':0.03},(420,519):{'3_1':0.0},(420,518):{'3_1':0.0},(420,515):{'3_1':0.0},(420,514):{'3_1':0.03,'4_1':0.0},(420,509):{'3_1':0.0},(420,506):{'3_1':0.0},(420,505):{'3_1':0.0},(420,504):{'3_1':0.0},(420,501):{'3_1':0.0},(420,500):{'3_1':0.0},(420,499):{'3_1':0.0},(420,498):{'3_1':0.0},(420,496):{'3_1':0.0},(420,494):{'3_1':0.0},(420,492):{'3_1':0.0},(420,491):{'3_1':0.0},(420,490):{'3_1':0.0},(420,489):{'3_1':0.0},(420,488):{'5_1':0.0},(420,485):{'3_1':0.0},(420,484):{'3_1':0.0},(420,483):{'3_1':0.0},(420,482):{'3_1':0.0},(420,478):{'3_1':0.0},(420,471):{'3_1':0.0},(420,466):{'3_1':0.0},(420,465):{'3_1':0.0},(420,424):{'3_1':0.0},(421,727):{'3_1':0.03,'5_2':0.0},(421,726):{'3_1':0.03},(421,725):{'3_1':0.0,'4_1':0.0},(421,724):{'3_1':0.0},(421,723):{'3_1':0.0},(421,722):{'3_1':0.03},(421,721):{'3_1':0.0},(421,720):{'3_1':0.0},(421,719):{'3_1':0.0,'7_1':0.0},(421,718):{'3_1':0.03,'5_1':0.0},(421,717):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(421,716):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'7_1':0.0},(421,715):{'3_1':0.0},(421,714):{'3_1':0.0,'5_2':0.0},(421,713):{'3_1':0.06,'7_1':0.0},(421,712):{'3_1':0.0},(421,711):{'3_1':0.0,'4_1':0.0},(421,710):{'3_1':0.0,'6_1':0.0},(421,709):{'3_1':0.03},(421,708):{'3_1':0.0,'8_20|3_1#3_1':0.0},(421,707):{'3_1':0.03},(421,706):{'3_1':0.03},(421,705):{'3_1':0.03},(421,704):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(421,703):{'3_1':0.0},(421,702):{'3_1':0.03,'7_1':0.0},(421,701):{'3_1':0.06},(421,700):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(421,699):{'3_1':0.03,'5_2':0.0},(421,698):{'3_1':0.03,'4_1':0.0},(421,697):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(421,696):{'3_1':0.03},(421,695):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(421,694):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(421,693):{'3_1':0.06,'5_2':0.0},(421,692):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(421,691):{'3_1':0.03,'5_1':0.0},(421,690):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(421,689):{'3_1':0.03,'7_1':0.0},(421,688):{'3_1':0.06,'5_2':0.0},(421,687):{'3_1':0.03,'5_1':0.0},(421,686):{'3_1':0.03},(421,685):{'3_1':0.03,'7_1':0.0},(421,684):{'3_1':0.0},(421,683):{'3_1':0.06},(421,682):{'3_1':0.03,'5_1':0.0},(421,681):{'3_1':0.0},(421,680):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(421,679):{'3_1':0.0,'6_1':0.0},(421,678):{'3_1':0.0,'4_1':0.0},(421,677):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(421,676):{'3_1':0.03},(421,675):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(421,674):{'3_1':0.0,'5_2':0.0},(421,673):{'3_1':0.03,'7_1':0.0,'8_20|3_1#3_1':0.0},(421,671):{'3_1':0.03},(421,670):{'3_1':0.0,'7_3':0.0},(421,669):{'3_1':0.0,'4_1':0.0},(421,668):{'7_1':0.0,'3_1':0.0,'4_1':0.0},(421,667):{'3_1':0.0,'5_1':0.0},(421,666):{'3_1':0.03},(421,664):{'3_1':0.0,'4_1':0.0},(421,663):{'7_1':0.0},(421,662):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(421,661):{'3_1':0.0},(421,660):{'3_1':0.0,'5_2':0.0},(421,659):{'3_1':0.03},(421,658):{'4_1':0.0,'3_1':0.0},(421,657):{'3_1':0.0},(421,656):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(421,655):{'3_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(421,654):{'3_1':0.0,'4_1':0.0},(421,653):{'3_1':0.03},(421,652):{'3_1':0.0,'8_20|3_1#3_1':0.0},(421,651):{'3_1':0.03,'5_1':0.0},(421,650):{'3_1':0.03},(421,649):{'3_1':0.03,'6_2':0.0},(421,648):{'3_1':0.0},(421,647):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(421,646):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(421,645):{'3_1':0.0,'4_1':0.0},(421,644):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(421,643):{'3_1':0.03},(421,642):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(421,641):{'3_1':0.03,'5_1':0.0},(421,640):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(421,639):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(421,638):{'3_1':0.06,'5_2':0.0},(421,637):{'3_1':0.0,'5_1':0.0},(421,636):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(421,635):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(421,634):{'3_1':0.06,'5_2':0.0},(421,633):{'3_1':0.03},(421,632):{'3_1':0.03},(421,631):{'3_1':0.0},(421,630):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(421,629):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(421,628):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(421,627):{'3_1':0.0,'5_1':0.0},(421,626):{'3_1':0.03,'4_1':0.0},(421,625):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(421,624):{'3_1':0.06,'4_1':0.0},(421,623):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(421,622):{'3_1':0.03,'6_2':0.0},(421,621):{'3_1':0.06},(421,620):{'3_1':0.03},(421,619):{'3_1':0.03},(421,618):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(421,617):{'3_1':0.03,'4_1':0.0},(421,616):{'3_1':0.0},(421,615):{'3_1':0.0},(421,614):{'3_1':0.03},(421,613):{'3_1':0.0,'4_1':0.0},(421,612):{'3_1':0.03,'5_1':0.0},(421,611):{'3_1':0.0,'5_1':0.0},(421,610):{'3_1':0.0},(421,609):{'3_1':0.0,'5_2':0.0},(421,608):{'3_1':0.03},(421,607):{'3_1':0.0},(421,606):{'7_1':0.0,'3_1':0.0},(421,604):{'3_1':0.0},(421,603):{'3_1':0.0},(421,601):{'3_1':0.0},(421,600):{'4_1':0.0,'3_1':0.0},(421,599):{'4_1':0.0},(421,598):{'4_1':0.0},(421,597):{'4_1':0.03,'6_3':0.0},(421,596):{'3_1':0.0},(421,594):{'3_1':0.0},(421,593):{'3_1':0.0,'5_1':0.0},(421,592):{'3_1':0.03,'4_1':0.0},(421,591):{'3_1':0.0},(421,590):{'3_1':0.0},(421,589):{'4_1':0.0},(421,588):{'7_1':0.0},(421,587):{'3_1':0.0},(421,586):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(421,584):{'5_1':0.0},(421,583):{'3_1':0.0},(421,582):{'7_1':0.0},(421,581):{'3_1':0.0,'7_1':0.0},(421,580):{'3_1':0.0,'4_1':0.0},(421,579):{'3_1':0.0,'7_1':0.0},(421,577):{'4_1':0.0,'5_2':0.0},(421,576):{'3_1':0.0,'6_2':0.0},(421,575):{'3_1':0.0},(421,574):{'3_1':0.0,'7_1':0.0},(421,572):{'3_1':0.0},(421,571):{'4_1':0.0,'9_1':0.0},(421,570):{'3_1':0.0},(421,569):{'3_1':0.0},(421,568):{'3_1':0.0},(421,567):{'3_1':0.0},(421,566):{'3_1':0.0},(421,565):{'4_1':0.0},(421,564):{'3_1':0.0},(421,563):{'3_1':0.0,'4_1':0.0},(421,562):{'6_1':0.0},(421,561):{'3_1':0.0,'5_1':0.0},(421,560):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(421,559):{'5_1':0.0,'3_1':0.0,'7_1':0.0},(421,558):{'3_1':0.03,'4_1':0.0},(421,557):{'3_1':0.03,'5_1':0.0},(421,556):{'3_1':0.0,'5_1':0.0},(421,555):{'3_1':0.0},(421,554):{'3_1':0.06},(421,553):{'3_1':0.0},(421,552):{'3_1':0.03},(421,551):{'3_1':0.0,'4_1':0.0},(421,550):{'3_1':0.06,'5_1':0.0},(421,549):{'3_1':0.0,'4_1':0.0},(421,548):{'3_1':0.03,'5_1':0.0},(421,547):{'3_1':0.03},(421,546):{'3_1':0.06,'5_1':0.0},(421,545):{'4_1':0.0},(421,544):{'3_1':0.03},(421,543):{'3_1':0.03,'4_1':0.0},(421,542):{'3_1':0.06,'4_1':0.0},(421,541):{'3_1':0.09,'5_1':0.0},(421,540):{'3_1':0.0},(421,539):{'3_1':0.0},(421,538):{'3_1':0.0},(421,537):{'3_1':0.0},(421,536):{'3_1':0.0},(421,535):{'3_1':0.0,'5_1':0.0},(421,534):{'3_1':0.0},(421,533):{'3_1':0.0},(421,532):{'3_1':0.0},(421,530):{'3_1':0.03},(421,529):{'3_1':0.0},(421,528):{'4_1':0.0,'5_2':0.0},(421,527):{'3_1':0.0},(421,526):{'3_1':0.0},(421,525):{'3_1':0.0},(421,524):{'5_2':0.0},(421,523):{'3_1':0.0},(421,521):{'3_1':0.0},(421,520):{'3_1':0.0,'5_1':0.0},(421,519):{'3_1':0.03},(421,518):{'3_1':0.0},(421,517):{'3_1':0.0},(421,516):{'3_1':0.0},(421,515):{'3_1':0.0},(421,514):{'3_1':0.0},(421,513):{'3_1':0.0},(421,512):{'3_1':0.0},(421,510):{'3_1':0.0},(421,501):{'3_1':0.0},(421,500):{'3_1':0.0},(421,499):{'3_1':0.0},(421,497):{'3_1':0.0},(421,493):{'3_1':0.0},(421,492):{'3_1':0.0},(421,485):{'3_1':0.0},(421,484):{'3_1':0.0},(421,482):{'3_1':0.0},(421,481):{'3_1':0.0},(421,478):{'3_1':0.0},(422,727):{'3_1':0.03},(422,726):{'3_1':0.0},(422,725):{'3_1':0.0,'7_3':0.0},(422,724):{'3_1':0.0,'5_2':0.0},(422,723):{'3_1':0.0},(422,722):{'3_1':0.0},(422,721):{'3_1':0.0,'5_2':0.0},(422,720):{'3_1':0.0},(422,719):{'3_1':0.0},(422,718):{'3_1':0.03},(422,717):{'3_1':0.03},(422,716):{'3_1':0.0,'4_1':0.0},(422,715):{'3_1':0.03,'5_1':0.0},(422,714):{'3_1':0.0},(422,713):{'3_1':0.0,'5_1':0.0},(422,712):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(422,711):{'3_1':0.0},(422,710):{'3_1':0.0,'4_1':0.0},(422,709):{'3_1':0.03},(422,708):{'3_1':0.0,'5_2':0.0},(422,707):{'3_1':0.0,'5_2':0.0},(422,706):{'3_1':0.03},(422,705):{'3_1':0.03,'6_2':0.0},(422,704):{'3_1':0.0},(422,703):{'3_1':0.0},(422,702):{'3_1':0.03},(422,701):{'3_1':0.06},(422,700):{'3_1':0.0,'4_1':0.0},(422,699):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(422,698):{'3_1':0.03},(422,697):{'3_1':0.03,'4_1':0.0},(422,696):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(422,695):{'3_1':0.03,'5_1':0.0},(422,694):{'3_1':0.03},(422,693):{'3_1':0.0,'5_1':0.0},(422,692):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(422,691):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(422,690):{'3_1':0.06},(422,689):{'3_1':0.03},(422,688):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(422,687):{'3_1':0.03},(422,686):{'3_1':0.03},(422,685):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(422,684):{'3_1':0.0,'-3':0.0},(422,683):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(422,682):{'3_1':0.03},(422,681):{'3_1':0.0,'5_1':0.0},(422,680):{'3_1':0.0},(422,679):{'3_1':0.0,'4_1':0.0},(422,678):{'3_1':0.0},(422,677):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(422,676):{'3_1':0.03},(422,675):{'3_1':0.03,'4_1':0.0},(422,674):{'3_1':0.06,'4_1':0.0,'8_4':0.0},(422,672):{'3_1':0.0},(422,671):{'3_1':0.0,'6_1':0.0,'7_1':0.0},(422,670):{'3_1':0.0,'4_1':0.0},(422,669):{'3_1':0.0,'7_1':0.0},(422,668):{'6_1':0.0},(422,667):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(422,666):{'3_1':0.0,'5_2':0.0},(422,665):{'3_1':0.0,'4_1':0.0},(422,664):{'3_1':0.0,'4_1':0.0},(422,663):{'3_1':0.03},(422,662):{'3_1':0.03,'6_2':0.0},(422,661):{'3_1':0.03},(422,660):{'3_1':0.03,'8_20|3_1#3_1':0.0},(422,659):{'3_1':0.06},(422,658):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(422,657):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(422,656):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(422,655):{'3_1':0.03},(422,654):{'3_1':0.0},(422,653):{'3_1':0.03,'5_1':0.0},(422,652):{'3_1':0.0,'5_1':0.0},(422,651):{'3_1':0.03},(422,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(422,649):{'3_1':0.03,'4_1':0.0},(422,648):{'3_1':0.03},(422,647):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(422,646):{'3_1':0.03,'4_1':0.0},(422,645):{'3_1':0.03},(422,644):{'3_1':0.06,'8_6':0.0},(422,643):{'3_1':0.03,'6_1':0.0},(422,642):{'3_1':0.0,'4_1':0.0},(422,641):{'3_1':0.03,'5_2':0.0},(422,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(422,639):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(422,638):{'3_1':0.0,'5_2':0.0},(422,637):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(422,636):{'3_1':0.03},(422,635):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(422,634):{'3_1':0.03,'4_1':0.0},(422,633):{'3_1':0.0},(422,632):{'3_1':0.09},(422,631):{'3_1':0.03,'5_1':0.0},(422,630):{'3_1':0.03},(422,628):{'3_1':0.03},(422,627):{'3_1':0.06},(422,626):{'3_1':0.03,'4_1':0.0,'7_4':0.0},(422,625):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(422,624):{'3_1':0.03},(422,623):{'3_1':0.03,'7_2':0.0},(422,622):{'3_1':0.06,'4_1':0.0},(422,621):{'3_1':0.0,'4_1':0.0},(422,620):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(422,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'-3':0.0},(422,618):{'3_1':0.06,'-3':0.0},(422,617):{'3_1':0.03,'4_1':0.0},(422,616):{'3_1':0.06,'4_1':0.0},(422,615):{'3_1':0.03},(422,614):{'3_1':0.03},(422,613):{'3_1':0.03,'5_2':0.0},(422,612):{'3_1':0.0,'5_1':0.0},(422,611):{'3_1':0.03,'5_1':0.0},(422,610):{'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_2':0.0},(422,609):{'3_1':0.0},(422,608):{'3_1':0.03},(422,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(422,606):{'4_1':0.0,'5_1':0.0},(422,605):{'3_1':0.03},(422,604):{'3_1':0.0,'6_3':0.0},(422,603):{'3_1':0.0,'6_1':0.0},(422,601):{'3_1':0.0},(422,600):{'3_1':0.0,'4_1':0.0},(422,599):{'3_1':0.0,'4_1':0.0},(422,598):{'3_1':0.03,'4_1':0.0},(422,597):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(422,596):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(422,595):{'3_1':0.03},(422,594):{'3_1':0.0,'4_1':0.0},(422,593):{'3_1':0.0},(422,592):{'3_1':0.0},(422,591):{'3_1':0.0,'4_1':0.0},(422,590):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(422,589):{'3_1':0.0},(422,588):{'3_1':0.0,'4_1':0.0},(422,587):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(422,586):{'3_1':0.0,'4_1':0.0},(422,585):{'3_1':0.0,'4_1':0.0},(422,584):{'3_1':0.0,'4_1':0.0},(422,583):{'3_1':0.0},(422,582):{'3_1':0.0,'4_1':0.0},(422,581):{'3_1':0.0},(422,580):{'3_1':0.0},(422,579):{'3_1':0.03,'4_1':0.0},(422,578):{'3_1':0.03},(422,577):{'3_1':0.0,'4_1':0.0},(422,576):{'3_1':0.0},(422,575):{'3_1':0.0},(422,574):{'3_1':0.0,'4_1':0.0},(422,573):{'5_1':0.0,'3_1':0.0},(422,572):{'3_1':0.03,'4_1':0.0},(422,571):{'3_1':0.0},(422,570):{'3_1':0.0},(422,569):{'3_1':0.0},(422,568):{'3_1':0.0,'5_1':0.0},(422,567):{'3_1':0.0,'5_1':0.0},(422,566):{'3_1':0.0,'4_1':0.0},(422,565):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(422,564):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(422,563):{'3_1':0.06,'4_1':0.0},(422,562):{'3_1':0.0},(422,561):{'3_1':0.03,'8_1':0.0},(422,560):{'4_1':0.0,'3_1':0.0},(422,559):{'4_1':0.0,'3_1':0.0},(422,558):{'3_1':0.0,'4_1':0.0},(422,557):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(422,556):{'3_1':0.0},(422,555):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(422,554):{'3_1':0.03},(422,553):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(422,552):{'3_1':0.0,'5_1':0.0},(422,551):{'3_1':0.03},(422,550):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(422,549):{'3_1':0.06,'6_1':0.0},(422,548):{'3_1':0.0},(422,547):{'3_1':0.03},(422,546):{'3_1':0.03,'4_1':0.0},(422,545):{'3_1':0.06},(422,544):{'3_1':0.06,'4_1':0.0},(422,543):{'3_1':0.09},(422,542):{'3_1':0.12,'4_1':0.0,'9_1':0.0},(422,541):{'3_1':0.03,'9_1':0.0},(422,540):{'3_1':0.06,'9_1':0.0},(422,539):{'3_1':0.0},(422,538):{'3_1':0.0,'-3':0.0},(422,537):{'3_1':0.0},(422,536):{'3_1':0.0,'7_1':0.0},(422,535):{'5_1':0.0},(422,534):{'3_1':0.0},(422,533):{'3_1':0.0},(422,532):{'7_1':0.0},(422,531):{'3_1':0.0},(422,530):{'3_1':0.03,'7_1':0.0},(422,529):{'3_1':0.0,'7_1':0.0},(422,528):{'3_1':0.0},(422,524):{'3_1':0.0,'5_1':0.0},(422,523):{'3_1':0.0},(422,522):{'3_1':0.03},(422,521):{'3_1':0.0},(422,520):{'3_1':0.0},(422,519):{'3_1':0.0},(422,516):{'3_1':0.0},(422,515):{'3_1':0.0,'5_1':0.0},(422,514):{'3_1':0.0,'5_1':0.0},(422,513):{'3_1':0.0},(422,512):{'3_1':0.0},(422,511):{'3_1':0.0},(422,509):{'7_4':0.0},(422,506):{'3_1':0.0},(422,505):{'3_1':0.0},(422,504):{'3_1':0.0},(422,501):{'3_1':0.0},(422,500):{'3_1':0.0},(422,499):{'3_1':0.0},(422,498):{'3_1':0.0},(422,497):{'3_1':0.0},(422,496):{'3_1':0.0,'5_1':0.0},(422,495):{'3_1':0.0},(422,494):{'3_1':0.0},(422,493):{'3_1':0.0},(422,492):{'3_1':0.0},(422,491):{'3_1':0.0},(422,489):{'3_1':0.0},(422,485):{'3_1':0.0},(422,483):{'3_1':0.0},(422,481):{'3_1':0.0},(423,727):{'3_1':0.0},(423,726):{'3_1':0.0},(423,725):{'3_1':0.0},(423,724):{'3_1':0.0,'4_1':0.0},(423,723):{'3_1':0.0},(423,722):{'3_1':0.0,'4_1':0.0},(423,721):{'3_1':0.0},(423,719):{'3_1':0.0},(423,718):{'5_1':0.0},(423,717):{'3_1':0.0},(423,716):{'3_1':0.0},(423,715):{'4_1':0.0,'5_1':0.0},(423,714):{'3_1':0.0},(423,712):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(423,711):{'3_1':0.03},(423,710):{'3_1':0.0,'5_1':0.0},(423,709):{'3_1':0.03,'4_1':0.0},(423,708):{'3_1':0.03},(423,707):{'3_1':0.03},(423,706):{'3_1':0.0,'4_1':0.0},(423,705):{'3_1':0.03,'5_2':0.0},(423,704):{'3_1':0.03},(423,703):{'3_1':0.03,'9_1':0.0,'7_1':0.0},(423,702):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(423,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(423,700):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(423,699):{'3_1':0.0},(423,698):{'3_1':0.03,'7_1':0.0},(423,697):{'3_1':0.06,'6_2':0.0},(423,696):{'3_1':0.03},(423,695):{'3_1':0.03},(423,694):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(423,693):{'3_1':0.03,'7_1':0.0},(423,692):{'3_1':0.0,'7_1':0.0,'5_1':0.0,'5_2':0.0},(423,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(423,690):{'3_1':0.0,'5_1':0.0},(423,689):{'3_1':0.03,'4_1':0.0},(423,688):{'3_1':0.06,'4_1':0.0},(423,687):{'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_1':0.0},(423,686):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(423,685):{'3_1':0.0},(423,684):{'3_1':0.03},(423,683):{'3_1':0.03,'5_1':0.0},(423,682):{'3_1':0.0},(423,681):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(423,680):{'3_1':0.03,'9_1':0.0},(423,679):{'4_1':0.03,'3_1':0.03},(423,678):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(423,677):{'3_1':0.0,'7_6':0.0},(423,676):{'3_1':0.0,'7_1':0.0},(423,675):{'3_1':0.0,'6_1':0.0,'9_1':0.0},(423,673):{'4_1':0.0},(423,672):{'3_1':0.0},(423,671):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(423,670):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(423,669):{'3_1':0.0,'4_1':0.0},(423,668):{'3_1':0.0,'4_1':0.0},(423,667):{'3_1':0.0},(423,666):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(423,665):{'3_1':0.0,'9_1':0.0},(423,664):{'4_1':0.0,'3_1':0.0,'9_1':0.0},(423,663):{'3_1':0.03,'4_1':0.0},(423,662):{'3_1':0.03,'5_1':0.0},(423,661):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(423,660):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(423,659):{'3_1':0.03,'5_1':0.0},(423,658):{'3_1':0.0},(423,657):{'3_1':0.0,'4_1':0.0},(423,656):{'3_1':0.0,'4_1':0.0},(423,655):{'3_1':0.0},(423,654):{'3_1':0.0,'4_1':0.0},(423,653):{'3_1':0.0,'7_3':0.0},(423,652):{'3_1':0.03,'6_2':0.0},(423,651):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(423,650):{'3_1':0.0,'6_2':0.0},(423,649):{'3_1':0.03},(423,648):{'3_1':0.03},(423,647):{'3_1':0.06},(423,646):{'3_1':0.03},(423,645):{'3_1':0.06,'6_1':0.0},(423,644):{'3_1':0.0},(423,643):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(423,642):{'3_1':0.0,'4_1':0.0},(423,641):{'3_1':0.03},(423,640):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(423,639):{'3_1':0.03},(423,638):{'3_1':0.09},(423,637):{'3_1':0.0,'5_2':0.0},(423,636):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(423,635):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(423,634):{'3_1':0.0,'4_1':0.0},(423,633):{'3_1':0.03},(423,632):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(423,631):{'3_1':0.03,'5_1':0.0},(423,630):{'5_1':0.03,'3_1':0.0,'4_1':0.0},(423,629):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(423,628):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(423,627):{'3_1':0.0,'4_1':0.0},(423,626):{'3_1':0.0},(423,625):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(423,624):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(423,623):{'3_1':0.03,'4_1':0.0},(423,622):{'3_1':0.0,'4_1':0.0},(423,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(423,620):{'3_1':0.0,'4_1':0.0},(423,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(423,618):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(423,617):{'3_1':0.03},(423,616):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(423,615):{'3_1':0.03,'4_1':0.0},(423,614):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(423,613):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(423,612):{'3_1':0.0,'7_1':0.0},(423,611):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(423,610):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(423,609):{'3_1':0.03,'4_1':0.0},(423,608):{'3_1':0.03},(423,607):{'3_1':0.0},(423,606):{'4_1':0.0,'3_1':0.0},(423,605):{'3_1':0.0},(423,604):{'4_1':0.0},(423,603):{'3_1':0.0,'4_1':0.0},(423,601):{'3_1':0.0},(423,600):{'4_1':0.0,'3_1':0.0},(423,599):{'3_1':0.03,'4_1':0.0},(423,598):{'3_1':0.03,'4_1':0.0},(423,597):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(423,596):{'3_1':0.03,'4_1':0.0},(423,595):{'4_1':0.0},(423,594):{'4_1':0.0},(423,593):{'4_1':0.0},(423,592):{'5_1':0.0,'3_1':0.0,'5_2':0.0},(423,591):{'3_1':0.06,'8_21|3_1#4_1':0.0},(423,590):{'3_1':0.0},(423,589):{'3_1':0.03,'4_1':0.03},(423,588):{'3_1':0.0},(423,587):{'3_1':0.03},(423,586):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(423,585):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(423,584):{'3_1':0.0,'5_2':0.0},(423,583):{'3_1':0.03,'4_1':0.0},(423,582):{'3_1':0.0,'4_1':0.0},(423,581):{'3_1':0.0},(423,580):{'3_1':0.0},(423,579):{'3_1':0.03},(423,578):{'3_1':0.0,'7_1':0.0},(423,577):{'3_1':0.03},(423,576):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(423,575):{'3_1':0.03,'4_1':0.0},(423,574):{'3_1':0.03,'4_1':0.0},(423,573):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(423,572):{'3_1':0.0,'4_1':0.0},(423,571):{'3_1':0.0,'4_1':0.0},(423,570):{'3_1':0.0},(423,569):{'3_1':0.0,'4_1':0.0},(423,568):{'3_1':0.03,'4_1':0.0},(423,567):{'3_1':0.03},(423,566):{'3_1':0.0,'4_1':0.0},(423,565):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(423,564):{'3_1':0.0,'-3':0.0},(423,563):{'3_1':0.03,'4_1':0.0},(423,562):{'3_1':0.0,'9_1':0.0},(423,561):{'3_1':0.03,'4_1':0.0},(423,560):{'3_1':0.0},(423,559):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(423,558):{'3_1':0.0,'4_1':0.0},(423,557):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(423,556):{'3_1':0.0,'7_1':0.0,'4_1':0.0,'5_1':0.0},(423,555):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(423,554):{'3_1':0.03,'5_1':0.0},(423,553):{'3_1':0.03,'4_1':0.0},(423,552):{'3_1':0.03,'5_1':0.0},(423,551):{'3_1':0.06},(423,550):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(423,549):{'3_1':0.03,'5_1':0.0},(423,548):{'3_1':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0},(423,547):{'3_1':0.0},(423,546):{'3_1':0.09},(423,545):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(423,544):{'3_1':0.03,'5_1':0.0},(423,543):{'3_1':0.06},(423,542):{'3_1':0.12},(423,541):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(423,540):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(423,539):{'3_1':0.03},(423,538):{'3_1':0.03,'7_1':0.0},(423,537):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(423,535):{'3_1':0.03},(423,534):{'3_1':0.0},(423,533):{'3_1':0.0},(423,532):{'3_1':0.0,'5_1':0.0},(423,530):{'3_1':0.03,'5_2':0.0},(423,529):{'3_1':0.0},(423,528):{'3_1':0.0},(423,527):{'3_1':0.0},(423,526):{'3_1':0.0},(423,525):{'3_1':0.0},(423,524):{'3_1':0.0},(423,523):{'4_1':0.0},(423,522):{'3_1':0.03},(423,521):{'3_1':0.0},(423,520):{'3_1':0.0},(423,518):{'3_1':0.0},(423,517):{'3_1':0.0},(423,516):{'3_1':0.0},(423,514):{'3_1':0.0},(423,512):{'3_1':0.0},(423,511):{'3_1':0.0},(423,510):{'3_1':0.0},(423,509):{'4_1':0.0},(423,508):{'3_1':0.0},(423,504):{'3_1':0.0},(423,501):{'3_1':0.0},(423,498):{'5_2':0.0},(423,497):{'3_1':0.0},(423,494):{'3_1':0.0},(423,493):{'3_1':0.0},(423,492):{'3_1':0.0},(423,490):{'3_1':0.0},(423,489):{'3_1':0.0},(423,484):{'5_1':0.0},(423,483):{'3_1':0.0},(423,482):{'3_1':0.0},(423,479):{'3_1':0.0},(423,478):{'3_1':0.0},(424,725):{'3_1':0.0},(424,724):{'3_1':0.03},(424,723):{'3_1':0.0},(424,722):{'5_1':0.0},(424,721):{'3_1':0.0},(424,720):{'5_2':0.0,'7_1':0.0},(424,719):{'3_1':0.0},(424,718):{'3_1':0.06},(424,717):{'3_1':0.0},(424,716):{'3_1':0.03},(424,715):{'3_1':0.0},(424,714):{'3_1':0.0},(424,713):{'3_1':0.03},(424,712):{'3_1':0.0},(424,711):{'3_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(424,710):{'3_1':0.0},(424,709):{'3_1':0.0,'5_1':0.0},(424,708):{'3_1':0.0,'7_1':0.0},(424,706):{'3_1':0.03,'4_1':0.0},(424,705):{'3_1':0.03},(424,704):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(424,703):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(424,702):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(424,701):{'3_1':0.03,'4_1':0.0},(424,700):{'3_1':0.06,'4_1':0.0},(424,699):{'5_2':0.0},(424,698):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(424,697):{'3_1':0.03},(424,696):{'3_1':0.03,'4_1':0.0},(424,695):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(424,694):{'3_1':0.06,'5_1':0.0},(424,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(424,692):{'3_1':0.0,'4_1':0.0},(424,691):{'3_1':0.03,'4_1':0.0},(424,690):{'3_1':0.03,'5_1':0.0},(424,689):{'3_1':0.03},(424,688):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(424,687):{'3_1':0.03},(424,686):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(424,685):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(424,684):{'5_1':0.0,'3_1':0.0,'5_2':0.0,'6_1':0.0,'-3':0.0},(424,683):{'3_1':0.03,'5_1':0.0},(424,682):{'3_1':0.03,'4_1':0.0},(424,681):{'3_1':0.0,'4_1':0.0},(424,680):{'3_1':0.03,'4_1':0.0},(424,679):{'3_1':0.0,'4_1':0.0},(424,678):{'3_1':0.03,'7_1':0.0},(424,677):{'3_1':0.03},(424,676):{'3_1':0.0,'5_2':0.0},(424,675):{'3_1':0.0,'4_1':0.0},(424,674):{'3_1':0.0,'4_1':0.0},(424,673):{'3_1':0.03},(424,672):{'3_1':0.0},(424,671):{'3_1':0.03,'5_2':0.0},(424,670):{'3_1':0.0},(424,669):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(424,668):{'3_1':0.03,'4_1':0.0},(424,667):{'3_1':0.0,'4_1':0.0},(424,666):{'3_1':0.03},(424,665):{'3_1':0.0},(424,664):{'4_1':0.0},(424,663):{'3_1':0.03},(424,662):{'3_1':0.03,'4_1':0.0},(424,661):{'4_1':0.0,'3_1':0.0},(424,660):{'3_1':0.0,'4_1':0.0},(424,659):{'3_1':0.03,'8_1':0.0},(424,658):{'3_1':0.0,'5_2':0.0},(424,657):{'3_1':0.06},(424,656):{'3_1':0.03,'5_1':0.0},(424,655):{'3_1':0.0},(424,654):{'3_1':0.03},(424,653):{'3_1':0.0,'4_1':0.0},(424,652):{'3_1':0.0},(424,651):{'3_1':0.0,'4_1':0.0},(424,650):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(424,649):{'3_1':0.03,'4_1':0.0},(424,648):{'3_1':0.03},(424,647):{'3_1':0.03,'5_2':0.0},(424,646):{'5_1':0.0,'8_20|3_1#3_1':0.0},(424,645):{'3_1':0.03},(424,644):{'3_1':0.03},(424,643):{'3_1':0.06,'4_1':0.0},(424,642):{'3_1':0.03,'5_1':0.0},(424,641):{'3_1':0.03,'5_1':0.0},(424,640):{'3_1':0.03,'5_1':0.0},(424,639):{'3_1':0.06,'4_1':0.0},(424,638):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(424,637):{'3_1':0.03,'5_2':0.0},(424,636):{'3_1':0.03,'5_2':0.0},(424,635):{'3_1':0.03,'5_2':0.0},(424,634):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(424,633):{'3_1':0.0},(424,632):{'3_1':0.0},(424,631):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(424,630):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(424,629):{'3_1':0.03},(424,628):{'3_1':0.0,'5_1':0.0},(424,627):{'3_1':0.0,'4_1':0.0},(424,626):{'3_1':0.03,'5_2':0.0},(424,625):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(424,624):{'3_1':0.03,'4_1':0.0},(424,623):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(424,622):{'3_1':0.06},(424,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(424,620):{'3_1':0.03},(424,619):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(424,618):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(424,617):{'3_1':0.03,'4_1':0.0},(424,616):{'3_1':0.0},(424,615):{'3_1':0.06},(424,614):{'5_2':0.0},(424,613):{'3_1':0.0,'5_1':0.0},(424,612):{'3_1':0.06},(424,611):{'3_1':0.03},(424,610):{'3_1':0.03},(424,609):{'3_1':0.03},(424,608):{'3_1':0.0},(424,607):{'3_1':0.03,'4_1':0.0},(424,606):{'3_1':0.0},(424,604):{'7_1':0.0},(424,603):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(424,601):{'3_1':0.0,'5_1':0.0},(424,600):{'3_1':0.0,'4_1':0.0},(424,599):{'3_1':0.0,'4_1':0.0},(424,598):{'3_1':0.0,'4_1':0.0},(424,597):{'3_1':0.0,'4_1':0.0},(424,596):{'3_1':0.0,'4_1':0.0},(424,595):{'3_1':0.0,'4_1':0.0},(424,594):{'3_1':0.0,'4_1':0.0},(424,593):{'3_1':0.0},(424,592):{'3_1':0.0,'4_1':0.0},(424,591):{'4_1':0.0},(424,590):{'3_1':0.0,'4_1':0.0},(424,589):{'3_1':0.03,'4_1':0.0},(424,588):{'3_1':0.0},(424,587):{'3_1':0.03,'4_1':0.0},(424,586):{'5_1':0.0,'3_1':0.0},(424,585):{'3_1':0.03,'4_1':0.0},(424,584):{'3_1':0.0},(424,583):{'3_1':0.03,'6_1':0.0,'7_1':0.0},(424,582):{'4_1':0.0},(424,581):{'3_1':0.0,'4_1':0.0},(424,580):{'3_1':0.03},(424,579):{'4_1':0.0},(424,578):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(424,577):{'3_1':0.0,'4_1':0.0},(424,576):{'4_1':0.0},(424,575):{'4_1':0.0,'3_1':0.0},(424,574):{'3_1':0.03},(424,573):{'3_1':0.0},(424,572):{'3_1':0.0},(424,571):{'3_1':0.03},(424,570):{'3_1':0.0},(424,569):{'3_1':0.0},(424,568):{'3_1':0.03},(424,567):{'3_1':0.03},(424,566):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(424,565):{'3_1':0.0},(424,564):{'3_1':0.0,'4_1':0.0},(424,563):{'4_1':0.0,'3_1':0.0},(424,562):{'3_1':0.0,'5_1':0.0},(424,561):{'3_1':0.0,'4_1':0.0},(424,560):{'3_1':0.06},(424,559):{'3_1':0.0},(424,558):{'4_1':0.0,'3_1':0.0},(424,557):{'3_1':0.03},(424,556):{'3_1':0.03,'4_1':0.0},(424,555):{'3_1':0.0,'4_1':0.0},(424,554):{'3_1':0.03},(424,553):{'3_1':0.03,'5_1':0.0},(424,552):{'4_1':0.0,'3_1':0.0},(424,551):{'3_1':0.03,'4_1':0.0},(424,550):{'3_1':0.03},(424,549):{'3_1':0.0},(424,548):{'3_1':0.06},(424,547):{'3_1':0.0},(424,546):{'3_1':0.03,'4_1':0.0},(424,545):{'3_1':0.06},(424,544):{'3_1':0.06},(424,543):{'3_1':0.03},(424,542):{'3_1':0.06},(424,541):{'3_1':0.06},(424,540):{'3_1':0.0},(424,539):{'3_1':0.0,'5_1':0.0},(424,537):{'3_1':0.03,'5_1':0.0},(424,536):{'3_1':0.0},(424,535):{'3_1':0.0},(424,534):{'3_1':0.0},(424,533):{'3_1':0.03},(424,532):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(424,531):{'3_1':0.0},(424,530):{'3_1':0.0},(424,528):{'3_1':0.0,'5_1':0.0},(424,527):{'3_1':0.0},(424,526):{'3_1':0.0},(424,525):{'3_1':0.03},(424,524):{'3_1':0.0},(424,523):{'3_1':0.0},(424,522):{'3_1':0.03},(424,521):{'3_1':0.0},(424,520):{'3_1':0.0},(424,519):{'3_1':0.0},(424,518):{'3_1':0.0},(424,517):{'3_1':0.0},(424,516):{'3_1':0.0},(424,513):{'3_1':0.0},(424,512):{'3_1':0.0},(424,511):{'3_1':0.0},(424,510):{'3_1':0.0},(424,509):{'3_1':0.0},(424,500):{'3_1':0.0},(424,499):{'3_1':0.0},(424,496):{'3_1':0.0},(424,489):{'3_1':0.0},(424,484):{'3_1':0.0},(424,483):{'3_1':0.0},(424,481):{'3_1':0.0},(425,726):{'3_1':0.0},(425,725):{'3_1':0.0},(425,724):{'3_1':0.03,'5_2':0.0},(425,723):{'3_1':0.0},(425,722):{'3_1':0.0,'4_1':0.0},(425,721):{'3_1':0.0},(425,720):{'3_1':0.0},(425,719):{'3_1':0.0},(425,718):{'3_1':0.0},(425,717):{'3_1':0.0,'4_1':0.0},(425,716):{'3_1':0.0},(425,715):{'3_1':0.0},(425,714):{'3_1':0.03},(425,713):{'3_1':0.03},(425,712):{'3_1':0.0},(425,711):{'3_1':0.0},(425,710):{'3_1':0.0},(425,709):{'3_1':0.0},(425,708):{'3_1':0.0},(425,707):{'3_1':0.0},(425,706):{'3_1':0.0,'5_1':0.0},(425,705):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(425,704):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(425,703):{'3_1':0.03,'5_1':0.0},(425,702):{'3_1':0.03,'5_1':0.0},(425,701):{'3_1':0.0},(425,700):{'3_1':0.0},(425,699):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(425,698):{'3_1':0.0,'5_2':0.0},(425,697):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(425,696):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(425,695):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(425,694):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(425,693):{'5_2':0.0,'6_2':0.0},(425,692):{'3_1':0.03},(425,691):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(425,690):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(425,689):{'3_1':0.0,'5_1':0.0},(425,688):{'3_1':0.0},(425,687):{'3_1':0.03},(425,686):{'3_1':0.03},(425,685):{'3_1':0.0,'7_1':0.0,'7_2':0.0,'8_1':0.0},(425,684):{'3_1':0.0},(425,683):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(425,682):{'5_1':0.0},(425,681):{'3_1':0.03},(425,680):{'4_1':0.0},(425,679):{'4_1':0.0,'3_1':0.0},(425,678):{'3_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(425,677):{'3_1':0.0,'4_1':0.0},(425,676):{'3_1':0.03,'4_1':0.0},(425,675):{'3_1':0.0,'4_1':0.0},(425,674):{'3_1':0.0,'4_1':0.0},(425,673):{'3_1':0.0,'5_1':0.0},(425,672):{'3_1':0.0},(425,671):{'3_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(425,670):{'3_1':0.0},(425,669):{'3_1':0.0},(425,668):{'3_1':0.0,'4_1':0.0},(425,667):{'3_1':0.0},(425,666):{'3_1':0.0},(425,665):{'3_1':0.0},(425,664):{'3_1':0.0,'4_1':0.0},(425,663):{'3_1':0.0},(425,662):{'3_1':0.03,'4_1':0.0},(425,661):{'4_1':0.0,'5_2':0.0},(425,660):{'3_1':0.0},(425,659):{'3_1':0.0,'4_1':0.0},(425,658):{'3_1':0.03},(425,657):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(425,656):{'3_1':0.0,'4_1':0.0},(425,655):{'3_1':0.0,'4_1':0.0},(425,654):{'3_1':0.0},(425,653):{'3_1':0.0},(425,652):{'3_1':0.06},(425,651):{'3_1':0.0},(425,650):{'3_1':0.0},(425,649):{'3_1':0.0,'4_1':0.0},(425,648):{'3_1':0.0,'5_2':0.0},(425,647):{'3_1':0.03,'5_1':0.0},(425,646):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(425,645):{'3_1':0.0},(425,644):{'3_1':0.0,'5_2':0.0},(425,643):{'3_1':0.06},(425,642):{'3_1':0.03,'5_1':0.0},(425,641):{'3_1':0.03},(425,640):{'3_1':0.06,'5_2':0.0},(425,639):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(425,638):{'3_1':0.03,'5_2':0.0},(425,637):{'3_1':0.0},(425,636):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(425,635):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(425,634):{'3_1':0.0},(425,633):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(425,632):{'3_1':0.06},(425,631):{'3_1':0.03},(425,630):{'3_1':0.06,'5_1':0.0},(425,629):{'3_1':0.03,'5_2':0.0},(425,628):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(425,627):{'4_1':0.0},(425,626):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(425,625):{'3_1':0.0,'4_1':0.0},(425,624):{'3_1':0.0,'4_1':0.0},(425,623):{'3_1':0.03,'5_1':0.0},(425,622):{'3_1':0.06,'4_1':0.0},(425,621):{'3_1':0.0,'5_1':0.0},(425,620):{'3_1':0.06,'4_1':0.0},(425,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(425,618):{'3_1':0.0,'5_1':0.0},(425,617):{'3_1':0.0},(425,616):{'3_1':0.0,'5_2':0.0},(425,615):{'3_1':0.03,'4_1':0.0},(425,614):{'3_1':0.0},(425,613):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(425,612):{'4_1':0.0},(425,611):{'3_1':0.0,'4_1':0.0},(425,610):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(425,609):{'3_1':0.0},(425,608):{'3_1':0.0,'7_1':0.0},(425,607):{'3_1':0.0},(425,606):{'3_1':0.0},(425,605):{'3_1':0.0},(425,603):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(425,601):{'3_1':0.0,'6_2':0.0},(425,600):{'3_1':0.0},(425,599):{'3_1':0.0},(425,598):{'3_1':0.03},(425,597):{'4_1':0.0,'3_1':0.0},(425,596):{'3_1':0.03,'4_1':0.0},(425,594):{'4_1':0.0,'5_2':0.0},(425,593):{'4_1':0.03,'3_1':0.0},(425,592):{'4_1':0.0},(425,591):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(425,590):{'3_1':0.0,'4_1':0.0},(425,588):{'8_3':0.0},(425,586):{'3_1':0.0,'5_2':0.0},(425,585):{'3_1':0.0},(425,584):{'3_1':0.0},(425,583):{'4_1':0.0},(425,582):{'4_1':0.0},(425,581):{'4_1':0.0},(425,580):{'3_1':0.0},(425,579):{'3_1':0.0},(425,578):{'3_1':0.0},(425,577):{'3_1':0.0},(425,576):{'4_1':0.0},(425,575):{'3_1':0.0},(425,573):{'3_1':0.0},(425,572):{'3_1':0.0},(425,571):{'3_1':0.0},(425,569):{'3_1':0.0,'4_1':0.0},(425,568):{'3_1':0.0},(425,567):{'6_2':0.0},(425,566):{'3_1':0.0,'4_1':0.0},(425,565):{'3_1':0.0,'4_1':0.0},(425,564):{'3_1':0.0},(425,563):{'3_1':0.0},(425,561):{'3_1':0.03,'4_1':0.0},(425,560):{'3_1':0.0,'4_1':0.0},(425,559):{'3_1':0.0},(425,558):{'4_1':0.0},(425,557):{'3_1':0.03},(425,556):{'3_1':0.0,'4_1':0.0},(425,555):{'3_1':0.0},(425,554):{'3_1':0.03},(425,553):{'3_1':0.0,'4_1':0.0},(425,552):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(425,551):{'3_1':0.06},(425,550):{'3_1':0.09,'5_1':0.0},(425,549):{'3_1':0.0,'4_1':0.0},(425,548):{'3_1':0.0},(425,547):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'7_1':0.0},(425,546):{'3_1':0.03,'5_1':0.0},(425,545):{'3_1':0.0,'4_1':0.0},(425,544):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(425,543):{'3_1':0.03,'4_1':0.0},(425,542):{'3_1':0.06,'5_1':0.0},(425,541):{'3_1':0.03},(425,540):{'3_1':0.0},(425,539):{'3_1':0.0},(425,538):{'3_1':0.03},(425,537):{'3_1':0.0,'7_1':0.0},(425,535):{'5_1':0.0,'7_1':0.0},(425,534):{'3_1':0.0},(425,532):{'3_1':0.0},(425,531):{'3_1':0.0},(425,530):{'3_1':0.03},(425,529):{'3_1':0.03,'5_1':0.0},(425,528):{'3_1':0.0},(425,526):{'3_1':0.0},(425,525):{'3_1':0.03},(425,524):{'3_1':0.0},(425,523):{'3_1':0.0},(425,522):{'3_1':0.0},(425,520):{'3_1':0.0},(425,519):{'3_1':0.0},(425,518):{'3_1':0.0},(425,517):{'3_1':0.0},(425,516):{'3_1':0.0},(425,514):{'3_1':0.0},(425,513):{'3_1':0.0},(425,511):{'3_1':0.0},(425,510):{'3_1':0.0},(425,507):{'3_1':0.0},(425,506):{'3_1':0.0},(425,505):{'3_1':0.0},(425,496):{'3_1':0.0},(425,491):{'3_1':0.0},(425,489):{'3_1':0.0},(425,486):{'3_1':0.0},(425,485):{'3_1':0.0},(425,483):{'3_1':0.0},(425,482):{'3_1':0.0},(425,479):{'3_1':0.0},(426,727):{'3_1':0.0,'6_1':0.0},(426,726):{'3_1':0.0},(426,725):{'3_1':0.0,'4_1':0.0},(426,724):{'3_1':0.0,'5_1':0.0},(426,723):{'3_1':0.03,'8_19':0.0},(426,722):{'5_1':0.0,'5_2':0.0},(426,721):{'3_1':0.0,'5_2':0.0},(426,720):{'3_1':0.03},(426,718):{'3_1':0.03,'4_1':0.0},(426,717):{'5_2':0.0},(426,716):{'3_1':0.0},(426,715):{'4_1':0.0},(426,713):{'3_1':0.03},(426,712):{'3_1':0.0},(426,711):{'3_1':0.03},(426,710):{'3_1':0.0,'5_1':0.0},(426,709):{'3_1':0.06,'5_1':0.0},(426,708):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(426,707):{'3_1':0.0},(426,706):{'3_1':0.03},(426,705):{'3_1':0.0,'6_2':0.0},(426,704):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(426,703):{'3_1':0.0,'5_1':0.0},(426,702):{'3_1':0.0,'8_3':0.0},(426,701):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(426,700):{'3_1':0.03},(426,699):{'3_1':0.0},(426,698):{'3_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(426,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(426,696):{'3_1':0.06},(426,695):{'3_1':0.03,'5_2':0.0},(426,694):{'3_1':0.03},(426,693):{'3_1':0.03,'5_2':0.0},(426,692):{'3_1':0.06,'4_1':0.0},(426,691):{'3_1':0.0,'4_1':0.0},(426,690):{'3_1':0.0},(426,689):{'3_1':0.03},(426,688):{'8_3':0.0},(426,687):{'3_1':0.0},(426,686):{'3_1':0.0,'9_1':0.0},(426,685):{'3_1':0.0},(426,684):{'3_1':0.0,'4_1':0.0},(426,683):{'3_1':0.03},(426,682):{'3_1':0.0,'4_1':0.0},(426,681):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(426,679):{'3_1':0.03},(426,678):{'3_1':0.0},(426,677):{'3_1':0.0},(426,676):{'3_1':0.0},(426,675):{'5_1':0.0},(426,674):{'5_1':0.0,'3_1':0.0,'7_3':0.0},(426,673):{'3_1':0.0,'5_1':0.0},(426,672):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(426,671):{'3_1':0.0,'5_1':0.0},(426,670):{'3_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(426,669):{'3_1':0.0},(426,668):{'3_1':0.0,'4_1':0.0},(426,667):{'3_1':0.0},(426,666):{'3_1':0.0,'4_1':0.0},(426,665):{'3_1':0.0},(426,664):{'3_1':0.0,'6_2':0.0},(426,663):{'3_1':0.03,'4_1':0.0},(426,662):{'3_1':0.0,'8_20|3_1#3_1':0.0},(426,661):{'3_1':0.0,'5_1':0.0},(426,660):{'3_1':0.0,'5_1':0.0},(426,659):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(426,658):{'3_1':0.0,'5_1':0.0},(426,657):{'3_1':0.0},(426,656):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(426,655):{'3_1':0.0},(426,653):{'3_1':0.0,'4_1':0.0},(426,652):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(426,651):{'3_1':0.03},(426,650):{'3_1':0.0,'7_1':0.0},(426,649):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(426,648):{'3_1':0.03},(426,647):{'3_1':0.0,'6_1':0.0},(426,646):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(426,645):{'3_1':0.0},(426,644):{'3_1':0.06,'4_1':0.0},(426,643):{'3_1':0.03,'7_1':0.0,'5_2':0.0},(426,642):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(426,641):{'3_1':0.03},(426,640):{'3_1':0.06,'4_1':0.0},(426,639):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'9_1':0.0},(426,638):{'3_1':0.03,'4_1':0.0,'7_6':0.0},(426,637):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(426,636):{'3_1':0.0,'9_1':0.0},(426,635):{'3_1':0.0,'4_1':0.0},(426,634):{'3_1':0.03,'5_2':0.0},(426,633):{'3_1':0.0},(426,632):{'3_1':0.03,'4_1':0.0},(426,631):{'3_1':0.0,'7_1':0.0},(426,630):{'3_1':0.0,'5_2':0.0},(426,629):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(426,628):{'3_1':0.06,'4_1':0.0},(426,627):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(426,626):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(426,625):{'4_1':0.03,'3_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(426,624):{'3_1':0.06,'4_1':0.0},(426,623):{'3_1':0.06,'4_1':0.0},(426,622):{'3_1':0.03,'4_1':0.0},(426,621):{'3_1':0.0,'4_1':0.0},(426,620):{'3_1':0.06,'5_2':0.0},(426,619):{'3_1':0.06,'5_2':0.0},(426,618):{'3_1':0.06,'7_4':0.0},(426,617):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(426,616):{'3_1':0.06},(426,615):{'3_1':0.0},(426,614):{'3_1':0.0},(426,613):{'3_1':0.03,'4_1':0.0},(426,612):{'3_1':0.03,'5_1':0.0},(426,611):{'3_1':0.06,'5_2':0.0},(426,610):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(426,609):{'3_1':0.0,'4_1':0.0},(426,608):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(426,607):{'3_1':0.0},(426,606):{'3_1':0.0,'5_1':0.0},(426,605):{'3_1':0.0,'4_1':0.0},(426,604):{'4_1':0.0},(426,603):{'3_1':0.03,'4_1':0.0},(426,601):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(426,599):{'4_1':0.0,'3_1':0.0},(426,598):{'3_1':0.0},(426,597):{'4_1':0.0,'5_1':0.0},(426,596):{'3_1':0.0},(426,595):{'3_1':0.0},(426,594):{'3_1':0.0},(426,593):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(426,592):{'3_1':0.0},(426,591):{'3_1':0.0},(426,589):{'3_1':0.0},(426,588):{'3_1':0.0},(426,587):{'3_1':0.0,'4_1':0.0},(426,586):{'3_1':0.0,'6_2':0.0},(426,585):{'3_1':0.0},(426,584):{'3_1':0.0,'4_1':0.0},(426,582):{'4_1':0.0,'3_1':0.0},(426,581):{'3_1':0.0},(426,580):{'3_1':0.03,'4_1':0.0},(426,579):{'3_1':0.0},(426,578):{'3_1':0.0},(426,577):{'3_1':0.0},(426,576):{'3_1':0.0},(426,575):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(426,574):{'3_1':0.0,'4_1':0.0},(426,572):{'4_1':0.0,'7_4':0.0},(426,571):{'3_1':0.0},(426,570):{'3_1':0.0},(426,569):{'3_1':0.0,'4_1':0.0},(426,568):{'3_1':0.03},(426,567):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(426,566):{'3_1':0.0},(426,565):{'3_1':0.0},(426,564):{'3_1':0.03},(426,563):{'3_1':0.03,'5_1':0.0},(426,562):{'3_1':0.0,'4_1':0.0},(426,561):{'3_1':0.0,'4_1':0.0},(426,560):{'3_1':0.03},(426,559):{'3_1':0.0,'4_1':0.0},(426,558):{'3_1':0.0},(426,557):{'3_1':0.0},(426,556):{'3_1':0.03,'6_1':0.0,'7_2':0.0},(426,555):{'3_1':0.0},(426,554):{'3_1':0.0},(426,553):{'3_1':0.03,'6_1':0.0},(426,552):{'3_1':0.0,'6_1':0.0},(426,551):{'3_1':0.0,'4_1':0.0},(426,550):{'3_1':0.0,'4_1':0.0},(426,549):{'3_1':0.0},(426,548):{'3_1':0.0,'6_1':0.0},(426,547):{'3_1':0.06,'4_1':0.0},(426,546):{'3_1':0.06},(426,545):{'3_1':0.0,'4_1':0.0},(426,544):{'3_1':0.03,'4_1':0.0},(426,543):{'3_1':0.03,'5_1':0.0},(426,542):{'3_1':0.06},(426,541):{'3_1':0.0},(426,540):{'3_1':0.06},(426,539):{'3_1':0.0},(426,538):{'3_1':0.0},(426,537):{'3_1':0.03},(426,536):{'3_1':0.0},(426,535):{'3_1':0.0},(426,533):{'3_1':0.0},(426,532):{'5_1':0.0},(426,531):{'3_1':0.0},(426,530):{'3_1':0.0},(426,529):{'3_1':0.0,'5_1':0.0},(426,525):{'3_1':0.0},(426,524):{'3_1':0.0},(426,523):{'3_1':0.0},(426,522):{'3_1':0.0},(426,521):{'3_1':0.0},(426,520):{'3_1':0.0},(426,518):{'3_1':0.0},(426,517):{'3_1':0.0},(426,516):{'3_1':0.0},(426,515):{'3_1':0.0},(426,514):{'3_1':0.0},(426,508):{'3_1':0.0},(426,507):{'3_1':0.0},(426,505):{'3_1':0.0},(426,504):{'3_1':0.0},(427,727):{'3_1':0.03,'4_1':0.0},(427,726):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(427,725):{'3_1':0.0,'4_1':0.0},(427,723):{'3_1':0.0},(427,722):{'3_1':0.03},(427,721):{'3_1':0.06},(427,720):{'3_1':0.0,'5_2':0.0},(427,719):{'3_1':0.0,'4_1':0.0},(427,718):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(427,717):{'3_1':0.0},(427,716):{'3_1':0.0},(427,715):{'3_1':0.0},(427,714):{'3_1':0.0},(427,713):{'3_1':0.03},(427,712):{'3_1':0.03,'5_2':0.0},(427,711):{'3_1':0.03},(427,710):{'3_1':0.06},(427,709):{'3_1':0.0,'5_2':0.0},(427,708):{'3_1':0.0,'5_2':0.0},(427,707):{'3_1':0.06},(427,706):{'3_1':0.03,'5_2':0.0},(427,705):{'3_1':0.03,'5_2':0.0},(427,704):{'3_1':0.0,'5_1':0.0},(427,703):{'3_1':0.03,'5_2':0.0},(427,702):{'3_1':0.03},(427,701):{'3_1':0.0},(427,700):{'3_1':0.03},(427,699):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(427,698):{'3_1':0.0,'5_2':0.0},(427,697):{'3_1':0.0,'4_1':0.0},(427,696):{'3_1':0.06},(427,695):{'3_1':0.03,'5_1':0.0},(427,694):{'3_1':0.03},(427,693):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(427,692):{'3_1':0.03,'5_2':0.0},(427,691):{'3_1':0.03,'4_1':0.0},(427,690):{'4_1':0.0},(427,689):{'3_1':0.0},(427,688):{'3_1':0.0,'5_2':0.0},(427,687):{'3_1':0.0,'4_1':0.0},(427,686):{'3_1':0.03},(427,685):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(427,684):{'3_1':0.03,'9_1':0.0},(427,683):{'3_1':0.0},(427,682):{'3_1':0.03},(427,679):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(427,678):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(427,677):{'3_1':0.0,'4_1':0.0},(427,676):{'3_1':0.03,'4_1':0.0},(427,673):{'3_1':0.0},(427,671):{'3_1':0.03},(427,670):{'3_1':0.0},(427,669):{'3_1':0.0},(427,668):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(427,667):{'3_1':0.03},(427,666):{'3_1':0.0,'5_2':0.0},(427,665):{'3_1':0.0},(427,664):{'4_1':0.0},(427,663):{'3_1':0.0,'5_2':0.0},(427,661):{'3_1':0.0},(427,660):{'3_1':0.03},(427,659):{'3_1':0.0},(427,658):{'3_1':0.0},(427,657):{'3_1':0.03},(427,656):{'5_2':0.0,'4_1':0.0},(427,655):{'3_1':0.0,'5_2':0.0},(427,654):{'3_1':0.0},(427,653):{'3_1':0.0},(427,652):{'3_1':0.0,'4_1':0.0},(427,651):{'3_1':0.03,'4_1':0.0},(427,650):{'3_1':0.0,'4_1':0.0},(427,649):{'3_1':0.0,'4_1':0.0},(427,648):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(427,647):{'3_1':0.0,'5_2':0.0},(427,646):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(427,645):{'3_1':0.03,'5_2':0.0},(427,644):{'3_1':0.03},(427,643):{'3_1':0.03,'4_1':0.0},(427,642):{'3_1':0.0,'5_2':0.0},(427,641):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(427,640):{'3_1':0.09,'5_1':0.0},(427,639):{'3_1':0.0,'4_1':0.0},(427,638):{'3_1':0.0,'5_1':0.0},(427,637):{'3_1':0.03,'5_1':0.0},(427,636):{'3_1':0.0,'5_1':0.0},(427,635):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(427,634):{'3_1':0.03},(427,633):{'3_1':0.03,'4_1':0.0},(427,632):{'3_1':0.0},(427,630):{'3_1':0.06},(427,629):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(427,628):{'4_1':0.0,'3_1':0.0},(427,627):{'3_1':0.0,'5_2':0.0},(427,626):{'3_1':0.06},(427,625):{'3_1':0.03,'4_1':0.0},(427,624):{'3_1':0.06,'4_1':0.0},(427,623):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(427,622):{'4_1':0.03,'3_1':0.0},(427,621):{'3_1':0.03,'5_2':0.0},(427,620):{'3_1':0.0},(427,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(427,618):{'3_1':0.0},(427,617):{'3_1':0.0,'4_1':0.0},(427,616):{'3_1':0.0,'6_2':0.0},(427,615):{'3_1':0.03},(427,614):{'3_1':0.06},(427,611):{'3_1':0.0},(427,610):{'3_1':0.06},(427,609):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(427,608):{'3_1':0.0,'4_1':0.0},(427,607):{'4_1':0.0},(427,606):{'3_1':0.0},(427,605):{'3_1':0.0,'4_1':0.0},(427,604):{'3_1':0.0,'5_2':0.0},(427,603):{'3_1':0.0,'4_1':0.0},(427,601):{'4_1':0.0},(427,600):{'3_1':0.0},(427,599):{'3_1':0.0},(427,598):{'4_1':0.0},(427,597):{'4_1':0.06,'3_1':0.0,'5_1':0.0},(427,596):{'3_1':0.0},(427,595):{'4_1':0.0,'3_1':0.0},(427,594):{'3_1':0.03,'4_1':0.0},(427,593):{'4_1':0.03,'3_1':0.0},(427,592):{'4_1':0.03,'3_1':0.0},(427,591):{'3_1':0.0,'5_2':0.0},(427,590):{'3_1':0.0},(427,589):{'3_1':0.0},(427,588):{'3_1':0.0},(427,587):{'4_1':0.03,'3_1':0.0},(427,586):{'3_1':0.0},(427,585):{'4_1':0.0,'5_1':0.0},(427,584):{'3_1':0.0,'5_1':0.0},(427,583):{'3_1':0.03},(427,581):{'3_1':0.0},(427,580):{'3_1':0.0},(427,579):{'3_1':0.0,'4_1':0.0},(427,578):{'3_1':0.0},(427,577):{'4_1':0.0,'5_1':0.0},(427,576):{'4_1':0.0},(427,575):{'3_1':0.0},(427,573):{'3_1':0.0},(427,572):{'3_1':0.0,'4_1':0.0},(427,570):{'3_1':0.0,'4_1':0.0},(427,569):{'3_1':0.0},(427,568):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(427,567):{'3_1':0.0,'4_1':0.0},(427,566):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(427,565):{'3_1':0.0},(427,564):{'3_1':0.03},(427,563):{'3_1':0.0},(427,562):{'3_1':0.03},(427,561):{'3_1':0.03},(427,560):{'3_1':0.0},(427,559):{'3_1':0.0,'4_1':0.0},(427,558):{'3_1':0.03},(427,557):{'3_1':0.03},(427,556):{'3_1':0.0},(427,555):{'3_1':0.03,'4_1':0.0},(427,554):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(427,553):{'3_1':0.0},(427,552):{'3_1':0.0,'4_1':0.0},(427,551):{'3_1':0.0,'5_1':0.0},(427,550):{'3_1':0.03},(427,549):{'3_1':0.03},(427,548):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(427,547):{'3_1':0.03,'5_1':0.0},(427,546):{'3_1':0.03,'5_1':0.0},(427,545):{'3_1':0.03,'5_1':0.0},(427,544):{'3_1':0.0,'4_1':0.0},(427,543):{'3_1':0.06},(427,542):{'3_1':0.0,'4_1':0.0},(427,541):{'3_1':0.03},(427,540):{'3_1':0.0,'6_1':0.0},(427,539):{'3_1':0.0,'5_1':0.0},(427,538):{'3_1':0.0},(427,537):{'3_1':0.0},(427,536):{'5_1':0.0},(427,535):{'4_1':0.0},(427,534):{'3_1':0.0},(427,533):{'4_1':0.0},(427,531):{'3_1':0.0},(427,530):{'3_1':0.03},(427,527):{'3_1':0.0},(427,526):{'3_1':0.03},(427,525):{'3_1':0.0},(427,524):{'3_1':0.0},(427,523):{'3_1':0.0},(427,522):{'3_1':0.0},(427,521):{'3_1':0.0},(427,520):{'3_1':0.0,'7_1':0.0},(427,518):{'3_1':0.0},(427,517):{'3_1':0.03,'5_1':0.0},(427,516):{'3_1':0.0},(427,515):{'3_1':0.0},(427,514):{'3_1':0.0,'5_1':0.0},(427,513):{'3_1':0.0},(427,511):{'3_1':0.0},(427,510):{'3_1':0.0},(427,509):{'3_1':0.0},(427,508):{'3_1':0.0},(427,507):{'3_1':0.0},(427,506):{'3_1':0.0},(427,504):{'3_1':0.0},(427,490):{'3_1':0.0},(427,489):{'3_1':0.0},(427,487):{'3_1':0.0},(427,486):{'3_1':0.0},(427,484):{'3_1':0.0},(427,483):{'3_1':0.0},(427,470):{'3_1':0.0},(427,466):{'4_1':0.0},(428,727):{'3_1':0.0},(428,726):{'3_1':0.0},(428,725):{'3_1':0.0,'4_1':0.0},(428,724):{'3_1':0.0},(428,723):{'3_1':0.0},(428,722):{'3_1':0.0},(428,721):{'3_1':0.0,'5_1':0.0},(428,719):{'3_1':0.0},(428,718):{'3_1':0.03},(428,717):{'3_1':0.0},(428,716):{'3_1':0.0},(428,715):{'3_1':0.0},(428,714):{'5_1':0.0,'3_1':0.0},(428,713):{'3_1':0.03},(428,712):{'3_1':0.03},(428,710):{'3_1':0.03},(428,709):{'3_1':0.03,'4_1':0.0},(428,708):{'3_1':0.06},(428,707):{'3_1':0.03},(428,706):{'3_1':0.03},(428,705):{'3_1':0.0},(428,704):{'3_1':0.0},(428,703):{'3_1':0.0,'5_1':0.0},(428,702):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(428,701):{'3_1':0.03},(428,700):{'3_1':0.06,'5_1':0.0},(428,699):{'3_1':0.03,'4_1':0.0},(428,698):{'3_1':0.03,'5_2':0.0},(428,697):{'3_1':0.03,'5_2':0.0},(428,696):{'3_1':0.0},(428,695):{'3_1':0.03},(428,694):{'3_1':0.0,'5_2':0.0},(428,693):{'3_1':0.0,'4_1':0.0},(428,692):{'3_1':0.0},(428,690):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(428,689):{'3_1':0.03},(428,688):{'3_1':0.0},(428,687):{'3_1':0.03,'5_2':0.0},(428,686):{'3_1':0.03},(428,685):{'3_1':0.03},(428,684):{'3_1':0.0},(428,683):{'3_1':0.0,'6_1':0.0},(428,682):{'3_1':0.03},(428,681):{'3_1':0.03},(428,680):{'3_1':0.0,'4_1':0.0},(428,679):{'3_1':0.03,'4_1':0.0},(428,677):{'3_1':0.03,'4_1':0.0},(428,676):{'4_1':0.0,'3_1':0.0},(428,673):{'3_1':0.0},(428,672):{'3_1':0.0,'5_1':0.0},(428,671):{'3_1':0.0},(428,670):{'3_1':0.0,'4_1':0.0,'8_11':0.0},(428,669):{'3_1':0.0},(428,668):{'3_1':0.0,'4_1':0.0},(428,667):{'3_1':0.0},(428,666):{'3_1':0.0},(428,665):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(428,664):{'3_1':0.0,'4_1':0.0},(428,663):{'3_1':0.0,'4_1':0.0},(428,662):{'3_1':0.0},(428,661):{'3_1':0.03,'5_2':0.0},(428,660):{'3_1':0.0,'4_1':0.0},(428,659):{'3_1':0.0,'4_1':0.0},(428,658):{'3_1':0.03,'4_1':0.0},(428,657):{'4_1':0.0},(428,656):{'3_1':0.03},(428,655):{'3_1':0.03,'5_2':0.0},(428,654):{'3_1':0.0,'4_1':0.0},(428,653):{'3_1':0.0,'5_1':0.0},(428,652):{'3_1':0.03},(428,651):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(428,650):{'3_1':0.0,'4_1':0.0},(428,649):{'3_1':0.0},(428,648):{'3_1':0.03},(428,647):{'3_1':0.06,'5_2':0.0},(428,646):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(428,645):{'3_1':0.03},(428,644):{'3_1':0.0,'5_2':0.0},(428,643):{'3_1':0.03,'5_1':0.0},(428,642):{'3_1':0.0},(428,641):{'3_1':0.06},(428,640):{'3_1':0.03,'4_1':0.0},(428,639):{'3_1':0.0,'5_2':0.0},(428,638):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(428,637):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(428,636):{'3_1':0.0,'4_1':0.0},(428,635):{'4_1':0.0,'5_2':0.0},(428,634):{'3_1':0.0},(428,633):{'3_1':0.0},(428,632):{'3_1':0.03,'4_1':0.0},(428,631):{'3_1':0.0},(428,630):{'3_1':0.03,'4_1':0.0},(428,629):{'3_1':0.03},(428,628):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(428,627):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(428,626):{'3_1':0.0,'4_1':0.0},(428,625):{'3_1':0.03,'5_2':0.0},(428,624):{'3_1':0.06,'4_1':0.0},(428,623):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(428,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(428,621):{'3_1':0.03},(428,620):{'3_1':0.03,'5_2':0.0},(428,619):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(428,618):{'3_1':0.03,'5_1':0.0},(428,617):{'3_1':0.03,'4_1':0.0},(428,616):{'4_1':0.0},(428,615):{'3_1':0.03},(428,614):{'3_1':0.03},(428,613):{'3_1':0.0},(428,612):{'3_1':0.0,'4_1':0.0},(428,611):{'3_1':0.0},(428,610):{'3_1':0.03},(428,609):{'3_1':0.0},(428,608):{'3_1':0.0,'4_1':0.0},(428,607):{'3_1':0.0},(428,606):{'3_1':0.0,'4_1':0.0},(428,605):{'3_1':0.0,'4_1':0.0},(428,604):{'4_1':0.0},(428,603):{'3_1':0.03,'4_1':0.0},(428,601):{'3_1':0.0,'4_1':0.0},(428,600):{'3_1':0.03},(428,599):{'4_1':0.0},(428,598):{'4_1':0.0},(428,597):{'4_1':0.03,'3_1':0.03,'7_1':0.0},(428,596):{'3_1':0.0,'4_1':0.0},(428,595):{'3_1':0.0},(428,594):{'3_1':0.03,'4_1':0.0},(428,593):{'4_1':0.0,'5_1':0.0},(428,592):{'4_1':0.0},(428,591):{'4_1':0.0,'5_1':0.0},(428,590):{'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(428,589):{'3_1':0.0},(428,588):{'3_1':0.0},(428,587):{'3_1':0.0,'4_1':0.0},(428,586):{'3_1':0.0,'4_1':0.0},(428,585):{'4_1':0.0,'3_1':0.0},(428,584):{'3_1':0.0,'4_1':0.0},(428,583):{'3_1':0.0,'4_1':0.0},(428,582):{'3_1':0.0},(428,581):{'3_1':0.0},(428,580):{'3_1':0.0},(428,579):{'3_1':0.0},(428,578):{'3_1':0.03},(428,577):{'3_1':0.0,'4_1':0.0},(428,576):{'4_1':0.0},(428,575):{'4_1':0.0},(428,574):{'3_1':0.0,'4_1':0.0},(428,573):{'3_1':0.0},(428,571):{'3_1':0.0,'4_1':0.0},(428,570):{'3_1':0.0,'4_1':0.0},(428,569):{'3_1':0.0},(428,568):{'3_1':0.0},(428,567):{'3_1':0.0},(428,566):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(428,564):{'3_1':0.0},(428,563):{'3_1':0.0},(428,562):{'3_1':0.0},(428,561):{'3_1':0.0},(428,560):{'3_1':0.0},(428,559):{'3_1':0.0,'4_1':0.0},(428,557):{'3_1':0.0},(428,556):{'3_1':0.0,'4_1':0.0},(428,555):{'3_1':0.03,'4_1':0.0},(428,554):{'3_1':0.0,'4_1':0.0},(428,553):{'3_1':0.0,'4_1':0.0},(428,552):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(428,551):{'3_1':0.0,'4_1':0.0},(428,550):{'3_1':0.03,'4_1':0.0},(428,549):{'3_1':0.0},(428,548):{'3_1':0.0,'4_1':0.0},(428,547):{'3_1':0.03,'5_1':0.0},(428,546):{'3_1':0.03},(428,545):{'3_1':0.0},(428,544):{'3_1':0.0},(428,543):{'3_1':0.03},(428,542):{'3_1':0.0,'5_1':0.0},(428,537):{'3_1':0.0},(428,534):{'3_1':0.03},(428,533):{'3_1':0.0},(428,532):{'3_1':0.0},(428,531):{'3_1':0.0,'4_1':0.0},(428,530):{'3_1':0.0},(428,529):{'3_1':0.0},(428,528):{'3_1':0.0},(428,527):{'3_1':0.0,'5_1':0.0},(428,525):{'3_1':0.0},(428,524):{'3_1':0.0},(428,523):{'3_1':0.0},(428,522):{'3_1':0.0},(428,521):{'3_1':0.0},(428,520):{'3_1':0.03},(428,519):{'3_1':0.0},(428,518):{'3_1':0.03,'5_2':0.0},(428,517):{'3_1':0.03},(428,516):{'3_1':0.03},(428,515):{'3_1':0.0},(428,514):{'3_1':0.0},(428,513):{'3_1':0.0,'5_1':0.0},(428,511):{'3_1':0.03},(428,510):{'3_1':0.0},(428,509):{'3_1':0.0},(428,508):{'3_1':0.0},(428,507):{'3_1':0.0},(428,505):{'7_2':0.0},(428,491):{'3_1':0.0},(428,487):{'5_2':0.0},(428,486):{'3_1':0.0},(428,483):{'3_1':0.0},(428,470):{'3_1':0.0},(428,468):{'5_2':0.0},(428,467):{'3_1':0.0},(428,464):{'3_1':0.0},(428,462):{'3_1':0.0},(428,461):{'3_1':0.0},(428,433):{'3_1':0.0},(429,727):{'3_1':0.0,'5_1':0.0},(429,726):{'3_1':0.0},(429,725):{'3_1':0.0},(429,724):{'3_1':0.03},(429,722):{'3_1':0.0,'4_1':0.0},(429,721):{'3_1':0.03},(429,720):{'3_1':0.03,'4_1':0.0},(429,719):{'3_1':0.0,'4_1':0.0},(429,718):{'3_1':0.0},(429,717):{'3_1':0.0,'4_1':0.0},(429,716):{'3_1':0.0,'5_2':0.0},(429,715):{'3_1':0.0},(429,714):{'3_1':0.0},(429,713):{'3_1':0.03},(429,712):{'3_1':0.0},(429,711):{'3_1':0.0,'4_1':0.0},(429,710):{'3_1':0.03},(429,709):{'3_1':0.03},(429,708):{'3_1':0.0},(429,707):{'3_1':0.03,'5_2':0.0},(429,706):{'3_1':0.03,'6_2':0.0},(429,705):{'3_1':0.0,'4_1':0.0},(429,704):{'3_1':0.0},(429,703):{'3_1':0.0,'4_1':0.0,'6_2':0.0,'9_1':0.0},(429,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(429,701):{'3_1':0.03,'4_1':0.0},(429,700):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(429,699):{'3_1':0.03,'5_2':0.0,'6_2':0.0},(429,698):{'3_1':0.0},(429,697):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(429,696):{'3_1':0.0},(429,695):{'3_1':0.03,'5_1':0.0},(429,694):{'3_1':0.03},(429,692):{'3_1':0.0},(429,691):{'3_1':0.0,'4_1':0.0},(429,690):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(429,689):{'3_1':0.03,'4_1':0.0},(429,688):{'3_1':0.0},(429,687):{'3_1':0.03,'4_1':0.0},(429,686):{'3_1':0.0,'4_1':0.0},(429,685):{'3_1':0.06,'5_1':0.0},(429,684):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(429,683):{'3_1':0.0},(429,682):{'3_1':0.03},(429,681):{'3_1':0.0},(429,680):{'3_1':0.0},(429,678):{'3_1':0.0,'4_1':0.0},(429,677):{'3_1':0.0},(429,676):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(429,675):{'3_1':0.0,'4_1':0.0},(429,674):{'3_1':0.0},(429,673):{'5_2':0.0},(429,672):{'3_1':0.0,'4_1':0.0},(429,671):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(429,670):{'3_1':0.0},(429,669):{'3_1':0.0,'4_1':0.0},(429,668):{'6_3':0.0},(429,667):{'3_1':0.0,'4_1':0.0},(429,666):{'3_1':0.03},(429,665):{'3_1':0.0,'4_1':0.0},(429,664):{'3_1':0.0,'4_1':0.0},(429,663):{'3_1':0.03,'4_1':0.0},(429,662):{'3_1':0.03},(429,661):{'3_1':0.0},(429,660):{'3_1':0.03},(429,659):{'4_1':0.0,'3_1':0.0},(429,658):{'3_1':0.0},(429,657):{'3_1':0.0,'7_5':0.0},(429,656):{'5_2':0.0},(429,655):{'3_1':0.0},(429,654):{'3_1':0.0},(429,653):{'3_1':0.03},(429,652):{'3_1':0.0,'4_1':0.0},(429,651):{'3_1':0.06},(429,650):{'3_1':0.0},(429,649):{'3_1':0.0},(429,648):{'3_1':0.0,'7_2':0.0},(429,647):{'3_1':0.0},(429,646):{'3_1':0.03},(429,645):{'3_1':0.0},(429,644):{'3_1':0.0,'5_1':0.0},(429,643):{'3_1':0.03,'5_2':0.0},(429,642):{'3_1':0.03},(429,641):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(429,640):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(429,639):{'3_1':0.06},(429,638):{'3_1':0.06},(429,637):{'3_1':0.0},(429,636):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(429,635):{'3_1':0.0},(429,634):{'3_1':0.0},(429,633):{'3_1':0.0,'4_1':0.0},(429,632):{'3_1':0.03,'4_1':0.0},(429,631):{'3_1':0.03,'5_2':0.0},(429,630):{'3_1':0.0},(429,629):{'3_1':0.0,'5_2':0.0},(429,628):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(429,627):{'3_1':0.0},(429,626):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(429,625):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(429,624):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(429,623):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(429,622):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(429,621):{'3_1':0.0,'4_1':0.0},(429,620):{'3_1':0.03,'4_1':0.0},(429,619):{'3_1':0.03},(429,618):{'3_1':0.0,'4_1':0.0},(429,617):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(429,616):{'3_1':0.06},(429,615):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(429,614):{'3_1':0.03,'4_1':0.0},(429,613):{'3_1':0.0,'5_2':0.0},(429,612):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(429,611):{'3_1':0.03,'5_1':0.0},(429,610):{'3_1':0.03},(429,609):{'3_1':0.03},(429,608):{'3_1':0.03},(429,607):{'3_1':0.0},(429,605):{'5_1':0.0},(429,603):{'4_1':0.0},(429,601):{'4_1':0.0},(429,600):{'3_1':0.0,'4_1':0.0},(429,599):{'3_1':0.0},(429,598):{'3_1':0.0,'4_1':0.0},(429,597):{'4_1':0.03,'3_1':0.0},(429,596):{'3_1':0.0,'4_1':0.0},(429,594):{'4_1':0.0},(429,593):{'3_1':0.0,'4_1':0.0},(429,592):{'3_1':0.0},(429,591):{'3_1':0.0,'4_1':0.0},(429,590):{'3_1':0.0},(429,589):{'3_1':0.0},(429,588):{'4_1':0.0},(429,587):{'3_1':0.0,'4_1':0.0},(429,586):{'3_1':0.0,'4_1':0.0},(429,585):{'3_1':0.0},(429,584):{'3_1':0.0},(429,583):{'3_1':0.03},(429,582):{'3_1':0.0},(429,581):{'3_1':0.0},(429,580):{'3_1':0.0},(429,579):{'3_1':0.0},(429,578):{'3_1':0.0},(429,577):{'3_1':0.0},(429,576):{'3_1':0.0,'4_1':0.0},(429,575):{'3_1':0.0,'4_1':0.0},(429,574):{'4_1':0.0,'3_1':0.0},(429,573):{'3_1':0.0},(429,572):{'3_1':0.0,'4_1':0.0},(429,571):{'3_1':0.03},(429,570):{'4_1':0.0},(429,569):{'3_1':0.03},(429,568):{'3_1':0.0,'4_1':0.0},(429,567):{'3_1':0.0,'4_1':0.0},(429,566):{'3_1':0.03},(429,565):{'3_1':0.0,'4_1':0.0},(429,563):{'3_1':0.0,'4_1':0.0},(429,562):{'3_1':0.0},(429,561):{'3_1':0.0},(429,560):{'3_1':0.0,'4_1':0.0},(429,559):{'3_1':0.03,'4_1':0.0},(429,557):{'3_1':0.0,'4_1':0.0},(429,556):{'3_1':0.0},(429,555):{'3_1':0.03},(429,554):{'3_1':0.03,'4_1':0.0},(429,553):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(429,552):{'3_1':0.0,'4_1':0.0},(429,551):{'4_1':0.0},(429,550):{'3_1':0.0},(429,549):{'3_1':0.0,'4_1':0.0},(429,548):{'3_1':0.0},(429,547):{'3_1':0.03},(429,546):{'3_1':0.0},(429,545):{'3_1':0.03,'4_1':0.0},(429,544):{'3_1':0.03},(429,543):{'3_1':0.0},(429,542):{'3_1':0.0},(429,541):{'5_1':0.0},(429,540):{'3_1':0.0},(429,539):{'3_1':0.0},(429,538):{'3_1':0.0,'5_1':0.0,'8_1':0.0},(429,537):{'3_1':0.0},(429,533):{'3_1':0.0},(429,532):{'3_1':0.0},(429,530):{'3_1':0.03},(429,529):{'3_1':0.03},(429,528):{'3_1':0.0},(429,527):{'3_1':0.0},(429,526):{'3_1':0.0},(429,525):{'3_1':0.0},(429,524):{'3_1':0.0},(429,523):{'3_1':0.0},(429,522):{'3_1':0.03},(429,521):{'3_1':0.0},(429,520):{'3_1':0.0},(429,519):{'3_1':0.0},(429,516):{'3_1':0.0},(429,515):{'3_1':0.0},(429,514):{'3_1':0.0},(429,513):{'3_1':0.0,'5_2':0.0},(429,511):{'3_1':0.0},(429,508):{'3_1':0.0},(429,505):{'3_1':0.0},(429,504):{'3_1':0.0},(429,484):{'3_1':0.0},(429,467):{'3_1':0.0},(429,466):{'3_1':0.0},(429,462):{'3_1':0.0},(429,458):{'5_2':0.0},(429,441):{'3_1':0.0,'7_1':0.0},(429,436):{'3_1':0.0},(429,435):{'3_1':0.0},(429,433):{'3_1':0.0},(430,726):{'3_1':0.0,'5_2':0.0},(430,725):{'3_1':0.0,'4_1':0.0},(430,724):{'3_1':0.0},(430,723):{'3_1':0.0},(430,722):{'3_1':0.0},(430,721):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(430,720):{'3_1':0.0},(430,718):{'3_1':0.0,'4_1':0.0},(430,717):{'3_1':0.03,'5_2':0.0},(430,716):{'4_1':0.0},(430,715):{'3_1':0.0},(430,714):{'3_1':0.0,'5_1':0.0},(430,713):{'3_1':0.0,'4_1':0.0},(430,712):{'3_1':0.0},(430,711):{'3_1':0.0},(430,710):{'3_1':0.03,'4_1':0.0},(430,709):{'3_1':0.03},(430,708):{'3_1':0.0,'4_1':0.0},(430,707):{'3_1':0.0},(430,706):{'3_1':0.0},(430,705):{'3_1':0.03},(430,704):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(430,703):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(430,702):{'3_1':0.0},(430,701):{'3_1':0.06,'5_2':0.0},(430,700):{'3_1':0.0,'5_1':0.0},(430,699):{'3_1':0.03},(430,698):{'5_2':0.0,'3_1':0.0},(430,697):{'3_1':0.03,'5_2':0.0},(430,696):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(430,695):{'3_1':0.0,'5_1':0.0},(430,694):{'3_1':0.03,'6_2':0.0},(430,693):{'3_1':0.0,'5_2':0.0},(430,692):{'3_1':0.03,'5_2':0.0},(430,691):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(430,690):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(430,689):{'3_1':0.0},(430,688):{'3_1':0.0},(430,687):{'3_1':0.0},(430,686):{'3_1':0.0},(430,685):{'3_1':0.03},(430,683):{'4_1':0.0},(430,682):{'3_1':0.0,'5_2':0.0},(430,681):{'3_1':0.0},(430,680):{'7_2':0.0},(430,679):{'3_1':0.0},(430,678):{'3_1':0.0},(430,677):{'3_1':0.0},(430,676):{'3_1':0.03},(430,675):{'3_1':0.0,'4_1':0.0},(430,674):{'3_1':0.0,'5_1':0.0},(430,673):{'5_1':0.0,'5_2':0.0},(430,672):{'3_1':0.0,'4_1':0.0},(430,671):{'4_1':0.0,'5_1':0.0},(430,669):{'4_1':0.0},(430,668):{'3_1':0.0},(430,667):{'3_1':0.03},(430,666):{'3_1':0.0,'4_1':0.0},(430,665):{'3_1':0.0,'4_1':0.0},(430,664):{'3_1':0.03,'4_1':0.0},(430,663):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(430,662):{'3_1':0.0,'5_1':0.0},(430,661):{'3_1':0.0},(430,660):{'3_1':0.0},(430,659):{'3_1':0.03},(430,658):{'3_1':0.0,'4_1':0.0},(430,657):{'4_1':0.0},(430,656):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(430,655):{'3_1':0.0},(430,654):{'3_1':0.06},(430,652):{'3_1':0.0,'5_2':0.0},(430,651):{'3_1':0.0,'4_1':0.0},(430,650):{'3_1':0.03},(430,649):{'3_1':0.03,'4_1':0.0},(430,648):{'3_1':0.0},(430,647):{'3_1':0.0,'4_1':0.0},(430,646):{'3_1':0.03},(430,645):{'3_1':0.03,'5_1':0.0},(430,644):{'3_1':0.03,'5_1':0.0},(430,643):{'3_1':0.03,'5_2':0.0},(430,642):{'3_1':0.0},(430,641):{'3_1':0.03,'4_1':0.0},(430,640):{'3_1':0.0},(430,639):{'3_1':0.0,'5_2':0.0},(430,638):{'3_1':0.0},(430,637):{'3_1':0.0},(430,636):{'3_1':0.03},(430,635):{'3_1':0.03,'4_1':0.0},(430,634):{'3_1':0.03,'4_1':0.0},(430,633):{'3_1':0.03},(430,632):{'3_1':0.03,'5_2':0.0},(430,631):{'3_1':0.06,'4_1':0.0},(430,630):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(430,629):{'3_1':0.0,'5_2':0.0},(430,628):{'3_1':0.0,'5_2':0.0},(430,627):{'3_1':0.0,'4_1':0.0},(430,626):{'3_1':0.03,'5_2':0.0},(430,625):{'3_1':0.0},(430,624):{'3_1':0.06,'5_1':0.0},(430,623):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(430,622):{'3_1':0.03,'4_1':0.0},(430,621):{'3_1':0.03,'4_1':0.0},(430,620):{'3_1':0.03,'5_1':0.0},(430,619):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(430,618):{'3_1':0.0,'4_1':0.0},(430,617):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(430,616):{'6_2':0.0},(430,615):{'3_1':0.03,'4_1':0.0},(430,614):{'3_1':0.03,'5_2':0.0},(430,613):{'3_1':0.0},(430,612):{'3_1':0.0},(430,611):{'3_1':0.03,'5_2':0.0},(430,610):{'4_1':0.0},(430,609):{'3_1':0.0},(430,608):{'3_1':0.0},(430,607):{'3_1':0.0,'5_1':0.0},(430,606):{'3_1':0.0},(430,604):{'3_1':0.0},(430,601):{'3_1':0.0,'4_1':0.0},(430,600):{'3_1':0.0,'4_1':0.0},(430,599):{'3_1':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(430,597):{'4_1':0.0,'3_1':0.0},(430,596):{'3_1':0.0,'4_1':0.0},(430,594):{'5_2':0.0},(430,593):{'3_1':0.0,'4_1':0.0},(430,592):{'3_1':0.0},(430,591):{'3_1':0.0,'4_1':0.0},(430,590):{'3_1':0.03,'4_1':0.0},(430,589):{'3_1':0.0,'4_1':0.0},(430,588):{'3_1':0.0},(430,587):{'3_1':0.0,'4_1':0.0},(430,586):{'4_1':0.0},(430,585):{'3_1':0.0},(430,584):{'3_1':0.0},(430,583):{'3_1':0.0},(430,582):{'3_1':0.0,'4_1':0.0},(430,581):{'3_1':0.0},(430,580):{'3_1':0.0},(430,579):{'3_1':0.0,'4_1':0.0},(430,578):{'3_1':0.0,'4_1':0.0},(430,577):{'3_1':0.0},(430,576):{'4_1':0.0},(430,575):{'3_1':0.0},(430,574):{'3_1':0.0},(430,573):{'3_1':0.0,'4_1':0.0},(430,572):{'3_1':0.0},(430,571):{'3_1':0.0},(430,570):{'3_1':0.0,'4_1':0.0},(430,569):{'3_1':0.0},(430,568):{'3_1':0.0,'4_1':0.0},(430,567):{'3_1':0.0,'4_1':0.0},(430,566):{'3_1':0.0},(430,565):{'3_1':0.0},(430,564):{'3_1':0.0,'4_1':0.0},(430,563):{'3_1':0.03},(430,562):{'3_1':0.0},(430,560):{'3_1':0.0},(430,559):{'3_1':0.0},(430,557):{'3_1':0.0},(430,556):{'3_1':0.0,'4_1':0.0},(430,555):{'3_1':0.0,'4_1':0.0},(430,554):{'4_1':0.0,'3_1':0.0},(430,553):{'3_1':0.0},(430,552):{'3_1':0.0},(430,551):{'3_1':0.0,'4_1':0.0},(430,550):{'3_1':0.0},(430,549):{'3_1':0.0},(430,548):{'3_1':0.0},(430,547):{'3_1':0.0},(430,546):{'3_1':0.0},(430,545):{'3_1':0.0},(430,544):{'3_1':0.0},(430,543):{'3_1':0.0},(430,542):{'3_1':0.0},(430,541):{'3_1':0.0},(430,540):{'3_1':0.0},(430,538):{'3_1':0.03,'8_20|3_1#3_1':0.0},(430,537):{'3_1':0.0},(430,536):{'4_1':0.0},(430,535):{'3_1':0.03},(430,534):{'3_1':0.0},(430,533):{'3_1':0.03},(430,532):{'3_1':0.0},(430,531):{'3_1':0.0},(430,530):{'3_1':0.0},(430,529):{'3_1':0.03,'4_1':0.0},(430,528):{'3_1':0.0},(430,527):{'3_1':0.0},(430,526):{'3_1':0.0},(430,525):{'3_1':0.0},(430,524):{'3_1':0.0},(430,523):{'3_1':0.03},(430,522):{'3_1':0.0},(430,521):{'3_1':0.0},(430,520):{'3_1':0.0},(430,519):{'3_1':0.03},(430,518):{'3_1':0.0,'5_1':0.0},(430,516):{'3_1':0.0},(430,514):{'4_1':0.0},(430,513):{'3_1':0.0},(430,512):{'3_1':0.0},(430,511):{'3_1':0.03},(430,510):{'3_1':0.0},(430,509):{'3_1':0.0},(430,508):{'3_1':0.0},(430,506):{'3_1':0.0},(430,505):{'3_1':0.0},(430,503):{'3_1':0.0},(430,489):{'3_1':0.0,'5_1':0.0},(430,488):{'3_1':0.0},(430,487):{'3_1':0.0},(430,486):{'3_1':0.0},(430,485):{'3_1':0.0},(430,483):{'3_1':0.0},(430,471):{'3_1':0.0},(430,470):{'3_1':0.0},(430,468):{'3_1':0.0},(430,467):{'3_1':0.0},(430,464):{'3_1':0.0},(430,439):{'3_1':0.0},(430,435):{'3_1':0.0},(430,434):{'3_1':0.0},(431,727):{'3_1':0.0},(431,726):{'3_1':0.0},(431,724):{'3_1':0.0},(431,722):{'3_1':0.0},(431,721):{'3_1':0.0,'5_2':0.0},(431,720):{'3_1':0.03},(431,719):{'3_1':0.0,'4_1':0.0},(431,718):{'3_1':0.0},(431,717):{'3_1':0.0},(431,716):{'3_1':0.0},(431,715):{'3_1':0.0},(431,713):{'3_1':0.0},(431,712):{'3_1':0.0},(431,711):{'3_1':0.0},(431,709):{'3_1':0.03,'5_1':0.0},(431,708):{'3_1':0.03},(431,707):{'4_1':0.0},(431,706):{'3_1':0.0,'6_2':0.0},(431,705):{'3_1':0.03,'5_2':0.0},(431,704):{'3_1':0.0},(431,703):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(431,702):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(431,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0},(431,700):{'3_1':0.03,'5_1':0.0},(431,699):{'3_1':0.03,'5_2':0.0},(431,698):{'3_1':0.0},(431,697):{'3_1':0.03,'5_2':0.0},(431,696):{'3_1':0.03},(431,695):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(431,694):{'3_1':0.03,'6_2':0.0},(431,693):{'3_1':0.03},(431,692):{'3_1':0.06,'4_1':0.0},(431,691):{'4_1':0.0,'5_1':0.0},(431,690):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(431,689):{'3_1':0.0,'4_1':0.0},(431,688):{'3_1':0.03},(431,687):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(431,686):{'3_1':0.0},(431,685):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(431,684):{'3_1':0.0},(431,683):{'3_1':0.0,'4_1':0.0},(431,682):{'3_1':0.0},(431,681):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(431,680):{'3_1':0.03},(431,679):{'3_1':0.0},(431,678):{'3_1':0.0},(431,677):{'3_1':0.0},(431,676):{'3_1':0.0},(431,675):{'6_1':0.0},(431,674):{'3_1':0.0,'4_1':0.0},(431,673):{'3_1':0.0},(431,672):{'3_1':0.0},(431,671):{'3_1':0.0,'4_1':0.0},(431,670):{'3_1':0.0},(431,669):{'3_1':0.0},(431,668):{'3_1':0.0},(431,667):{'3_1':0.0},(431,666):{'3_1':0.0,'4_1':0.0},(431,665):{'3_1':0.0},(431,664):{'3_1':0.0},(431,663):{'3_1':0.0},(431,662):{'3_1':0.03,'4_1':0.0},(431,661):{'3_1':0.0},(431,660):{'3_1':0.0},(431,659):{'3_1':0.0,'4_1':0.0},(431,658):{'3_1':0.0,'4_1':0.0},(431,657):{'3_1':0.03,'6_2':0.0},(431,656):{'3_1':0.0},(431,655):{'3_1':0.0,'5_1':0.0},(431,654):{'3_1':0.0},(431,652):{'3_1':0.0},(431,651):{'3_1':0.03},(431,650):{'3_1':0.0,'4_1':0.0},(431,649):{'3_1':0.0,'5_1':0.0},(431,648):{'3_1':0.0},(431,647):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(431,646):{'3_1':0.0},(431,645):{'3_1':0.0},(431,644):{'3_1':0.03,'5_2':0.0},(431,643):{'3_1':0.03,'4_1':0.0},(431,642):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(431,641):{'3_1':0.06},(431,640):{'3_1':0.03,'5_1':0.0},(431,639):{'3_1':0.06,'4_1':0.0},(431,638):{'3_1':0.03},(431,637):{'3_1':0.03},(431,636):{'3_1':0.03},(431,635):{'3_1':0.0},(431,634):{'3_1':0.06},(431,633):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(431,632):{'3_1':0.03,'5_1':0.0},(431,631):{'3_1':0.0},(431,630):{'3_1':0.0,'5_1':0.0},(431,629):{'3_1':0.0,'4_1':0.0},(431,628):{'3_1':0.0},(431,627):{'3_1':0.03},(431,626):{'3_1':0.03},(431,625):{'3_1':0.0,'4_1':0.0},(431,624):{'3_1':0.03},(431,623):{'3_1':0.06},(431,622):{'3_1':0.0,'4_1':0.0},(431,621):{'3_1':0.03},(431,620):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(431,619):{'3_1':0.0},(431,618):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(431,617):{'3_1':0.0,'4_1':0.0},(431,616):{'3_1':0.0,'5_1':0.0},(431,614):{'3_1':0.03},(431,613):{'3_1':0.0},(431,612):{'3_1':0.03},(431,611):{'4_1':0.0,'3_1':0.0},(431,610):{'3_1':0.03,'5_2':0.0},(431,609):{'3_1':0.0},(431,608):{'3_1':0.0,'5_1':0.0},(431,607):{'3_1':0.0},(431,606):{'3_1':0.0},(431,604):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(431,603):{'3_1':0.0,'4_1':0.0},(431,601):{'3_1':0.0,'4_1':0.0},(431,598):{'3_1':0.0},(431,597):{'3_1':0.0,'5_1':0.0},(431,596):{'3_1':0.0,'4_1':0.0},(431,595):{'4_1':0.0,'3_1':0.0},(431,594):{'3_1':0.0,'4_1':0.0},(431,593):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(431,592):{'3_1':0.0},(431,591):{'3_1':0.0,'4_1':0.0},(431,590):{'4_1':0.0,'5_2':0.0},(431,589):{'3_1':0.0,'4_1':0.0},(431,588):{'4_1':0.0,'3_1':0.0},(431,587):{'3_1':0.0},(431,586):{'3_1':0.0,'4_1':0.0},(431,585):{'3_1':0.0,'4_1':0.0},(431,584):{'3_1':0.0,'4_1':0.0},(431,582):{'3_1':0.03,'4_1':0.0},(431,581):{'3_1':0.0,'4_1':0.0},(431,580):{'4_1':0.0},(431,579):{'3_1':0.0},(431,578):{'3_1':0.0},(431,577):{'4_1':0.0},(431,575):{'3_1':0.0,'4_1':0.0},(431,574):{'3_1':0.0},(431,573):{'3_1':0.0},(431,572):{'3_1':0.0},(431,571):{'4_1':0.0},(431,570):{'4_1':0.0,'3_1':0.0},(431,568):{'3_1':0.0,'7_4':0.0},(431,567):{'3_1':0.0},(431,566):{'3_1':0.0},(431,565):{'3_1':0.0},(431,564):{'3_1':0.03,'4_1':0.0},(431,563):{'4_1':0.0},(431,562):{'3_1':0.0,'4_1':0.0},(431,561):{'3_1':0.0},(431,560):{'3_1':0.0},(431,559):{'3_1':0.0,'4_1':0.0},(431,558):{'3_1':0.03},(431,557):{'3_1':0.0,'4_1':0.0},(431,556):{'3_1':0.03},(431,555):{'3_1':0.03,'4_1':0.0},(431,554):{'3_1':0.0},(431,553):{'3_1':0.03,'5_1':0.0},(431,552):{'3_1':0.0},(431,551):{'3_1':0.0},(431,550):{'3_1':0.0},(431,549):{'3_1':0.0},(431,548):{'3_1':0.0,'4_1':0.0},(431,547):{'3_1':0.0,'5_1':0.0},(431,546):{'3_1':0.0},(431,545):{'4_1':0.0,'3_1':0.0},(431,544):{'3_1':0.0},(431,542):{'3_1':0.0},(431,541):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(431,537):{'3_1':0.0},(431,536):{'4_1':0.0},(431,535):{'3_1':0.0},(431,533):{'3_1':0.0},(431,532):{'3_1':0.0,'4_1':0.0},(431,531):{'3_1':0.0,'8_1':0.0},(431,530):{'4_1':0.0},(431,529):{'3_1':0.0},(431,528):{'3_1':0.0},(431,527):{'3_1':0.0},(431,526):{'3_1':0.0},(431,525):{'3_1':0.03,'4_1':0.0},(431,524):{'3_1':0.0},(431,523):{'3_1':0.0},(431,522):{'3_1':0.0},(431,521):{'3_1':0.0},(431,520):{'3_1':0.0},(431,516):{'3_1':0.0},(431,514):{'3_1':0.0},(431,513):{'3_1':0.0},(431,512):{'3_1':0.0},(431,511):{'3_1':0.0},(431,507):{'3_1':0.0},(431,505):{'3_1':0.0},(431,490):{'3_1':0.0},(431,487):{'3_1':0.0},(431,485):{'3_1':0.0},(431,471):{'3_1':0.0},(431,467):{'3_1':0.0},(431,466):{'3_1':0.0},(431,464):{'3_1':0.0},(431,462):{'4_1':0.0},(431,460):{'3_1':0.0},(431,440):{'3_1':0.0},(431,439):{'3_1':0.0},(431,438):{'3_1':0.0},(431,437):{'3_1':0.0},(431,435):{'3_1':0.0},(432,726):{'3_1':0.0},(432,725):{'3_1':0.0},(432,724):{'3_1':0.03},(432,723):{'3_1':0.0},(432,722):{'3_1':0.0},(432,721):{'3_1':0.0},(432,720):{'3_1':0.0},(432,719):{'3_1':0.0,'5_2':0.0},(432,718):{'3_1':0.0,'6_1':0.0},(432,717):{'3_1':0.0},(432,716):{'3_1':0.0},(432,715):{'3_1':0.0,'5_1':0.0},(432,714):{'3_1':0.0},(432,713):{'3_1':0.0,'4_1':0.0},(432,712):{'3_1':0.03,'5_1':0.0},(432,711):{'3_1':0.0},(432,710):{'3_1':0.03,'4_1':0.0},(432,709):{'3_1':0.0},(432,708):{'3_1':0.03},(432,707):{'3_1':0.0,'5_2':0.0},(432,706):{'3_1':0.06,'4_1':0.0},(432,705):{'3_1':0.0,'5_2':0.0},(432,704):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(432,703):{'6_2':0.0},(432,702):{'3_1':0.03},(432,701):{'3_1':0.06,'4_1':0.0},(432,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(432,699):{'3_1':0.03},(432,698):{'3_1':0.0,'5_2':0.0},(432,697):{'3_1':0.03},(432,696):{'3_1':0.03,'4_1':0.0},(432,695):{'3_1':0.03,'5_1':0.0},(432,694):{'3_1':0.03,'4_1':0.0},(432,693):{'3_1':0.0,'4_1':0.0},(432,692):{'3_1':0.03},(432,691):{'3_1':0.0},(432,690):{'3_1':0.03,'4_1':0.0},(432,689):{'3_1':0.0,'4_1':0.0},(432,688):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(432,687):{'3_1':0.0,'4_1':0.0},(432,686):{'3_1':0.0},(432,685):{'3_1':0.03},(432,684):{'3_1':0.03},(432,683):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(432,682):{'3_1':0.03,'4_1':0.0},(432,681):{'3_1':0.0,'7_3':0.0},(432,680):{'3_1':0.0,'4_1':0.0},(432,679):{'3_1':0.0,'4_1':0.0},(432,678):{'4_1':0.0},(432,677):{'3_1':0.0},(432,676):{'3_1':0.0},(432,675):{'3_1':0.0},(432,674):{'3_1':0.03,'4_1':0.0},(432,671):{'3_1':0.03},(432,668):{'3_1':0.0},(432,667):{'3_1':0.03,'6_1':0.0},(432,666):{'3_1':0.0,'5_2':0.0},(432,665):{'3_1':0.0},(432,664):{'4_1':0.0,'6_1':0.0},(432,663):{'3_1':0.0},(432,662):{'3_1':0.0},(432,661):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(432,660):{'3_1':0.0},(432,659):{'3_1':0.0,'7_3':0.0},(432,658):{'3_1':0.0},(432,657):{'3_1':0.0,'4_1':0.0},(432,656):{'3_1':0.0},(432,655):{'3_1':0.0},(432,654):{'3_1':0.0},(432,653):{'4_1':0.0},(432,652):{'3_1':0.03},(432,651):{'3_1':0.03,'5_1':0.0},(432,650):{'3_1':0.03},(432,649):{'3_1':0.0},(432,648):{'3_1':0.0,'4_1':0.0},(432,647):{'3_1':0.03},(432,646):{'3_1':0.0},(432,645):{'3_1':0.0,'4_1':0.0},(432,644):{'3_1':0.0,'5_1':0.0},(432,643):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(432,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(432,641):{'3_1':0.09},(432,640):{'3_1':0.09},(432,639):{'3_1':0.03,'5_1':0.0},(432,638):{'3_1':0.03},(432,637):{'3_1':0.0},(432,635):{'3_1':0.0,'5_2':0.0},(432,634):{'3_1':0.03,'4_1':0.0},(432,633):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(432,632):{'4_1':0.0},(432,631):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(432,630):{'3_1':0.0},(432,629):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(432,628):{'3_1':0.0,'5_2':0.0},(432,627):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(432,626):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(432,625):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(432,624):{'3_1':0.0,'5_2':0.0},(432,623):{'4_1':0.0,'3_1':0.0},(432,622):{'3_1':0.03,'4_1':0.0},(432,621):{'3_1':0.0,'4_1':0.0},(432,620):{'3_1':0.03},(432,619):{'3_1':0.06,'4_1':0.0},(432,618):{'3_1':0.03,'5_2':0.0},(432,617):{'3_1':0.0,'4_1':0.0},(432,616):{'3_1':0.03,'5_2':0.0},(432,615):{'3_1':0.06},(432,614):{'3_1':0.03},(432,613):{'3_1':0.0},(432,612):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(432,611):{'3_1':0.0},(432,610):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(432,609):{'3_1':0.0},(432,608):{'3_1':0.0,'5_2':0.0},(432,607):{'3_1':0.0},(432,606):{'3_1':0.0},(432,605):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(432,604):{'3_1':0.0},(432,602):{'3_1':0.03},(432,601):{'3_1':0.0,'4_1':0.0},(432,600):{'4_1':0.0},(432,599):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(432,598):{'3_1':0.0},(432,597):{'4_1':0.0},(432,596):{'3_1':0.0,'4_1':0.0},(432,595):{'4_1':0.0},(432,594):{'3_1':0.03},(432,593):{'3_1':0.0,'4_1':0.0},(432,592):{'3_1':0.0,'4_1':0.0},(432,591):{'3_1':0.0,'4_1':0.0},(432,589):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(432,588):{'3_1':0.03},(432,587):{'3_1':0.03},(432,586):{'3_1':0.0},(432,585):{'4_1':0.0},(432,584):{'3_1':0.0},(432,583):{'3_1':0.0},(432,582):{'3_1':0.0},(432,581):{'3_1':0.0,'4_1':0.0},(432,580):{'3_1':0.0},(432,578):{'3_1':0.0},(432,577):{'3_1':0.0,'4_1':0.0},(432,576):{'3_1':0.0},(432,575):{'3_1':0.0,'4_1':0.0},(432,574):{'3_1':0.0},(432,573):{'3_1':0.03},(432,572):{'3_1':0.0},(432,571):{'3_1':0.0},(432,570):{'3_1':0.0,'4_1':0.0},(432,569):{'3_1':0.0},(432,568):{'3_1':0.0,'4_1':0.0},(432,567):{'3_1':0.0},(432,566):{'3_1':0.03},(432,565):{'3_1':0.0,'4_1':0.0},(432,564):{'3_1':0.0,'4_1':0.0},(432,563):{'3_1':0.0},(432,561):{'3_1':0.0,'4_1':0.0},(432,560):{'3_1':0.03,'4_1':0.0},(432,559):{'3_1':0.0,'4_1':0.0},(432,558):{'3_1':0.0,'4_1':0.0},(432,557):{'3_1':0.0,'4_1':0.0},(432,556):{'3_1':0.0},(432,555):{'3_1':0.0},(432,554):{'3_1':0.0,'4_1':0.0},(432,553):{'3_1':0.03,'4_1':0.0},(432,552):{'3_1':0.03,'4_1':0.0},(432,551):{'3_1':0.0},(432,550):{'3_1':0.0},(432,549):{'3_1':0.0},(432,548):{'3_1':0.0},(432,547):{'3_1':0.0},(432,546):{'3_1':0.0},(432,545):{'3_1':0.0},(432,544):{'3_1':0.0},(432,543):{'3_1':0.0},(432,542):{'3_1':0.03},(432,541):{'3_1':0.0},(432,540):{'3_1':0.0},(432,539):{'3_1':0.0},(432,537):{'3_1':0.0},(432,536):{'3_1':0.0},(432,534):{'5_1':0.0},(432,533):{'3_1':0.0},(432,532):{'3_1':0.0},(432,531):{'3_1':0.0},(432,529):{'3_1':0.0},(432,528):{'3_1':0.0},(432,526):{'3_1':0.0},(432,525):{'3_1':0.0},(432,524):{'3_1':0.0,'4_1':0.0},(432,522):{'3_1':0.0},(432,520):{'3_1':0.03},(432,519):{'3_1':0.0},(432,516):{'3_1':0.0},(432,515):{'3_1':0.0},(432,514):{'3_1':0.0},(432,512):{'3_1':0.0},(432,511):{'3_1':0.0},(432,510):{'5_1':0.0},(432,508):{'3_1':0.0},(432,506):{'3_1':0.0},(432,505):{'3_1':0.0},(432,504):{'3_1':0.0},(432,503):{'3_1':0.0},(432,501):{'3_1':0.0},(432,499):{'5_2':0.0},(432,498):{'3_1':0.0},(432,497):{'3_1':0.03},(432,496):{'3_1':0.0},(432,495):{'5_2':0.0},(432,493):{'3_1':0.0},(432,490):{'3_1':0.0},(432,489):{'3_1':0.0},(432,487):{'3_1':0.0},(432,486):{'3_1':0.0},(432,485):{'3_1':0.0},(432,484):{'3_1':0.0},(432,481):{'3_1':0.0},(432,480):{'3_1':0.0},(432,478):{'3_1':0.0},(432,477):{'3_1':0.0},(432,475):{'3_1':0.0},(432,473):{'3_1':0.0},(432,471):{'3_1':0.0,'4_1':0.0},(432,469):{'3_1':0.0},(432,463):{'7_2':0.0},(432,458):{'3_1':0.0},(432,457):{'3_1':0.0},(432,454):{'3_1':0.0},(432,437):{'3_1':0.0},(432,436):{'3_1':0.0},(433,727):{'3_1':0.0},(433,726):{'3_1':0.0},(433,725):{'3_1':0.0},(433,724):{'3_1':0.0,'4_1':0.0},(433,723):{'5_1':0.0},(433,722):{'3_1':0.0},(433,721):{'3_1':0.0},(433,719):{'3_1':0.0},(433,718):{'3_1':0.0},(433,717):{'5_2':0.0},(433,716):{'3_1':0.0,'5_2':0.0},(433,715):{'3_1':0.0},(433,714):{'3_1':0.03},(433,713):{'3_1':0.0,'6_2':0.0},(433,712):{'3_1':0.0},(433,711):{'3_1':0.0,'5_2':0.0},(433,710):{'3_1':0.03,'4_1':0.0},(433,709):{'3_1':0.06},(433,708):{'3_1':0.0},(433,706):{'3_1':0.0},(433,705):{'3_1':0.03},(433,704):{'3_1':0.0,'5_1':0.0},(433,703):{'3_1':0.03,'4_1':0.0},(433,702):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(433,701):{'3_1':0.03,'6_2':0.0,'7_1':0.0},(433,700):{'3_1':0.03,'5_1':0.0},(433,699):{'3_1':0.0},(433,698):{'3_1':0.03},(433,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(433,695):{'3_1':0.0,'5_2':0.0},(433,694):{'3_1':0.03},(433,693):{'3_1':0.03,'5_1':0.0},(433,692):{'3_1':0.0,'5_1':0.0},(433,691):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(433,690):{'3_1':0.03,'5_1':0.0},(433,688):{'3_1':0.0},(433,687):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(433,686):{'3_1':0.0,'5_2':0.0},(433,685):{'3_1':0.03,'4_1':0.0},(433,684):{'3_1':0.03,'4_1':0.0},(433,683):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'9_1':0.0},(433,682):{'3_1':0.0},(433,681):{'3_1':0.0},(433,680):{'3_1':0.03},(433,679):{'4_1':0.0,'3_1':0.0},(433,678):{'4_1':0.0,'3_1':0.0},(433,677):{'3_1':0.0},(433,676):{'3_1':0.0,'5_2':0.0},(433,675):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(433,674):{'3_1':0.0},(433,673):{'5_1':0.0},(433,672):{'3_1':0.0,'4_1':0.0},(433,670):{'4_1':0.0},(433,669):{'3_1':0.0},(433,668):{'3_1':0.0},(433,666):{'3_1':0.03},(433,665):{'3_1':0.0},(433,664):{'3_1':0.0,'4_1':0.0},(433,662):{'4_1':0.0},(433,661):{'3_1':0.06,'5_1':0.0},(433,660):{'3_1':0.0},(433,659):{'3_1':0.0,'7_1':0.0},(433,658):{'3_1':0.0},(433,657):{'3_1':0.03},(433,656):{'3_1':0.0},(433,655):{'3_1':0.0,'4_1':0.0},(433,654):{'3_1':0.0},(433,653):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(433,652):{'3_1':0.0},(433,651):{'3_1':0.0,'4_1':0.0},(433,650):{'3_1':0.03},(433,649):{'3_1':0.03,'5_2':0.0},(433,648):{'3_1':0.03},(433,647):{'3_1':0.03},(433,646):{'3_1':0.0},(433,645):{'3_1':0.03,'5_2':0.0},(433,644):{'3_1':0.0,'8_20|3_1#3_1':0.0},(433,643):{'3_1':0.0,'5_1':0.0},(433,642):{'3_1':0.03},(433,641):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(433,640):{'3_1':0.09},(433,639):{'3_1':0.06,'5_2':0.0},(433,638):{'3_1':0.03},(433,637):{'3_1':0.03},(433,636):{'3_1':0.0},(433,635):{'3_1':0.03,'5_1':0.0},(433,634):{'3_1':0.0},(433,633):{'3_1':0.0},(433,632):{'3_1':0.0,'5_1':0.0},(433,631):{'5_2':0.0},(433,630):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(433,629):{'3_1':0.03,'4_1':0.0},(433,628):{'3_1':0.03,'4_1':0.0},(433,627):{'3_1':0.03,'4_1':0.0},(433,626):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(433,625):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(433,624):{'3_1':0.0},(433,623):{'3_1':0.03,'4_1':0.0},(433,622):{'3_1':0.0,'4_1':0.0},(433,621):{'3_1':0.06},(433,620):{'3_1':0.03},(433,619):{'3_1':0.0},(433,618):{'3_1':0.03},(433,617):{'3_1':0.0,'4_1':0.0},(433,616):{'3_1':0.03,'5_2':0.0},(433,615):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(433,614):{'3_1':0.0},(433,613):{'3_1':0.0},(433,612):{'3_1':0.0,'5_1':0.0},(433,611):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(433,610):{'3_1':0.0,'4_1':0.0},(433,609):{'4_1':0.0},(433,608):{'3_1':0.0},(433,607):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(433,606):{'3_1':0.0},(433,605):{'3_1':0.0},(433,604):{'5_1':0.0},(433,603):{'3_1':0.0},(433,602):{'4_1':0.0},(433,601):{'3_1':0.0,'4_1':0.0},(433,600):{'3_1':0.0},(433,599):{'3_1':0.0},(433,598):{'4_1':0.0,'3_1':0.0},(433,597):{'3_1':0.0,'4_1':0.0},(433,596):{'4_1':0.0,'3_1':0.0},(433,595):{'3_1':0.0},(433,594):{'4_1':0.0,'5_2':0.0},(433,593):{'3_1':0.0,'4_1':0.0},(433,591):{'5_1':0.0},(433,590):{'3_1':0.03,'4_1':0.0},(433,589):{'3_1':0.03},(433,588):{'3_1':0.0,'4_1':0.0},(433,587):{'3_1':0.0},(433,586):{'3_1':0.0},(433,585):{'3_1':0.03,'4_1':0.0},(433,584):{'3_1':0.0},(433,583):{'3_1':0.0},(433,582):{'3_1':0.0},(433,581):{'4_1':0.0},(433,580):{'3_1':0.0},(433,579):{'4_1':0.0,'3_1':0.0},(433,578):{'3_1':0.0},(433,577):{'3_1':0.0,'4_1':0.0},(433,575):{'3_1':0.0,'4_1':0.0},(433,574):{'3_1':0.0},(433,573):{'4_1':0.0},(433,572):{'3_1':0.0,'4_1':0.0},(433,570):{'3_1':0.0},(433,568):{'4_1':0.0},(433,567):{'3_1':0.0,'4_1':0.0},(433,566):{'3_1':0.0},(433,564):{'3_1':0.0},(433,563):{'4_1':0.0,'3_1':0.0},(433,562):{'3_1':0.0},(433,561):{'3_1':0.0,'4_1':0.0},(433,560):{'3_1':0.03},(433,559):{'3_1':0.0,'4_1':0.0},(433,558):{'3_1':0.0},(433,557):{'3_1':0.0},(433,556):{'3_1':0.03},(433,555):{'3_1':0.0},(433,554):{'3_1':0.0,'4_1':0.0},(433,553):{'3_1':0.03},(433,552):{'3_1':0.0},(433,551):{'3_1':0.0,'4_1':0.0},(433,550):{'3_1':0.0},(433,549):{'3_1':0.03},(433,548):{'3_1':0.0},(433,547):{'3_1':0.0},(433,545):{'3_1':0.0},(433,544):{'3_1':0.0},(433,543):{'3_1':0.0},(433,542):{'3_1':0.0},(433,541):{'3_1':0.0},(433,540):{'3_1':0.0},(433,539):{'3_1':0.0},(433,536):{'3_1':0.0},(433,535):{'3_1':0.0},(433,534):{'3_1':0.0,'5_2':0.0},(433,533):{'3_1':0.0,'5_1':0.0},(433,532):{'3_1':0.0},(433,531):{'3_1':0.0},(433,530):{'3_1':0.0},(433,529):{'3_1':0.0},(433,528):{'3_1':0.0},(433,527):{'3_1':0.0,'4_1':0.0},(433,526):{'3_1':0.0},(433,525):{'3_1':0.03},(433,524):{'3_1':0.0},(433,523):{'3_1':0.0},(433,522):{'3_1':0.0},(433,521):{'3_1':0.0},(433,520):{'3_1':0.0,'6_1':0.0},(433,519):{'3_1':0.03},(433,518):{'3_1':0.03},(433,517):{'3_1':0.0},(433,515):{'3_1':0.0},(433,514):{'3_1':0.0},(433,513):{'3_1':0.0},(433,511):{'3_1':0.0},(433,510):{'5_1':0.0},(433,508):{'3_1':0.0},(433,507):{'3_1':0.0},(433,506):{'3_1':0.0},(433,505):{'3_1':0.0},(433,497):{'3_1':0.0},(433,496):{'4_1':0.0},(433,493):{'3_1':0.03},(433,489):{'3_1':0.0},(433,487):{'3_1':0.0},(433,486):{'3_1':0.0},(433,481):{'3_1':0.0},(433,480):{'3_1':0.0},(433,477):{'3_1':0.0},(433,476):{'3_1':0.0},(433,475):{'3_1':0.0},(433,469):{'3_1':0.0},(433,466):{'5_2':0.0},(433,463):{'4_1':0.0},(433,441):{'5_2':0.0},(433,437):{'3_1':0.0},(434,727):{'4_1':0.0},(434,726):{'3_1':0.0},(434,725):{'3_1':0.0},(434,724):{'3_1':0.0},(434,723):{'3_1':0.0},(434,722):{'3_1':0.0},(434,721):{'3_1':0.0,'4_1':0.0},(434,720):{'3_1':0.0,'4_1':0.0},(434,719):{'3_1':0.0},(434,718):{'3_1':0.0},(434,717):{'3_1':0.0},(434,716):{'3_1':0.0},(434,715):{'3_1':0.0,'4_1':0.0},(434,714):{'3_1':0.0},(434,713):{'3_1':0.0},(434,712):{'3_1':0.0},(434,711):{'3_1':0.0},(434,710):{'3_1':0.0},(434,709):{'3_1':0.0},(434,708):{'4_1':0.0,'3_1':0.0},(434,707):{'3_1':0.03},(434,706):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(434,705):{'3_1':0.0},(434,704):{'3_1':0.03,'4_1':0.0},(434,703):{'3_1':0.03},(434,702):{'3_1':0.0},(434,701):{'3_1':0.06,'5_1':0.0,'9_1':0.0},(434,700):{'3_1':0.03,'4_1':0.0},(434,699):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(434,698):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(434,697):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(434,696):{'3_1':0.06},(434,695):{'3_1':0.0},(434,694):{'3_1':0.0,'5_2':0.0},(434,693):{'3_1':0.0,'4_1':0.0},(434,692):{'3_1':0.0,'4_1':0.0},(434,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(434,690):{'3_1':0.0},(434,689):{'3_1':0.0},(434,688):{'3_1':0.03,'5_2':0.0},(434,687):{'3_1':0.0,'6_1':0.0},(434,686):{'3_1':0.03},(434,685):{'3_1':0.0},(434,684):{'3_1':0.0},(434,683):{'3_1':0.0},(434,682):{'3_1':0.0,'5_1':0.0},(434,681):{'3_1':0.0,'5_1':0.0},(434,680):{'4_1':0.0,'5_2':0.0},(434,678):{'3_1':0.0,'4_1':0.0},(434,677):{'3_1':0.0},(434,676):{'3_1':0.0},(434,675):{'3_1':0.0,'6_1':0.0},(434,674):{'3_1':0.0},(434,673):{'3_1':0.0,'4_1':0.0},(434,672):{'5_1':0.0},(434,671):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(434,670):{'3_1':0.0},(434,669):{'3_1':0.0},(434,668):{'4_1':0.0},(434,667):{'3_1':0.0,'4_1':0.0},(434,666):{'4_1':0.0,'6_2':0.0},(434,664):{'3_1':0.0},(434,663):{'3_1':0.06},(434,662):{'3_1':0.0,'5_1':0.0},(434,660):{'3_1':0.03},(434,659):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(434,658):{'4_1':0.0,'3_1':0.0},(434,657):{'3_1':0.0},(434,656):{'3_1':0.0,'4_1':0.0},(434,655):{'3_1':0.0,'4_1':0.0},(434,654):{'3_1':0.03},(434,652):{'3_1':0.03,'4_1':0.0},(434,651):{'3_1':0.0},(434,650):{'3_1':0.0},(434,649):{'3_1':0.0},(434,648):{'3_1':0.03},(434,647):{'3_1':0.0,'8_21|3_1#4_1':0.0},(434,646):{'3_1':0.03},(434,645):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(434,644):{'3_1':0.03},(434,643):{'3_1':0.06},(434,642):{'3_1':0.09},(434,641):{'3_1':0.06},(434,640):{'3_1':0.06},(434,639):{'3_1':0.09},(434,638):{'3_1':0.03,'4_1':0.0},(434,637):{'3_1':0.03,'5_2':0.0},(434,636):{'3_1':0.0},(434,635):{'3_1':0.0,'5_1':0.0},(434,634):{'3_1':0.0,'4_1':0.0},(434,633):{'3_1':0.0,'5_2':0.0},(434,632):{'3_1':0.0,'5_1':0.0},(434,631):{'3_1':0.06,'5_2':0.0},(434,630):{'3_1':0.06},(434,629):{'3_1':0.0,'4_1':0.0},(434,628):{'3_1':0.0},(434,627):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(434,626):{'3_1':0.03,'8_20|3_1#3_1':0.0},(434,625):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(434,624):{'3_1':0.03},(434,623):{'3_1':0.06,'5_2':0.0},(434,622):{'3_1':0.03,'4_1':0.0},(434,621):{'3_1':0.06,'5_2':0.0},(434,620):{'3_1':0.0},(434,619):{'3_1':0.06,'4_1':0.0},(434,618):{'3_1':0.09},(434,617):{'3_1':0.03,'5_2':0.0},(434,616):{'3_1':0.0,'4_1':0.0},(434,615):{'3_1':0.0,'4_1':0.0},(434,614):{'3_1':0.0,'4_1':0.0},(434,613):{'3_1':0.0,'5_2':0.0},(434,612):{'3_1':0.03},(434,611):{'3_1':0.0},(434,610):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(434,609):{'3_1':0.0,'4_1':0.0},(434,608):{'3_1':0.03,'5_2':0.0},(434,607):{'3_1':0.0,'4_1':0.0},(434,606):{'3_1':0.0},(434,605):{'3_1':0.0},(434,604):{'3_1':0.0,'4_1':0.0},(434,603):{'3_1':0.0,'4_1':0.0},(434,602):{'3_1':0.0},(434,601):{'3_1':0.0},(434,600):{'3_1':0.03,'4_1':0.0},(434,599):{'3_1':0.0,'4_1':0.0},(434,598):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(434,597):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(434,596):{'3_1':0.0},(434,595):{'4_1':0.0},(434,594):{'3_1':0.0},(434,593):{'3_1':0.0,'4_1':0.0},(434,590):{'4_1':0.0,'3_1':0.0},(434,589):{'3_1':0.0,'4_1':0.0},(434,588):{'3_1':0.0,'4_1':0.0},(434,586):{'3_1':0.0},(434,585):{'4_1':0.0},(434,584):{'3_1':0.0},(434,583):{'3_1':0.0,'4_1':0.0},(434,582):{'3_1':0.0,'4_1':0.0},(434,581):{'4_1':0.0},(434,580):{'3_1':0.0,'4_1':0.0},(434,579):{'3_1':0.0},(434,578):{'3_1':0.0},(434,577):{'4_1':0.0},(434,576):{'4_1':0.0},(434,575):{'4_1':0.0},(434,574):{'4_1':0.0,'3_1':0.0},(434,573):{'3_1':0.0,'4_1':0.0},(434,572):{'3_1':0.0},(434,571):{'3_1':0.0,'4_1':0.0},(434,570):{'4_1':0.0},(434,569):{'3_1':0.0},(434,568):{'3_1':0.0},(434,567):{'3_1':0.0,'4_1':0.0},(434,566):{'3_1':0.0},(434,565):{'3_1':0.0},(434,564):{'3_1':0.0,'4_1':0.0},(434,563):{'3_1':0.03},(434,562):{'3_1':0.0},(434,561):{'3_1':0.0},(434,560):{'3_1':0.0,'4_1':0.0},(434,559):{'3_1':0.0},(434,557):{'3_1':0.0,'4_1':0.0},(434,556):{'3_1':0.0},(434,555):{'3_1':0.03,'4_1':0.0},(434,554):{'3_1':0.0,'4_1':0.0},(434,553):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(434,552):{'3_1':0.0,'4_1':0.0},(434,551):{'3_1':0.06,'4_1':0.0},(434,550):{'3_1':0.0},(434,549):{'3_1':0.03},(434,548):{'3_1':0.03,'6_1':0.0},(434,547):{'3_1':0.03,'8_20|3_1#3_1':0.0},(434,546):{'3_1':0.0},(434,545):{'3_1':0.0,'4_1':0.0},(434,544):{'3_1':0.0},(434,543):{'3_1':0.0},(434,542):{'3_1':0.0},(434,541):{'3_1':0.0},(434,540):{'3_1':0.0,'5_2':0.0},(434,539):{'3_1':0.0},(434,538):{'3_1':0.0},(434,536):{'3_1':0.03},(434,535):{'3_1':0.0},(434,534):{'3_1':0.0},(434,533):{'3_1':0.0},(434,532):{'3_1':0.0},(434,531):{'3_1':0.0},(434,530):{'3_1':0.0},(434,529):{'3_1':0.03,'4_1':0.0},(434,528):{'3_1':0.0},(434,527):{'3_1':0.0,'4_1':0.0},(434,526):{'3_1':0.0},(434,525):{'3_1':0.0},(434,524):{'3_1':0.0},(434,523):{'3_1':0.0},(434,522):{'3_1':0.0},(434,521):{'3_1':0.0},(434,519):{'3_1':0.0},(434,518):{'3_1':0.0},(434,517):{'3_1':0.0},(434,515):{'3_1':0.0},(434,514):{'3_1':0.0},(434,513):{'3_1':0.0},(434,512):{'3_1':0.0},(434,506):{'3_1':0.0},(434,505):{'3_1':0.0},(434,501):{'3_1':0.0},(434,499):{'3_1':0.0},(434,496):{'3_1':0.0},(434,491):{'3_1':0.0},(434,489):{'3_1':0.0},(434,488):{'3_1':0.0},(434,486):{'3_1':0.0},(434,484):{'3_1':0.0},(434,480):{'3_1':0.0},(434,478):{'3_1':0.0},(434,476):{'3_1':0.0},(434,475):{'3_1':0.0,'4_1':0.0},(434,472):{'3_1':0.0},(434,471):{'3_1':0.0},(434,470):{'4_1':0.0},(434,469):{'3_1':0.0},(434,468):{'3_1':0.0},(434,467):{'3_1':0.0,'4_1':0.0},(434,466):{'3_1':0.0},(434,464):{'3_1':0.0},(434,440):{'3_1':0.0},(434,439):{'3_1':0.0},(434,438):{'3_1':0.0},(434,437):{'3_1':0.0},(435,727):{'3_1':0.0},(435,726):{'3_1':0.0},(435,725):{'3_1':0.0},(435,724):{'3_1':0.0},(435,723):{'4_1':0.0,'5_2':0.0},(435,721):{'3_1':0.0},(435,719):{'3_1':0.0},(435,718):{'3_1':0.03,'4_1':0.0},(435,717):{'3_1':0.0},(435,716):{'3_1':0.0},(435,715):{'3_1':0.03},(435,714):{'3_1':0.0,'6_2':0.0},(435,713):{'3_1':0.0},(435,712):{'3_1':0.03},(435,711):{'3_1':0.0,'4_1':0.0},(435,710):{'3_1':0.0},(435,709):{'3_1':0.06},(435,707):{'3_1':0.0},(435,706):{'3_1':0.0},(435,705):{'3_1':0.0},(435,704):{'3_1':0.0,'5_1':0.0},(435,703):{'3_1':0.0},(435,702):{'4_1':0.0},(435,701):{'3_1':0.06},(435,700):{'3_1':0.0},(435,699):{'3_1':0.0},(435,698):{'3_1':0.0},(435,697):{'3_1':0.0},(435,696):{'3_1':0.03,'4_1':0.0},(435,695):{'3_1':0.0,'6_1':0.0},(435,694):{'3_1':0.0},(435,693):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(435,692):{'3_1':0.0},(435,691):{'3_1':0.0,'4_1':0.0},(435,690):{'3_1':0.03,'4_1':0.0},(435,689):{'3_1':0.0},(435,688):{'3_1':0.0,'5_1':0.0},(435,687):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(435,686):{'3_1':0.0,'9_1':0.0},(435,685):{'3_1':0.0},(435,684):{'3_1':0.0},(435,683):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(435,682):{'3_1':0.0},(435,681):{'3_1':0.0,'7_3':0.0},(435,680):{'4_1':0.0},(435,679):{'5_2':0.0},(435,678):{'3_1':0.0,'4_1':0.0},(435,677):{'3_1':0.0},(435,676):{'3_1':0.0},(435,675):{'3_1':0.0},(435,673):{'3_1':0.0,'5_2':0.0},(435,672):{'3_1':0.03},(435,670):{'3_1':0.0},(435,669):{'5_2':0.0,'3_1':0.0},(435,668):{'3_1':0.0},(435,667):{'4_1':0.0,'3_1':0.0},(435,666):{'3_1':0.03},(435,665):{'3_1':0.03,'4_1':0.0},(435,664):{'3_1':0.0},(435,663):{'3_1':0.0},(435,662):{'3_1':0.0},(435,661):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(435,660):{'3_1':0.03},(435,659):{'3_1':0.0,'7_1':0.0},(435,658):{'3_1':0.0},(435,657):{'3_1':0.0,'4_1':0.0},(435,656):{'3_1':0.03},(435,655):{'3_1':0.03},(435,654):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(435,653):{'3_1':0.0},(435,652):{'4_1':0.0,'5_1':0.0,'3_1':0.0},(435,651):{'3_1':0.0,'4_1':0.0},(435,650):{'3_1':0.03},(435,649):{'3_1':0.03},(435,648):{'3_1':0.0},(435,647):{'3_1':0.03},(435,646):{'3_1':0.0,'5_1':0.0},(435,645):{'3_1':0.0,'5_1':0.0},(435,644):{'3_1':0.03},(435,643):{'3_1':0.03,'4_1':0.0},(435,642):{'3_1':0.03,'4_1':0.0},(435,641):{'3_1':0.06},(435,640):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(435,639):{'3_1':0.03,'4_1':0.0},(435,638):{'3_1':0.03,'4_1':0.0},(435,637):{'3_1':0.0},(435,636):{'3_1':0.0},(435,635):{'3_1':0.0,'5_2':0.0},(435,634):{'3_1':0.03},(435,633):{'3_1':0.0,'4_1':0.0},(435,632):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(435,631):{'3_1':0.0},(435,630):{'3_1':0.0},(435,629):{'3_1':0.0,'5_2':0.0},(435,628):{'3_1':0.03},(435,627):{'3_1':0.0,'6_1':0.0},(435,626):{'3_1':0.06},(435,625):{'3_1':0.0},(435,624):{'3_1':0.03,'5_1':0.0},(435,623):{'3_1':0.03},(435,622):{'3_1':0.03},(435,621):{'3_1':0.03},(435,620):{'3_1':0.0,'4_1':0.0},(435,619):{'3_1':0.06,'5_2':0.0},(435,618):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(435,617):{'3_1':0.0},(435,616):{'3_1':0.0,'5_2':0.0},(435,615):{'3_1':0.03,'5_2':0.0},(435,614):{'3_1':0.03,'5_2':0.0},(435,613):{'3_1':0.03},(435,612):{'3_1':0.0},(435,611):{'3_1':0.03},(435,610):{'3_1':0.0},(435,609):{'3_1':0.0},(435,608):{'3_1':0.0},(435,607):{'3_1':0.0,'4_1':0.0},(435,606):{'3_1':0.0},(435,605):{'3_1':0.0,'4_1':0.0},(435,604):{'3_1':0.0},(435,603):{'5_1':0.0},(435,602):{'3_1':0.0},(435,601):{'3_1':0.0},(435,600):{'3_1':0.0,'4_1':0.0},(435,599):{'3_1':0.0},(435,598):{'3_1':0.0},(435,597):{'4_1':0.03,'3_1':0.0},(435,596):{'4_1':0.0,'3_1':0.0},(435,595):{'4_1':0.0},(435,594):{'3_1':0.0,'4_1':0.0},(435,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(435,592):{'3_1':0.0,'4_1':0.0},(435,591):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(435,590):{'4_1':0.0},(435,589):{'3_1':0.0},(435,588):{'3_1':0.0,'4_1':0.0},(435,587):{'3_1':0.0},(435,586):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(435,585):{'3_1':0.0,'4_1':0.0},(435,584):{'3_1':0.0},(435,583):{'3_1':0.03},(435,582):{'3_1':0.0},(435,580):{'4_1':0.0},(435,578):{'3_1':0.0},(435,577):{'3_1':0.0,'4_1':0.0},(435,576):{'4_1':0.0,'3_1':0.0},(435,574):{'3_1':0.0},(435,573):{'3_1':0.0,'4_1':0.0},(435,572):{'3_1':0.0},(435,571):{'3_1':0.0,'4_1':0.0},(435,569):{'3_1':0.0},(435,567):{'3_1':0.0,'4_1':0.0},(435,566):{'3_1':0.0},(435,565):{'3_1':0.0,'4_1':0.0},(435,564):{'3_1':0.0},(435,563):{'3_1':0.0},(435,562):{'3_1':0.0,'5_1':0.0},(435,561):{'3_1':0.0,'4_1':0.0},(435,560):{'3_1':0.03},(435,558):{'3_1':0.0},(435,557):{'3_1':0.0},(435,556):{'3_1':0.03},(435,554):{'3_1':0.0},(435,552):{'3_1':0.0},(435,551):{'3_1':0.0},(435,549):{'3_1':0.0,'4_1':0.0},(435,548):{'3_1':0.0},(435,547):{'3_1':0.0},(435,546):{'3_1':0.03},(435,544):{'3_1':0.0},(435,543):{'3_1':0.0},(435,542):{'3_1':0.0},(435,541):{'3_1':0.0},(435,538):{'3_1':0.0},(435,537):{'3_1':0.0},(435,535):{'3_1':0.0},(435,534):{'3_1':0.0},(435,532):{'3_1':0.0},(435,531):{'3_1':0.0},(435,530):{'3_1':0.0},(435,527):{'3_1':0.0},(435,526):{'3_1':0.0},(435,524):{'3_1':0.0},(435,523):{'3_1':0.0},(435,522):{'3_1':0.0},(435,521):{'3_1':0.0},(435,520):{'3_1':0.0},(435,519):{'3_1':0.0},(435,518):{'3_1':0.0},(435,516):{'3_1':0.0},(435,515):{'3_1':0.0},(435,514):{'3_1':0.03},(435,511):{'3_1':0.0},(435,505):{'3_1':0.03},(435,500):{'3_1':0.0},(435,499):{'3_1':0.0},(435,497):{'3_1':0.0},(435,496):{'3_1':0.0},(435,495):{'3_1':0.0},(435,491):{'3_1':0.0},(435,490):{'3_1':0.0},(435,486):{'3_1':0.0},(435,484):{'4_1':0.0},(435,480):{'3_1':0.0},(435,478):{'3_1':0.0},(435,477):{'3_1':0.0},(435,476):{'3_1':0.0},(435,474):{'3_1':0.0},(435,472):{'3_1':0.0},(435,471):{'3_1':0.0},(435,467):{'3_1':0.0},(435,463):{'3_1':0.0},(435,459):{'3_1':0.0},(435,457):{'3_1':0.0},(435,440):{'3_1':0.0},(435,439):{'3_1':0.03},(435,438):{'3_1':0.0},(436,727):{'3_1':0.0},(436,726):{'3_1':0.0},(436,725):{'3_1':0.0},(436,724):{'3_1':0.0},(436,723):{'5_2':0.0},(436,722):{'3_1':0.03},(436,721):{'3_1':0.03},(436,720):{'3_1':0.0},(436,719):{'3_1':0.0},(436,718):{'3_1':0.0},(436,717):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(436,716):{'3_1':0.03,'5_2':0.0},(436,715):{'5_1':0.0},(436,714):{'3_1':0.03,'5_1':0.0},(436,713):{'3_1':0.03,'4_1':0.0},(436,712):{'3_1':0.03},(436,711):{'3_1':0.0,'4_1':0.0},(436,710):{'3_1':0.03,'4_1':0.0},(436,709):{'3_1':0.0,'4_1':0.0},(436,708):{'3_1':0.0},(436,707):{'3_1':0.03},(436,706):{'3_1':0.03,'5_2':0.0},(436,705):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(436,704):{'3_1':0.0},(436,703):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(436,702):{'3_1':0.0,'4_1':0.0},(436,701):{'3_1':0.0,'4_1':0.0},(436,700):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(436,699):{'3_1':0.03},(436,698):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(436,697):{'3_1':0.03,'5_1':0.0},(436,696):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(436,695):{'3_1':0.03,'5_1':0.0},(436,694):{'3_1':0.03,'5_1':0.0},(436,693):{'3_1':0.0},(436,692):{'3_1':0.03,'5_1':0.0},(436,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(436,690):{'3_1':0.03,'4_1':0.0},(436,689):{'3_1':0.0},(436,688):{'3_1':0.0},(436,687):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(436,686):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(436,684):{'3_1':0.0},(436,683):{'3_1':0.0,'9_1':0.0},(436,682):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(436,681):{'3_1':0.0,'6_1':0.0},(436,679):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(436,678):{'3_1':0.03,'4_1':0.0},(436,677):{'4_1':0.0,'3_1':0.0},(436,676):{'3_1':0.0},(436,675):{'3_1':0.0,'4_1':0.0},(436,674):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(436,672):{'3_1':0.0,'5_1':0.0},(436,671):{'3_1':0.0},(436,670):{'5_2':0.0},(436,669):{'3_1':0.0,'5_2':0.0},(436,668):{'3_1':0.0,'4_1':0.0},(436,667):{'3_1':0.0},(436,666):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(436,665):{'3_1':0.0},(436,664):{'3_1':0.0},(436,663):{'3_1':0.0,'4_1':0.0},(436,662):{'3_1':0.03},(436,661):{'3_1':0.0},(436,660):{'3_1':0.0,'4_1':0.0},(436,659):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(436,658):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(436,657):{'3_1':0.03,'4_1':0.0},(436,656):{'4_1':0.0,'3_1':0.0},(436,655):{'4_1':0.0,'7_3':0.0},(436,654):{'3_1':0.0,'5_2':0.0},(436,653):{'3_1':0.0,'4_1':0.0},(436,652):{'3_1':0.03},(436,651):{'3_1':0.0,'4_1':0.0},(436,650):{'3_1':0.03},(436,649):{'3_1':0.03},(436,648):{'3_1':0.0},(436,647):{'3_1':0.03},(436,646):{'3_1':0.0,'5_2':0.0},(436,645):{'3_1':0.03,'5_2':0.0},(436,644):{'3_1':0.06,'5_2':0.0},(436,643):{'3_1':0.0,'4_1':0.0},(436,642):{'3_1':0.03,'4_1':0.0},(436,641):{'3_1':0.03},(436,640):{'3_1':0.0},(436,639):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(436,638):{'3_1':0.03,'8_20|3_1#3_1':0.0},(436,637):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(436,636):{'3_1':0.0,'6_1':0.0},(436,635):{'3_1':0.0,'4_1':0.0},(436,634):{'3_1':0.0,'4_1':0.0},(436,633):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(436,632):{'3_1':0.0},(436,631):{'5_2':0.0},(436,630):{'3_1':0.0},(436,629):{'3_1':0.0,'5_2':0.0},(436,628):{'3_1':0.0,'4_1':0.0},(436,627):{'3_1':0.0,'4_1':0.0},(436,626):{'3_1':0.0},(436,625):{'3_1':0.0,'5_2':0.0},(436,624):{'3_1':0.03},(436,623):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(436,622):{'3_1':0.03},(436,621):{'3_1':0.0,'4_1':0.0},(436,620):{'3_1':0.03,'4_1':0.0},(436,619):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(436,618):{'3_1':0.0},(436,617):{'3_1':0.03,'4_1':0.0},(436,616):{'3_1':0.06},(436,615):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0},(436,614):{'3_1':0.03,'5_2':0.0},(436,612):{'3_1':0.03,'5_2':0.0},(436,611):{'3_1':0.03,'4_1':0.0},(436,610):{'3_1':0.0},(436,609):{'3_1':0.03},(436,607):{'3_1':0.0},(436,606):{'3_1':0.03,'4_1':0.0},(436,605):{'3_1':0.0},(436,604):{'3_1':0.0,'4_1':0.0},(436,603):{'3_1':0.0,'4_1':0.0},(436,602):{'3_1':0.0,'4_1':0.0},(436,601):{'3_1':0.0,'4_1':0.0},(436,600):{'3_1':0.0},(436,599):{'3_1':0.0,'5_1':0.0},(436,598):{'3_1':0.0,'4_1':0.0},(436,597):{'3_1':0.03,'4_1':0.0},(436,596):{'3_1':0.03,'4_1':0.0},(436,595):{'4_1':0.0},(436,594):{'3_1':0.0,'4_1':0.0},(436,593):{'4_1':0.0},(436,592):{'3_1':0.0},(436,591):{'3_1':0.0,'4_1':0.0},(436,590):{'4_1':0.0,'3_1':0.0},(436,589):{'3_1':0.0},(436,588):{'3_1':0.0},(436,587):{'4_1':0.0,'3_1':0.0},(436,586):{'3_1':0.0,'5_2':0.0},(436,585):{'3_1':0.0},(436,584):{'3_1':0.0},(436,583):{'3_1':0.0,'4_1':0.0},(436,582):{'3_1':0.0,'4_1':0.0},(436,581):{'3_1':0.0},(436,580):{'3_1':0.0},(436,579):{'3_1':0.0,'4_1':0.0},(436,578):{'4_1':0.0,'3_1':0.0},(436,577):{'3_1':0.0},(436,576):{'3_1':0.03,'4_1':0.0},(436,575):{'3_1':0.0,'4_1':0.0},(436,574):{'3_1':0.03},(436,573):{'3_1':0.0},(436,572):{'3_1':0.0,'4_1':0.0},(436,571):{'3_1':0.0,'4_1':0.0},(436,570):{'3_1':0.0},(436,569):{'4_1':0.0,'3_1':0.0},(436,568):{'3_1':0.03,'4_1':0.0},(436,566):{'3_1':0.0},(436,565):{'3_1':0.0},(436,564):{'3_1':0.0},(436,563):{'3_1':0.0},(436,562):{'3_1':0.0},(436,561):{'3_1':0.0,'4_1':0.0},(436,560):{'3_1':0.0},(436,559):{'3_1':0.0,'5_2':0.0},(436,558):{'3_1':0.0,'4_1':0.0},(436,556):{'3_1':0.0,'4_1':0.0},(436,555):{'3_1':0.0},(436,554):{'3_1':0.03,'5_1':0.0},(436,553):{'3_1':0.03},(436,552):{'3_1':0.0,'4_1':0.0},(436,551):{'3_1':0.0},(436,550):{'3_1':0.0},(436,549):{'3_1':0.0},(436,548):{'3_1':0.0},(436,547):{'5_1':0.0},(436,545):{'3_1':0.0},(436,544):{'3_1':0.0},(436,543):{'3_1':0.0},(436,541):{'3_1':0.0},(436,540):{'3_1':0.0},(436,537):{'3_1':0.0},(436,536):{'3_1':0.0},(436,534):{'3_1':0.0},(436,533):{'5_2':0.0},(436,532):{'4_1':0.0},(436,529):{'5_2':0.0},(436,528):{'4_1':0.0,'3_1':0.0},(436,526):{'3_1':0.0},(436,525):{'3_1':0.03,'4_1':0.0},(436,524):{'3_1':0.0},(436,523):{'3_1':0.0},(436,522):{'3_1':0.03},(436,521):{'3_1':0.0},(436,520):{'3_1':0.0},(436,519):{'3_1':0.0,'4_1':0.0},(436,518):{'3_1':0.03},(436,517):{'3_1':0.0},(436,516):{'3_1':0.0},(436,515):{'3_1':0.0},(436,514):{'3_1':0.0},(436,501):{'3_1':0.0},(436,498):{'3_1':0.0},(436,497):{'3_1':0.03},(436,496):{'3_1':0.0},(436,495):{'3_1':0.0},(436,493):{'3_1':0.0},(436,477):{'3_1':0.0},(436,476):{'3_1':0.0},(436,475):{'3_1':0.0},(436,472):{'3_1':0.0},(436,470):{'3_1':0.0},(436,461):{'3_1':0.0},(436,457):{'5_2':0.0},(437,727):{'3_1':0.0},(437,726):{'3_1':0.0},(437,725):{'3_1':0.0,'5_2':0.0},(437,724):{'3_1':0.0,'4_1':0.0},(437,723):{'3_1':0.0},(437,722):{'3_1':0.06},(437,721):{'3_1':0.0,'5_1':0.0},(437,720):{'3_1':0.0,'5_2':0.0},(437,719):{'3_1':0.0},(437,718):{'3_1':0.03},(437,717):{'3_1':0.0},(437,716):{'3_1':0.0},(437,715):{'3_1':0.0},(437,714):{'3_1':0.0},(437,713):{'3_1':0.03,'4_1':0.0},(437,712):{'3_1':0.0,'5_2':0.0},(437,711):{'4_1':0.0,'5_1':0.0},(437,710):{'3_1':0.0,'6_2':0.0},(437,709):{'3_1':0.03,'8_21|3_1#4_1':0.0},(437,708):{'3_1':0.0,'4_1':0.0},(437,707):{'3_1':0.03},(437,706):{'3_1':0.0},(437,705):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(437,704):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(437,703):{'3_1':0.03,'4_1':0.0},(437,702):{'3_1':0.06,'4_1':0.0},(437,701):{'3_1':0.0,'5_1':0.0},(437,700):{'3_1':0.03,'4_1':0.0},(437,699):{'3_1':0.0},(437,698):{'3_1':0.03,'4_1':0.0},(437,697):{'3_1':0.03,'4_1':0.0},(437,696):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(437,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(437,694):{'4_1':0.0},(437,693):{'4_1':0.0},(437,692):{'3_1':0.03},(437,691):{'3_1':0.03,'4_1':0.0},(437,690):{'3_1':0.0,'4_1':0.0},(437,689):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(437,688):{'3_1':0.0,'4_1':0.0},(437,687):{'3_1':0.0,'6_2':0.0,'8_4':0.0},(437,686):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(437,685):{'3_1':0.0,'5_1':0.0},(437,684):{'3_1':0.0,'9_1':0.0},(437,683):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'9_1':0.0},(437,682):{'3_1':0.03},(437,681):{'3_1':0.0,'6_1':0.0},(437,680):{'5_2':0.0},(437,679):{'3_1':0.0,'4_1':0.0},(437,678):{'3_1':0.03},(437,677):{'3_1':0.0},(437,676):{'3_1':0.0},(437,675):{'3_1':0.0},(437,674):{'3_1':0.0},(437,673):{'3_1':0.0},(437,672):{'3_1':0.03},(437,670):{'3_1':0.0},(437,669):{'3_1':0.0},(437,667):{'3_1':0.0},(437,666):{'3_1':0.0,'5_2':0.0},(437,665):{'3_1':0.03},(437,664):{'3_1':0.0},(437,663):{'3_1':0.03},(437,662):{'3_1':0.03,'4_1':0.0},(437,661):{'3_1':0.0,'6_1':0.0},(437,660):{'3_1':0.03},(437,659):{'3_1':0.0},(437,658):{'3_1':0.03},(437,657):{'3_1':0.0},(437,656):{'3_1':0.0,'5_1':0.0},(437,655):{'3_1':0.0},(437,654):{'3_1':0.0},(437,653):{'3_1':0.0},(437,652):{'3_1':0.0,'4_1':0.0},(437,651):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(437,650):{'3_1':0.0},(437,649):{'3_1':0.03},(437,648):{'3_1':0.06,'5_2':0.0},(437,647):{'3_1':0.03,'5_1':0.0},(437,646):{'3_1':0.06},(437,645):{'3_1':0.0},(437,644):{'3_1':0.03},(437,643):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(437,642):{'3_1':0.03},(437,641):{'3_1':0.0},(437,640):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(437,639):{'3_1':0.06},(437,638):{'3_1':0.03},(437,637):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(437,636):{'3_1':0.0},(437,635):{'3_1':0.03,'5_2':0.0},(437,634):{'3_1':0.0,'5_2':0.0},(437,633):{'3_1':0.03,'5_2':0.0},(437,632):{'3_1':0.0,'5_2':0.0},(437,631):{'3_1':0.03},(437,630):{'3_1':0.0,'5_2':0.0},(437,629):{'3_1':0.0},(437,628):{'5_2':0.0},(437,627):{'3_1':0.03,'4_1':0.0},(437,626):{'3_1':0.0,'4_1':0.0},(437,625):{'3_1':0.0,'5_1':0.0},(437,624):{'3_1':0.06,'5_2':0.0},(437,623):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(437,622):{'3_1':0.0},(437,621):{'3_1':0.0,'5_2':0.0},(437,620):{'3_1':0.0},(437,619):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(437,618):{'3_1':0.03},(437,617):{'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(437,616):{'3_1':0.03},(437,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(437,614):{'3_1':0.0},(437,613):{'3_1':0.0},(437,612):{'3_1':0.03,'5_2':0.0},(437,611):{'3_1':0.03,'6_2':0.0},(437,610):{'3_1':0.0},(437,609):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(437,608):{'3_1':0.0},(437,607):{'3_1':0.03},(437,606):{'3_1':0.0},(437,605):{'3_1':0.0,'4_1':0.0},(437,604):{'4_1':0.0,'3_1':0.0},(437,603):{'3_1':0.0,'4_1':0.0},(437,602):{'3_1':0.0,'4_1':0.0},(437,601):{'3_1':0.0},(437,600):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(437,599):{'3_1':0.03},(437,598):{'3_1':0.0,'4_1':0.0},(437,597):{'4_1':0.03,'3_1':0.0},(437,596):{'4_1':0.03,'3_1':0.0},(437,595):{'3_1':0.0,'5_2':0.0},(437,594):{'3_1':0.0,'4_1':0.0},(437,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(437,592):{'4_1':0.0,'3_1':0.0},(437,591):{'3_1':0.0,'4_1':0.0},(437,590):{'3_1':0.0},(437,589):{'3_1':0.0,'4_1':0.0},(437,587):{'3_1':0.0,'6_2':0.0},(437,586):{'3_1':0.0,'4_1':0.0},(437,585):{'3_1':0.0},(437,584):{'3_1':0.0},(437,583):{'3_1':0.0,'4_1':0.0},(437,582):{'3_1':0.0},(437,581):{'3_1':0.0,'4_1':0.0},(437,580):{'3_1':0.03},(437,579):{'3_1':0.0,'4_1':0.0},(437,578):{'3_1':0.0,'4_1':0.0},(437,577):{'3_1':0.03},(437,576):{'3_1':0.0,'4_1':0.0},(437,575):{'3_1':0.0},(437,574):{'4_1':0.0,'3_1':0.0},(437,573):{'3_1':0.0},(437,572):{'3_1':0.0},(437,571):{'4_1':0.0},(437,570):{'3_1':0.0,'4_1':0.0},(437,569):{'4_1':0.0},(437,568):{'3_1':0.0},(437,567):{'3_1':0.0},(437,566):{'3_1':0.0},(437,565):{'3_1':0.0},(437,564):{'3_1':0.03},(437,563):{'3_1':0.0},(437,562):{'3_1':0.03},(437,561):{'3_1':0.03},(437,560):{'3_1':0.03},(437,559):{'3_1':0.0},(437,558):{'4_1':0.0},(437,557):{'3_1':0.0},(437,556):{'3_1':0.0},(437,555):{'3_1':0.0,'4_1':0.0},(437,554):{'3_1':0.0},(437,553):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(437,552):{'4_1':0.0,'3_1':0.0},(437,551):{'3_1':0.03,'5_1':0.0},(437,550):{'3_1':0.03},(437,549):{'3_1':0.03},(437,548):{'3_1':0.0},(437,547):{'3_1':0.0},(437,546):{'3_1':0.0},(437,545):{'3_1':0.0},(437,544):{'3_1':0.0},(437,543):{'3_1':0.0},(437,542):{'3_1':0.0,'4_1':0.0},(437,541):{'3_1':0.0},(437,540):{'3_1':0.0,'4_1':0.0},(437,539):{'3_1':0.0},(437,538):{'3_1':0.0},(437,537):{'3_1':0.03},(437,535):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(437,534):{'3_1':0.0},(437,533):{'3_1':0.0},(437,532):{'3_1':0.0},(437,531):{'3_1':0.0},(437,530):{'3_1':0.0},(437,529):{'3_1':0.0},(437,528):{'3_1':0.0,'7_4':0.0},(437,526):{'3_1':0.0},(437,525):{'3_1':0.0},(437,524):{'3_1':0.03},(437,523):{'3_1':0.03},(437,522):{'3_1':0.0},(437,521):{'3_1':0.03},(437,519):{'3_1':0.0},(437,518):{'3_1':0.0},(437,517):{'3_1':0.0},(437,516):{'3_1':0.0,'6_1':0.0},(437,515):{'3_1':0.0},(437,514):{'3_1':0.03},(437,513):{'3_1':0.0},(437,512):{'3_1':0.0},(437,511):{'3_1':0.0},(437,510):{'3_1':0.0,'5_2':0.0},(437,509):{'3_1':0.0},(437,508):{'3_1':0.03},(437,506):{'3_1':0.0},(437,499):{'3_1':0.0},(437,497):{'3_1':0.0},(437,496):{'3_1':0.0},(437,495):{'3_1':0.0},(437,490):{'3_1':0.0,'4_1':0.0},(437,486):{'3_1':0.0},(437,477):{'3_1':0.0},(437,474):{'3_1':0.0},(437,471):{'3_1':0.0},(437,468):{'3_1':0.0},(437,461):{'3_1':0.0},(437,460):{'3_1':0.0},(438,727):{'3_1':0.0},(438,725):{'3_1':0.0},(438,723):{'3_1':0.0},(438,722):{'3_1':0.0,'5_1':0.0},(438,721):{'3_1':0.0,'5_1':0.0},(438,720):{'3_1':0.0},(438,718):{'3_1':0.0},(438,717):{'3_1':0.0},(438,716):{'4_1':0.0,'3_1':0.0},(438,715):{'3_1':0.0},(438,714):{'3_1':0.0},(438,713):{'3_1':0.0},(438,712):{'3_1':0.0},(438,711):{'3_1':0.0},(438,710):{'3_1':0.0,'6_2':0.0},(438,709):{'3_1':0.03},(438,708):{'3_1':0.0,'5_2':0.0},(438,707):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(438,706):{'3_1':0.0},(438,705):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(438,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(438,703):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(438,702):{'3_1':0.0,'5_1':0.0},(438,701):{'3_1':0.03},(438,700):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(438,699):{'3_1':0.03},(438,698):{'3_1':0.03,'5_2':0.0},(438,697):{'3_1':0.0,'4_1':0.0},(438,696):{'3_1':0.03,'5_1':0.0},(438,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(438,694):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(438,693):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(438,692):{'3_1':0.0,'4_1':0.0},(438,691):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(438,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(438,689):{'3_1':0.0,'4_1':0.0},(438,688):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(438,687):{'3_1':0.0},(438,686):{'3_1':0.0,'4_1':0.0},(438,685):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(438,684):{'3_1':0.0},(438,683):{'3_1':0.03},(438,682):{'3_1':0.03,'4_1':0.0},(438,681):{'3_1':0.03,'4_1':0.0},(438,679):{'3_1':0.0},(438,678):{'3_1':0.0,'5_2':0.0},(438,677):{'3_1':0.0,'4_1':0.0},(438,676):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(438,675):{'3_1':0.03},(438,674):{'3_1':0.0},(438,673):{'3_1':0.0},(438,672):{'4_1':0.0},(438,671):{'5_1':0.0},(438,670):{'3_1':0.0,'4_1':0.0},(438,669):{'3_1':0.0},(438,668):{'3_1':0.0},(438,667):{'3_1':0.0},(438,666):{'3_1':0.0},(438,665):{'3_1':0.0},(438,664):{'3_1':0.0},(438,662):{'3_1':0.0},(438,661):{'3_1':0.0,'4_1':0.0},(438,660):{'3_1':0.0},(438,659):{'3_1':0.03,'5_1':0.0},(438,658):{'3_1':0.03},(438,657):{'3_1':0.0},(438,656):{'3_1':0.03,'4_1':0.0},(438,655):{'3_1':0.03,'5_1':0.0},(438,654):{'3_1':0.03},(438,653):{'3_1':0.03,'5_1':0.0},(438,652):{'3_1':0.0,'4_1':0.0},(438,651):{'3_1':0.0},(438,650):{'3_1':0.0},(438,649):{'3_1':0.0,'4_1':0.0},(438,648):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(438,647):{'3_1':0.0,'4_1':0.0},(438,646):{'3_1':0.0},(438,645):{'3_1':0.03},(438,644):{'3_1':0.03,'5_1':0.0},(438,643):{'3_1':0.0},(438,642):{'3_1':0.06,'5_1':0.0},(438,641):{'3_1':0.0,'5_2':0.0},(438,640):{'3_1':0.06},(438,639):{'3_1':0.0,'5_1':0.0},(438,638):{'3_1':0.0},(438,637):{'3_1':0.03},(438,636):{'3_1':0.06},(438,635):{'3_1':0.03,'4_1':0.0},(438,634):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(438,633):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(438,632):{'3_1':0.0},(438,631):{'3_1':0.03,'5_1':0.0},(438,630):{'3_1':0.0},(438,629):{'3_1':0.0},(438,628):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(438,627):{'3_1':0.03,'4_1':0.0},(438,626):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(438,625):{'3_1':0.03,'4_1':0.0},(438,624):{'3_1':0.0,'5_2':0.0},(438,623):{'3_1':0.0,'4_1':0.0},(438,622):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(438,621):{'3_1':0.03},(438,620):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(438,619):{'3_1':0.03,'5_2':0.0},(438,618):{'3_1':0.06,'4_1':0.0},(438,617):{'3_1':0.03,'5_1':0.0},(438,616):{'3_1':0.0,'5_1':0.0},(438,615):{'3_1':0.03,'5_2':0.0},(438,614):{'3_1':0.03,'4_1':0.0},(438,613):{'3_1':0.03,'5_2':0.0},(438,612):{'3_1':0.0},(438,611):{'3_1':0.0},(438,610):{'3_1':0.0},(438,609):{'3_1':0.0,'5_2':0.0},(438,608):{'3_1':0.03},(438,607):{'3_1':0.0},(438,606):{'3_1':0.0,'4_1':0.0},(438,604):{'3_1':0.0},(438,603):{'3_1':0.0,'4_1':0.0},(438,602):{'3_1':0.0},(438,601):{'3_1':0.0},(438,600):{'3_1':0.0,'4_1':0.0},(438,598):{'4_1':0.0,'3_1':0.0},(438,597):{'3_1':0.0},(438,596):{'3_1':0.0},(438,595):{'3_1':0.0,'4_1':0.0},(438,594):{'3_1':0.03,'4_1':0.0},(438,592):{'3_1':0.0,'4_1':0.0},(438,591):{'4_1':0.03,'3_1':0.0},(438,590):{'3_1':0.0},(438,588):{'3_1':0.0},(438,587):{'3_1':0.0},(438,586):{'3_1':0.0},(438,585):{'3_1':0.0,'4_1':0.0},(438,584):{'3_1':0.0},(438,583):{'3_1':0.0},(438,582):{'3_1':0.0},(438,580):{'3_1':0.0},(438,579):{'3_1':0.0},(438,578):{'3_1':0.0,'4_1':0.0},(438,577):{'3_1':0.0},(438,576):{'3_1':0.0},(438,575):{'3_1':0.0,'4_1':0.0},(438,574):{'3_1':0.0,'4_1':0.0},(438,573):{'3_1':0.0},(438,572):{'3_1':0.0,'4_1':0.0},(438,571):{'3_1':0.0,'4_1':0.0},(438,570):{'4_1':0.0},(438,569):{'3_1':0.0},(438,568):{'3_1':0.0},(438,567):{'4_1':0.0,'3_1':0.0},(438,566):{'3_1':0.03,'4_1':0.0},(438,565):{'3_1':0.0},(438,564):{'3_1':0.0,'4_1':0.0},(438,562):{'3_1':0.0,'4_1':0.0},(438,561):{'3_1':0.0},(438,560):{'3_1':0.0},(438,559):{'3_1':0.0},(438,558):{'3_1':0.03},(438,557):{'3_1':0.03},(438,556):{'3_1':0.0},(438,554):{'3_1':0.0},(438,553):{'5_2':0.0},(438,552):{'3_1':0.0},(438,551):{'3_1':0.0},(438,550):{'3_1':0.0},(438,549):{'3_1':0.0},(438,548):{'3_1':0.0},(438,547):{'3_1':0.03,'4_1':0.0},(438,545):{'3_1':0.0},(438,544):{'3_1':0.0},(438,543):{'3_1':0.0},(438,542):{'5_1':0.0},(438,541):{'3_1':0.03},(438,538):{'3_1':0.0},(438,537):{'3_1':0.0},(438,535):{'3_1':0.0},(438,533):{'3_1':0.0},(438,532):{'3_1':0.0},(438,531):{'3_1':0.0},(438,530):{'3_1':0.0},(438,529):{'3_1':0.0},(438,526):{'3_1':0.0},(438,525):{'3_1':0.0},(438,524):{'3_1':0.0},(438,523):{'3_1':0.0},(438,522):{'3_1':0.0},(438,521):{'3_1':0.03},(438,520):{'3_1':0.0},(438,519):{'3_1':0.03},(438,518):{'3_1':0.0},(438,516):{'3_1':0.0},(438,515):{'3_1':0.0},(438,514):{'3_1':0.0},(438,513):{'3_1':0.0},(438,512):{'3_1':0.0},(438,510):{'3_1':0.0},(438,509):{'3_1':0.0},(438,508):{'3_1':0.0},(438,506):{'5_2':0.0},(438,505):{'3_1':0.0},(438,499):{'5_1':0.0},(438,497):{'3_1':0.0},(438,496):{'3_1':0.0},(438,493):{'3_1':0.0},(438,491):{'4_1':0.0},(438,490):{'3_1':0.0},(438,487):{'3_1':0.0},(438,486):{'3_1':0.0},(438,485):{'3_1':0.0},(438,484):{'3_1':0.0},(438,477):{'3_1':0.0},(438,475):{'8_1':0.0},(438,474):{'3_1':0.0},(438,470):{'3_1':0.0},(438,469):{'3_1':0.0},(438,468):{'3_1':0.0},(438,460):{'3_1':0.0},(438,451):{'3_1':0.0},(439,727):{'3_1':0.0,'4_1':0.0},(439,726):{'3_1':0.0},(439,725):{'3_1':0.0},(439,723):{'4_1':0.0,'3_1':0.0},(439,722):{'3_1':0.0},(439,721):{'3_1':0.03},(439,720):{'3_1':0.0},(439,719):{'3_1':0.0},(439,718):{'3_1':0.0},(439,716):{'3_1':0.0},(439,714):{'3_1':0.0,'4_1':0.0},(439,713):{'3_1':0.0},(439,712):{'3_1':0.03,'5_1':0.0},(439,711):{'3_1':0.0,'4_1':0.0},(439,710):{'3_1':0.0},(439,709):{'3_1':0.0},(439,708):{'3_1':0.0},(439,707):{'3_1':0.03},(439,706):{'3_1':0.0},(439,705):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(439,704):{'3_1':0.0,'5_2':0.0},(439,703):{'3_1':0.0,'4_1':0.0},(439,702):{'3_1':0.0,'4_1':0.0},(439,701):{'3_1':0.0,'5_2':0.0},(439,700):{'3_1':0.03,'4_1':0.0},(439,699):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(439,698):{'3_1':0.06,'4_1':0.0},(439,697):{'3_1':0.06},(439,696):{'3_1':0.0},(439,695):{'3_1':0.0,'4_1':0.0},(439,694):{'3_1':0.0,'6_2':0.0},(439,693):{'3_1':0.0,'4_1':0.0},(439,692):{'3_1':0.03,'4_1':0.0},(439,691):{'3_1':0.0},(439,690):{'3_1':0.03,'4_1':0.0},(439,689):{'3_1':0.03},(439,688):{'3_1':0.0,'4_1':0.0},(439,687):{'3_1':0.0},(439,686):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(439,685):{'3_1':0.0},(439,684):{'3_1':0.0,'4_1':0.0},(439,683):{'3_1':0.0,'4_1':0.0,'-3':0.0},(439,682):{'3_1':0.0,'4_1':0.0},(439,681):{'4_1':0.0},(439,680):{'3_1':0.0,'8_1':0.0},(439,679):{'3_1':0.0,'4_1':0.0},(439,678):{'3_1':0.0},(439,677):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(439,676):{'3_1':0.0,'5_2':0.0},(439,675):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(439,673):{'4_1':0.0},(439,672):{'3_1':0.0},(439,671):{'3_1':0.0},(439,669):{'3_1':0.0},(439,667):{'3_1':0.0,'4_1':0.0},(439,666):{'3_1':0.03},(439,665):{'3_1':0.0,'5_2':0.0},(439,664):{'3_1':0.0,'6_1':0.0},(439,663):{'3_1':0.0},(439,662):{'3_1':0.03},(439,661):{'3_1':0.03},(439,659):{'3_1':0.0,'4_1':0.0},(439,658):{'3_1':0.0},(439,657):{'3_1':0.0,'6_2':0.0},(439,656):{'3_1':0.0,'5_1':0.0},(439,655):{'3_1':0.0,'4_1':0.0},(439,654):{'3_1':0.0,'4_1':0.0},(439,653):{'3_1':0.0},(439,652):{'3_1':0.0,'4_1':0.0},(439,651):{'3_1':0.0},(439,650):{'3_1':0.0},(439,649):{'3_1':0.03},(439,648):{'3_1':0.0},(439,647):{'3_1':0.0,'5_1':0.0},(439,646):{'3_1':0.03,'4_1':0.0},(439,644):{'3_1':0.0},(439,643):{'3_1':0.03},(439,642):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(439,641):{'3_1':0.03},(439,640):{'3_1':0.06,'4_1':0.0},(439,639):{'3_1':0.03,'5_1':0.0},(439,638):{'3_1':0.0},(439,637):{'3_1':0.03},(439,636):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(439,635):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(439,634):{'3_1':0.0},(439,633):{'3_1':0.0},(439,632):{'3_1':0.03},(439,631):{'3_1':0.0,'4_1':0.0},(439,630):{'3_1':0.0},(439,629):{'3_1':0.03},(439,628):{'3_1':0.03,'4_1':0.0},(439,627):{'3_1':0.03},(439,626):{'3_1':0.06,'5_2':0.0},(439,625):{'3_1':0.0,'5_1':0.0},(439,624):{'3_1':0.03},(439,623):{'3_1':0.03,'5_2':0.0},(439,622):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(439,621):{'3_1':0.03},(439,620):{'3_1':0.0},(439,619):{'3_1':0.03},(439,618):{'3_1':0.03,'5_1':0.0},(439,617):{'3_1':0.06},(439,616):{'3_1':0.0},(439,615):{'3_1':0.03,'4_1':0.0},(439,612):{'3_1':0.0,'5_2':0.0},(439,611):{'3_1':0.0,'5_1':0.0},(439,610):{'3_1':0.0},(439,609):{'3_1':0.0,'4_1':0.0},(439,608):{'3_1':0.0},(439,607):{'3_1':0.03,'4_1':0.0},(439,606):{'3_1':0.03},(439,604):{'3_1':0.0},(439,603):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(439,602):{'3_1':0.0},(439,601):{'3_1':0.0,'4_1':0.0},(439,600):{'3_1':0.03},(439,599):{'3_1':0.0,'5_1':0.0},(439,598):{'3_1':0.03},(439,597):{'4_1':0.0,'3_1':0.0},(439,596):{'4_1':0.0},(439,595):{'3_1':0.0,'4_1':0.0},(439,594):{'3_1':0.0,'4_1':0.0},(439,593):{'3_1':0.0,'4_1':0.0},(439,592):{'3_1':0.03,'5_2':0.0},(439,591):{'4_1':0.0,'3_1':0.0},(439,590):{'4_1':0.03,'3_1':0.0},(439,589):{'3_1':0.0},(439,588):{'4_1':0.0},(439,587):{'4_1':0.0,'3_1':0.0},(439,586):{'3_1':0.0},(439,585):{'4_1':0.0,'3_1':0.0},(439,583):{'3_1':0.0},(439,581):{'3_1':0.0,'4_1':0.0},(439,580):{'3_1':0.0},(439,577):{'3_1':0.0},(439,575):{'4_1':0.0,'3_1':0.0},(439,574):{'3_1':0.0},(439,572):{'3_1':0.0,'4_1':0.0},(439,571):{'4_1':0.0,'3_1':0.0},(439,569):{'3_1':0.0,'4_1':0.0},(439,568):{'3_1':0.0,'4_1':0.0},(439,566):{'3_1':0.0},(439,565):{'3_1':0.0},(439,564):{'3_1':0.0,'4_1':0.0},(439,563):{'3_1':0.0},(439,561):{'3_1':0.0},(439,560):{'3_1':0.0},(439,559):{'3_1':0.0},(439,558):{'3_1':0.03},(439,557):{'3_1':0.03},(439,556):{'3_1':0.0},(439,555):{'3_1':0.0},(439,554):{'3_1':0.0},(439,553):{'3_1':0.0,'4_1':0.0},(439,552):{'3_1':0.0},(439,551):{'3_1':0.0},(439,550):{'3_1':0.0},(439,549):{'3_1':0.0,'4_1':0.0},(439,548):{'3_1':0.0},(439,546):{'3_1':0.0},(439,545):{'3_1':0.0},(439,544):{'3_1':0.0},(439,543):{'3_1':0.0},(439,542):{'3_1':0.0},(439,540):{'5_2':0.0},(439,539):{'3_1':0.0},(439,538):{'3_1':0.0},(439,537):{'3_1':0.0},(439,535):{'3_1':0.03},(439,534):{'3_1':0.0},(439,532):{'3_1':0.0},(439,531):{'3_1':0.0},(439,530):{'3_1':0.0},(439,529):{'3_1':0.0},(439,528):{'3_1':0.0},(439,525):{'3_1':0.0},(439,524):{'3_1':0.0},(439,523):{'3_1':0.0},(439,522):{'3_1':0.0},(439,521):{'3_1':0.0},(439,520):{'3_1':0.0},(439,519):{'3_1':0.0},(439,518):{'3_1':0.0},(439,517):{'3_1':0.0},(439,516):{'3_1':0.0},(439,515):{'3_1':0.0},(439,514):{'3_1':0.0},(439,513):{'3_1':0.0},(439,511):{'3_1':0.0},(439,510):{'4_1':0.0},(439,509):{'3_1':0.0},(439,508):{'3_1':0.0},(439,505):{'3_1':0.0},(439,503):{'3_1':0.0},(439,502):{'3_1':0.0},(439,501):{'3_1':0.0},(439,500):{'3_1':0.0},(439,498):{'3_1':0.0},(439,496):{'3_1':0.0},(439,495):{'3_1':0.0},(439,489):{'3_1':0.0},(439,487):{'3_1':0.0,'4_1':0.0},(439,486):{'3_1':0.0},(439,483):{'3_1':0.0},(439,482):{'3_1':0.0},(439,481):{'4_1':0.0},(439,475):{'3_1':0.0},(439,472):{'3_1':0.0},(439,461):{'3_1':0.0},(439,460):{'3_1':0.0},(439,456):{'3_1':0.0},(439,454):{'5_2':0.0},(440,727):{'3_1':0.0},(440,725):{'3_1':0.0},(440,723):{'3_1':0.0,'5_2':0.0},(440,722):{'4_1':0.0,'3_1':0.0},(440,721):{'3_1':0.03},(440,720):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(440,719):{'3_1':0.0,'5_1':0.0},(440,718):{'3_1':0.03,'4_1':0.0},(440,717):{'3_1':0.0},(440,716):{'3_1':0.0},(440,715):{'3_1':0.0,'6_2':0.0},(440,714):{'3_1':0.03,'5_1':0.0},(440,713):{'3_1':0.0},(440,712):{'3_1':0.03},(440,711):{'3_1':0.03},(440,710):{'3_1':0.03,'5_1':0.0},(440,709):{'3_1':0.03},(440,708):{'3_1':0.0,'4_1':0.0},(440,707):{'3_1':0.0,'4_1':0.0},(440,706):{'3_1':0.0},(440,705):{'3_1':0.0},(440,704):{'3_1':0.0},(440,703):{'3_1':0.0,'4_1':0.0},(440,702):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(440,701):{'3_1':0.03,'7_1':0.0},(440,700):{'3_1':0.03},(440,699):{'3_1':0.0},(440,698):{'3_1':0.03},(440,697):{'3_1':0.06,'6_2':0.0},(440,696):{'3_1':0.0,'5_1':0.0},(440,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(440,694):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(440,693):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(440,692):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(440,691):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(440,690):{'3_1':0.0,'4_1':0.0},(440,689):{'3_1':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(440,688):{'3_1':0.0},(440,687):{'4_1':0.0},(440,686):{'3_1':0.0,'5_1':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(440,685):{'3_1':0.0},(440,684):{'3_1':0.03},(440,683):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(440,682):{'3_1':0.0,'4_1':0.0},(440,681):{'3_1':0.0},(440,677):{'3_1':0.0},(440,676):{'4_1':0.0,'3_1':0.0},(440,675):{'3_1':0.0},(440,672):{'3_1':0.0,'4_1':0.0},(440,671):{'3_1':0.0},(440,669):{'3_1':0.0},(440,668):{'3_1':0.0,'4_1':0.0},(440,667):{'4_1':0.0},(440,666):{'3_1':0.0},(440,665):{'3_1':0.0,'4_1':0.0},(440,664):{'3_1':0.0},(440,662):{'3_1':0.0},(440,661):{'3_1':0.0},(440,660):{'4_1':0.0,'3_1':0.0},(440,659):{'3_1':0.0,'5_1':0.0},(440,658):{'3_1':0.0},(440,657):{'3_1':0.0},(440,656):{'3_1':0.03},(440,655):{'3_1':0.0},(440,654):{'3_1':0.0},(440,653):{'3_1':0.0},(440,652):{'3_1':0.0},(440,651):{'3_1':0.03,'5_2':0.0},(440,650):{'3_1':0.03},(440,649):{'3_1':0.0,'4_1':0.0},(440,648):{'3_1':0.0},(440,647):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(440,646):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(440,645):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(440,644):{'3_1':0.0,'5_2':0.0},(440,643):{'3_1':0.03},(440,642):{'3_1':0.0},(440,641):{'3_1':0.03},(440,640):{'3_1':0.0,'5_2':0.0},(440,639):{'3_1':0.0},(440,638):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(440,637):{'3_1':0.0,'5_1':0.0},(440,636):{'3_1':0.03,'6_1':0.0},(440,635):{'3_1':0.0,'4_1':0.0},(440,634):{'3_1':0.0},(440,633):{'5_2':0.0},(440,630):{'3_1':0.0,'5_2':0.0},(440,629):{'3_1':0.0},(440,628):{'3_1':0.0},(440,627):{'3_1':0.06},(440,626):{'3_1':0.09},(440,625):{'3_1':0.03},(440,624):{'3_1':0.03,'4_1':0.0},(440,623):{'3_1':0.03},(440,622):{'3_1':0.0,'5_2':0.0},(440,621):{'3_1':0.03,'5_2':0.0},(440,620):{'3_1':0.03,'5_1':0.0},(440,619):{'3_1':0.0},(440,618):{'3_1':0.03},(440,617):{'3_1':0.0},(440,615):{'3_1':0.0,'5_1':0.0},(440,614):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(440,613):{'3_1':0.0,'5_1':0.0},(440,612):{'3_1':0.0,'5_2':0.0},(440,611):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(440,610):{'3_1':0.0},(440,609):{'3_1':0.0},(440,608):{'3_1':0.0,'4_1':0.0},(440,607):{'3_1':0.0},(440,606):{'5_1':0.0},(440,605):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(440,604):{'3_1':0.0},(440,603):{'3_1':0.0,'5_1':0.0},(440,602):{'3_1':0.0},(440,601):{'3_1':0.0,'4_1':0.0},(440,600):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(440,599):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(440,598):{'3_1':0.0,'4_1':0.0},(440,597):{'4_1':0.0,'3_1':0.0},(440,594):{'3_1':0.0,'4_1':0.0},(440,593):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(440,592):{'3_1':0.0},(440,591):{'3_1':0.0,'4_1':0.0},(440,590):{'3_1':0.0},(440,589):{'4_1':0.0,'3_1':0.0,'8_20|3_1#3_1':0.0},(440,588):{'4_1':0.0},(440,587):{'3_1':0.0,'4_1':0.0},(440,586):{'3_1':0.0,'4_1':0.0},(440,585):{'3_1':0.0},(440,584):{'3_1':0.0,'4_1':0.0},(440,583):{'3_1':0.03,'4_1':0.0},(440,581):{'3_1':0.0,'4_1':0.0},(440,580):{'3_1':0.0},(440,579):{'3_1':0.03},(440,578):{'4_1':0.0},(440,577):{'3_1':0.0},(440,576):{'3_1':0.0},(440,575):{'3_1':0.0,'4_1':0.0},(440,574):{'3_1':0.0},(440,571):{'3_1':0.0,'4_1':0.0},(440,570):{'3_1':0.0},(440,569):{'3_1':0.0},(440,568):{'3_1':0.0,'4_1':0.0},(440,567):{'4_1':0.0},(440,566):{'3_1':0.0},(440,565):{'3_1':0.03,'4_1':0.0},(440,564):{'3_1':0.0,'4_1':0.0},(440,562):{'3_1':0.0},(440,561):{'4_1':0.0},(440,560):{'3_1':0.0},(440,559):{'3_1':0.0,'4_1':0.0},(440,558):{'3_1':0.0,'4_1':0.0},(440,557):{'3_1':0.03,'6_1':0.0},(440,556):{'3_1':0.0,'4_1':0.0},(440,554):{'3_1':0.0},(440,553):{'3_1':0.0,'4_1':0.0},(440,552):{'3_1':0.0},(440,551):{'3_1':0.0},(440,550):{'3_1':0.0},(440,549):{'3_1':0.0},(440,548):{'3_1':0.0},(440,545):{'3_1':0.0},(440,544):{'3_1':0.0},(440,543):{'3_1':0.0},(440,542):{'3_1':0.0},(440,541):{'3_1':0.0},(440,540):{'3_1':0.0},(440,539):{'3_1':0.0},(440,537):{'3_1':0.0},(440,536):{'3_1':0.0},(440,535):{'3_1':0.0},(440,534):{'3_1':0.0},(440,533):{'3_1':0.0},(440,531):{'3_1':0.0},(440,530):{'3_1':0.0},(440,529):{'3_1':0.0},(440,528):{'3_1':0.0},(440,526):{'3_1':0.0},(440,524):{'3_1':0.0},(440,523):{'3_1':0.03},(440,521):{'3_1':0.0},(440,520):{'3_1':0.0},(440,519):{'3_1':0.0},(440,518):{'3_1':0.03},(440,517):{'3_1':0.03},(440,516):{'3_1':0.03},(440,515):{'3_1':0.0},(440,514):{'3_1':0.0},(440,513):{'3_1':0.0},(440,512):{'3_1':0.0},(440,511):{'3_1':0.0},(440,510):{'3_1':0.03},(440,508):{'3_1':0.0},(440,506):{'3_1':0.0},(440,503):{'3_1':0.0},(440,496):{'3_1':0.0},(440,495):{'3_1':0.0},(440,491):{'3_1':0.0},(440,490):{'3_1':0.0},(440,488):{'3_1':0.0},(440,487):{'3_1':0.0},(440,483):{'3_1':0.0},(440,481):{'3_1':0.0},(440,479):{'3_1':0.0},(440,476):{'3_1':0.0},(440,475):{'3_1':0.0},(440,472):{'3_1':0.0},(440,461):{'3_1':0.0},(440,460):{'3_1':0.0},(440,459):{'3_1':0.0},(440,457):{'5_2':0.0},(440,456):{'5_1':0.0},(441,727):{'4_1':0.0},(441,726):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(441,725):{'3_1':0.0},(441,723):{'3_1':0.0,'5_2':0.0},(441,722):{'4_1':0.0},(441,721):{'3_1':0.0},(441,720):{'3_1':0.0,'4_1':0.0},(441,719):{'3_1':0.0},(441,718):{'3_1':0.03},(441,717):{'3_1':0.0},(441,716):{'3_1':0.0},(441,715):{'3_1':0.0},(441,714):{'3_1':0.03},(441,713):{'3_1':0.0,'5_1':0.0},(441,712):{'3_1':0.03,'5_1':0.0},(441,711):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(441,710):{'3_1':0.0,'5_2':0.0},(441,709):{'3_1':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(441,708):{'3_1':0.06},(441,707):{'3_1':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(441,706):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(441,705):{'3_1':0.0,'4_1':0.0},(441,704):{'3_1':0.0,'5_1':0.0},(441,703):{'9_1':0.0,'3_1':0.0,'4_1':0.0,'6_2':0.0,'-3':0.0},(441,702):{'3_1':0.0,'4_1':0.0},(441,701):{'3_1':0.0},(441,700):{'3_1':0.0,'4_1':0.0},(441,699):{'3_1':0.0,'4_1':0.0},(441,698):{'5_2':0.0,'3_1':0.0},(441,697):{'3_1':0.03,'4_1':0.0},(441,696):{'3_1':0.0,'5_2':0.0},(441,695):{'3_1':0.03,'4_1':0.0},(441,694):{'3_1':0.03,'4_1':0.0},(441,693):{'3_1':0.03},(441,692):{'3_1':0.0,'6_2':0.0},(441,691):{'3_1':0.0,'4_1':0.0},(441,690):{'4_1':0.0,'3_1':0.0},(441,689):{'3_1':0.0,'4_1':0.0},(441,688):{'4_1':0.0,'3_1':0.0},(441,687):{'4_1':0.0,'3_1':0.0},(441,686):{'3_1':0.03},(441,685):{'3_1':0.03,'4_1':0.0},(441,684):{'3_1':0.0,'4_1':0.0},(441,683):{'3_1':0.0},(441,682):{'3_1':0.03},(441,681):{'3_1':0.06,'5_2':0.0},(441,680):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(441,679):{'4_1':0.0},(441,678):{'5_1':0.0,'3_1':0.0,'8_1':0.0},(441,677):{'3_1':0.0},(441,676):{'3_1':0.0,'4_1':0.0},(441,674):{'3_1':0.0},(441,673):{'3_1':0.03},(441,671):{'3_1':0.0,'5_1':0.0,'7_5':0.0},(441,670):{'3_1':0.0,'4_1':0.0},(441,669):{'3_1':0.0,'4_1':0.0},(441,668):{'4_1':0.0},(441,667):{'3_1':0.0},(441,666):{'3_1':0.0,'4_1':0.0},(441,665):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(441,664):{'3_1':0.0},(441,663):{'4_1':0.0},(441,662):{'3_1':0.0,'5_1':0.0},(441,660):{'3_1':0.0},(441,659):{'3_1':0.0},(441,658):{'3_1':0.0},(441,657):{'3_1':0.0},(441,656):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(441,655):{'3_1':0.03},(441,654):{'3_1':0.0,'4_1':0.0},(441,653):{'3_1':0.03,'5_1':0.0},(441,652):{'3_1':0.0},(441,651):{'3_1':0.0,'5_1':0.0},(441,650):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(441,649):{'3_1':0.03},(441,648):{'3_1':0.0},(441,647):{'3_1':0.03,'4_1':0.0},(441,646):{'3_1':0.03},(441,645):{'3_1':0.0},(441,644):{'3_1':0.0,'4_1':0.0},(441,643):{'3_1':0.03},(441,642):{'3_1':0.06,'5_2':0.0},(441,641):{'3_1':0.03,'5_2':0.0},(441,640):{'3_1':0.03,'5_2':0.0},(441,639):{'3_1':0.0},(441,638):{'3_1':0.03,'5_2':0.0},(441,637):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(441,636):{'3_1':0.0},(441,635):{'3_1':0.0,'5_2':0.0},(441,634):{'4_1':0.0,'3_1':0.0},(441,633):{'3_1':0.0,'4_1':0.0},(441,632):{'3_1':0.0,'5_2':0.0},(441,631):{'3_1':0.03},(441,630):{'3_1':0.03},(441,628):{'3_1':0.0,'5_2':0.0},(441,627):{'3_1':0.03},(441,626):{'3_1':0.0,'5_1':0.0},(441,625):{'3_1':0.0,'5_2':0.0},(441,624):{'3_1':0.03,'5_2':0.0},(441,623):{'3_1':0.06},(441,622):{'3_1':0.0,'5_1':0.0},(441,621):{'3_1':0.03},(441,620):{'3_1':0.03},(441,619):{'3_1':0.03,'5_2':0.0},(441,618):{'3_1':0.0},(441,617):{'3_1':0.0,'4_1':0.0},(441,616):{'3_1':0.03},(441,615):{'3_1':0.03},(441,614):{'3_1':0.0,'4_1':0.0},(441,613):{'3_1':0.03,'4_1':0.0},(441,612):{'3_1':0.0,'5_1':0.0},(441,611):{'3_1':0.03,'5_1':0.0},(441,610):{'3_1':0.0,'4_1':0.0},(441,609):{'3_1':0.0,'4_1':0.0},(441,608):{'3_1':0.0},(441,607):{'3_1':0.0,'4_1':0.0},(441,606):{'3_1':0.0,'4_1':0.0},(441,605):{'3_1':0.0},(441,604):{'3_1':0.0,'4_1':0.0},(441,603):{'3_1':0.0,'5_1':0.0},(441,602):{'3_1':0.0,'4_1':0.0},(441,601):{'4_1':0.0},(441,600):{'3_1':0.0,'4_1':0.0},(441,599):{'4_1':0.0},(441,598):{'3_1':0.0,'4_1':0.0},(441,597):{'4_1':0.03,'3_1':0.0},(441,596):{'3_1':0.0,'5_2':0.0},(441,595):{'3_1':0.0},(441,593):{'3_1':0.0,'4_1':0.0},(441,592):{'3_1':0.0,'5_2':0.0},(441,591):{'4_1':0.0,'3_1':0.0},(441,590):{'3_1':0.0,'4_1':0.0},(441,587):{'3_1':0.0},(441,586):{'3_1':0.0},(441,585):{'3_1':0.0,'4_1':0.0},(441,584):{'3_1':0.0},(441,583):{'3_1':0.0,'4_1':0.0},(441,582):{'3_1':0.0},(441,581):{'4_1':0.0},(441,580):{'3_1':0.0},(441,579):{'3_1':0.0},(441,578):{'3_1':0.0,'4_1':0.0},(441,577):{'3_1':0.0},(441,576):{'3_1':0.03},(441,575):{'3_1':0.0},(441,572):{'3_1':0.03},(441,570):{'3_1':0.0},(441,569):{'3_1':0.03},(441,568):{'3_1':0.0},(441,567):{'3_1':0.0,'4_1':0.0},(441,566):{'3_1':0.0},(441,565):{'3_1':0.0},(441,564):{'3_1':0.0,'4_1':0.0},(441,563):{'3_1':0.0},(441,562):{'3_1':0.0},(441,561):{'3_1':0.0},(441,560):{'4_1':0.0},(441,559):{'3_1':0.0},(441,558):{'3_1':0.0},(441,557):{'3_1':0.0,'4_1':0.0},(441,556):{'3_1':0.0},(441,555):{'3_1':0.0},(441,554):{'3_1':0.0},(441,553):{'3_1':0.0},(441,552):{'3_1':0.0},(441,551):{'3_1':0.0,'4_1':0.0},(441,550):{'3_1':0.0},(441,549):{'4_1':0.0},(441,548):{'3_1':0.0},(441,547):{'3_1':0.0},(441,546):{'3_1':0.0},(441,545):{'3_1':0.0},(441,544):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(441,543):{'3_1':0.0},(441,542):{'3_1':0.0},(441,539):{'3_1':0.0},(441,537):{'4_1':0.0},(441,536):{'3_1':0.0,'5_2':0.0},(441,535):{'3_1':0.0},(441,534):{'3_1':0.0},(441,533):{'3_1':0.03},(441,532):{'3_1':0.0},(441,530):{'3_1':0.0},(441,529):{'3_1':0.0},(441,528):{'3_1':0.0},(441,526):{'3_1':0.0},(441,525):{'3_1':0.03},(441,524):{'3_1':0.03},(441,523):{'3_1':0.03},(441,522):{'3_1':0.03},(441,521):{'3_1':0.0},(441,520):{'3_1':0.0},(441,519):{'3_1':0.0,'4_1':0.0},(441,518):{'3_1':0.03},(441,517):{'3_1':0.0},(441,516):{'3_1':0.0},(441,515):{'3_1':0.0},(441,514):{'3_1':0.0},(441,513):{'3_1':0.0},(441,512):{'3_1':0.0},(441,511):{'3_1':0.0},(441,510):{'3_1':0.0},(441,509):{'3_1':0.0},(441,505):{'3_1':0.0},(441,502):{'3_1':0.0},(441,501):{'3_1':0.0},(441,500):{'3_1':0.0},(441,497):{'3_1':0.0},(441,496):{'3_1':0.0,'4_1':0.0},(441,495):{'3_1':0.0},(441,494):{'3_1':0.0},(441,491):{'3_1':0.0},(441,489):{'3_1':0.0},(441,487):{'3_1':0.0},(441,485):{'3_1':0.0},(441,482):{'3_1':0.0},(441,479):{'3_1':0.0},(441,456):{'3_1':0.0},(441,454):{'3_1':0.0,'5_2':0.0},(441,453):{'3_1':0.0},(441,452):{'3_1':0.0},(441,451):{'3_1':0.0},(441,448):{'3_1':0.0},(442,727):{'3_1':0.03},(442,726):{'3_1':0.0},(442,725):{'3_1':0.0},(442,724):{'3_1':0.0},(442,723):{'3_1':0.03},(442,722):{'3_1':0.0},(442,720):{'3_1':0.0},(442,718):{'3_1':0.0},(442,717):{'3_1':0.0},(442,716):{'3_1':0.0},(442,715):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(442,714):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(442,713):{'3_1':0.0},(442,712):{'3_1':0.03,'5_1':0.0},(442,711):{'3_1':0.0},(442,710):{'3_1':0.03},(442,709):{'3_1':0.03},(442,708):{'3_1':0.0,'4_1':0.0},(442,707):{'3_1':0.0,'4_1':0.0},(442,706):{'3_1':0.03},(442,705):{'3_1':0.03},(442,704):{'3_1':0.03,'4_1':0.0},(442,703):{'3_1':0.0,'4_1':0.0},(442,702):{'3_1':0.03,'4_1':0.0},(442,701):{'3_1':0.0,'7_1':0.0},(442,700):{'3_1':0.03},(442,699):{'3_1':0.0,'4_1':0.0},(442,698):{'3_1':0.03},(442,697):{'3_1':0.0,'5_1':0.0},(442,696):{'3_1':0.0},(442,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,694):{'3_1':0.0,'4_1':0.0},(442,693):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0},(442,692):{'3_1':0.0,'5_2':0.0},(442,691):{'3_1':0.0,'4_1':0.0},(442,690):{'3_1':0.0,'4_1':0.0},(442,689):{'3_1':0.03,'4_1':0.0},(442,688):{'3_1':0.0},(442,687):{'3_1':0.0},(442,686):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,685):{'3_1':0.03},(442,684):{'4_1':0.0},(442,683):{'3_1':0.03},(442,682):{'3_1':0.0},(442,681):{'4_1':0.0,'6_1':0.0},(442,680):{'3_1':0.0},(442,679):{'3_1':0.0},(442,678):{'3_1':0.03,'5_1':0.0},(442,677):{'3_1':0.0,'4_1':0.0},(442,676):{'4_1':0.0},(442,675):{'3_1':0.0},(442,673):{'3_1':0.0},(442,672):{'3_1':0.03,'4_1':0.0},(442,671):{'3_1':0.0},(442,670):{'3_1':0.0,'6_1':0.0},(442,669):{'3_1':0.0},(442,668):{'3_1':0.0,'8_1':0.0},(442,667):{'3_1':0.0,'4_1':0.0},(442,666):{'6_1':0.0},(442,665):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(442,664):{'3_1':0.03},(442,663):{'3_1':0.0},(442,662):{'3_1':0.0,'8_3':0.0},(442,661):{'3_1':0.0},(442,660):{'3_1':0.0,'4_1':0.0},(442,659):{'3_1':0.0},(442,658):{'3_1':0.0,'4_1':0.0},(442,657):{'3_1':0.0,'4_1':0.0},(442,656):{'3_1':0.0},(442,655):{'3_1':0.0},(442,654):{'3_1':0.0},(442,653):{'3_1':0.0},(442,652):{'3_1':0.0},(442,651):{'3_1':0.03},(442,650):{'3_1':0.0},(442,649):{'4_1':0.0,'3_1':0.0},(442,648):{'3_1':0.0,'4_1':0.0},(442,647):{'3_1':0.03,'4_1':0.0},(442,646):{'3_1':0.0,'5_1':0.0},(442,645):{'3_1':0.03,'4_1':0.0},(442,644):{'3_1':0.03},(442,643):{'3_1':0.0,'4_1':0.0},(442,642):{'3_1':0.0},(442,641):{'3_1':0.03,'5_2':0.0},(442,640):{'3_1':0.03,'5_2':0.0},(442,639):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(442,638):{'3_1':0.03,'4_1':0.0},(442,637):{'3_1':0.0},(442,636):{'3_1':0.0,'5_2':0.0},(442,635):{'3_1':0.0,'5_1':0.0},(442,634):{'3_1':0.0,'5_1':0.0},(442,633):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(442,632):{'3_1':0.06},(442,631):{'3_1':0.0,'4_1':0.0},(442,630):{'3_1':0.0},(442,629):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,628):{'3_1':0.03,'4_1':0.0},(442,627):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,626):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(442,625):{'3_1':0.03},(442,624):{'3_1':0.03},(442,623):{'3_1':0.03},(442,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(442,621):{'3_1':0.03,'4_1':0.0},(442,620):{'3_1':0.03,'5_2':0.0},(442,619):{'3_1':0.03,'5_1':0.0},(442,618):{'3_1':0.03,'4_1':0.0},(442,617):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(442,616):{'3_1':0.0,'5_2':0.0},(442,615):{'3_1':0.0},(442,614):{'3_1':0.03},(442,613):{'3_1':0.03,'4_1':0.0},(442,612):{'3_1':0.0},(442,611):{'3_1':0.03,'5_1':0.0},(442,610):{'3_1':0.03},(442,609):{'3_1':0.0},(442,608):{'3_1':0.0},(442,607):{'3_1':0.0},(442,605):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(442,604):{'3_1':0.0,'6_2':0.0},(442,603):{'3_1':0.0},(442,602):{'3_1':0.0},(442,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(442,600):{'3_1':0.0},(442,599):{'4_1':0.0,'3_1':0.0},(442,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(442,597):{'3_1':0.0,'4_1':0.0},(442,596):{'4_1':0.0},(442,595):{'3_1':0.0},(442,594):{'3_1':0.0},(442,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,592):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(442,590):{'3_1':0.0,'4_1':0.0},(442,589):{'3_1':0.0,'4_1':0.0},(442,588):{'3_1':0.0,'4_1':0.0},(442,587):{'3_1':0.0},(442,586):{'3_1':0.0},(442,585):{'3_1':0.0},(442,584):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(442,583):{'3_1':0.0,'4_1':0.0},(442,582):{'3_1':0.0,'4_1':0.0},(442,581):{'4_1':0.0},(442,580):{'3_1':0.0},(442,577):{'4_1':0.0},(442,574):{'3_1':0.0,'4_1':0.0},(442,572):{'3_1':0.0},(442,571):{'3_1':0.0},(442,569):{'3_1':0.0,'4_1':0.0},(442,568):{'3_1':0.0},(442,567):{'3_1':0.0,'4_1':0.0},(442,566):{'3_1':0.0},(442,565):{'3_1':0.0},(442,564):{'3_1':0.0,'4_1':0.0},(442,563):{'3_1':0.0,'4_1':0.0},(442,562):{'3_1':0.0},(442,561):{'4_1':0.0,'3_1':0.0},(442,560):{'4_1':0.0},(442,559):{'3_1':0.0,'4_1':0.0},(442,558):{'4_1':0.0},(442,557):{'3_1':0.03},(442,556):{'4_1':0.0},(442,555):{'3_1':0.0},(442,554):{'3_1':0.0},(442,553):{'3_1':0.0,'4_1':0.0},(442,552):{'3_1':0.0,'4_1':0.0},(442,550):{'3_1':0.0},(442,549):{'3_1':0.0},(442,548):{'3_1':0.0},(442,546):{'6_2':0.0},(442,545):{'3_1':0.0},(442,543):{'3_1':0.0},(442,542):{'3_1':0.0},(442,541):{'3_1':0.0},(442,540):{'5_2':0.0},(442,539):{'3_1':0.0},(442,537):{'3_1':0.0},(442,535):{'3_1':0.0},(442,534):{'3_1':0.0},(442,531):{'3_1':0.0},(442,530):{'3_1':0.0},(442,529):{'3_1':0.0},(442,528):{'3_1':0.0},(442,527):{'3_1':0.0},(442,526):{'3_1':0.0},(442,525):{'3_1':0.0},(442,524):{'3_1':0.03,'4_1':0.0},(442,523):{'3_1':0.0},(442,522):{'3_1':0.0},(442,521):{'3_1':0.0,'4_1':0.0},(442,519):{'3_1':0.0},(442,517):{'3_1':0.0,'4_1':0.0},(442,515):{'3_1':0.0},(442,514):{'3_1':0.0},(442,513):{'3_1':0.0},(442,511):{'3_1':0.0},(442,510):{'3_1':0.0},(442,506):{'3_1':0.0},(442,505):{'4_1':0.0},(442,504):{'3_1':0.0},(442,503):{'3_1':0.0},(442,500):{'3_1':0.0},(442,498):{'3_1':0.0},(442,496):{'3_1':0.0},(442,495):{'3_1':0.0},(442,494):{'3_1':0.0},(442,493):{'3_1':0.0},(442,492):{'3_1':0.0},(442,491):{'3_1':0.0},(442,485):{'3_1':0.0},(442,479):{'3_1':0.0,'4_1':0.0},(442,471):{'3_1':0.0},(442,469):{'3_1':0.0},(442,467):{'3_1':0.0},(442,466):{'3_1':0.0},(442,465):{'3_1':0.0},(442,463):{'3_1':0.0},(442,462):{'3_1':0.0},(442,460):{'3_1':0.0},(442,450):{'3_1':0.0},(443,727):{'3_1':0.0,'6_2':0.0},(443,726):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,725):{'3_1':0.0},(443,724):{'5_1':0.0},(443,723):{'3_1':0.0},(443,722):{'3_1':0.0},(443,721):{'3_1':0.03},(443,720):{'3_1':0.0},(443,719):{'3_1':0.0},(443,718):{'3_1':0.03},(443,717):{'3_1':0.0},(443,716):{'3_1':0.0},(443,715):{'3_1':0.0},(443,713):{'3_1':0.0},(443,712):{'3_1':0.0,'5_1':0.0},(443,711):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,710):{'3_1':0.0},(443,709):{'3_1':0.0},(443,708):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(443,707):{'3_1':0.0},(443,706):{'3_1':0.0,'4_1':0.0},(443,705):{'3_1':0.0,'6_2':0.0},(443,704):{'3_1':0.0,'4_1':0.0},(443,703):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(443,702):{'3_1':0.03},(443,701):{'3_1':0.03,'4_1':0.0},(443,700):{'3_1':0.03},(443,699):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(443,698):{'3_1':0.0,'5_1':0.0},(443,697):{'3_1':0.03,'4_1':0.0},(443,696):{'3_1':0.03,'6_2':0.0},(443,695):{'3_1':0.03},(443,694):{'3_1':0.0},(443,693):{'3_1':0.03,'5_1':0.0},(443,692):{'3_1':0.03,'6_2':0.0},(443,691):{'3_1':0.0},(443,690):{'3_1':0.0},(443,689):{'3_1':0.03},(443,688):{'3_1':0.0,'4_1':0.0},(443,687):{'3_1':0.0,'4_1':0.0},(443,686):{'3_1':0.03,'4_1':0.0},(443,685):{'3_1':0.0},(443,684):{'3_1':0.0,'6_1':0.0},(443,683):{'4_1':0.0,'3_1':0.0},(443,682):{'3_1':0.0,'4_1':0.0},(443,681):{'3_1':0.0},(443,680):{'3_1':0.0},(443,679):{'3_1':0.0},(443,678):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,677):{'3_1':0.0,'4_1':0.0},(443,676):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(443,675):{'3_1':0.03},(443,674):{'3_1':0.0},(443,673):{'3_1':0.0,'4_1':0.0},(443,672):{'3_1':0.0},(443,668):{'3_1':0.0,'4_1':0.0},(443,667):{'3_1':0.0,'4_1':0.0},(443,666):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(443,665):{'3_1':0.0,'4_1':0.0},(443,664):{'3_1':0.0},(443,663):{'3_1':0.0},(443,662):{'5_1':0.0},(443,661):{'3_1':0.0},(443,660):{'3_1':0.03,'4_1':0.0},(443,659):{'3_1':0.03},(443,658):{'3_1':0.0,'4_1':0.0},(443,657):{'3_1':0.0},(443,656):{'3_1':0.0,'4_1':0.0},(443,655):{'3_1':0.0},(443,654):{'3_1':0.0,'4_1':0.0},(443,653):{'3_1':0.0},(443,652):{'3_1':0.0},(443,651):{'3_1':0.0},(443,650):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,649):{'3_1':0.0},(443,648):{'3_1':0.0},(443,647):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(443,646):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,645):{'3_1':0.03},(443,644):{'3_1':0.09},(443,643):{'3_1':0.03},(443,642):{'3_1':0.03,'4_1':0.0},(443,641):{'3_1':0.03},(443,640):{'3_1':0.03,'5_2':0.0},(443,639):{'3_1':0.03,'5_2':0.0},(443,638):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,637):{'3_1':0.0,'4_1':0.0},(443,636):{'3_1':0.0},(443,635):{'3_1':0.0},(443,634):{'3_1':0.0,'5_1':0.0},(443,633):{'3_1':0.0,'5_2':0.0},(443,632):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,631):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,630):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(443,629):{'4_1':0.0,'5_2':0.0},(443,628):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,627):{'3_1':0.03},(443,626):{'3_1':0.03,'4_1':0.0},(443,625):{'3_1':0.03,'6_2':0.0},(443,624):{'3_1':0.0},(443,623):{'3_1':0.03},(443,622):{'3_1':0.06,'4_1':0.0},(443,621):{'3_1':0.03},(443,620):{'3_1':0.03,'4_1':0.0},(443,619):{'3_1':0.0,'5_2':0.0},(443,618):{'3_1':0.0},(443,617):{'3_1':0.03},(443,616):{'3_1':0.0},(443,615):{'3_1':0.03},(443,614):{'3_1':0.0,'5_1':0.0},(443,613):{'3_1':0.06},(443,612):{'3_1':0.0},(443,611):{'3_1':0.0,'4_1':0.0},(443,610):{'3_1':0.0},(443,609):{'3_1':0.03},(443,608):{'3_1':0.0},(443,607):{'3_1':0.0,'4_1':0.0},(443,606):{'3_1':0.0,'4_1':0.0},(443,605):{'3_1':0.0},(443,604):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(443,603):{'3_1':0.0,'4_1':0.0},(443,602):{'3_1':0.0},(443,601):{'3_1':0.0,'4_1':0.0},(443,600):{'3_1':0.0},(443,599):{'3_1':0.0},(443,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,597):{'3_1':0.0,'4_1':0.0},(443,595):{'3_1':0.0},(443,594):{'3_1':0.0},(443,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(443,592):{'3_1':0.0},(443,591):{'3_1':0.0},(443,590):{'3_1':0.03},(443,589):{'3_1':0.0,'4_1':0.0},(443,588):{'4_1':0.0},(443,587):{'3_1':0.0},(443,585):{'4_1':0.0},(443,582):{'4_1':0.0,'3_1':0.0},(443,581):{'3_1':0.0},(443,580):{'3_1':0.0},(443,579):{'3_1':0.0},(443,578):{'3_1':0.0},(443,577):{'3_1':0.0},(443,576):{'3_1':0.0},(443,575):{'4_1':0.03,'3_1':0.0},(443,574):{'3_1':0.03},(443,573):{'3_1':0.0},(443,572):{'3_1':0.0},(443,571):{'3_1':0.0,'4_1':0.0},(443,570):{'3_1':0.0,'4_1':0.0},(443,569):{'4_1':0.0},(443,568):{'3_1':0.0},(443,567):{'3_1':0.0,'4_1':0.0},(443,566):{'3_1':0.0},(443,565):{'4_1':0.0},(443,564):{'3_1':0.03},(443,563):{'4_1':0.0},(443,562):{'3_1':0.0,'4_1':0.0},(443,561):{'3_1':0.0,'4_1':0.0},(443,560):{'3_1':0.0},(443,559):{'3_1':0.0},(443,558):{'3_1':0.0},(443,556):{'3_1':0.0},(443,555):{'3_1':0.0},(443,554):{'3_1':0.0},(443,553):{'3_1':0.0},(443,552):{'3_1':0.0},(443,551):{'3_1':0.0},(443,546):{'3_1':0.0},(443,542):{'3_1':0.0},(443,539):{'3_1':0.03},(443,538):{'3_1':0.03},(443,535):{'3_1':0.0},(443,534):{'3_1':0.0},(443,533):{'3_1':0.0},(443,531):{'3_1':0.06},(443,530):{'3_1':0.03},(443,529):{'3_1':0.0},(443,528):{'3_1':0.0},(443,527):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(443,526):{'3_1':0.03},(443,524):{'3_1':0.03},(443,523):{'3_1':0.03},(443,522):{'3_1':0.0},(443,521):{'3_1':0.0},(443,519):{'3_1':0.0},(443,518):{'3_1':0.0},(443,517):{'3_1':0.0},(443,516):{'3_1':0.0},(443,515):{'3_1':0.0},(443,514):{'3_1':0.0},(443,513):{'5_1':0.0},(443,512):{'3_1':0.0},(443,510):{'3_1':0.0},(443,509):{'3_1':0.0},(443,508):{'3_1':0.0},(443,506):{'3_1':0.0},(443,504):{'3_1':0.0},(443,503):{'3_1':0.0},(443,502):{'3_1':0.0},(443,501):{'3_1':0.0},(443,500):{'3_1':0.0},(443,499):{'3_1':0.0},(443,498):{'3_1':0.0},(443,497):{'3_1':0.0},(443,496):{'3_1':0.0},(443,493):{'3_1':0.0},(443,492):{'3_1':0.0},(443,490):{'3_1':0.0},(443,489):{'3_1':0.0},(443,485):{'3_1':0.0},(443,483):{'3_1':0.0},(443,481):{'3_1':0.0},(443,469):{'3_1':0.0},(443,468):{'3_1':0.0},(443,467):{'3_1':0.0,'4_1':0.0},(443,462):{'3_1':0.0},(443,461):{'3_1':0.0},(443,459):{'3_1':0.0},(443,454):{'3_1':0.0},(443,449):{'3_1':0.0},(444,727):{'3_1':0.0,'6_2':0.0},(444,724):{'3_1':0.0},(444,723):{'3_1':0.0},(444,722):{'4_1':0.0,'3_1':0.0},(444,721):{'3_1':0.0},(444,720):{'3_1':0.0},(444,719):{'3_1':0.0},(444,718):{'3_1':0.03},(444,717):{'3_1':0.0,'4_1':0.0},(444,716):{'3_1':0.0,'4_1':0.0},(444,715):{'3_1':0.0},(444,714):{'3_1':0.0},(444,713):{'3_1':0.0},(444,712):{'3_1':0.0},(444,711):{'3_1':0.03,'5_1':0.0},(444,710):{'3_1':0.03},(444,709):{'3_1':0.0,'5_1':0.0},(444,708):{'3_1':0.0},(444,707):{'3_1':0.0},(444,706):{'3_1':0.0},(444,705):{'3_1':0.06},(444,704):{'4_1':0.0,'7_1':0.0},(444,703):{'3_1':0.0,'4_1':0.0},(444,702):{'3_1':0.0,'4_1':0.0},(444,701):{'3_1':0.03},(444,700):{'3_1':0.0,'7_1':0.0},(444,699):{'3_1':0.03},(444,698):{'3_1':0.03},(444,697):{'3_1':0.09,'4_1':0.0},(444,696):{'3_1':0.03,'4_1':0.0},(444,695):{'3_1':0.03},(444,694):{'3_1':0.03,'4_1':0.0},(444,693):{'3_1':0.0},(444,692):{'3_1':0.0,'6_2':0.0},(444,691):{'3_1':0.0,'4_1':0.0},(444,690):{'3_1':0.06},(444,689):{'3_1':0.03},(444,688):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(444,687):{'3_1':0.0,'4_1':0.0},(444,686):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(444,685):{'3_1':0.0,'4_1':0.0},(444,684):{'3_1':0.0},(444,683):{'3_1':0.0,'4_1':0.0},(444,682):{'3_1':0.0},(444,681):{'3_1':0.03},(444,680):{'3_1':0.03},(444,679):{'3_1':0.0},(444,678):{'4_1':0.0},(444,677):{'3_1':0.0,'4_1':0.0},(444,676):{'3_1':0.0},(444,675):{'3_1':0.03},(444,674):{'3_1':0.0,'5_2':0.0},(444,673):{'3_1':0.0,'5_1':0.0},(444,672):{'3_1':0.03},(444,671):{'3_1':0.0},(444,668):{'3_1':0.0},(444,667):{'3_1':0.0,'6_1':0.0},(444,666):{'3_1':0.0,'8_3':0.0},(444,665):{'3_1':0.0,'5_2':0.0},(444,664):{'3_1':0.0},(444,663):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(444,662):{'3_1':0.0},(444,661):{'3_1':0.0},(444,660):{'3_1':0.0},(444,659):{'3_1':0.0},(444,658):{'3_1':0.0},(444,657):{'3_1':0.0,'4_1':0.0},(444,656):{'3_1':0.0,'4_1':0.0},(444,655):{'3_1':0.0},(444,654):{'3_1':0.0,'4_1':0.0},(444,653):{'3_1':0.0,'4_1':0.0},(444,652):{'3_1':0.0,'5_2':0.0},(444,651):{'3_1':0.0},(444,650):{'3_1':0.0,'4_1':0.0},(444,649):{'3_1':0.0},(444,648):{'3_1':0.0},(444,647):{'3_1':0.03,'4_1':0.0},(444,646):{'3_1':0.0,'4_1':0.0},(444,645):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(444,644):{'3_1':0.03,'6_2':0.0},(444,643):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(444,642):{'3_1':0.06,'4_1':0.0},(444,641):{'3_1':0.03,'4_1':0.0},(444,640):{'3_1':0.06,'5_1':0.0},(444,639):{'3_1':0.0},(444,638):{'3_1':0.03,'5_2':0.0},(444,637):{'3_1':0.0},(444,636):{'3_1':0.03,'5_1':0.0},(444,635):{'3_1':0.03},(444,634):{'3_1':0.0,'5_2':0.0},(444,633):{'3_1':0.0},(444,632):{'3_1':0.03,'5_1':0.0},(444,631):{'3_1':0.0},(444,630):{'3_1':0.0},(444,629):{'3_1':0.0,'4_1':0.0},(444,628):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(444,627):{'3_1':0.0,'5_2':0.0},(444,626):{'3_1':0.03},(444,625):{'5_2':0.0,'3_1':0.0,'5_1':0.0},(444,624):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(444,623):{'3_1':0.0,'5_1':0.0},(444,622):{'3_1':0.03},(444,621):{'3_1':0.0,'4_1':0.0},(444,620):{'3_1':0.03},(444,619):{'3_1':0.0,'4_1':0.0},(444,618):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(444,617):{'3_1':0.03},(444,616):{'3_1':0.0,'5_1':0.0},(444,615):{'3_1':0.03},(444,614):{'3_1':0.0},(444,613):{'3_1':0.0},(444,612):{'3_1':0.03},(444,611):{'3_1':0.0,'4_1':0.0},(444,610):{'3_1':0.0,'5_1':0.0},(444,609):{'4_1':0.0},(444,608):{'3_1':0.03,'5_1':0.0},(444,607):{'3_1':0.0,'4_1':0.0},(444,606):{'3_1':0.0},(444,605):{'4_1':0.0,'3_1':0.0},(444,604):{'3_1':0.03},(444,603):{'3_1':0.0,'4_1':0.0},(444,602):{'3_1':0.0,'4_1':0.0},(444,601):{'3_1':0.0,'4_1':0.0},(444,600):{'3_1':0.0,'6_3':0.0},(444,599):{'3_1':0.0,'4_1':0.0},(444,598):{'3_1':0.0},(444,597):{'3_1':0.03,'4_1':0.0},(444,596):{'3_1':0.0,'4_1':0.0},(444,595):{'3_1':0.0,'4_1':0.0},(444,594):{'3_1':0.0},(444,593):{'3_1':0.0,'4_1':0.0},(444,592):{'3_1':0.03,'4_1':0.0},(444,591):{'3_1':0.0,'4_1':0.0},(444,590):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(444,589):{'3_1':0.0},(444,588):{'3_1':0.0},(444,587):{'3_1':0.03,'4_1':0.0},(444,586):{'3_1':0.0},(444,585):{'4_1':0.0,'3_1':0.0},(444,584):{'4_1':0.0},(444,583):{'3_1':0.03,'4_1':0.0},(444,582):{'3_1':0.0},(444,581):{'3_1':0.0,'4_1':0.0},(444,580):{'3_1':0.0,'4_1':0.0},(444,579):{'3_1':0.0},(444,578):{'3_1':0.0,'4_1':0.0},(444,577):{'3_1':0.0,'4_1':0.0},(444,576):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(444,575):{'3_1':0.0,'4_1':0.0},(444,574):{'3_1':0.0,'4_1':0.0},(444,573):{'4_1':0.0},(444,572):{'4_1':0.0,'3_1':0.0},(444,570):{'4_1':0.0},(444,569):{'3_1':0.0,'4_1':0.0},(444,568):{'3_1':0.0},(444,567):{'3_1':0.0,'4_1':0.0},(444,566):{'3_1':0.0,'4_1':0.0},(444,565):{'3_1':0.0},(444,564):{'3_1':0.0},(444,563):{'3_1':0.0},(444,562):{'3_1':0.03,'4_1':0.0},(444,561):{'4_1':0.0},(444,560):{'3_1':0.0},(444,558):{'3_1':0.0,'4_1':0.0},(444,557):{'3_1':0.0},(444,556):{'3_1':0.0},(444,553):{'3_1':0.0,'4_1':0.0},(444,552):{'3_1':0.0,'4_1':0.0},(444,551):{'3_1':0.0},(444,550):{'3_1':0.0},(444,549):{'3_1':0.0},(444,548):{'3_1':0.0},(444,547):{'3_1':0.0},(444,545):{'3_1':0.0},(444,544):{'3_1':0.0},(444,543):{'3_1':0.0},(444,542):{'3_1':0.0},(444,539):{'3_1':0.0,'4_1':0.0},(444,538):{'3_1':0.0},(444,536):{'3_1':0.0},(444,535):{'3_1':0.0},(444,534):{'3_1':0.03},(444,533):{'3_1':0.0,'5_2':0.0},(444,532):{'3_1':0.03},(444,531):{'3_1':0.0},(444,530):{'3_1':0.0},(444,529):{'3_1':0.0},(444,528):{'3_1':0.0},(444,527):{'3_1':0.03},(444,526):{'3_1':0.0},(444,525):{'3_1':0.0},(444,524):{'3_1':0.0},(444,523):{'3_1':0.0},(444,522):{'3_1':0.0},(444,520):{'3_1':0.0},(444,519):{'3_1':0.0},(444,517):{'3_1':0.0},(444,516):{'3_1':0.0},(444,515):{'3_1':0.0},(444,514):{'3_1':0.0},(444,513):{'3_1':0.0,'4_1':0.0},(444,512):{'3_1':0.0},(444,511):{'3_1':0.0},(444,510):{'3_1':0.0},(444,509):{'3_1':0.0},(444,506):{'3_1':0.0},(444,504):{'3_1':0.0},(444,503):{'4_1':0.0},(444,502):{'3_1':0.0},(444,501):{'3_1':0.0},(444,500):{'3_1':0.0,'6_1':0.0},(444,497):{'3_1':0.0},(444,496):{'3_1':0.0},(444,492):{'3_1':0.0},(444,490):{'3_1':0.0},(444,489):{'3_1':0.0},(444,486):{'3_1':0.0},(444,483):{'3_1':0.0},(444,481):{'3_1':0.0},(444,469):{'3_1':0.0},(444,468):{'3_1':0.0},(444,464):{'3_1':0.0},(444,463):{'3_1':0.0},(444,461):{'3_1':0.0},(444,460):{'3_1':0.0},(444,459):{'3_1':0.0},(444,455):{'3_1':0.0},(444,451):{'3_1':0.0},(444,450):{'3_1':0.0},(444,449):{'3_1':0.0},(444,448):{'3_1':0.0},(445,727):{'3_1':0.0},(445,726):{'3_1':0.0},(445,725):{'3_1':0.0},(445,724):{'3_1':0.0},(445,723):{'4_1':0.0},(445,722):{'3_1':0.0},(445,721):{'3_1':0.0},(445,719):{'3_1':0.0},(445,718):{'3_1':0.0},(445,717):{'3_1':0.0},(445,716):{'3_1':0.0},(445,714):{'3_1':0.0,'4_1':0.0},(445,713):{'3_1':0.0},(445,712):{'3_1':0.0},(445,711):{'3_1':0.0,'4_1':0.0},(445,710):{'3_1':0.0},(445,709):{'3_1':0.0,'4_1':0.0},(445,708):{'3_1':0.03},(445,707):{'3_1':0.03,'5_2':0.0},(445,706):{'3_1':0.03},(445,705):{'3_1':0.0,'4_1':0.0},(445,704):{'3_1':0.0,'4_1':0.0},(445,703):{'3_1':0.0,'4_1':0.0},(445,702):{'3_1':0.0},(445,701):{'3_1':0.03,'5_2':0.0},(445,700):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(445,699):{'3_1':0.03,'6_2':0.0},(445,698):{'4_1':0.0,'3_1':0.0},(445,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(445,696):{'3_1':0.0,'5_1':0.0},(445,695):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(445,694):{'3_1':0.03,'4_1':0.0},(445,693):{'3_1':0.0,'4_1':0.0},(445,692):{'3_1':0.03,'5_1':0.0},(445,691):{'3_1':0.03,'4_1':0.0},(445,690):{'3_1':0.06,'5_1':0.0},(445,689):{'3_1':0.03,'4_1':0.0},(445,688):{'3_1':0.03},(445,687):{'4_1':0.0,'3_1':0.0},(445,686):{'5_1':0.0,'4_1':0.0},(445,685):{'6_1':0.0},(445,684):{'4_1':0.0,'3_1':0.0},(445,683):{'3_1':0.0,'4_1':0.0},(445,682):{'3_1':0.0},(445,681):{'3_1':0.0,'6_1':0.0},(445,680):{'3_1':0.03,'4_1':0.0},(445,679):{'3_1':0.0,'4_1':0.0},(445,678):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(445,677):{'3_1':0.0,'7_3':0.0},(445,676):{'3_1':0.0},(445,675):{'3_1':0.0,'4_1':0.0},(445,674):{'4_1':0.0},(445,673):{'3_1':0.0,'4_1':0.0},(445,672):{'3_1':0.0,'4_1':0.0},(445,669):{'3_1':0.03},(445,668):{'3_1':0.0},(445,667):{'3_1':0.03},(445,666):{'4_1':0.0},(445,665):{'3_1':0.0},(445,664):{'3_1':0.0,'5_2':0.0},(445,663):{'3_1':0.0,'4_1':0.0},(445,662):{'3_1':0.0},(445,661):{'3_1':0.03},(445,660):{'3_1':0.03,'5_2':0.0},(445,659):{'3_1':0.0,'4_1':0.0},(445,658):{'3_1':0.03},(445,657):{'3_1':0.0},(445,656):{'3_1':0.0,'5_1':0.0},(445,655):{'4_1':0.0},(445,654):{'3_1':0.03},(445,653):{'3_1':0.03,'5_1':0.0},(445,652):{'3_1':0.0},(445,650):{'3_1':0.0},(445,649):{'3_1':0.0},(445,648):{'3_1':0.03},(445,647):{'3_1':0.03},(445,646):{'3_1':0.03},(445,645):{'3_1':0.06,'4_1':0.0},(445,644):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(445,643):{'3_1':0.0,'5_2':0.0},(445,642):{'3_1':0.06,'5_1':0.0},(445,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(445,640):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(445,639):{'3_1':0.0,'5_2':0.0},(445,638):{'3_1':0.03,'5_2':0.0},(445,637):{'3_1':0.0,'5_1':0.0},(445,636):{'3_1':0.0},(445,635):{'3_1':0.03},(445,634):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(445,633):{'3_1':0.0,'5_2':0.0},(445,632):{'3_1':0.06},(445,631):{'3_1':0.0},(445,630):{'3_1':0.0},(445,629):{'3_1':0.0},(445,628):{'3_1':0.03},(445,627):{'3_1':0.0,'5_2':0.0},(445,626):{'3_1':0.06,'4_1':0.0},(445,625):{'3_1':0.03},(445,624):{'3_1':0.0,'5_2':0.0},(445,623):{'3_1':0.0},(445,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(445,621):{'3_1':0.03,'5_1':0.0},(445,620):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(445,619):{'3_1':0.03,'5_1':0.0},(445,618):{'3_1':0.0,'5_2':0.0},(445,617):{'3_1':0.0,'5_1':0.0},(445,616):{'3_1':0.03,'4_1':0.0},(445,615):{'3_1':0.03,'5_2':0.0},(445,614):{'3_1':0.0,'5_2':0.0},(445,613):{'3_1':0.0,'5_2':0.0},(445,612):{'3_1':0.0,'5_2':0.0},(445,611):{'3_1':0.03},(445,610):{'3_1':0.0},(445,609):{'3_1':0.0},(445,608):{'3_1':0.0,'5_2':0.0},(445,607):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(445,605):{'3_1':0.0,'5_2':0.0},(445,604):{'3_1':0.03,'4_1':0.0},(445,603):{'3_1':0.0},(445,602):{'3_1':0.0},(445,601):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(445,600):{'3_1':0.0},(445,599):{'3_1':0.0},(445,598):{'3_1':0.0,'4_1':0.0},(445,597):{'4_1':0.03,'3_1':0.0},(445,596):{'3_1':0.0,'4_1':0.0},(445,595):{'3_1':0.0,'4_1':0.0},(445,594):{'4_1':0.0,'3_1':0.0},(445,593):{'3_1':0.03},(445,592):{'3_1':0.0},(445,591):{'3_1':0.0},(445,590):{'3_1':0.03,'4_1':0.03},(445,589):{'3_1':0.0,'4_1':0.0},(445,588):{'3_1':0.0},(445,587):{'3_1':0.0},(445,586):{'3_1':0.0,'4_1':0.0},(445,585):{'3_1':0.0},(445,584):{'3_1':0.0,'4_1':0.0},(445,583):{'3_1':0.0,'4_1':0.0},(445,581):{'4_1':0.0,'3_1':0.0},(445,579):{'3_1':0.0},(445,578):{'3_1':0.03,'4_1':0.0},(445,577):{'3_1':0.0,'4_1':0.0},(445,576):{'3_1':0.0},(445,575):{'3_1':0.0},(445,574):{'4_1':0.0},(445,573):{'3_1':0.0},(445,572):{'3_1':0.0,'4_1':0.0},(445,571):{'3_1':0.0},(445,570):{'3_1':0.0,'4_1':0.0},(445,567):{'4_1':0.0},(445,566):{'4_1':0.0},(445,565):{'4_1':0.0},(445,564):{'4_1':0.0},(445,563):{'3_1':0.0},(445,562):{'4_1':0.0},(445,561):{'3_1':0.0},(445,560):{'3_1':0.03},(445,559):{'3_1':0.03},(445,558):{'3_1':0.0},(445,557):{'3_1':0.03},(445,556):{'3_1':0.0},(445,554):{'3_1':0.0},(445,553):{'3_1':0.0,'4_1':0.0},(445,552):{'3_1':0.0},(445,551):{'3_1':0.0},(445,550):{'4_1':0.0},(445,549):{'3_1':0.0},(445,548):{'3_1':0.0,'4_1':0.0},(445,544):{'3_1':0.0},(445,542):{'3_1':0.0,'4_1':0.0},(445,539):{'3_1':0.0},(445,538):{'3_1':0.0},(445,536):{'3_1':0.0},(445,535):{'3_1':0.0},(445,533):{'3_1':0.0,'4_1':0.0},(445,531):{'3_1':0.0},(445,530):{'3_1':0.0},(445,527):{'3_1':0.0},(445,526):{'3_1':0.03},(445,525):{'3_1':0.03},(445,524):{'3_1':0.0},(445,523):{'3_1':0.0},(445,522):{'3_1':0.0,'4_1':0.0},(445,521):{'3_1':0.0,'4_1':0.0},(445,518):{'3_1':0.03},(445,517):{'3_1':0.0},(445,516):{'3_1':0.0},(445,515):{'3_1':0.0},(445,514):{'3_1':0.03},(445,511):{'3_1':0.0},(445,510):{'3_1':0.0},(445,509):{'3_1':0.0},(445,507):{'3_1':0.0},(445,506):{'3_1':0.0,'5_1':0.0},(445,505):{'3_1':0.0},(445,504):{'4_1':0.0},(445,502):{'3_1':0.0},(445,499):{'3_1':0.0},(445,497):{'3_1':0.0},(445,496):{'3_1':0.0},(445,495):{'3_1':0.0},(445,491):{'3_1':0.0},(445,490):{'3_1':0.0},(445,482):{'3_1':0.0,'4_1':0.0},(445,480):{'3_1':0.0},(445,471):{'3_1':0.0},(445,470):{'4_1':0.0},(445,468):{'3_1':0.0},(445,467):{'3_1':0.0},(445,465):{'3_1':0.0,'5_2':0.0},(445,464):{'3_1':0.03},(445,463):{'3_1':0.0},(445,461):{'3_1':0.0},(445,450):{'3_1':0.0},(446,721):{'3_1':0.03},(446,720):{'3_1':0.0},(446,718):{'3_1':0.0},(446,717):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(446,716):{'3_1':0.0,'5_1':0.0},(446,715):{'5_1':0.0},(446,714):{'3_1':0.03},(446,712):{'3_1':0.03},(446,711):{'3_1':0.0,'6_3':0.0},(446,710):{'3_1':0.0,'4_1':0.0},(446,709):{'3_1':0.0},(446,708):{'3_1':0.0,'4_1':0.0},(446,707):{'3_1':0.0},(446,706):{'3_1':0.03,'5_1':0.0},(446,705):{'3_1':0.03,'4_1':0.0},(446,704):{'3_1':0.03,'5_1':0.0},(446,703):{'3_1':0.03,'4_1':0.0},(446,702):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(446,701):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(446,700):{'3_1':0.0},(446,699):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(446,698):{'3_1':0.0,'4_1':0.0},(446,697):{'3_1':0.03},(446,696):{'3_1':0.0},(446,695):{'3_1':0.03,'4_1':0.0},(446,694):{'3_1':0.03,'4_1':0.0},(446,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(446,692):{'3_1':0.0},(446,691):{'3_1':0.06},(446,690):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(446,689):{'3_1':0.03},(446,688):{'3_1':0.03,'4_1':0.0},(446,687):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(446,686):{'3_1':0.0},(446,685):{'3_1':0.0,'4_1':0.0},(446,684):{'3_1':0.0,'4_1':0.0},(446,683):{'3_1':0.0,'4_1':0.0},(446,682):{'3_1':0.0,'4_1':0.0},(446,681):{'3_1':0.0},(446,678):{'3_1':0.0},(446,676):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(446,675):{'3_1':0.0},(446,674):{'3_1':0.0,'4_1':0.0},(446,673):{'3_1':0.0},(446,672):{'3_1':0.0},(446,671):{'3_1':0.0},(446,669):{'3_1':0.0},(446,668):{'3_1':0.0,'4_1':0.0},(446,667):{'3_1':0.0},(446,666):{'3_1':0.0,'6_2':0.0,'8_4':0.0},(446,665):{'3_1':0.0},(446,664):{'3_1':0.06},(446,663):{'3_1':0.0},(446,662):{'3_1':0.0},(446,661):{'3_1':0.0,'5_2':0.0},(446,660):{'3_1':0.0,'4_1':0.0},(446,659):{'3_1':0.0},(446,658):{'3_1':0.0},(446,657):{'3_1':0.0},(446,656):{'3_1':0.03},(446,655):{'3_1':0.0},(446,654):{'3_1':0.0},(446,653):{'5_2':0.0},(446,652):{'3_1':0.0},(446,651):{'3_1':0.0},(446,650):{'3_1':0.0,'4_1':0.0},(446,649):{'3_1':0.0,'5_1':0.0},(446,648):{'3_1':0.03,'5_2':0.0},(446,647):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(446,646):{'3_1':0.03},(446,645):{'3_1':0.06,'4_1':0.0},(446,644):{'3_1':0.03,'4_1':0.0},(446,643):{'3_1':0.03,'5_2':0.0},(446,642):{'3_1':0.06,'4_1':0.0},(446,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(446,640):{'3_1':0.06,'5_2':0.0},(446,639):{'3_1':0.06},(446,638):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(446,637):{'3_1':0.0,'7_3':0.0},(446,636):{'3_1':0.03,'5_2':0.0},(446,635):{'3_1':0.0,'4_1':0.0},(446,634):{'3_1':0.0,'5_2':0.0},(446,633):{'3_1':0.0,'5_2':0.0},(446,632):{'3_1':0.0},(446,631):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(446,630):{'3_1':0.0,'6_1':0.0},(446,629):{'3_1':0.0},(446,628):{'3_1':0.0,'5_2':0.0},(446,627):{'3_1':0.03,'5_2':0.0},(446,626):{'3_1':0.0},(446,625):{'3_1':0.0,'5_1':0.0},(446,624):{'3_1':0.03},(446,623):{'3_1':0.03},(446,622):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(446,621):{'3_1':0.06,'4_1':0.0},(446,620):{'3_1':0.0},(446,619):{'3_1':0.03},(446,618):{'3_1':0.0},(446,617):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(446,616):{'3_1':0.0,'5_2':0.0},(446,615):{'3_1':0.0},(446,614):{'3_1':0.03},(446,613):{'3_1':0.03},(446,612):{'3_1':0.0},(446,611):{'3_1':0.03,'4_1':0.0},(446,610):{'3_1':0.0},(446,609):{'3_1':0.0},(446,608):{'3_1':0.0,'4_1':0.0},(446,607):{'3_1':0.0},(446,606):{'3_1':0.0,'4_1':0.0},(446,605):{'4_1':0.0},(446,604):{'3_1':0.0},(446,603):{'3_1':0.0},(446,602):{'3_1':0.0},(446,601):{'3_1':0.0},(446,600):{'3_1':0.0,'4_1':0.0},(446,599):{'3_1':0.0},(446,598):{'4_1':0.0,'3_1':0.0},(446,597):{'3_1':0.0,'4_1':0.0},(446,596):{'3_1':0.0},(446,595):{'3_1':0.0,'4_1':0.0},(446,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(446,593):{'3_1':0.0,'4_1':0.0},(446,592):{'3_1':0.03},(446,591):{'3_1':0.0},(446,590):{'3_1':0.03,'4_1':0.0},(446,589):{'4_1':0.0},(446,588):{'4_1':0.0,'3_1':0.0},(446,587):{'3_1':0.0,'5_1':0.0},(446,586):{'4_1':0.0},(446,585):{'3_1':0.0,'4_1':0.0},(446,584):{'3_1':0.0},(446,583):{'3_1':0.0},(446,582):{'3_1':0.0},(446,581):{'3_1':0.0,'4_1':0.0},(446,580):{'3_1':0.0,'4_1':0.0},(446,579):{'3_1':0.0},(446,578):{'3_1':0.0,'4_1':0.0},(446,566):{'3_1':0.0},(446,565):{'4_1':0.0,'3_1':0.0},(446,564):{'4_1':0.0,'3_1':0.0},(446,563):{'4_1':0.0,'3_1':0.0},(446,562):{'3_1':0.0},(446,561):{'4_1':0.0},(446,560):{'3_1':0.0},(446,559):{'3_1':0.0},(446,558):{'3_1':0.0},(446,557):{'3_1':0.0,'4_1':0.0},(446,556):{'3_1':0.0,'4_1':0.0},(446,553):{'3_1':0.0},(446,552):{'3_1':0.0,'4_1':0.0},(446,550):{'3_1':0.0},(446,548):{'3_1':0.0},(446,546):{'3_1':0.0},(446,542):{'3_1':0.0},(446,541):{'3_1':0.0},(446,540):{'3_1':0.0},(446,539):{'3_1':0.0},(446,537):{'3_1':0.0},(446,535):{'3_1':0.0},(446,533):{'3_1':0.0},(446,532):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(446,531):{'3_1':0.0},(446,529):{'3_1':0.0},(446,528):{'3_1':0.0},(446,527):{'3_1':0.0},(446,526):{'3_1':0.0},(446,524):{'3_1':0.0},(446,523):{'3_1':0.0},(446,522):{'3_1':0.0},(446,521):{'4_1':0.0},(446,520):{'3_1':0.0},(446,519):{'3_1':0.0},(446,518):{'3_1':0.0},(446,517):{'3_1':0.0},(446,516):{'3_1':0.03},(446,515):{'3_1':0.03},(446,514):{'3_1':0.0},(446,513):{'3_1':0.0},(446,512):{'3_1':0.0},(446,511):{'3_1':0.0},(446,509):{'3_1':0.0,'4_1':0.0},(446,508):{'3_1':0.0},(446,507):{'5_2':0.0},(446,506):{'3_1':0.0},(446,503):{'3_1':0.0},(446,502):{'3_1':0.0},(446,501):{'3_1':0.0},(446,500):{'3_1':0.03,'4_1':0.0},(446,499):{'3_1':0.0},(446,497):{'3_1':0.0},(446,496):{'3_1':0.0},(446,495):{'3_1':0.0},(446,493):{'3_1':0.0},(446,492):{'3_1':0.0},(446,485):{'3_1':0.0},(446,483):{'3_1':0.0},(446,482):{'3_1':0.0},(446,478):{'3_1':0.0},(446,467):{'3_1':0.0},(446,465):{'3_1':0.0},(446,464):{'3_1':0.0},(446,463):{'3_1':0.0},(446,462):{'3_1':0.0},(446,461):{'3_1':0.0},(446,460):{'3_1':0.0},(446,453):{'3_1':0.0},(446,452):{'3_1':0.0},(447,727):{'3_1':0.0,'4_1':0.0},(447,726):{'3_1':0.0,'4_1':0.0},(447,725):{'3_1':0.0,'8_20|3_1#3_1':0.0},(447,724):{'3_1':0.0},(447,723):{'3_1':0.0},(447,722):{'3_1':0.0,'8_21|3_1#4_1':0.0},(447,721):{'3_1':0.0},(447,720):{'3_1':0.03},(447,718):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(447,717):{'3_1':0.03},(447,716):{'3_1':0.0},(447,715):{'3_1':0.0},(447,714):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(447,713):{'3_1':0.0},(447,712):{'3_1':0.03,'4_1':0.0},(447,711):{'3_1':0.03,'4_1':0.0},(447,710):{'3_1':0.0},(447,709):{'3_1':0.0,'6_2':0.0},(447,708):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(447,707):{'3_1':0.0,'5_1':0.0},(447,706):{'3_1':0.0,'5_1':0.0},(447,705):{'3_1':0.03},(447,704):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(447,703):{'3_1':0.03,'5_1':0.0},(447,702):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(447,701):{'3_1':0.03},(447,700):{'3_1':0.0,'5_1':0.0},(447,699):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(447,698):{'4_1':0.0},(447,697):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(447,696):{'3_1':0.03,'4_1':0.0},(447,695):{'3_1':0.0,'5_1':0.0},(447,694):{'3_1':0.0},(447,693):{'3_1':0.06,'5_1':0.0},(447,692):{'3_1':0.0,'4_1':0.0},(447,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(447,690):{'3_1':0.0,'4_1':0.0},(447,689):{'3_1':0.0,'4_1':0.0},(447,688):{'3_1':0.03},(447,687):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(447,686):{'3_1':0.0,'4_1':0.0},(447,685):{'3_1':0.03,'6_1':0.0},(447,684):{'3_1':0.0},(447,683):{'3_1':0.0,'4_1':0.0},(447,682):{'3_1':0.03,'6_1':0.0},(447,681):{'3_1':0.0},(447,680):{'3_1':0.03},(447,679):{'3_1':0.03,'4_1':0.0},(447,678):{'4_1':0.0,'5_1':0.0},(447,677):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(447,675):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(447,674):{'3_1':0.0},(447,673):{'3_1':0.03},(447,672):{'3_1':0.0},(447,671):{'3_1':0.0},(447,668):{'3_1':0.0,'4_1':0.0},(447,667):{'5_1':0.0},(447,666):{'3_1':0.0,'4_1':0.0},(447,665):{'3_1':0.0},(447,664):{'3_1':0.0,'8_1':0.0},(447,663):{'3_1':0.0},(447,661):{'3_1':0.0,'4_1':0.0},(447,660):{'3_1':0.0},(447,659):{'3_1':0.0},(447,658):{'4_1':0.0},(447,657):{'3_1':0.0},(447,656):{'3_1':0.0,'5_1':0.0},(447,655):{'3_1':0.0},(447,654):{'3_1':0.0},(447,653):{'4_1':0.0,'3_1':0.0},(447,652):{'3_1':0.0},(447,651):{'3_1':0.0},(447,649):{'3_1':0.0},(447,648):{'3_1':0.0,'4_1':0.0},(447,647):{'3_1':0.0,'4_1':0.0},(447,646):{'3_1':0.06},(447,645):{'3_1':0.03},(447,644):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(447,643):{'3_1':0.06},(447,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(447,641):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'5_2':0.0},(447,639):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(447,638):{'3_1':0.06},(447,637):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(447,636):{'5_1':0.0},(447,635):{'3_1':0.0,'4_1':0.0},(447,634):{'3_1':0.0},(447,633):{'3_1':0.03},(447,632):{'3_1':0.0},(447,631):{'3_1':0.0,'4_1':0.0},(447,630):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(447,629):{'4_1':0.0},(447,628):{'3_1':0.03},(447,627):{'3_1':0.03,'5_2':0.0},(447,626):{'3_1':0.03},(447,625):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(447,624):{'3_1':0.03},(447,623):{'3_1':0.0,'4_1':0.0},(447,622):{'3_1':0.06},(447,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(447,620):{'3_1':0.06,'5_1':0.0},(447,619):{'3_1':0.03},(447,618):{'3_1':0.0,'5_2':0.0},(447,617):{'3_1':0.03},(447,616):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(447,615):{'4_1':0.0,'3_1':0.0},(447,614):{'3_1':0.03},(447,613):{'3_1':0.0},(447,612):{'3_1':0.03},(447,611):{'3_1':0.03},(447,610):{'3_1':0.03},(447,609):{'3_1':0.0},(447,608):{'3_1':0.0},(447,607):{'3_1':0.0,'4_1':0.0},(447,606):{'3_1':0.03,'4_1':0.0},(447,605):{'3_1':0.0,'4_1':0.0},(447,604):{'3_1':0.03,'4_1':0.0},(447,603):{'3_1':0.0,'7_1':0.0},(447,602):{'3_1':0.0,'4_1':0.0},(447,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(447,600):{'3_1':0.03},(447,599):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(447,598):{'5_2':0.0,'4_1':0.0},(447,597):{'3_1':0.03,'4_1':0.0},(447,596):{'3_1':0.0},(447,595):{'4_1':0.0,'3_1':0.0},(447,594):{'3_1':0.03},(447,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(447,592):{'3_1':0.0},(447,591):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(447,590):{'3_1':0.0},(447,589):{'3_1':0.03},(447,588):{'4_1':0.0},(447,587):{'3_1':0.0,'4_1':0.0},(447,586):{'3_1':0.0},(447,584):{'3_1':0.0},(447,583):{'3_1':0.0},(447,582):{'3_1':0.0},(447,581):{'3_1':0.0},(447,580):{'3_1':0.03},(447,579):{'3_1':0.0,'4_1':0.0},(447,578):{'3_1':0.0,'4_1':0.0},(447,577):{'3_1':0.03,'5_1':0.0},(447,576):{'3_1':0.0},(447,575):{'3_1':0.0},(447,574):{'3_1':0.0},(447,571):{'3_1':0.0,'4_1':0.0},(447,570):{'3_1':0.0},(447,568):{'3_1':0.0},(447,567):{'3_1':0.0},(447,566):{'4_1':0.0,'3_1':0.0},(447,565):{'3_1':0.0,'4_1':0.0},(447,564):{'3_1':0.0},(447,563):{'3_1':0.0},(447,562):{'3_1':0.0},(447,561):{'3_1':0.0},(447,560):{'3_1':0.0,'4_1':0.0},(447,559):{'3_1':0.0,'4_1':0.0},(447,558):{'3_1':0.0},(447,557):{'3_1':0.0,'4_1':0.0},(447,555):{'3_1':0.0,'4_1':0.0},(447,554):{'4_1':0.0},(447,553):{'3_1':0.0},(447,551):{'3_1':0.0},(447,549):{'3_1':0.0},(447,548):{'3_1':0.0},(447,546):{'3_1':0.0},(447,545):{'3_1':0.0},(447,542):{'5_2':0.0},(447,540):{'3_1':0.0},(447,538):{'3_1':0.0},(447,537):{'3_1':0.0},(447,535):{'3_1':0.0},(447,534):{'3_1':0.0},(447,532):{'3_1':0.0},(447,531):{'3_1':0.0},(447,530):{'3_1':0.0},(447,529):{'3_1':0.0},(447,528):{'3_1':0.0},(447,527):{'3_1':0.0},(447,526):{'3_1':0.03},(447,525):{'3_1':0.0},(447,523):{'3_1':0.0},(447,522):{'3_1':0.0},(447,521):{'3_1':0.0},(447,520):{'3_1':0.0},(447,519):{'3_1':0.0},(447,518):{'3_1':0.03},(447,517):{'3_1':0.0},(447,516):{'3_1':0.0},(447,515):{'3_1':0.0},(447,514):{'3_1':0.0},(447,513):{'3_1':0.03},(447,512):{'3_1':0.0},(447,511):{'3_1':0.0},(447,510):{'3_1':0.0},(447,509):{'3_1':0.0},(447,508):{'3_1':0.0},(447,502):{'3_1':0.0,'5_1':0.0},(447,500):{'4_1':0.0},(447,499):{'3_1':0.0},(447,498):{'3_1':0.0},(447,495):{'3_1':0.0},(447,494):{'3_1':0.0},(447,493):{'3_1':0.0},(447,492):{'3_1':0.0},(447,490):{'3_1':0.0},(447,486):{'3_1':0.0},(447,470):{'3_1':0.0},(447,467):{'3_1':0.0},(447,465):{'5_2':0.0},(447,461):{'3_1':0.0},(447,453):{'3_1':0.0},(447,450):{'3_1':0.0},(448,726):{'6_2':0.0},(448,725):{'3_1':0.03},(448,724):{'3_1':0.0},(448,723):{'3_1':0.06},(448,722):{'3_1':0.0,'6_2':0.0},(448,721):{'3_1':0.0,'5_1':0.0},(448,720):{'3_1':0.03,'5_1':0.0},(448,719):{'3_1':0.0},(448,718):{'3_1':0.0},(448,717):{'3_1':0.0},(448,716):{'3_1':0.0},(448,715):{'5_1':0.0},(448,714):{'3_1':0.0},(448,713):{'3_1':0.0},(448,712):{'3_1':0.03},(448,711):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(448,710):{'3_1':0.0},(448,709):{'3_1':0.03,'5_1':0.0},(448,708):{'3_1':0.0,'5_2':0.0},(448,707):{'3_1':0.0,'5_1':0.0,'-3':0.0},(448,706):{'3_1':0.03},(448,705):{'3_1':0.03,'4_1':0.0},(448,704):{'3_1':0.03,'4_1':0.0},(448,703):{'3_1':0.03},(448,702):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(448,701):{'3_1':0.06,'5_2':0.0,'7_1':0.0},(448,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(448,699):{'3_1':0.03},(448,698):{'3_1':0.03},(448,697):{'3_1':0.0,'4_1':0.0},(448,696):{'3_1':0.03,'4_1':0.0},(448,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(448,694):{'3_1':0.0,'4_1':0.0},(448,693):{'3_1':0.03,'4_1':0.0},(448,692):{'3_1':0.03,'4_1':0.0},(448,691):{'3_1':0.06,'4_1':0.0},(448,690):{'3_1':0.0,'4_1':0.0},(448,689):{'3_1':0.03,'4_1':0.0},(448,688):{'3_1':0.03,'4_1':0.0},(448,687):{'3_1':0.03,'4_1':0.0},(448,686):{'3_1':0.03},(448,685):{'3_1':0.03},(448,684):{'3_1':0.0},(448,683):{'3_1':0.03,'4_1':0.03,'7_1':0.0},(448,682):{'3_1':0.03,'4_1':0.0},(448,681):{'3_1':0.0,'6_1':0.0},(448,680):{'3_1':0.0,'4_1':0.0},(448,679):{'3_1':0.0,'4_1':0.0},(448,678):{'3_1':0.0},(448,677):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(448,676):{'3_1':0.0,'6_3':0.0},(448,675):{'4_1':0.0,'3_1':0.0},(448,674):{'3_1':0.0},(448,673):{'3_1':0.0,'4_1':0.0},(448,672):{'3_1':0.0},(448,671):{'3_1':0.0,'5_2':0.0},(448,670):{'3_1':0.0},(448,669):{'3_1':0.0,'4_1':0.0},(448,668):{'3_1':0.0},(448,667):{'3_1':0.0,'4_1':0.0},(448,666):{'3_1':0.03},(448,665):{'3_1':0.0},(448,664):{'3_1':0.0,'4_1':0.0},(448,663):{'3_1':0.0},(448,662):{'3_1':0.0,'5_2':0.0},(448,661):{'3_1':0.03},(448,660):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(448,659):{'3_1':0.0,'4_1':0.0},(448,658):{'3_1':0.03},(448,657):{'3_1':0.0},(448,656):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(448,655):{'3_1':0.0},(448,654):{'3_1':0.03},(448,653):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(448,652):{'3_1':0.03},(448,651):{'3_1':0.0,'4_1':0.0},(448,650):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(448,649):{'3_1':0.0},(448,648):{'3_1':0.03},(448,647):{'3_1':0.06},(448,646):{'3_1':0.03},(448,645):{'3_1':0.0,'5_1':0.0},(448,644):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(448,643):{'3_1':0.0,'5_2':0.0},(448,642):{'3_1':0.09},(448,641):{'3_1':0.03,'5_2':0.0},(448,640):{'3_1':0.0,'5_2':0.0},(448,639):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(448,638):{'3_1':0.0},(448,637):{'3_1':0.0,'5_1':0.0},(448,636):{'3_1':0.0,'4_1':0.0},(448,635):{'5_2':0.0,'3_1':0.0},(448,634):{'3_1':0.03},(448,633):{'3_1':0.0},(448,632):{'3_1':0.0,'5_2':0.0},(448,631):{'3_1':0.0},(448,630):{'3_1':0.0},(448,629):{'3_1':0.0,'5_2':0.0},(448,628):{'3_1':0.0},(448,627):{'3_1':0.03},(448,626):{'3_1':0.0,'5_1':0.0},(448,625):{'3_1':0.0,'5_2':0.0},(448,624):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(448,623):{'3_1':0.0,'5_1':0.0},(448,622):{'3_1':0.0,'4_1':0.0},(448,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(448,620):{'3_1':0.03,'5_2':0.0},(448,619):{'3_1':0.0},(448,618):{'3_1':0.03},(448,617):{'3_1':0.09},(448,616):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(448,615):{'3_1':0.03,'5_1':0.0},(448,614):{'3_1':0.03,'4_1':0.0},(448,613):{'3_1':0.0,'5_1':0.0},(448,612):{'3_1':0.03,'5_1':0.0},(448,611):{'3_1':0.03},(448,610):{'3_1':0.0},(448,609):{'3_1':0.0},(448,608):{'4_1':0.0,'3_1':0.0},(448,607):{'3_1':0.03,'4_1':0.0},(448,606):{'3_1':0.0,'4_1':0.0},(448,605):{'3_1':0.0},(448,604):{'3_1':0.03},(448,603):{'3_1':0.03},(448,602):{'4_1':0.0},(448,601):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(448,600):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(448,599):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(448,598):{'3_1':0.03,'4_1':0.0},(448,597):{'3_1':0.0,'4_1':0.0},(448,596):{'3_1':0.0,'4_1':0.0},(448,595):{'4_1':0.0,'3_1':0.0},(448,594):{'3_1':0.0,'5_1':0.0},(448,593):{'3_1':0.0,'4_1':0.0},(448,592):{'3_1':0.0},(448,591):{'3_1':0.0},(448,590):{'3_1':0.0,'4_1':0.0},(448,588):{'3_1':0.0,'4_1':0.0},(448,587):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(448,586):{'3_1':0.0,'4_1':0.0},(448,585):{'3_1':0.0,'4_1':0.0},(448,584):{'3_1':0.03},(448,583):{'3_1':0.0},(448,582):{'3_1':0.03,'4_1':0.0},(448,581):{'3_1':0.0},(448,580):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(448,579):{'3_1':0.0},(448,577):{'3_1':0.0,'5_1':0.0},(448,576):{'3_1':0.0},(448,575):{'3_1':0.03},(448,574):{'3_1':0.03,'4_1':0.0},(448,573):{'3_1':0.0},(448,572):{'3_1':0.03},(448,570):{'3_1':0.0,'4_1':0.0},(448,569):{'3_1':0.0,'4_1':0.0},(448,568):{'3_1':0.0,'6_1':0.0},(448,567):{'3_1':0.0},(448,566):{'3_1':0.0},(448,565):{'3_1':0.0},(448,561):{'4_1':0.0},(448,560):{'3_1':0.0},(448,557):{'3_1':0.0},(448,556):{'3_1':0.0},(448,555):{'3_1':0.0},(448,554):{'3_1':0.0},(448,553):{'3_1':0.0},(448,552):{'3_1':0.0},(448,549):{'3_1':0.0},(448,548):{'3_1':0.0},(448,546):{'3_1':0.0},(448,543):{'3_1':0.0},(448,542):{'3_1':0.0},(448,540):{'3_1':0.0,'5_2':0.0},(448,539):{'3_1':0.0,'5_2':0.0},(448,538):{'3_1':0.0},(448,537):{'3_1':0.0,'5_2':0.0},(448,536):{'3_1':0.0},(448,535):{'3_1':0.03},(448,534):{'5_2':0.0},(448,533):{'3_1':0.0},(448,532):{'3_1':0.0},(448,531):{'3_1':0.0},(448,530):{'3_1':0.0},(448,528):{'3_1':0.0},(448,527):{'3_1':0.03},(448,526):{'3_1':0.0},(448,525):{'3_1':0.0},(448,524):{'3_1':0.0},(448,523):{'3_1':0.0},(448,521):{'3_1':0.03},(448,519):{'3_1':0.0},(448,518):{'3_1':0.0},(448,517):{'3_1':0.0},(448,516):{'3_1':0.0},(448,515):{'3_1':0.0},(448,514):{'3_1':0.03},(448,512):{'3_1':0.0},(448,511):{'3_1':0.03},(448,510):{'3_1':0.03},(448,509):{'3_1':0.03},(448,508):{'3_1':0.0},(448,507):{'3_1':0.0},(448,506):{'3_1':0.0},(448,505):{'3_1':0.0},(448,504):{'3_1':0.0,'4_1':0.0},(448,503):{'3_1':0.0},(448,502):{'3_1':0.0},(448,501):{'3_1':0.0,'4_1':0.0},(448,500):{'3_1':0.0},(448,499):{'3_1':0.0},(448,498):{'3_1':0.03},(448,496):{'3_1':0.0},(448,495):{'3_1':0.0},(448,494):{'3_1':0.0},(448,490):{'3_1':0.0},(448,489):{'3_1':0.0},(448,488):{'3_1':0.0},(448,485):{'4_1':0.0},(448,478):{'3_1':0.0},(448,471):{'3_1':0.0},(448,469):{'3_1':0.0},(448,468):{'3_1':0.0},(448,467):{'3_1':0.0},(448,460):{'3_1':0.0},(448,454):{'3_1':0.0},(448,452):{'3_1':0.0},(449,726):{'4_1':0.0},(449,724):{'3_1':0.0},(449,723):{'4_1':0.0},(449,722):{'3_1':0.0},(449,721):{'3_1':0.03},(449,720):{'3_1':0.0},(449,719):{'3_1':0.0},(449,718):{'3_1':0.0,'4_1':0.0},(449,717):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(449,715):{'3_1':0.03,'4_1':0.0},(449,714):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(449,713):{'3_1':0.0,'4_1':0.0},(449,712):{'3_1':0.0,'5_2':0.0},(449,711):{'3_1':0.0,'4_1':0.0},(449,710):{'3_1':0.06},(449,709):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(449,708):{'3_1':0.0,'5_2':0.0},(449,707):{'3_1':0.0},(449,706):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(449,705):{'3_1':0.0},(449,704):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(449,703):{'3_1':0.0},(449,702):{'4_1':0.0,'3_1':0.0},(449,701):{'3_1':0.03,'4_1':0.0},(449,700):{'3_1':0.06},(449,699):{'3_1':0.0,'4_1':0.0},(449,698):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(449,697):{'3_1':0.06,'4_1':0.0},(449,696):{'3_1':0.03},(449,695):{'3_1':0.0,'4_1':0.0},(449,694):{'3_1':0.03,'4_1':0.0},(449,693):{'3_1':0.03,'4_1':0.0},(449,692):{'3_1':0.03},(449,691):{'3_1':0.03},(449,690):{'4_1':0.0,'3_1':0.0},(449,689):{'3_1':0.03,'4_1':0.0},(449,688):{'3_1':0.03},(449,687):{'3_1':0.03},(449,686):{'3_1':0.0,'4_1':0.0},(449,684):{'3_1':0.0,'4_1':0.0},(449,683):{'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'9_1':0.0},(449,682):{'3_1':0.03},(449,681):{'3_1':0.0},(449,680):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(449,679):{'3_1':0.0,'4_1':0.0},(449,678):{'3_1':0.0},(449,677):{'3_1':0.0},(449,676):{'6_2':0.0},(449,675):{'3_1':0.0,'4_1':0.0},(449,674):{'5_1':0.0,'4_1':0.0},(449,673):{'3_1':0.0,'5_1':0.0},(449,672):{'3_1':0.0},(449,670):{'3_1':0.0},(449,668):{'4_1':0.0},(449,667):{'3_1':0.0},(449,666):{'3_1':0.0},(449,665):{'3_1':0.0,'4_1':0.0},(449,663):{'3_1':0.03},(449,662):{'3_1':0.0,'4_1':0.0},(449,661):{'3_1':0.0},(449,660):{'3_1':0.0,'5_2':0.0},(449,659):{'3_1':0.0},(449,658):{'3_1':0.0,'4_1':0.0},(449,657):{'3_1':0.0},(449,656):{'3_1':0.0},(449,654):{'3_1':0.0},(449,653):{'3_1':0.03,'4_1':0.0},(449,652):{'3_1':0.0},(449,651):{'4_1':0.0},(449,650):{'3_1':0.0},(449,649):{'3_1':0.0},(449,648):{'3_1':0.03},(449,647):{'3_1':0.03,'4_1':0.0},(449,646):{'3_1':0.06},(449,645):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(449,644):{'3_1':0.03},(449,643):{'3_1':0.06,'5_2':0.0},(449,642):{'3_1':0.03,'5_1':0.0},(449,641):{'3_1':0.06},(449,640):{'3_1':0.0,'4_1':0.0},(449,639):{'3_1':0.03,'4_1':0.0},(449,638):{'3_1':0.0},(449,637):{'3_1':0.0},(449,636):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(449,635):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(449,634):{'3_1':0.03},(449,633):{'3_1':0.0},(449,632):{'3_1':0.0,'5_2':0.0},(449,631):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(449,630):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(449,629):{'3_1':0.03},(449,628):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(449,627):{'3_1':0.06},(449,626):{'3_1':0.03,'5_2':0.0},(449,625):{'3_1':0.03},(449,624):{'3_1':0.0},(449,623):{'3_1':0.0},(449,622):{'3_1':0.03,'5_2':0.0},(449,621):{'3_1':0.06},(449,620):{'3_1':0.03,'4_1':0.0},(449,619):{'3_1':0.06},(449,618):{'3_1':0.06,'5_2':0.0},(449,617):{'3_1':0.03},(449,616):{'3_1':0.03},(449,615):{'3_1':0.0},(449,614):{'3_1':0.03},(449,613):{'3_1':0.0,'5_2':0.0},(449,612):{'3_1':0.03,'5_1':0.0},(449,611):{'3_1':0.0,'5_1':0.0},(449,610):{'3_1':0.0,'4_1':0.0},(449,609):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(449,608):{'3_1':0.0,'4_1':0.0},(449,607):{'3_1':0.0,'5_1':0.0},(449,606):{'4_1':0.0},(449,604):{'3_1':0.0,'7_5':0.0},(449,603):{'4_1':0.0,'3_1':0.0},(449,602):{'4_1':0.0},(449,601):{'7_3':0.0},(449,600):{'3_1':0.03},(449,599):{'3_1':0.0,'4_1':0.0},(449,598):{'3_1':0.0,'4_1':0.0},(449,597):{'3_1':0.0,'4_1':0.0},(449,596):{'3_1':0.0,'4_1':0.0},(449,595):{'3_1':0.06,'4_1':0.0},(449,594):{'3_1':0.0},(449,593):{'4_1':0.03,'3_1':0.0},(449,592):{'3_1':0.0,'4_1':0.0},(449,591):{'3_1':0.0,'4_1':0.0},(449,590):{'3_1':0.0,'4_1':0.0},(449,589):{'3_1':0.0,'5_1':0.0},(449,588):{'3_1':0.03,'4_1':0.0},(449,587):{'3_1':0.03},(449,586):{'3_1':0.0,'4_1':0.0},(449,585):{'3_1':0.03},(449,584):{'3_1':0.0},(449,583):{'4_1':0.0},(449,582):{'3_1':0.0},(449,581):{'3_1':0.0},(449,580):{'3_1':0.0},(449,579):{'4_1':0.0,'3_1':0.0},(449,578):{'3_1':0.0,'4_1':0.0},(449,577):{'3_1':0.0,'4_1':0.0},(449,576):{'3_1':0.0,'4_1':0.0},(449,575):{'3_1':0.0},(449,574):{'3_1':0.0,'4_1':0.0},(449,573):{'3_1':0.0,'4_1':0.0},(449,572):{'3_1':0.0,'4_1':0.0},(449,571):{'4_1':0.0,'3_1':0.0},(449,570):{'3_1':0.0},(449,569):{'4_1':0.0},(449,566):{'4_1':0.03},(449,565):{'4_1':0.0},(449,563):{'3_1':0.0},(449,562):{'4_1':0.0},(449,561):{'4_1':0.0},(449,560):{'3_1':0.0,'4_1':0.0},(449,558):{'4_1':0.0},(449,557):{'3_1':0.0},(449,556):{'4_1':0.0},(449,555):{'3_1':0.0},(449,554):{'3_1':0.0,'4_1':0.0},(449,553):{'3_1':0.0},(449,552):{'3_1':0.0},(449,551):{'3_1':0.0},(449,550):{'3_1':0.0,'5_2':0.0},(449,549):{'3_1':0.0},(449,545):{'3_1':0.0,'5_2':0.0},(449,543):{'3_1':0.0},(449,541):{'3_1':0.0},(449,540):{'3_1':0.0},(449,539):{'3_1':0.0},(449,538):{'3_1':0.0},(449,537):{'3_1':0.0,'4_1':0.0},(449,535):{'3_1':0.0},(449,533):{'3_1':0.0},(449,532):{'3_1':0.0},(449,531):{'3_1':0.0},(449,530):{'3_1':0.0},(449,529):{'3_1':0.0},(449,528):{'3_1':0.0,'4_1':0.0},(449,526):{'3_1':0.0},(449,525):{'3_1':0.0},(449,524):{'3_1':0.0},(449,523):{'3_1':0.0},(449,522):{'3_1':0.03},(449,521):{'3_1':0.0},(449,520):{'3_1':0.0},(449,519):{'3_1':0.0},(449,518):{'3_1':0.03},(449,517):{'3_1':0.0},(449,516):{'3_1':0.03},(449,515):{'3_1':0.0},(449,514):{'3_1':0.0},(449,511):{'3_1':0.03},(449,510):{'3_1':0.0},(449,509):{'3_1':0.0},(449,508):{'3_1':0.0},(449,507):{'3_1':0.0},(449,506):{'3_1':0.0},(449,505):{'4_1':0.0},(449,504):{'3_1':0.0,'4_1':0.0},(449,503):{'3_1':0.0},(449,502):{'3_1':0.0},(449,501):{'3_1':0.0},(449,500):{'3_1':0.0},(449,498):{'3_1':0.0},(449,496):{'3_1':0.0},(449,494):{'3_1':0.0},(449,493):{'3_1':0.0},(449,478):{'3_1':0.0},(449,469):{'3_1':0.0},(449,461):{'3_1':0.0},(449,459):{'3_1':0.0},(449,455):{'3_1':0.0},(450,727):{'3_1':0.0},(450,726):{'3_1':0.0,'4_1':0.0},(450,725):{'3_1':0.03},(450,724):{'3_1':0.0},(450,723):{'3_1':0.0,'6_1':0.0},(450,722):{'3_1':0.0,'5_1':0.0},(450,721):{'3_1':0.0},(450,720):{'3_1':0.0},(450,719):{'3_1':0.0,'5_1':0.0},(450,718):{'3_1':0.0},(450,717):{'3_1':0.0},(450,716):{'3_1':0.0,'4_1':0.0},(450,714):{'3_1':0.0},(450,713):{'3_1':0.0},(450,712):{'3_1':0.0},(450,711):{'3_1':0.0,'4_1':0.0},(450,710):{'3_1':0.0,'4_1':0.0},(450,708):{'3_1':0.03,'4_1':0.0},(450,707):{'3_1':0.03},(450,706):{'3_1':0.03,'4_1':0.0},(450,705):{'3_1':0.0,'4_1':0.0},(450,704):{'3_1':0.06},(450,703):{'3_1':0.03},(450,702):{'3_1':0.0},(450,701):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(450,700):{'3_1':0.03,'6_2':0.0},(450,699):{'3_1':0.0},(450,698):{'3_1':0.03},(450,697):{'3_1':0.0},(450,696):{'3_1':0.0},(450,695):{'3_1':0.09,'4_1':0.0},(450,694):{'3_1':0.03,'4_1':0.03},(450,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(450,692):{'3_1':0.0,'4_1':0.0},(450,691):{'3_1':0.0},(450,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(450,689):{'3_1':0.0,'6_2':0.0},(450,688):{'3_1':0.0},(450,687):{'3_1':0.0,'4_1':0.0},(450,686):{'3_1':0.03},(450,685):{'3_1':0.0,'4_1':0.0},(450,684):{'3_1':0.0,'4_1':0.0},(450,683):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(450,682):{'3_1':0.03},(450,681):{'6_1':0.0},(450,679):{'3_1':0.0},(450,678):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(450,677):{'4_1':0.03,'3_1':0.0},(450,676):{'3_1':0.0},(450,675):{'3_1':0.0},(450,674):{'3_1':0.0,'7_3':0.0},(450,672):{'3_1':0.0},(450,670):{'3_1':0.0,'6_1':0.0,'8_1':0.0},(450,668):{'3_1':0.0},(450,667):{'3_1':0.03},(450,666):{'3_1':0.0},(450,664):{'3_1':0.03},(450,663):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(450,662):{'3_1':0.0,'8_3':0.0},(450,661):{'3_1':0.0},(450,660):{'3_1':0.03,'4_1':0.0},(450,659):{'3_1':0.0,'4_1':0.0,'7_3':0.0,'8_3':0.0},(450,658):{'3_1':0.0,'4_1':0.0},(450,657):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(450,656):{'3_1':0.0},(450,655):{'4_1':0.0},(450,654):{'3_1':0.0,'4_1':0.0},(450,653):{'3_1':0.0,'4_1':0.0},(450,652):{'3_1':0.0},(450,651):{'3_1':0.03},(450,650):{'3_1':0.03},(450,649):{'3_1':0.03,'4_1':0.0},(450,648):{'3_1':0.03},(450,647):{'3_1':0.03},(450,646):{'3_1':0.06,'5_1':0.0},(450,645):{'3_1':0.0,'4_1':0.0},(450,644):{'3_1':0.03},(450,643):{'3_1':0.03,'4_1':0.0},(450,642):{'3_1':0.03},(450,641):{'3_1':0.03,'5_2':0.0},(450,640):{'5_2':0.0,'3_1':0.0},(450,639):{'3_1':0.03,'4_1':0.0},(450,638):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(450,637):{'3_1':0.0},(450,636):{'3_1':0.0},(450,635):{'3_1':0.0,'5_1':0.0},(450,634):{'3_1':0.03},(450,633):{'3_1':0.03},(450,632):{'3_1':0.03,'5_2':0.0},(450,631):{'3_1':0.03,'5_2':0.0},(450,630):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(450,629):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(450,628):{'3_1':0.0},(450,627):{'3_1':0.03},(450,626):{'3_1':0.03},(450,625):{'3_1':0.0,'5_2':0.0},(450,624):{'3_1':0.03,'5_2':0.0},(450,623):{'3_1':0.0,'5_2':0.0},(450,622):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(450,621):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(450,620):{'3_1':0.0,'4_1':0.0},(450,619):{'3_1':0.09,'4_1':0.0},(450,618):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0},(450,617):{'3_1':0.03},(450,616):{'3_1':0.0},(450,615):{'3_1':0.03,'5_2':0.0},(450,614):{'3_1':0.0},(450,613):{'3_1':0.0},(450,612):{'3_1':0.0,'5_1':0.0},(450,611):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(450,610):{'3_1':0.03,'4_1':0.0},(450,609):{'3_1':0.03},(450,608):{'3_1':0.03,'4_1':0.0},(450,607):{'3_1':0.0,'4_1':0.0},(450,606):{'3_1':0.0,'4_1':0.0},(450,605):{'5_1':0.0},(450,604):{'3_1':0.0},(450,603):{'3_1':0.03,'5_1':0.0},(450,602):{'3_1':0.0,'4_1':0.0},(450,601):{'3_1':0.0},(450,600):{'3_1':0.0,'4_1':0.0},(450,599):{'3_1':0.0,'5_1':0.0},(450,598):{'4_1':0.0,'5_2':0.0},(450,597):{'4_1':0.03,'3_1':0.0},(450,596):{'3_1':0.0,'4_1':0.0},(450,595):{'3_1':0.0,'4_1':0.0},(450,594):{'3_1':0.0,'4_1':0.0},(450,593):{'3_1':0.0,'4_1':0.0},(450,592):{'4_1':0.0,'3_1':0.0},(450,591):{'3_1':0.0,'4_1':0.0},(450,590):{'3_1':0.0},(450,589):{'3_1':0.0,'4_1':0.0},(450,588):{'3_1':0.0,'4_1':0.0},(450,587):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(450,586):{'3_1':0.0},(450,585):{'3_1':0.0},(450,584):{'3_1':0.03},(450,583):{'3_1':0.06},(450,582):{'3_1':0.0,'4_1':0.0},(450,581):{'3_1':0.0},(450,579):{'3_1':0.0,'4_1':0.0},(450,578):{'3_1':0.0},(450,577):{'3_1':0.0,'4_1':0.0},(450,574):{'3_1':0.03,'4_1':0.0},(450,573):{'4_1':0.0,'3_1':0.0},(450,572):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(450,571):{'3_1':0.0},(450,570):{'3_1':0.0,'4_1':0.0},(450,569):{'3_1':0.03},(450,568):{'3_1':0.0,'4_1':0.0},(450,567):{'4_1':0.0,'5_2':0.0},(450,566):{'3_1':0.0},(450,565):{'3_1':0.03},(450,564):{'3_1':0.0},(450,563):{'3_1':0.0},(450,562):{'3_1':0.0},(450,561):{'3_1':0.0,'4_1':0.0},(450,560):{'3_1':0.0,'4_1':0.0},(450,559):{'4_1':0.0},(450,558):{'3_1':0.0},(450,556):{'3_1':0.0,'4_1':0.0},(450,555):{'3_1':0.0},(450,549):{'3_1':0.0},(450,545):{'3_1':0.0},(450,544):{'3_1':0.0},(450,542):{'3_1':0.0},(450,540):{'3_1':0.0},(450,539):{'3_1':0.0},(450,538):{'3_1':0.0},(450,537):{'3_1':0.0},(450,532):{'3_1':0.0},(450,531):{'3_1':0.0},(450,530):{'3_1':0.0},(450,529):{'3_1':0.0},(450,528):{'3_1':0.0},(450,527):{'3_1':0.0},(450,525):{'3_1':0.0},(450,524):{'3_1':0.0},(450,523):{'3_1':0.03},(450,522):{'3_1':0.0},(450,520):{'3_1':0.0},(450,519):{'3_1':0.0},(450,518):{'3_1':0.03},(450,517):{'3_1':0.0},(450,516):{'3_1':0.0},(450,515):{'3_1':0.0},(450,514):{'3_1':0.0},(450,513):{'3_1':0.0},(450,512):{'3_1':0.0},(450,511):{'3_1':0.0},(450,510):{'3_1':0.0},(450,509):{'3_1':0.0,'4_1':0.0},(450,507):{'3_1':0.0},(450,506):{'3_1':0.0},(450,505):{'3_1':0.0},(450,504):{'3_1':0.0},(450,502):{'3_1':0.0},(450,501):{'3_1':0.0},(450,500):{'3_1':0.0},(450,499):{'3_1':0.0},(450,498):{'3_1':0.0},(450,496):{'3_1':0.0},(450,495):{'3_1':0.0},(450,494):{'3_1':0.0},(450,493):{'3_1':0.0},(450,492):{'3_1':0.0},(450,490):{'3_1':0.0},(450,485):{'4_1':0.0},(450,478):{'5_2':0.0},(450,471):{'3_1':0.0},(450,468):{'3_1':0.0},(450,467):{'3_1':0.0},(450,466):{'3_1':0.0},(450,455):{'3_1':0.0},(451,727):{'3_1':0.0},(451,726):{'3_1':0.0},(451,725):{'3_1':0.0,'4_1':0.0},(451,724):{'3_1':0.0,'5_1':0.0},(451,723):{'3_1':0.0},(451,722):{'3_1':0.0,'4_1':0.0},(451,721):{'3_1':0.0},(451,720):{'3_1':0.0},(451,719):{'3_1':0.0,'5_1':0.0},(451,718):{'3_1':0.03},(451,716):{'3_1':0.0},(451,715):{'3_1':0.0,'4_1':0.0},(451,714):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(451,713):{'5_1':0.0,'4_1':0.0},(451,712):{'3_1':0.06},(451,711):{'4_1':0.0},(451,710):{'3_1':0.03,'5_1':0.0},(451,709):{'3_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(451,708):{'3_1':0.03},(451,707):{'3_1':0.06},(451,706):{'3_1':0.03},(451,705):{'3_1':0.0,'4_1':0.0},(451,704):{'3_1':0.09,'7_1':0.0},(451,703):{'3_1':0.03,'4_1':0.0},(451,702):{'3_1':0.03},(451,701):{'3_1':0.03,'4_1':0.0},(451,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(451,699):{'3_1':0.0,'4_1':0.0},(451,698):{'3_1':0.06,'4_1':0.0},(451,697):{'3_1':0.03,'4_1':0.0},(451,696):{'3_1':0.03},(451,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(451,694):{'3_1':0.03},(451,693):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(451,692):{'3_1':0.03,'4_1':0.0},(451,691):{'3_1':0.03},(451,690):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(451,689):{'3_1':0.0,'4_1':0.0},(451,688):{'3_1':0.03,'4_1':0.0},(451,687):{'4_1':0.0,'3_1':0.0},(451,685):{'3_1':0.0},(451,684):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(451,683):{'4_1':0.0},(451,682):{'3_1':0.03},(451,681):{'3_1':0.0,'8_20|3_1#3_1':0.0},(451,680):{'3_1':0.0},(451,679):{'3_1':0.03,'4_1':0.0},(451,678):{'7_1':0.0,'3_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(451,677):{'3_1':0.0,'4_1':0.0},(451,676):{'3_1':0.0},(451,675):{'3_1':0.03},(451,674):{'3_1':0.0,'4_1':0.0},(451,673):{'4_1':0.0},(451,672):{'3_1':0.03},(451,671):{'4_1':0.0,'3_1':0.0},(451,670):{'3_1':0.0},(451,669):{'3_1':0.0},(451,668):{'3_1':0.0},(451,667):{'3_1':0.0},(451,666):{'3_1':0.0,'4_1':0.0},(451,665):{'3_1':0.0},(451,664):{'3_1':0.0,'8_20|3_1#3_1':0.0},(451,662):{'3_1':0.03},(451,661):{'4_1':0.0},(451,660):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(451,659):{'3_1':0.0},(451,658):{'3_1':0.03},(451,657):{'3_1':0.03,'4_1':0.0},(451,656):{'3_1':0.03},(451,655):{'3_1':0.03},(451,654):{'3_1':0.0},(451,653):{'3_1':0.09,'4_1':0.0},(451,652):{'3_1':0.03},(451,651):{'3_1':0.03},(451,650):{'3_1':0.0,'8_20|3_1#3_1':0.0},(451,649):{'3_1':0.0},(451,648):{'3_1':0.0,'4_1':0.0},(451,647):{'3_1':0.06,'4_1':0.0},(451,646):{'3_1':0.0,'5_1':0.0},(451,645):{'3_1':0.03,'4_1':0.0},(451,644):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(451,643):{'3_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(451,642):{'3_1':0.03,'5_1':0.0},(451,641):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(451,640):{'3_1':0.09},(451,639):{'3_1':0.03,'4_1':0.0},(451,638):{'3_1':0.03},(451,637):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(451,636):{'3_1':0.0,'5_2':0.0},(451,635):{'3_1':0.03,'5_1':0.0},(451,634):{'3_1':0.0},(451,633):{'3_1':0.0},(451,632):{'3_1':0.0},(451,631):{'3_1':0.03,'5_1':0.0},(451,630):{'4_1':0.0},(451,628):{'3_1':0.0,'5_2':0.0},(451,627):{'3_1':0.06,'5_2':0.0},(451,626):{'3_1':0.03},(451,625):{'3_1':0.03},(451,624):{'3_1':0.03,'5_1':0.0},(451,623):{'3_1':0.0,'5_2':0.0},(451,622):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(451,621):{'3_1':0.03},(451,620):{'3_1':0.03},(451,619):{'3_1':0.03,'5_1':0.0},(451,618):{'3_1':0.0},(451,617):{'3_1':0.03},(451,616):{'5_2':0.0},(451,615):{'3_1':0.03,'4_1':0.0},(451,614):{'3_1':0.0},(451,613):{'3_1':0.03,'5_2':0.0},(451,612):{'3_1':0.0},(451,611):{'3_1':0.03},(451,610):{'3_1':0.03},(451,609):{'3_1':0.03},(451,608):{'3_1':0.03,'4_1':0.0},(451,607):{'3_1':0.03},(451,606):{'3_1':0.0},(451,605):{'3_1':0.0,'4_1':0.0},(451,604):{'3_1':0.06},(451,603):{'3_1':0.0,'4_1':0.0},(451,602):{'3_1':0.0,'4_1':0.0},(451,601):{'3_1':0.03,'7_1':0.0},(451,600):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(451,599):{'3_1':0.0,'4_1':0.0},(451,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(451,597):{'4_1':0.03},(451,596):{'3_1':0.03,'4_1':0.0},(451,595):{'3_1':0.03,'4_1':0.0},(451,594):{'3_1':0.0,'4_1':0.0},(451,593):{'3_1':0.0,'4_1':0.0},(451,592):{'5_2':0.0},(451,591):{'3_1':0.0,'4_1':0.0},(451,590):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(451,589):{'3_1':0.03,'4_1':0.0},(451,588):{'4_1':0.0},(451,587):{'4_1':0.0},(451,586):{'3_1':0.03,'4_1':0.0},(451,585):{'3_1':0.0,'4_1':0.0},(451,584):{'3_1':0.0},(451,583):{'3_1':0.0,'4_1':0.0},(451,582):{'3_1':0.0,'4_1':0.0},(451,581):{'3_1':0.0,'4_1':0.0},(451,579):{'4_1':0.0},(451,577):{'3_1':0.0,'4_1':0.0},(451,576):{'3_1':0.06,'5_1':0.0},(451,575):{'3_1':0.0,'5_1':0.0},(451,574):{'3_1':0.03,'4_1':0.0},(451,573):{'3_1':0.0},(451,572):{'3_1':0.0,'4_1':0.0},(451,571):{'3_1':0.0},(451,570):{'3_1':0.0},(451,569):{'3_1':0.0},(451,568):{'3_1':0.0},(451,567):{'3_1':0.0},(451,566):{'3_1':0.0,'4_1':0.0},(451,565):{'3_1':0.03,'4_1':0.0},(451,564):{'3_1':0.03},(451,563):{'3_1':0.0},(451,562):{'3_1':0.03},(451,561):{'3_1':0.0},(451,560):{'3_1':0.0,'4_1':0.0},(451,559):{'3_1':0.0,'4_1':0.0},(451,558):{'3_1':0.0},(451,556):{'3_1':0.0},(451,555):{'3_1':0.0,'5_2':0.0},(451,554):{'3_1':0.0,'5_2':0.0},(451,553):{'3_1':0.0,'4_1':0.0},(451,552):{'3_1':0.0,'5_2':0.0},(451,551):{'3_1':0.03},(451,550):{'3_1':0.0},(451,549):{'3_1':0.0},(451,548):{'3_1':0.0},(451,540):{'3_1':0.0,'4_1':0.0},(451,538):{'3_1':0.0},(451,537):{'3_1':0.0},(451,535):{'3_1':0.0},(451,534):{'3_1':0.03},(451,532):{'3_1':0.0},(451,531):{'3_1':0.0},(451,530):{'3_1':0.0},(451,529):{'3_1':0.0},(451,528):{'3_1':0.0},(451,527):{'3_1':0.0},(451,526):{'3_1':0.0,'4_1':0.0},(451,525):{'3_1':0.0},(451,524):{'3_1':0.0},(451,523):{'3_1':0.0},(451,522):{'3_1':0.0},(451,521):{'3_1':0.0},(451,520):{'3_1':0.0},(451,518):{'3_1':0.0,'4_1':0.0},(451,517):{'4_1':0.0},(451,515):{'3_1':0.03},(451,514):{'3_1':0.0},(451,513):{'3_1':0.0},(451,512):{'3_1':0.0},(451,511):{'3_1':0.03},(451,510):{'3_1':0.03},(451,507):{'3_1':0.0},(451,506):{'3_1':0.0},(451,505):{'3_1':0.0},(451,504):{'3_1':0.0},(451,503):{'3_1':0.0},(451,501):{'3_1':0.03,'5_1':0.0},(451,500):{'3_1':0.0},(451,499):{'3_1':0.0},(451,497):{'3_1':0.03},(451,496):{'3_1':0.0},(451,492):{'3_1':0.0},(451,490):{'3_1':0.0},(451,489):{'3_1':0.0},(451,487):{'3_1':0.0},(452,727):{'3_1':0.0},(452,726):{'3_1':0.03},(452,725):{'3_1':0.03,'4_1':0.0},(452,724):{'3_1':0.0},(452,723):{'3_1':0.0,'5_1':0.0},(452,722):{'3_1':0.03},(452,721):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(452,720):{'3_1':0.0,'5_1':0.0},(452,719):{'3_1':0.0},(452,718):{'3_1':0.0},(452,717):{'3_1':0.0},(452,716):{'5_1':0.0},(452,715):{'3_1':0.0,'5_1':0.0},(452,714):{'3_1':0.0,'6_2':0.0},(452,713):{'3_1':0.0},(452,712):{'3_1':0.03},(452,711):{'3_1':0.0},(452,710):{'3_1':0.0,'4_1':0.0},(452,709):{'3_1':0.0},(452,708):{'3_1':0.0},(452,707):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(452,706):{'4_1':0.0,'3_1':0.0},(452,705):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(452,704):{'3_1':0.0},(452,703):{'3_1':0.0,'4_1':0.0},(452,702):{'3_1':0.03},(452,701):{'3_1':0.0,'4_1':0.0},(452,700):{'3_1':0.06},(452,699):{'4_1':0.0,'3_1':0.0},(452,698):{'3_1':0.0,'4_1':0.0},(452,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(452,696):{'3_1':0.03},(452,695):{'3_1':0.0},(452,694):{'3_1':0.03,'4_1':0.0},(452,693):{'3_1':0.06,'4_1':0.0},(452,692):{'3_1':0.06,'6_2':0.0},(452,691):{'3_1':0.0,'4_1':0.0},(452,690):{'3_1':0.03,'4_1':0.0},(452,689):{'3_1':0.03,'4_1':0.0},(452,688):{'3_1':0.0},(452,687):{'3_1':0.03,'4_1':0.0},(452,686):{'3_1':0.0,'4_1':0.0},(452,684):{'3_1':0.0},(452,683):{'6_1':0.0,'3_1':0.0},(452,682):{'4_1':0.0,'3_1':0.0},(452,681):{'3_1':0.0,'4_1':0.0},(452,680):{'4_1':0.0},(452,679):{'3_1':0.0},(452,678):{'4_1':0.0},(452,676):{'3_1':0.0},(452,675):{'4_1':0.0,'3_1':0.0},(452,674):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(452,673):{'3_1':0.0},(452,672):{'3_1':0.0},(452,671):{'4_1':0.0,'5_2':0.0},(452,670):{'3_1':0.0},(452,669):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(452,668):{'3_1':0.03,'4_1':0.0},(452,667):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(452,666):{'3_1':0.0,'4_1':0.0},(452,665):{'3_1':0.03,'4_1':0.0},(452,664):{'3_1':0.03},(452,663):{'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(452,662):{'3_1':0.03},(452,661):{'3_1':0.0,'4_1':0.0},(452,660):{'3_1':0.03,'4_1':0.0},(452,659):{'3_1':0.0},(452,658):{'3_1':0.0,'4_1':0.0},(452,657):{'4_1':0.0,'3_1':0.0},(452,656):{'3_1':0.0},(452,655):{'3_1':0.0,'5_2':0.0},(452,654):{'3_1':0.0,'4_1':0.0},(452,653):{'3_1':0.0},(452,652):{'3_1':0.03,'4_1':0.0},(452,651):{'3_1':0.03,'4_1':0.0},(452,650):{'3_1':0.0},(452,649):{'3_1':0.03,'4_1':0.0},(452,648):{'3_1':0.03},(452,647):{'3_1':0.03,'4_1':0.0},(452,646):{'3_1':0.03},(452,645):{'3_1':0.06,'4_1':0.0},(452,644):{'3_1':0.03,'4_1':0.0},(452,643):{'3_1':0.0,'5_2':0.0},(452,642):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(452,641):{'3_1':0.03,'5_1':0.03},(452,640):{'3_1':0.03,'4_1':0.0},(452,639):{'3_1':0.0,'6_2':0.0},(452,638):{'3_1':0.0,'4_1':0.0},(452,637):{'3_1':0.0,'4_1':0.0},(452,636):{'3_1':0.0},(452,635):{'3_1':0.0},(452,634):{'3_1':0.0,'5_1':0.0},(452,633):{'3_1':0.06},(452,632):{'3_1':0.0},(452,631):{'3_1':0.0},(452,630):{'3_1':0.03},(452,629):{'3_1':0.0,'5_1':0.0},(452,628):{'3_1':0.03,'5_2':0.0},(452,627):{'3_1':0.0},(452,626):{'3_1':0.0},(452,625):{'3_1':0.06,'5_2':0.0},(452,624):{'3_1':0.03,'4_1':0.0},(452,623):{'3_1':0.0,'4_1':0.0},(452,622):{'3_1':0.03},(452,621):{'3_1':0.03,'5_2':0.0},(452,620):{'3_1':0.03,'5_1':0.0},(452,619):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(452,618):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(452,617):{'3_1':0.03,'5_2':0.0},(452,616):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(452,615):{'3_1':0.03},(452,614):{'3_1':0.03},(452,613):{'3_1':0.03,'5_1':0.0},(452,612):{'3_1':0.03,'5_2':0.0},(452,611):{'3_1':0.03,'4_1':0.0},(452,610):{'3_1':0.03,'5_2':0.0,'7_1':0.0},(452,609):{'3_1':0.0,'5_1':0.0},(452,608):{'3_1':0.0,'4_1':0.0},(452,607):{'3_1':0.0,'5_1':0.0},(452,606):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(452,605):{'3_1':0.0,'4_1':0.0},(452,604):{'3_1':0.0,'4_1':0.0},(452,602):{'3_1':0.0},(452,601):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(452,600):{'3_1':0.0,'7_1':0.0},(452,599):{'3_1':0.03,'4_1':0.0},(452,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(452,597):{'3_1':0.03,'4_1':0.0},(452,596):{'3_1':0.03,'4_1':0.0},(452,595):{'4_1':0.0,'3_1':0.0},(452,594):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(452,593):{'4_1':0.0,'3_1':0.0},(452,592):{'3_1':0.0},(452,591):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(452,590):{'3_1':0.03,'4_1':0.0},(452,588):{'3_1':0.0,'4_1':0.0},(452,587):{'4_1':0.0},(452,586):{'4_1':0.0,'3_1':0.0},(452,585):{'3_1':0.0,'4_1':0.0},(452,584):{'3_1':0.03},(452,583):{'3_1':0.0,'4_1':0.0},(452,582):{'4_1':0.0,'3_1':0.0},(452,581):{'3_1':0.0},(452,578):{'3_1':0.0,'4_1':0.0},(452,577):{'3_1':0.03},(452,576):{'3_1':0.0,'4_1':0.0},(452,575):{'3_1':0.0,'5_1':0.0},(452,574):{'3_1':0.03,'4_1':0.0},(452,573):{'3_1':0.0,'4_1':0.0},(452,572):{'3_1':0.0},(452,570):{'3_1':0.0},(452,569):{'3_1':0.0},(452,568):{'3_1':0.0,'4_1':0.0},(452,566):{'3_1':0.0},(452,565):{'3_1':0.0},(452,563):{'3_1':0.0,'4_1':0.0},(452,562):{'3_1':0.0,'4_1':0.0},(452,561):{'3_1':0.0,'4_1':0.0},(452,560):{'3_1':0.0},(452,559):{'3_1':0.0},(452,558):{'3_1':0.0},(452,556):{'4_1':0.0},(452,554):{'3_1':0.0},(452,553):{'3_1':0.0},(452,551):{'3_1':0.0},(452,550):{'3_1':0.0},(452,549):{'3_1':0.0},(452,547):{'3_1':0.0},(452,546):{'4_1':0.0},(452,545):{'3_1':0.0},(452,544):{'3_1':0.0},(452,543):{'3_1':0.0},(452,541):{'3_1':0.0},(452,540):{'3_1':0.0},(452,539):{'3_1':0.0},(452,538):{'3_1':0.0},(452,537):{'3_1':0.0,'5_2':0.0},(452,536):{'3_1':0.0},(452,535):{'3_1':0.0,'4_1':0.0},(452,532):{'3_1':0.0},(452,529):{'3_1':0.0},(452,528):{'3_1':0.0,'4_1':0.0},(452,527):{'3_1':0.0},(452,526):{'3_1':0.03,'5_2':0.0},(452,525):{'3_1':0.0,'5_2':0.0},(452,524):{'3_1':0.0,'5_2':0.0},(452,522):{'3_1':0.0},(452,520):{'3_1':0.0},(452,519):{'3_1':0.0},(452,518):{'3_1':0.0},(452,517):{'3_1':0.0},(452,516):{'3_1':0.0},(452,515):{'3_1':0.0},(452,514):{'3_1':0.0},(452,512):{'3_1':0.0},(452,511):{'3_1':0.0},(452,510):{'3_1':0.03},(452,508):{'3_1':0.0},(452,507):{'3_1':0.03},(452,505):{'3_1':0.0},(452,504):{'3_1':0.0},(452,502):{'3_1':0.0},(452,501):{'3_1':0.0},(452,498):{'3_1':0.0},(452,497):{'3_1':0.0},(452,496):{'3_1':0.0,'5_1':0.0},(452,495):{'3_1':0.0},(452,493):{'3_1':0.0},(452,492):{'3_1':0.0},(452,488):{'3_1':0.0},(452,486):{'3_1':0.0},(453,727):{'3_1':0.0},(453,726):{'3_1':0.03},(453,724):{'3_1':0.0},(453,722):{'3_1':0.0},(453,721):{'3_1':0.0},(453,720):{'3_1':0.0},(453,719):{'3_1':0.0,'5_1':0.0},(453,718):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(453,717):{'3_1':0.0},(453,716):{'3_1':0.0},(453,715):{'3_1':0.0},(453,714):{'3_1':0.03},(453,713):{'3_1':0.0,'4_1':0.0},(453,712):{'3_1':0.0},(453,711):{'3_1':0.03},(453,710):{'3_1':0.0},(453,709):{'3_1':0.03,'6_2':0.0},(453,708):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,707):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(453,706):{'3_1':0.03},(453,705):{'3_1':0.0},(453,704):{'3_1':0.0,'6_1':0.0},(453,703):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(453,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(453,701):{'3_1':0.0,'4_1':0.0},(453,700):{'3_1':0.0},(453,699):{'3_1':0.0},(453,698):{'3_1':0.03,'4_1':0.0},(453,697):{'3_1':0.0,'4_1':0.0},(453,696):{'3_1':0.0,'4_1':0.0},(453,695):{'3_1':0.0,'4_1':0.0},(453,694):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(453,693):{'3_1':0.03},(453,692):{'3_1':0.0},(453,691):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(453,690):{'3_1':0.03,'4_1':0.0},(453,689):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'8_2':0.0},(453,688):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(453,687):{'3_1':0.0},(453,686):{'3_1':0.0,'4_1':0.0},(453,685):{'4_1':0.0},(453,684):{'3_1':0.0,'4_1':0.0,'-3':0.0},(453,683):{'4_1':0.0,'3_1':0.0},(453,681):{'3_1':0.0},(453,680):{'3_1':0.03},(453,679):{'3_1':0.0,'4_1':0.0},(453,678):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,676):{'3_1':0.0},(453,675):{'5_1':0.0},(453,674):{'3_1':0.03},(453,673):{'3_1':0.0,'5_1':0.0},(453,671):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,670):{'3_1':0.0,'4_1':0.0},(453,668):{'3_1':0.0,'4_1':0.0},(453,667):{'3_1':0.0},(453,666):{'3_1':0.0},(453,665):{'3_1':0.0},(453,664):{'3_1':0.0,'8_1':0.0},(453,663):{'3_1':0.0},(453,662):{'3_1':0.03},(453,661):{'3_1':0.0,'4_1':0.0},(453,660):{'3_1':0.0},(453,659):{'3_1':0.03},(453,658):{'3_1':0.0},(453,657):{'3_1':0.0,'4_1':0.0},(453,656):{'3_1':0.0},(453,655):{'3_1':0.0,'5_2':0.0},(453,654):{'3_1':0.0},(453,653):{'3_1':0.03},(453,652):{'3_1':0.03,'4_1':0.0},(453,651):{'3_1':0.0},(453,650):{'3_1':0.0,'5_1':0.0},(453,649):{'3_1':0.0},(453,648):{'3_1':0.03},(453,647):{'3_1':0.0,'4_1':0.0},(453,646):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,645):{'3_1':0.03,'5_1':0.0},(453,644):{'3_1':0.03,'4_1':0.0},(453,643):{'3_1':0.06},(453,642):{'3_1':0.03},(453,641):{'3_1':0.06,'5_1':0.0},(453,640):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(453,639):{'3_1':0.0},(453,638):{'3_1':0.03},(453,637):{'3_1':0.03},(453,636):{'3_1':0.03},(453,635):{'3_1':0.0},(453,634):{'3_1':0.0},(453,633):{'3_1':0.0,'6_2':0.0},(453,632):{'3_1':0.03},(453,631):{'3_1':0.03},(453,630):{'3_1':0.0},(453,629):{'3_1':0.0},(453,628):{'3_1':0.0,'5_2':0.0},(453,627):{'5_2':0.0,'3_1':0.0,'4_1':0.0,'6_1':0.0},(453,626):{'3_1':0.03,'5_1':0.0},(453,625):{'3_1':0.0},(453,624):{'3_1':0.03},(453,623):{'3_1':0.03},(453,622):{'3_1':0.03},(453,621):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(453,620):{'3_1':0.0,'4_1':0.0},(453,619):{'3_1':0.0,'4_1':0.0},(453,618):{'3_1':0.09},(453,617):{'3_1':0.0},(453,616):{'3_1':0.03,'5_1':0.0},(453,615):{'3_1':0.0,'4_1':0.0},(453,614):{'3_1':0.0},(453,613):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(453,612):{'3_1':0.0,'5_1':0.0},(453,611):{'3_1':0.0,'5_2':0.0,'8_4':0.0},(453,609):{'3_1':0.06},(453,608):{'3_1':0.0},(453,607):{'3_1':0.03},(453,606):{'3_1':0.0},(453,605):{'3_1':0.0},(453,604):{'3_1':0.0},(453,603):{'3_1':0.03},(453,602):{'4_1':0.0},(453,601):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,599):{'3_1':0.0,'4_1':0.0},(453,598):{'5_2':0.0},(453,597):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,596):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(453,595):{'4_1':0.0},(453,594):{'3_1':0.0},(453,593):{'3_1':0.0},(453,592):{'3_1':0.0,'4_1':0.0},(453,591):{'3_1':0.0},(453,590):{'3_1':0.0},(453,589):{'3_1':0.0,'4_1':0.0},(453,588):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(453,587):{'3_1':0.0,'4_1':0.0},(453,586):{'3_1':0.0},(453,585):{'3_1':0.0,'4_1':0.0},(453,584):{'3_1':0.03,'4_1':0.0},(453,583):{'4_1':0.0},(453,582):{'3_1':0.0,'4_1':0.0},(453,580):{'4_1':0.0,'3_1':0.0},(453,579):{'3_1':0.0},(453,578):{'3_1':0.0},(453,577):{'3_1':0.0},(453,576):{'4_1':0.0},(453,575):{'3_1':0.0,'4_1':0.0},(453,574):{'4_1':0.0,'3_1':0.0},(453,573):{'4_1':0.0},(453,572):{'4_1':0.0,'3_1':0.0},(453,570):{'3_1':0.0,'4_1':0.0},(453,569):{'3_1':0.0,'4_1':0.0},(453,568):{'3_1':0.0},(453,567):{'4_1':0.0,'3_1':0.0},(453,566):{'3_1':0.0,'4_1':0.0},(453,565):{'3_1':0.0},(453,563):{'3_1':0.0},(453,562):{'4_1':0.0},(453,560):{'3_1':0.0},(453,559):{'3_1':0.0},(453,558):{'3_1':0.0},(453,557):{'3_1':0.0},(453,555):{'3_1':0.0},(453,554):{'3_1':0.0},(453,553):{'3_1':0.0},(453,551):{'3_1':0.0},(453,550):{'3_1':0.0},(453,549):{'3_1':0.0,'4_1':0.0},(453,546):{'3_1':0.0},(453,545):{'3_1':0.0},(453,541):{'3_1':0.0,'5_2':0.0},(453,540):{'3_1':0.0},(453,538):{'3_1':0.0},(453,537):{'4_1':0.0},(453,536):{'3_1':0.0},(453,533):{'3_1':0.0},(453,532):{'3_1':0.0},(453,531):{'3_1':0.0},(453,530):{'3_1':0.0},(453,529):{'3_1':0.0},(453,528):{'3_1':0.0},(453,526):{'3_1':0.0},(453,525):{'3_1':0.03,'5_2':0.0},(453,524):{'3_1':0.03},(453,523):{'3_1':0.06},(453,522):{'3_1':0.0},(453,521):{'3_1':0.0,'5_2':0.0},(453,520):{'3_1':0.0},(453,519):{'3_1':0.03},(453,518):{'3_1':0.0},(453,517):{'3_1':0.0},(453,515):{'3_1':0.0},(453,514):{'3_1':0.0},(453,513):{'3_1':0.03},(453,512):{'3_1':0.0},(453,511):{'3_1':0.0},(453,510):{'3_1':0.0},(453,509):{'3_1':0.0},(453,507):{'3_1':0.0},(453,506):{'3_1':0.0},(453,505):{'3_1':0.0,'4_1':0.0},(453,503):{'3_1':0.0},(453,502):{'3_1':0.0},(453,501):{'3_1':0.0},(453,500):{'3_1':0.0},(453,498):{'3_1':0.0},(453,497):{'3_1':0.0},(453,496):{'3_1':0.0,'5_1':0.0},(453,495):{'3_1':0.0},(453,494):{'3_1':0.0},(453,493):{'3_1':0.0},(453,491):{'3_1':0.0},(453,489):{'3_1':0.0},(454,727):{'3_1':0.0},(454,726):{'3_1':0.0},(454,725):{'3_1':0.0},(454,724):{'3_1':0.0},(454,722):{'3_1':0.0,'4_1':0.0},(454,721):{'3_1':0.03},(454,720):{'3_1':0.0},(454,719):{'3_1':0.0},(454,718):{'3_1':0.03},(454,716):{'3_1':0.0,'5_1':0.0},(454,715):{'3_1':0.0},(454,714):{'3_1':0.06},(454,713):{'3_1':0.0},(454,712):{'3_1':0.0,'4_1':0.0},(454,711):{'3_1':0.06},(454,710):{'3_1':0.03},(454,709):{'3_1':0.03},(454,708):{'3_1':0.0,'4_1':0.0},(454,707):{'3_1':0.0},(454,706):{'3_1':0.0},(454,705):{'3_1':0.0},(454,704):{'3_1':0.0},(454,703):{'3_1':0.03,'6_2':0.0},(454,702):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,701):{'3_1':0.0},(454,700):{'3_1':0.03,'4_1':0.0},(454,699):{'3_1':0.03,'4_1':0.0},(454,698):{'3_1':0.0,'4_1':0.0},(454,697):{'3_1':0.03},(454,696):{'3_1':0.03},(454,695):{'3_1':0.0},(454,694):{'3_1':0.03,'6_3':0.0},(454,693):{'3_1':0.03},(454,692):{'4_1':0.0,'3_1':0.0,'8_21|3_1#4_1':0.0},(454,691):{'3_1':0.06,'4_1':0.0},(454,690):{'3_1':0.0},(454,689):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(454,688):{'3_1':0.0},(454,687):{'4_1':0.03,'3_1':0.0},(454,686):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(454,685):{'3_1':0.0},(454,684):{'3_1':0.0,'7_1':0.0},(454,683):{'3_1':0.0},(454,682):{'3_1':0.03,'5_2':0.0},(454,681):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,680):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,679):{'3_1':0.0,'4_1':0.0},(454,678):{'3_1':0.03},(454,677):{'3_1':0.0},(454,676):{'3_1':0.0,'5_1':0.0},(454,675):{'4_1':0.0},(454,674):{'3_1':0.0,'4_1':0.0},(454,673):{'3_1':0.0},(454,672):{'3_1':0.03,'4_1':0.0},(454,671):{'3_1':0.0},(454,669):{'3_1':0.0,'4_1':0.0},(454,668):{'3_1':0.0,'4_1':0.0},(454,667):{'4_1':0.0},(454,666):{'3_1':0.0},(454,665):{'3_1':0.0},(454,664):{'3_1':0.0,'4_1':0.0},(454,663):{'3_1':0.0},(454,662):{'3_1':0.0},(454,661):{'3_1':0.0,'4_1':0.0},(454,660):{'4_1':0.0,'3_1':0.0},(454,658):{'3_1':0.0,'4_1':0.0},(454,657):{'4_1':0.0,'3_1':0.0},(454,656):{'3_1':0.0},(454,654):{'3_1':0.0},(454,653):{'3_1':0.0,'4_1':0.0},(454,652):{'3_1':0.03},(454,651):{'3_1':0.03},(454,650):{'3_1':0.03},(454,649):{'3_1':0.0},(454,648):{'3_1':0.0},(454,647):{'3_1':0.0,'4_1':0.0},(454,646):{'3_1':0.03},(454,645):{'3_1':0.03},(454,644):{'3_1':0.03,'5_2':0.0},(454,643):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(454,642):{'3_1':0.03,'5_1':0.0},(454,641):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(454,640):{'3_1':0.06,'4_1':0.0},(454,639):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(454,638):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(454,637):{'3_1':0.0},(454,636):{'3_1':0.03},(454,635):{'3_1':0.06},(454,634):{'3_1':0.03},(454,633):{'3_1':0.09,'5_2':0.0},(454,632):{'3_1':0.0},(454,631):{'3_1':0.03,'5_1':0.0},(454,630):{'3_1':0.0,'4_1':0.0},(454,629):{'3_1':0.03,'4_1':0.0},(454,628):{'3_1':0.03},(454,627):{'3_1':0.0},(454,626):{'3_1':0.03},(454,625):{'3_1':0.0},(454,624):{'3_1':0.0},(454,623):{'3_1':0.03,'5_2':0.0},(454,622):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,621):{'3_1':0.03,'4_1':0.0},(454,620):{'3_1':0.0},(454,619):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(454,618):{'3_1':0.03,'5_1':0.0},(454,617):{'3_1':0.0,'5_1':0.0},(454,616):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(454,615):{'3_1':0.03,'4_1':0.0},(454,613):{'3_1':0.03},(454,612):{'3_1':0.06,'4_1':0.0},(454,611):{'3_1':0.03},(454,610):{'3_1':0.0},(454,609):{'4_1':0.0},(454,608):{'3_1':0.03,'4_1':0.0},(454,607):{'3_1':0.0,'5_1':0.0},(454,606):{'3_1':0.0},(454,605):{'3_1':0.0},(454,604):{'3_1':0.0},(454,603):{'3_1':0.03},(454,602):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,601):{'3_1':0.03},(454,600):{'3_1':0.03,'4_1':0.0},(454,599):{'3_1':0.03,'4_1':0.0},(454,598):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(454,597):{'3_1':0.0,'4_1':0.0},(454,596):{'3_1':0.03,'4_1':0.0},(454,594):{'3_1':0.03,'4_1':0.0},(454,593):{'4_1':0.03},(454,592):{'3_1':0.0,'8_1':0.0},(454,591):{'3_1':0.0},(454,590):{'4_1':0.0},(454,589):{'3_1':0.0},(454,588):{'3_1':0.0},(454,587):{'3_1':0.0},(454,586):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(454,585):{'3_1':0.03,'4_1':0.0},(454,584):{'3_1':0.0,'4_1':0.0},(454,583):{'3_1':0.0,'4_1':0.0},(454,582):{'3_1':0.0},(454,581):{'3_1':0.0,'4_1':0.0},(454,580):{'3_1':0.0,'4_1':0.0},(454,579):{'3_1':0.03,'4_1':0.0},(454,578):{'3_1':0.0,'4_1':0.0},(454,577):{'3_1':0.0},(454,576):{'3_1':0.0},(454,575):{'4_1':0.0},(454,574):{'3_1':0.0},(454,572):{'3_1':0.0},(454,570):{'4_1':0.0},(454,568):{'3_1':0.0},(454,567):{'3_1':0.0,'5_2':0.0},(454,565):{'4_1':0.0,'3_1':0.0},(454,564):{'3_1':0.0},(454,563):{'4_1':0.0},(454,561):{'4_1':0.0},(454,560):{'3_1':0.0},(454,558):{'4_1':0.0},(454,557):{'3_1':0.03},(454,556):{'3_1':0.0},(454,555):{'3_1':0.0},(454,554):{'3_1':0.0},(454,553):{'3_1':0.03},(454,552):{'3_1':0.0},(454,551):{'3_1':0.0},(454,550):{'3_1':0.0},(454,549):{'3_1':0.0},(454,548):{'3_1':0.0},(454,547):{'3_1':0.0},(454,545):{'3_1':0.0},(454,541):{'3_1':0.0},(454,540):{'3_1':0.0,'5_2':0.0},(454,538):{'3_1':0.0},(454,537):{'3_1':0.0},(454,535):{'3_1':0.0,'4_1':0.0},(454,534):{'3_1':0.0},(454,533):{'3_1':0.0},(454,532):{'3_1':0.0,'7_2':0.0},(454,531):{'3_1':0.0},(454,529):{'3_1':0.0,'5_2':0.0},(454,528):{'3_1':0.0,'8_8':0.0},(454,527):{'3_1':0.03},(454,526):{'3_1':0.03,'5_2':0.0},(454,525):{'3_1':0.0},(454,524):{'3_1':0.03},(454,523):{'3_1':0.03},(454,522):{'3_1':0.03},(454,521):{'3_1':0.03},(454,520):{'3_1':0.0},(454,519):{'3_1':0.03},(454,518):{'3_1':0.0},(454,517):{'3_1':0.0},(454,516):{'3_1':0.06},(454,515):{'3_1':0.03,'5_2':0.0},(454,514):{'3_1':0.03},(454,513):{'3_1':0.03},(454,512):{'3_1':0.03,'4_1':0.0},(454,511):{'3_1':0.03},(454,510):{'3_1':0.0},(454,509):{'3_1':0.03},(454,507):{'3_1':0.0,'5_2':0.0},(454,506):{'3_1':0.0},(454,505):{'3_1':0.0,'5_1':0.0},(454,504):{'3_1':0.0},(454,503):{'3_1':0.0},(454,502):{'3_1':0.0},(454,501):{'3_1':0.03},(454,500):{'3_1':0.0},(454,499):{'3_1':0.0},(454,498):{'3_1':0.0},(454,497):{'3_1':0.0},(454,496):{'3_1':0.0},(454,495):{'3_1':0.0,'4_1':0.0},(454,493):{'3_1':0.0},(454,492):{'3_1':0.0},(454,489):{'4_1':0.0},(455,726):{'3_1':0.0},(455,725):{'3_1':0.03},(455,724):{'3_1':0.0,'5_2':0.0},(455,723):{'3_1':0.03},(455,722):{'3_1':0.0},(455,721):{'3_1':0.03,'4_1':0.0},(455,719):{'3_1':0.03},(455,718):{'3_1':0.0},(455,717):{'3_1':0.0},(455,716):{'3_1':0.0,'5_2':0.0},(455,715):{'3_1':0.0},(455,714):{'3_1':0.0},(455,713):{'3_1':0.0},(455,712):{'3_1':0.0},(455,711):{'3_1':0.03},(455,710):{'3_1':0.0},(455,709):{'3_1':0.0,'4_1':0.0},(455,708):{'3_1':0.03},(455,707):{'3_1':0.0},(455,706):{'3_1':0.03},(455,705):{'3_1':0.06,'4_1':0.0},(455,704):{'3_1':0.03},(455,703):{'3_1':0.06},(455,702):{'3_1':0.06},(455,701):{'3_1':0.03,'7_1':0.0},(455,700):{'3_1':0.03,'4_1':0.0},(455,699):{'3_1':0.0},(455,698):{'3_1':0.0,'4_1':0.0},(455,697):{'3_1':0.03,'4_1':0.0},(455,696):{'3_1':0.0,'4_1':0.0},(455,695):{'3_1':0.0},(455,694):{'3_1':0.03,'4_1':0.0},(455,693):{'3_1':0.0},(455,692):{'3_1':0.03},(455,691):{'3_1':0.03,'4_1':0.0},(455,690):{'3_1':0.03},(455,689):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(455,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(455,687):{'3_1':0.06,'4_1':0.0},(455,686):{'3_1':0.03},(455,685):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(455,684):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(455,683):{'3_1':0.0,'4_1':0.0},(455,682):{'3_1':0.0,'4_1':0.0},(455,681):{'3_1':0.0,'8_11':0.0},(455,680):{'3_1':0.0},(455,679):{'3_1':0.03},(455,678):{'3_1':0.0},(455,677):{'3_1':0.0,'4_1':0.0},(455,676):{'3_1':0.0},(455,675):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(455,674):{'3_1':0.0,'4_1':0.0},(455,673):{'3_1':0.0,'4_1':0.0},(455,672):{'3_1':0.0,'5_2':0.0},(455,671):{'3_1':0.0},(455,670):{'3_1':0.0},(455,669):{'3_1':0.0},(455,668):{'3_1':0.0},(455,666):{'3_1':0.0},(455,665):{'3_1':0.0},(455,664):{'3_1':0.0},(455,663):{'5_2':0.0,'3_1':0.0},(455,661):{'3_1':0.0},(455,660):{'3_1':0.0},(455,659):{'3_1':0.0},(455,658):{'3_1':0.0,'5_2':0.0},(455,657):{'3_1':0.0},(455,656):{'3_1':0.03,'4_1':0.0},(455,655):{'3_1':0.0},(455,654):{'3_1':0.03,'4_1':0.0},(455,653):{'3_1':0.03,'4_1':0.0},(455,652):{'3_1':0.0,'4_1':0.0},(455,651):{'3_1':0.0},(455,650):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(455,649):{'3_1':0.03},(455,648):{'3_1':0.03,'4_1':0.0},(455,647):{'3_1':0.0},(455,646):{'3_1':0.06,'5_1':0.0},(455,645):{'3_1':0.03,'4_1':0.0},(455,644):{'3_1':0.03},(455,643):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(455,642):{'3_1':0.03,'5_2':0.0},(455,641):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(455,640):{'3_1':0.0,'5_2':0.0},(455,639):{'3_1':0.03,'4_1':0.0},(455,638):{'3_1':0.0,'4_1':0.0},(455,637):{'3_1':0.03,'5_1':0.0},(455,636):{'3_1':0.0},(455,635):{'3_1':0.0},(455,634):{'3_1':0.0},(455,633):{'3_1':0.0},(455,632):{'3_1':0.0},(455,631):{'3_1':0.0,'5_1':0.0},(455,630):{'3_1':0.0},(455,629):{'3_1':0.0},(455,628):{'3_1':0.06},(455,627):{'3_1':0.03},(455,626):{'3_1':0.03,'5_2':0.0},(455,625):{'3_1':0.0,'4_1':0.0},(455,624):{'3_1':0.0,'5_1':0.0},(455,623):{'3_1':0.06,'4_1':0.0},(455,622):{'3_1':0.03,'4_1':0.0},(455,621):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(455,620):{'3_1':0.03,'4_1':0.0},(455,619):{'3_1':0.06,'4_1':0.0},(455,618):{'3_1':0.0},(455,617):{'3_1':0.03},(455,616):{'3_1':0.06,'5_2':0.0},(455,615):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(455,614):{'3_1':0.0,'5_1':0.0},(455,613):{'3_1':0.03},(455,612):{'3_1':0.0,'6_1':0.0,'4_1':0.0},(455,611):{'3_1':0.03},(455,610):{'3_1':0.03,'4_1':0.0},(455,609):{'3_1':0.0},(455,608):{'3_1':0.0,'4_1':0.0},(455,607):{'3_1':0.0,'5_1':0.0},(455,606):{'3_1':0.0},(455,605):{'3_1':0.03,'4_1':0.0},(455,604):{'3_1':0.0,'4_1':0.0},(455,603):{'3_1':0.0},(455,602):{'3_1':0.0,'4_1':0.0},(455,601):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(455,600):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(455,598):{'5_2':0.0},(455,597):{'4_1':0.03,'3_1':0.0},(455,596):{'4_1':0.0,'3_1':0.0},(455,595):{'3_1':0.0,'4_1':0.0},(455,594):{'3_1':0.0,'4_1':0.0},(455,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(455,592):{'3_1':0.03},(455,591):{'3_1':0.0},(455,590):{'3_1':0.0},(455,589):{'4_1':0.0},(455,588):{'3_1':0.0},(455,587):{'3_1':0.0,'4_1':0.0},(455,586):{'3_1':0.0,'4_1':0.0},(455,585):{'3_1':0.06},(455,584):{'3_1':0.0,'4_1':0.0},(455,583):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(455,582):{'3_1':0.0,'4_1':0.0},(455,581):{'3_1':0.0},(455,580):{'3_1':0.0},(455,579):{'4_1':0.0,'3_1':0.0},(455,578):{'3_1':0.0},(455,577):{'3_1':0.0,'4_1':0.0},(455,576):{'3_1':0.0},(455,575):{'3_1':0.0},(455,573):{'3_1':0.0,'4_1':0.0},(455,572):{'3_1':0.0,'4_1':0.0},(455,571):{'3_1':0.0},(455,570):{'3_1':0.0},(455,568):{'3_1':0.0},(455,565):{'3_1':0.0,'4_1':0.0},(455,564):{'3_1':0.0,'5_2':0.0},(455,563):{'3_1':0.0,'4_1':0.0},(455,561):{'3_1':0.0,'4_1':0.0},(455,560):{'3_1':0.0},(455,559):{'3_1':0.0,'4_1':0.0},(455,558):{'4_1':0.0},(455,557):{'3_1':0.0},(455,556):{'3_1':0.0},(455,554):{'3_1':0.0,'4_1':0.0},(455,553):{'3_1':0.0},(455,552):{'3_1':0.0,'4_1':0.0},(455,551):{'3_1':0.0},(455,550):{'3_1':0.0},(455,549):{'3_1':0.03},(455,548):{'3_1':0.0,'4_1':0.0},(455,546):{'3_1':0.0},(455,545):{'3_1':0.0,'5_2':0.0},(455,543):{'3_1':0.0},(455,541):{'3_1':0.0,'5_2':0.0},(455,540):{'3_1':0.0},(455,539):{'3_1':0.0},(455,536):{'3_1':0.0},(455,535):{'3_1':0.03},(455,534):{'3_1':0.0},(455,533):{'3_1':0.0,'7_2':0.0},(455,532):{'3_1':0.0},(455,531):{'3_1':0.0},(455,530):{'3_1':0.0},(455,529):{'3_1':0.03},(455,528):{'3_1':0.03},(455,527):{'3_1':0.0,'4_1':0.0},(455,526):{'3_1':0.0},(455,525):{'3_1':0.03},(455,524):{'3_1':0.0,'4_1':0.0},(455,523):{'3_1':0.0},(455,522):{'3_1':0.06},(455,521):{'3_1':0.0},(455,520):{'3_1':0.0},(455,519):{'3_1':0.03},(455,518):{'3_1':0.03},(455,517):{'3_1':0.03},(455,516):{'3_1':0.0},(455,515):{'3_1':0.03},(455,514):{'3_1':0.03},(455,513):{'3_1':0.0},(455,512):{'3_1':0.0},(455,510):{'3_1':0.03},(455,508):{'3_1':0.0},(455,507):{'3_1':0.0},(455,506):{'3_1':0.0},(455,505):{'3_1':0.0},(455,504):{'3_1':0.03},(455,503):{'3_1':0.0,'5_2':0.0},(455,502):{'3_1':0.0},(455,501):{'3_1':0.03},(455,500):{'3_1':0.0,'4_1':0.0},(455,498):{'3_1':0.0,'4_1':0.0},(455,497):{'3_1':0.03},(455,496):{'3_1':0.0},(455,494):{'3_1':0.0},(455,492):{'3_1':0.0},(455,491):{'4_1':0.0,'3_1':0.0},(455,490):{'3_1':0.0},(455,489):{'3_1':0.0},(455,486):{'3_1':0.0},(456,727):{'3_1':0.0,'5_1':0.0},(456,725):{'3_1':0.0},(456,724):{'3_1':0.03},(456,723):{'3_1':0.0},(456,722):{'3_1':0.0},(456,721):{'3_1':0.0},(456,720):{'3_1':0.0},(456,719):{'3_1':0.0},(456,718):{'3_1':0.0},(456,717):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(456,716):{'4_1':0.0},(456,715):{'3_1':0.0},(456,714):{'3_1':0.0},(456,713):{'4_1':0.0,'7_6':0.0},(456,712):{'3_1':0.0},(456,711):{'3_1':0.0,'5_2':0.0},(456,710):{'3_1':0.0},(456,709):{'3_1':0.03},(456,708):{'3_1':0.0,'4_1':0.0},(456,707):{'3_1':0.0},(456,706):{'3_1':0.0},(456,705):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(456,704):{'3_1':0.03},(456,703):{'3_1':0.0,'4_1':0.0},(456,702):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(456,701):{'3_1':0.0,'4_1':0.0},(456,700):{'3_1':0.0},(456,699):{'3_1':0.0},(456,698):{'3_1':0.0},(456,697):{'3_1':0.06,'4_1':0.0},(456,696):{'3_1':0.0,'4_1':0.0},(456,695):{'3_1':0.03,'5_1':0.0,'6_3':0.0},(456,694):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(456,693):{'3_1':0.06,'4_1':0.0},(456,692):{'3_1':0.0},(456,691):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(456,690):{'3_1':0.0,'4_1':0.0},(456,689):{'4_1':0.0,'3_1':0.0},(456,688):{'3_1':0.0,'4_1':0.0},(456,687):{'3_1':0.03},(456,686):{'3_1':0.0,'4_1':0.0},(456,685):{'3_1':0.0},(456,684):{'3_1':0.0,'-3':0.0},(456,683):{'3_1':0.0,'6_2':0.0},(456,682):{'3_1':0.0},(456,681):{'3_1':0.0},(456,680):{'3_1':0.0},(456,679):{'3_1':0.0,'4_1':0.0},(456,678):{'5_2':0.0,'7_1':0.0},(456,671):{'3_1':0.0},(456,670):{'3_1':0.0},(456,669):{'3_1':0.0},(456,668):{'3_1':0.0},(456,667):{'3_1':0.0},(456,666):{'3_1':0.0},(456,665):{'3_1':0.0},(456,661):{'3_1':0.0,'4_1':0.0},(456,660):{'3_1':0.0,'4_1':0.0},(456,659):{'3_1':0.0,'4_1':0.0},(456,658):{'3_1':0.0},(456,657):{'3_1':0.0,'4_1':0.0},(456,656):{'3_1':0.0},(456,655):{'3_1':0.0},(456,654):{'3_1':0.0,'5_2':0.0},(456,653):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(456,652):{'3_1':0.03,'4_1':0.0},(456,651):{'3_1':0.0,'4_1':0.0},(456,650):{'3_1':0.03,'4_1':0.0},(456,649):{'3_1':0.0,'4_1':0.0},(456,648):{'3_1':0.0},(456,647):{'3_1':0.03},(456,646):{'3_1':0.06},(456,645):{'3_1':0.03,'5_1':0.0},(456,644):{'3_1':0.06},(456,643):{'3_1':0.0,'4_1':0.0},(456,642):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(456,641):{'3_1':0.0,'4_1':0.0},(456,640):{'3_1':0.03,'4_1':0.0},(456,639):{'3_1':0.0,'4_1':0.0},(456,638):{'4_1':0.0,'6_2':0.0},(456,637):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(456,635):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(456,634):{'3_1':0.0},(456,633):{'3_1':0.03},(456,632):{'4_1':0.0,'5_2':0.0},(456,631):{'3_1':0.0,'4_1':0.0},(456,630):{'3_1':0.03},(456,629):{'3_1':0.0},(456,628):{'3_1':0.0,'5_1':0.0},(456,627):{'3_1':0.03},(456,626):{'3_1':0.03,'5_2':0.0},(456,625):{'3_1':0.0,'4_1':0.0},(456,624):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(456,623):{'3_1':0.03},(456,622):{'3_1':0.0,'5_1':0.0},(456,621):{'3_1':0.0,'5_2':0.0},(456,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(456,619):{'3_1':0.0},(456,618):{'3_1':0.06,'5_1':0.0},(456,617):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(456,616):{'3_1':0.03,'5_1':0.0},(456,615):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(456,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(456,613):{'3_1':0.0,'4_1':0.0},(456,612):{'3_1':0.0,'4_1':0.0},(456,611):{'3_1':0.0,'4_1':0.0},(456,610):{'3_1':0.03},(456,609):{'3_1':0.0},(456,608):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(456,607):{'3_1':0.0},(456,606):{'3_1':0.0},(456,605):{'3_1':0.0},(456,604):{'3_1':0.0,'5_2':0.0},(456,603):{'3_1':0.0},(456,602):{'3_1':0.0,'5_1':0.0},(456,601):{'3_1':0.0},(456,600):{'3_1':0.0,'4_1':0.0},(456,599):{'3_1':0.0,'4_1':0.0},(456,598):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(456,597):{'3_1':0.0,'4_1':0.0},(456,596):{'3_1':0.03},(456,595):{'4_1':0.0,'5_1':0.0},(456,594):{'3_1':0.0,'4_1':0.0},(456,593):{'3_1':0.0,'4_1':0.0},(456,592):{'3_1':0.0,'4_1':0.0},(456,591):{'3_1':0.0},(456,590):{'3_1':0.0,'4_1':0.0},(456,589):{'3_1':0.0,'4_1':0.0},(456,588):{'3_1':0.0},(456,587):{'3_1':0.0},(456,586):{'3_1':0.0},(456,585):{'3_1':0.0,'4_1':0.0},(456,584):{'3_1':0.0},(456,583):{'4_1':0.0},(456,582):{'3_1':0.0},(456,579):{'3_1':0.0,'4_1':0.0},(456,578):{'3_1':0.0,'4_1':0.0},(456,576):{'3_1':0.0},(456,575):{'3_1':0.0},(456,574):{'3_1':0.0},(456,573):{'3_1':0.0},(456,572):{'4_1':0.0,'3_1':0.0},(456,571):{'3_1':0.0},(456,569):{'3_1':0.0},(456,565):{'3_1':0.0},(456,564):{'4_1':0.0},(456,563):{'3_1':0.0},(456,562):{'3_1':0.0},(456,560):{'3_1':0.0},(456,559):{'3_1':0.0},(456,558):{'3_1':0.0},(456,557):{'3_1':0.0},(456,554):{'3_1':0.03},(456,552):{'3_1':0.0},(456,551):{'3_1':0.0},(456,550):{'3_1':0.0},(456,549):{'3_1':0.0},(456,548):{'3_1':0.0},(456,547):{'3_1':0.03,'5_2':0.0},(456,546):{'3_1':0.0},(456,545):{'3_1':0.0},(456,541):{'3_1':0.0},(456,540):{'3_1':0.0},(456,537):{'3_1':0.0},(456,535):{'3_1':0.0},(456,534):{'3_1':0.03},(456,533):{'3_1':0.0},(456,532):{'3_1':0.03},(456,531):{'3_1':0.03},(456,530):{'3_1':0.0},(456,529):{'3_1':0.0},(456,528):{'3_1':0.0},(456,527):{'3_1':0.06},(456,526):{'3_1':0.0},(456,525):{'3_1':0.0,'7_4':0.0},(456,524):{'3_1':0.0},(456,523):{'3_1':0.03,'5_2':0.0},(456,522):{'3_1':0.03},(456,521):{'3_1':0.03},(456,520):{'3_1':0.0},(456,519):{'3_1':0.0},(456,518):{'3_1':0.03,'4_1':0.0},(456,517):{'3_1':0.03},(456,516):{'3_1':0.03},(456,514):{'3_1':0.03},(456,513):{'3_1':0.0},(456,512):{'3_1':0.0},(456,511):{'3_1':0.0},(456,510):{'3_1':0.0,'6_1':0.0},(456,509):{'3_1':0.0},(456,508):{'3_1':0.0},(456,506):{'3_1':0.0},(456,505):{'3_1':0.0},(456,504):{'3_1':0.0},(456,503):{'3_1':0.0},(456,502):{'3_1':0.0},(456,501):{'5_1':0.0},(456,500):{'3_1':0.0},(456,498):{'3_1':0.0},(456,497):{'3_1':0.0},(456,496):{'3_1':0.0,'4_1':0.0},(456,493):{'3_1':0.0},(456,492):{'3_1':0.0},(456,489):{'3_1':0.0},(457,726):{'3_1':0.0},(457,725):{'3_1':0.0,'4_1':0.0},(457,724):{'5_1':0.0},(457,723):{'4_1':0.0},(457,722):{'3_1':0.0},(457,720):{'4_1':0.0,'5_1':0.0},(457,719):{'3_1':0.0},(457,718):{'3_1':0.0},(457,717):{'3_1':0.03,'4_1':0.0},(457,716):{'3_1':0.0},(457,715):{'3_1':0.03},(457,714):{'3_1':0.03},(457,713):{'3_1':0.03},(457,712):{'3_1':0.0},(457,711):{'3_1':0.06},(457,710):{'3_1':0.0},(457,709):{'3_1':0.03,'4_1':0.0},(457,708):{'3_1':0.0,'4_1':0.0},(457,707):{'4_1':0.0,'6_2':0.0},(457,706):{'3_1':0.0},(457,705):{'3_1':0.03,'4_1':0.0},(457,704):{'3_1':0.0,'7_1':0.0},(457,703):{'3_1':0.03,'5_1':0.0},(457,702):{'3_1':0.0,'6_3':0.0},(457,701):{'3_1':0.03,'4_1':0.0},(457,700):{'4_1':0.0,'3_1':0.0},(457,699):{'3_1':0.0,'4_1':0.0},(457,698):{'3_1':0.06,'4_1':0.0},(457,697):{'3_1':0.03},(457,696):{'3_1':0.0},(457,695):{'3_1':0.03},(457,694):{'3_1':0.03},(457,693):{'3_1':0.06,'5_1':0.0},(457,692):{'3_1':0.0,'4_1':0.0},(457,691):{'3_1':0.0,'4_1':0.0},(457,690):{'3_1':0.03,'4_1':0.0},(457,689):{'3_1':0.0},(457,688):{'3_1':0.0,'5_1':0.0},(457,687):{'3_1':0.0},(457,686):{'3_1':0.0,'4_1':0.0},(457,685):{'3_1':0.0},(457,684):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'-3':0.0},(457,683):{'3_1':0.0,'4_1':0.0},(457,682):{'3_1':0.03,'4_1':0.0},(457,681):{'3_1':0.0},(457,680):{'3_1':0.03},(457,679):{'3_1':0.0},(457,678):{'3_1':0.0,'6_1':0.0},(457,677):{'3_1':0.03,'4_1':0.0},(457,676):{'6_1':0.0,'3_1':0.0,'4_1':0.0},(457,675):{'3_1':0.0},(457,674):{'5_2':0.0},(457,673):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(457,672):{'6_1':0.0},(457,671):{'4_1':0.0},(457,670):{'3_1':0.0},(457,669):{'3_1':0.0},(457,668):{'3_1':0.0},(457,665):{'3_1':0.0},(457,663):{'3_1':0.0},(457,662):{'3_1':0.0},(457,661):{'3_1':0.0,'4_1':0.0},(457,660):{'3_1':0.0},(457,659):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(457,658):{'3_1':0.0},(457,657):{'3_1':0.0},(457,656):{'3_1':0.03},(457,655):{'3_1':0.0},(457,654):{'3_1':0.0,'4_1':0.0},(457,652):{'3_1':0.0},(457,650):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(457,649):{'3_1':0.03,'4_1':0.0},(457,648):{'3_1':0.0},(457,647):{'3_1':0.03,'5_2':0.0},(457,646):{'3_1':0.03},(457,645):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(457,644):{'3_1':0.06,'5_2':0.0},(457,643):{'3_1':0.03},(457,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(457,641):{'3_1':0.0,'4_1':0.0},(457,640):{'3_1':0.0,'4_1':0.0},(457,639):{'3_1':0.03},(457,638):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(457,637):{'3_1':0.03,'4_1':0.0},(457,636):{'3_1':0.0},(457,635):{'3_1':0.0},(457,634):{'3_1':0.03,'5_2':0.0},(457,633):{'3_1':0.0},(457,632):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(457,631):{'3_1':0.0,'6_1':0.0},(457,630):{'3_1':0.0},(457,629):{'3_1':0.0,'4_1':0.0},(457,628):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(457,627):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(457,626):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(457,625):{'3_1':0.0,'5_1':0.0},(457,624):{'3_1':0.03,'4_1':0.0},(457,623):{'3_1':0.0},(457,622):{'3_1':0.03},(457,621):{'3_1':0.0},(457,620):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(457,619):{'3_1':0.03,'4_1':0.0},(457,618):{'3_1':0.03},(457,617):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(457,616):{'3_1':0.0,'5_2':0.0},(457,615):{'3_1':0.03},(457,614):{'3_1':0.0},(457,613):{'3_1':0.0},(457,612):{'3_1':0.03},(457,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(457,610):{'3_1':0.03},(457,609):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(457,608):{'3_1':0.03,'4_1':0.0},(457,607):{'4_1':0.0},(457,606):{'3_1':0.0},(457,605):{'3_1':0.0,'4_1':0.0},(457,604):{'3_1':0.0},(457,603):{'3_1':0.0},(457,602):{'3_1':0.0,'4_1':0.0},(457,601):{'4_1':0.0},(457,600):{'3_1':0.0},(457,599):{'3_1':0.0,'4_1':0.0},(457,598):{'5_2':0.0},(457,597):{'3_1':0.0},(457,596):{'3_1':0.0,'5_2':0.0},(457,595):{'3_1':0.0},(457,594):{'4_1':0.0,'3_1':0.0},(457,593):{'4_1':0.0,'3_1':0.0},(457,592):{'3_1':0.0,'4_1':0.0},(457,590):{'4_1':0.0},(457,589):{'3_1':0.0},(457,588):{'4_1':0.0,'3_1':0.0},(457,587):{'3_1':0.0},(457,586):{'3_1':0.0,'4_1':0.0},(457,585):{'3_1':0.0,'4_1':0.0},(457,584):{'3_1':0.0},(457,583):{'3_1':0.0,'4_1':0.0},(457,582):{'4_1':0.0},(457,581):{'3_1':0.0,'4_1':0.0},(457,580):{'4_1':0.0},(457,579):{'3_1':0.0},(457,578):{'3_1':0.0,'4_1':0.0},(457,576):{'4_1':0.0},(457,575):{'3_1':0.0},(457,573):{'3_1':0.0},(457,572):{'3_1':0.0},(457,570):{'3_1':0.0,'4_1':0.0},(457,569):{'4_1':0.0},(457,568):{'3_1':0.0,'4_1':0.0},(457,567):{'3_1':0.0},(457,565):{'4_1':0.0},(457,562):{'3_1':0.0},(457,561):{'4_1':0.0},(457,560):{'4_1':0.0},(457,559):{'3_1':0.0},(457,558):{'3_1':0.0},(457,556):{'3_1':0.0},(457,554):{'3_1':0.0,'5_2':0.0},(457,553):{'3_1':0.0},(457,552):{'3_1':0.0},(457,551):{'3_1':0.0},(457,550):{'3_1':0.0},(457,549):{'3_1':0.0},(457,548):{'3_1':0.0},(457,547):{'3_1':0.0},(457,544):{'3_1':0.0},(457,543):{'3_1':0.0},(457,542):{'3_1':0.0},(457,540):{'3_1':0.0},(457,539):{'3_1':0.0},(457,538):{'3_1':0.0},(457,537):{'3_1':0.03,'5_2':0.0},(457,536):{'3_1':0.0},(457,534):{'3_1':0.0},(457,532):{'5_2':0.0},(457,531):{'3_1':0.0},(457,530):{'3_1':0.0},(457,529):{'3_1':0.0},(457,528):{'3_1':0.06},(457,527):{'3_1':0.0},(457,526):{'3_1':0.0},(457,525):{'3_1':0.0},(457,524):{'3_1':0.0},(457,523):{'3_1':0.03},(457,522):{'3_1':0.03},(457,521):{'3_1':0.0,'5_2':0.0},(457,519):{'3_1':0.0},(457,518):{'3_1':0.03},(457,517):{'3_1':0.0},(457,516):{'3_1':0.0},(457,515):{'3_1':0.0},(457,514):{'3_1':0.0},(457,513):{'3_1':0.0},(457,512):{'3_1':0.0},(457,511):{'3_1':0.0},(457,510):{'3_1':0.0},(457,505):{'3_1':0.0,'5_1':0.0},(457,503):{'3_1':0.0},(457,502):{'3_1':0.0},(457,500):{'3_1':0.0},(457,498):{'3_1':0.0},(457,497):{'3_1':0.0},(457,496):{'3_1':0.0},(457,495):{'3_1':0.0},(457,493):{'3_1':0.0},(457,491):{'4_1':0.0},(457,489):{'3_1':0.0},(457,471):{'3_1':0.0},(457,470):{'3_1':0.0},(457,468):{'3_1':0.0},(458,727):{'3_1':0.0},(458,726):{'3_1':0.0},(458,725):{'3_1':0.0},(458,724):{'3_1':0.0},(458,722):{'3_1':0.0},(458,721):{'3_1':0.03},(458,720):{'3_1':0.0},(458,719):{'3_1':0.0},(458,718):{'3_1':0.0},(458,717):{'3_1':0.0,'4_1':0.0},(458,716):{'3_1':0.0},(458,715):{'3_1':0.0},(458,714):{'3_1':0.03},(458,713):{'3_1':0.03},(458,712):{'3_1':0.0},(458,711):{'3_1':0.03,'4_1':0.0},(458,710):{'3_1':0.0,'4_1':0.0},(458,709):{'3_1':0.0},(458,708):{'3_1':0.06},(458,707):{'3_1':0.0,'4_1':0.0},(458,706):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(458,705):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(458,704):{'3_1':0.03},(458,703):{'3_1':0.03,'4_1':0.0},(458,702):{'3_1':0.06},(458,701):{'3_1':0.03,'4_1':0.0},(458,700):{'3_1':0.03},(458,699):{'3_1':0.0},(458,698):{'3_1':0.06,'4_1':0.0},(458,697):{'3_1':0.06},(458,696):{'3_1':0.0,'4_1':0.0},(458,695):{'3_1':0.03,'5_1':0.0},(458,694):{'3_1':0.03},(458,693):{'3_1':0.0,'4_1':0.0},(458,692):{'3_1':0.03,'4_1':0.0},(458,691):{'3_1':0.06,'4_1':0.0},(458,690):{'3_1':0.03,'4_1':0.0},(458,689):{'3_1':0.0,'4_1':0.0},(458,688):{'3_1':0.03,'4_1':0.0},(458,687):{'3_1':0.0,'6_1':0.0},(458,686):{'3_1':0.0},(458,685):{'3_1':0.03},(458,684):{'3_1':0.03,'6_1':0.0},(458,683):{'3_1':0.03},(458,682):{'3_1':0.0,'4_1':0.0},(458,681):{'4_1':0.0,'3_1':0.0},(458,680):{'3_1':0.0,'4_1':0.0},(458,679):{'3_1':0.03},(458,678):{'3_1':0.0,'4_1':0.0},(458,677):{'3_1':0.0,'4_1':0.0},(458,676):{'3_1':0.0,'6_1':0.0},(458,675):{'3_1':0.0},(458,674):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(458,672):{'3_1':0.0,'4_1':0.0},(458,671):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(458,665):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(458,664):{'3_1':0.0},(458,663):{'3_1':0.0},(458,661):{'3_1':0.06},(458,660):{'3_1':0.03,'4_1':0.0},(458,659):{'3_1':0.0,'4_1':0.0},(458,658):{'3_1':0.0},(458,657):{'3_1':0.0},(458,656):{'5_1':0.0,'3_1':0.0},(458,655):{'3_1':0.0,'4_1':0.0},(458,654):{'3_1':0.0},(458,653):{'3_1':0.03},(458,652):{'3_1':0.03},(458,651):{'3_1':0.0},(458,650):{'3_1':0.0,'4_1':0.0},(458,649):{'3_1':0.03},(458,648):{'3_1':0.06,'4_1':0.0},(458,647):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(458,646):{'3_1':0.03,'5_1':0.0},(458,645):{'3_1':0.0},(458,644):{'3_1':0.09},(458,643):{'3_1':0.06,'5_2':0.0},(458,642):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(458,641):{'3_1':0.06,'5_2':0.0},(458,640):{'3_1':0.0,'4_1':0.0},(458,639):{'3_1':0.0,'5_1':0.0},(458,638):{'3_1':0.0},(458,637):{'3_1':0.03},(458,636):{'3_1':0.06},(458,635):{'3_1':0.0,'4_1':0.0},(458,634):{'3_1':0.03},(458,633):{'3_1':0.03},(458,632):{'3_1':0.0,'4_1':0.0},(458,631):{'5_2':0.0,'6_1':0.0},(458,630):{'3_1':0.03},(458,629):{'3_1':0.0,'4_1':0.0},(458,628):{'3_1':0.03},(458,627):{'3_1':0.0},(458,626):{'3_1':0.06},(458,625):{'3_1':0.0,'5_2':0.0},(458,624):{'3_1':0.0},(458,623):{'3_1':0.03,'6_1':0.0},(458,622):{'3_1':0.03},(458,621):{'3_1':0.03,'5_2':0.0},(458,620):{'3_1':0.03},(458,619):{'3_1':0.03,'5_2':0.0},(458,618):{'3_1':0.09},(458,617):{'3_1':0.0},(458,616):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(458,615):{'3_1':0.03},(458,614):{'3_1':0.06,'4_1':0.0},(458,613):{'3_1':0.0,'5_1':0.0},(458,612):{'3_1':0.03,'4_1':0.0},(458,611):{'3_1':0.03},(458,610):{'3_1':0.0},(458,608):{'3_1':0.03},(458,607):{'3_1':0.0,'4_1':0.0},(458,606):{'4_1':0.03,'3_1':0.0},(458,604):{'3_1':0.0},(458,603):{'5_2':0.0},(458,602):{'3_1':0.0},(458,601):{'3_1':0.0,'4_1':0.0},(458,600):{'3_1':0.0,'4_1':0.0},(458,599):{'5_1':0.0},(458,598):{'4_1':0.0},(458,597):{'4_1':0.0},(458,596):{'4_1':0.0},(458,595):{'3_1':0.0,'4_1':0.0},(458,594):{'3_1':0.0},(458,593):{'3_1':0.0},(458,591):{'3_1':0.03},(458,590):{'3_1':0.0},(458,589):{'3_1':0.03,'4_1':0.0},(458,587):{'3_1':0.0,'4_1':0.0},(458,586):{'3_1':0.0,'4_1':0.0},(458,585):{'3_1':0.0},(458,582):{'3_1':0.0},(458,581):{'3_1':0.0},(458,579):{'3_1':0.0},(458,578):{'3_1':0.0},(458,577):{'3_1':0.0},(458,576):{'3_1':0.0,'4_1':0.0},(458,575):{'3_1':0.0},(458,573):{'3_1':0.0},(458,572):{'3_1':0.0},(458,569):{'3_1':0.0},(458,568):{'4_1':0.0,'3_1':0.0},(458,567):{'3_1':0.0},(458,564):{'3_1':0.0,'4_1':0.0},(458,563):{'3_1':0.0,'4_1':0.0},(458,562):{'3_1':0.0},(458,561):{'3_1':0.0},(458,560):{'3_1':0.0},(458,557):{'3_1':0.0},(458,556):{'3_1':0.0},(458,555):{'3_1':0.0},(458,553):{'3_1':0.0},(458,552):{'3_1':0.0},(458,551):{'3_1':0.0},(458,549):{'3_1':0.0},(458,546):{'3_1':0.0},(458,543):{'3_1':0.0},(458,542):{'3_1':0.03},(458,541):{'3_1':0.0},(458,540):{'3_1':0.0},(458,539):{'3_1':0.0},(458,538):{'3_1':0.0},(458,537):{'3_1':0.0},(458,535):{'3_1':0.0},(458,534):{'3_1':0.0,'5_2':0.0},(458,533):{'3_1':0.0},(458,532):{'3_1':0.0},(458,531):{'3_1':0.0},(458,530):{'3_1':0.0},(458,529):{'3_1':0.0},(458,528):{'3_1':0.0},(458,527):{'3_1':0.0},(458,526):{'3_1':0.03},(458,525):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(458,524):{'3_1':0.03},(458,522):{'3_1':0.03},(458,521):{'3_1':0.0},(458,520):{'3_1':0.03},(458,519):{'3_1':0.03},(458,518):{'3_1':0.0},(458,517):{'3_1':0.0},(458,515):{'3_1':0.0},(458,514):{'3_1':0.0},(458,513):{'3_1':0.0},(458,510):{'3_1':0.03},(458,509):{'3_1':0.0},(458,506):{'3_1':0.0},(458,505):{'3_1':0.0},(458,504):{'3_1':0.0},(458,500):{'3_1':0.0},(458,499):{'3_1':0.0,'4_1':0.0},(458,496):{'3_1':0.0},(458,495):{'3_1':0.0},(458,494):{'3_1':0.0},(458,488):{'3_1':0.0},(458,471):{'5_2':0.0},(458,463):{'3_1':0.0},(459,727):{'3_1':0.0},(459,726):{'3_1':0.0},(459,725):{'3_1':0.0},(459,724):{'3_1':0.03},(459,723):{'3_1':0.0},(459,722):{'3_1':0.0},(459,721):{'3_1':0.0},(459,720):{'3_1':0.0},(459,719):{'3_1':0.0},(459,718):{'3_1':0.0,'4_1':0.0},(459,717):{'3_1':0.0},(459,716):{'3_1':0.03},(459,715):{'3_1':0.0,'4_1':0.0},(459,714):{'3_1':0.0},(459,713):{'3_1':0.0},(459,712):{'3_1':0.03},(459,711):{'3_1':0.0},(459,710):{'3_1':0.0},(459,709):{'3_1':0.0},(459,708):{'3_1':0.0},(459,707):{'3_1':0.0,'4_1':0.0},(459,706):{'3_1':0.03},(459,705):{'3_1':0.0,'4_1':0.0},(459,704):{'3_1':0.06},(459,703):{'3_1':0.0},(459,702):{'3_1':0.03,'6_2':0.0},(459,701):{'3_1':0.0,'7_1':0.0},(459,700):{'3_1':0.0,'5_1':0.0},(459,699):{'3_1':0.06,'4_1':0.0},(459,698):{'3_1':0.03},(459,697):{'3_1':0.06},(459,696):{'3_1':0.03,'5_1':0.0},(459,695):{'3_1':0.06,'4_1':0.0},(459,694):{'3_1':0.06,'4_1':0.0},(459,693):{'3_1':0.03,'5_1':0.0},(459,692):{'3_1':0.03},(459,691):{'3_1':0.0,'5_1':0.0},(459,690):{'3_1':0.03,'4_1':0.0},(459,689):{'3_1':0.0,'4_1':0.0},(459,688):{'3_1':0.0,'5_2':0.0},(459,687):{'3_1':0.0,'4_1':0.0},(459,686):{'3_1':0.0,'4_1':0.0},(459,685):{'3_1':0.0},(459,684):{'4_1':0.0},(459,683):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(459,682):{'3_1':0.0,'4_1':0.0},(459,681):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(459,680):{'3_1':0.0,'4_1':0.0},(459,679):{'3_1':0.0},(459,678):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'-3':0.0},(459,677):{'3_1':0.0,'6_1':0.0},(459,676):{'3_1':0.0,'4_1':0.0},(459,675):{'3_1':0.0,'4_1':0.0},(459,674):{'3_1':0.0},(459,673):{'3_1':0.0},(459,672):{'3_1':0.0},(459,671):{'3_1':0.0},(459,669):{'3_1':0.0,'4_1':0.0},(459,668):{'3_1':0.0},(459,667):{'3_1':0.0},(459,666):{'3_1':0.0},(459,665):{'3_1':0.0},(459,664):{'3_1':0.03},(459,663):{'3_1':0.0,'4_1':0.0},(459,662):{'3_1':0.0},(459,661):{'3_1':0.0,'4_1':0.0},(459,660):{'3_1':0.0,'5_1':0.0},(459,659):{'3_1':0.0,'8_3':0.0},(459,658):{'3_1':0.0},(459,657):{'3_1':0.03},(459,656):{'3_1':0.0,'5_1':0.0},(459,655):{'3_1':0.03},(459,654):{'3_1':0.03,'4_1':0.0},(459,653):{'3_1':0.03},(459,652):{'3_1':0.03,'5_2':0.0},(459,651):{'3_1':0.06},(459,650):{'3_1':0.0,'4_1':0.0},(459,649):{'3_1':0.0},(459,648):{'3_1':0.0,'4_1':0.0},(459,647):{'3_1':0.0,'4_1':0.0},(459,646):{'3_1':0.0},(459,645):{'3_1':0.0},(459,644):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(459,643):{'3_1':0.03,'4_1':0.0},(459,642):{'3_1':0.0,'4_1':0.0},(459,641):{'3_1':0.03,'5_1':0.0},(459,640):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(459,639):{'3_1':0.03,'5_2':0.0},(459,638):{'3_1':0.0},(459,637):{'3_1':0.06,'4_1':0.0},(459,636):{'3_1':0.0},(459,635):{'4_1':0.0},(459,634):{'3_1':0.0,'5_2':0.0},(459,633):{'3_1':0.0},(459,632):{'3_1':0.0},(459,631):{'3_1':0.0,'5_2':0.0},(459,629):{'3_1':0.0,'5_2':0.0},(459,628):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(459,627):{'3_1':0.0,'4_1':0.0},(459,626):{'3_1':0.03},(459,625):{'3_1':0.06},(459,624):{'3_1':0.03,'5_1':0.0},(459,623):{'3_1':0.03,'4_1':0.0},(459,622):{'3_1':0.0,'5_1':0.0},(459,621):{'3_1':0.0,'4_1':0.0},(459,620):{'3_1':0.03},(459,619):{'3_1':0.0,'5_1':0.0},(459,618):{'3_1':0.03},(459,617):{'3_1':0.0,'4_1':0.0},(459,616):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(459,615):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(459,614):{'3_1':0.03,'5_2':0.0},(459,613):{'3_1':0.0},(459,612):{'3_1':0.03,'4_1':0.0},(459,611):{'3_1':0.03},(459,610):{'3_1':0.03},(459,609):{'3_1':0.0,'5_2':0.0},(459,608):{'3_1':0.03,'4_1':0.0},(459,606):{'3_1':0.0},(459,605):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(459,604):{'3_1':0.0},(459,603):{'3_1':0.0},(459,602):{'4_1':0.0},(459,601):{'3_1':0.0},(459,600):{'3_1':0.0},(459,599):{'3_1':0.0,'4_1':0.0},(459,598):{'3_1':0.0,'4_1':0.0},(459,597):{'3_1':0.0,'4_1':0.0},(459,595):{'3_1':0.0},(459,594):{'3_1':0.0,'5_1':0.0},(459,593):{'3_1':0.0,'5_1':0.0},(459,592):{'3_1':0.0},(459,591):{'3_1':0.0},(459,590):{'3_1':0.0,'4_1':0.0},(459,589):{'3_1':0.03},(459,588):{'3_1':0.0,'6_2':0.0},(459,587):{'3_1':0.0},(459,585):{'3_1':0.0},(459,584):{'3_1':0.0,'4_1':0.0},(459,583):{'3_1':0.0,'5_1':0.0},(459,582):{'3_1':0.0},(459,581):{'3_1':0.0},(459,579):{'3_1':0.0},(459,578):{'3_1':0.0},(459,576):{'3_1':0.0},(459,574):{'3_1':0.0,'4_1':0.0},(459,572):{'5_2':0.0},(459,570):{'3_1':0.0},(459,569):{'3_1':0.0},(459,568):{'3_1':0.0,'4_1':0.0},(459,566):{'3_1':0.0},(459,565):{'3_1':0.0},(459,561):{'3_1':0.0},(459,560):{'3_1':0.0},(459,559):{'4_1':0.0,'3_1':0.0},(459,557):{'3_1':0.0,'4_1':0.0},(459,556):{'3_1':0.0},(459,555):{'3_1':0.0},(459,554):{'3_1':0.0},(459,553):{'3_1':0.0},(459,550):{'3_1':0.0},(459,548):{'3_1':0.0},(459,547):{'3_1':0.0},(459,546):{'3_1':0.0},(459,545):{'3_1':0.0},(459,538):{'3_1':0.0},(459,537):{'3_1':0.0},(459,536):{'3_1':0.0},(459,535):{'3_1':0.0},(459,534):{'3_1':0.0},(459,533):{'3_1':0.0},(459,532):{'3_1':0.0,'5_2':0.0},(459,531):{'3_1':0.03,'5_2':0.0},(459,530):{'3_1':0.0},(459,529):{'3_1':0.03},(459,528):{'3_1':0.0},(459,527):{'3_1':0.0},(459,526):{'3_1':0.0},(459,525):{'3_1':0.03},(459,524):{'3_1':0.03},(459,523):{'3_1':0.0,'5_2':0.0},(459,522):{'3_1':0.03},(459,521):{'3_1':0.0},(459,520):{'3_1':0.03},(459,519):{'3_1':0.03},(459,518):{'3_1':0.03},(459,517):{'3_1':0.0},(459,515):{'3_1':0.03},(459,514):{'3_1':0.03},(459,513):{'3_1':0.0},(459,512):{'3_1':0.0},(459,511):{'3_1':0.0},(459,509):{'3_1':0.0},(459,508):{'3_1':0.0},(459,506):{'3_1':0.0},(459,505):{'3_1':0.0},(459,504):{'3_1':0.0},(459,503):{'3_1':0.0},(459,501):{'3_1':0.0},(459,500):{'3_1':0.0},(459,498):{'3_1':0.0},(459,495):{'3_1':0.0},(459,494):{'3_1':0.0},(459,493):{'3_1':0.0},(459,471):{'5_2':0.0},(459,467):{'3_1':0.0},(459,466):{'3_1':0.0},(459,464):{'3_1':0.0},(460,727):{'3_1':0.0},(460,726):{'3_1':0.0},(460,725):{'3_1':0.0,'4_1':0.0},(460,724):{'3_1':0.0},(460,723):{'3_1':0.0},(460,722):{'3_1':0.03,'4_1':0.0},(460,721):{'3_1':0.0},(460,720):{'3_1':0.03,'4_1':0.0},(460,719):{'3_1':0.0},(460,718):{'3_1':0.0,'6_2':0.0},(460,717):{'3_1':0.0},(460,716):{'3_1':0.0},(460,715):{'3_1':0.0},(460,714):{'3_1':0.0},(460,713):{'3_1':0.03,'5_1':0.0},(460,712):{'3_1':0.03,'5_1':0.0},(460,711):{'3_1':0.03},(460,710):{'3_1':0.0,'4_1':0.0},(460,709):{'3_1':0.0},(460,708):{'3_1':0.0},(460,707):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(460,706):{'3_1':0.0},(460,705):{'3_1':0.03,'4_1':0.0},(460,704):{'3_1':0.0,'4_1':0.0},(460,703):{'3_1':0.03,'4_1':0.0},(460,702):{'3_1':0.06,'4_1':0.0},(460,701):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(460,700):{'3_1':0.0,'4_1':0.0},(460,699):{'3_1':0.03},(460,698):{'3_1':0.06},(460,697):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(460,696):{'3_1':0.03,'6_2':0.0},(460,695):{'3_1':0.03,'4_1':0.0},(460,694):{'3_1':0.03,'4_1':0.0},(460,693):{'3_1':0.03},(460,692):{'3_1':0.06,'4_1':0.0},(460,691):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(460,690):{'3_1':0.03},(460,689):{'3_1':0.0,'5_1':0.0},(460,687):{'3_1':0.03,'4_1':0.0},(460,686):{'3_1':0.0,'5_1':0.0},(460,685):{'3_1':0.03,'4_1':0.0},(460,684):{'3_1':0.0},(460,683):{'3_1':0.0},(460,682):{'3_1':0.0},(460,681):{'3_1':0.0,'4_1':0.0},(460,680):{'3_1':0.03,'4_1':0.0},(460,679):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(460,678):{'3_1':0.0},(460,677):{'3_1':0.0},(460,676):{'3_1':0.0},(460,675):{'3_1':0.0},(460,674):{'3_1':0.0},(460,673):{'3_1':0.0,'4_1':0.0},(460,672):{'3_1':0.0},(460,671):{'4_1':0.0,'6_1':0.0},(460,670):{'3_1':0.0},(460,669):{'3_1':0.0},(460,668):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(460,667):{'3_1':0.03},(460,666):{'3_1':0.0},(460,665):{'3_1':0.0},(460,663):{'4_1':0.0},(460,662):{'3_1':0.0},(460,661):{'3_1':0.03,'4_1':0.0},(460,660):{'3_1':0.03},(460,659):{'3_1':0.03},(460,658):{'3_1':0.0,'5_2':0.0},(460,657):{'3_1':0.0},(460,656):{'3_1':0.0},(460,655):{'3_1':0.0},(460,654):{'3_1':0.0},(460,653):{'3_1':0.03},(460,652):{'3_1':0.03},(460,651):{'3_1':0.03},(460,650):{'3_1':0.03},(460,649):{'3_1':0.0,'5_1':0.0},(460,648):{'3_1':0.03},(460,647):{'3_1':0.03,'5_1':0.0},(460,646):{'3_1':0.0},(460,645):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(460,644):{'3_1':0.06},(460,643):{'3_1':0.03,'5_2':0.0},(460,642):{'4_1':0.0,'3_1':0.0},(460,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(460,640):{'3_1':0.03,'4_1':0.0},(460,639):{'4_1':0.0,'5_1':0.0,'6_1':0.0},(460,638):{'3_1':0.0},(460,637):{'3_1':0.0,'4_1':0.0},(460,636):{'3_1':0.0},(460,635):{'3_1':0.0,'4_1':0.0},(460,634):{'3_1':0.0,'5_2':0.0},(460,633):{'3_1':0.0,'5_2':0.0},(460,632):{'3_1':0.03},(460,631):{'3_1':0.03},(460,630):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(460,629):{'3_1':0.0,'5_1':0.0},(460,628):{'3_1':0.0},(460,627):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(460,626):{'3_1':0.0},(460,625):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(460,624):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(460,623):{'3_1':0.0},(460,622):{'3_1':0.03,'5_2':0.0},(460,621):{'3_1':0.0},(460,620):{'3_1':0.03},(460,619):{'3_1':0.03,'4_1':0.0},(460,618):{'3_1':0.03,'4_1':0.0},(460,617):{'3_1':0.0},(460,616):{'3_1':0.0,'4_1':0.0},(460,615):{'3_1':0.03},(460,614):{'3_1':0.06,'4_1':0.0},(460,613):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(460,612):{'3_1':0.0,'4_1':0.0},(460,611):{'3_1':0.0,'4_1':0.0},(460,610):{'3_1':0.03},(460,609):{'3_1':0.0,'4_1':0.0},(460,608):{'4_1':0.0,'3_1':0.0},(460,607):{'3_1':0.0,'4_1':0.0},(460,606):{'3_1':0.0,'4_1':0.0},(460,605):{'3_1':0.0},(460,604):{'3_1':0.0},(460,603):{'3_1':0.0},(460,602):{'4_1':0.0,'3_1':0.0},(460,601):{'3_1':0.0},(460,600):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(460,599):{'3_1':0.0,'5_1':0.0},(460,598):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(460,597):{'3_1':0.0},(460,595):{'3_1':0.0},(460,594):{'3_1':0.0},(460,593):{'3_1':0.0,'5_1':0.0},(460,592):{'3_1':0.0,'4_1':0.0},(460,591):{'4_1':0.0,'3_1':0.0},(460,590):{'3_1':0.0,'4_1':0.0},(460,589):{'3_1':0.0,'4_1':0.0},(460,588):{'3_1':0.0,'5_1':0.0},(460,587):{'3_1':0.0},(460,586):{'3_1':0.0},(460,585):{'3_1':0.0},(460,584):{'3_1':0.0},(460,583):{'3_1':0.0},(460,582):{'3_1':0.0},(460,579):{'3_1':0.0,'4_1':0.0},(460,578):{'3_1':0.0,'4_1':0.0},(460,577):{'3_1':0.0},(460,575):{'3_1':0.0},(460,574):{'3_1':0.0},(460,572):{'4_1':0.0},(460,571):{'4_1':0.0},(460,568):{'4_1':0.0},(460,567):{'3_1':0.0},(460,566):{'3_1':0.0},(460,564):{'3_1':0.0},(460,562):{'4_1':0.0},(460,561):{'3_1':0.0},(460,560):{'3_1':0.0,'4_1':0.0},(460,558):{'3_1':0.0},(460,557):{'3_1':0.0},(460,556):{'3_1':0.0},(460,554):{'3_1':0.0},(460,552):{'3_1':0.0},(460,550):{'3_1':0.0},(460,549):{'3_1':0.0},(460,548):{'3_1':0.0},(460,546):{'3_1':0.0},(460,545):{'3_1':0.0,'5_2':0.0},(460,544):{'3_1':0.0},(460,543):{'3_1':0.0},(460,542):{'3_1':0.0},(460,540):{'3_1':0.0},(460,539):{'3_1':0.0},(460,538):{'3_1':0.0,'5_2':0.0},(460,535):{'3_1':0.0},(460,534):{'3_1':0.03},(460,532):{'3_1':0.0},(460,531):{'3_1':0.0},(460,529):{'3_1':0.0},(460,528):{'3_1':0.0,'5_2':0.0},(460,527):{'3_1':0.03},(460,526):{'3_1':0.0},(460,525):{'3_1':0.0},(460,524):{'3_1':0.0},(460,523):{'3_1':0.06},(460,522):{'3_1':0.03},(460,521):{'3_1':0.03},(460,519):{'3_1':0.03},(460,518):{'3_1':0.03},(460,517):{'3_1':0.0},(460,516):{'3_1':0.0},(460,515):{'3_1':0.0},(460,513):{'3_1':0.0},(460,512):{'3_1':0.0},(460,509):{'3_1':0.0},(460,503):{'3_1':0.0},(460,501):{'3_1':0.0},(460,500):{'3_1':0.0,'4_1':0.0},(460,497):{'3_1':0.0},(460,496):{'3_1':0.0},(460,495):{'4_1':0.0},(460,494):{'3_1':0.0},(460,493):{'3_1':0.0},(460,492):{'3_1':0.0},(460,466):{'3_1':0.0},(460,464):{'3_1':0.0},(461,721):{'3_1':0.0,'4_1':0.0},(461,720):{'3_1':0.0},(461,719):{'3_1':0.0},(461,718):{'3_1':0.0},(461,717):{'3_1':0.0,'4_1':0.0},(461,716):{'3_1':0.0},(461,715):{'3_1':0.0,'5_1':0.0},(461,714):{'3_1':0.0},(461,713):{'3_1':0.0},(461,712):{'3_1':0.0},(461,711):{'3_1':0.0},(461,710):{'3_1':0.0},(461,709):{'3_1':0.03},(461,708):{'3_1':0.03,'4_1':0.0},(461,707):{'3_1':0.0},(461,706):{'3_1':0.0},(461,705):{'3_1':0.03},(461,704):{'3_1':0.0,'4_1':0.0},(461,703):{'3_1':0.03},(461,702):{'3_1':0.06,'4_1':0.0},(461,701):{'3_1':0.03,'7_1':0.0},(461,700):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(461,699):{'3_1':0.03},(461,698):{'3_1':0.0},(461,697):{'3_1':0.03,'4_1':0.0},(461,696):{'3_1':0.03,'4_1':0.0},(461,695):{'3_1':0.03},(461,694):{'3_1':0.0},(461,693):{'3_1':0.03},(461,692):{'3_1':0.0},(461,691):{'3_1':0.06},(461,690):{'3_1':0.0,'4_1':0.0},(461,689):{'3_1':0.03},(461,688):{'3_1':0.03,'4_1':0.0},(461,687):{'3_1':0.0,'4_1':0.0},(461,686):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(461,685):{'3_1':0.03,'4_1':0.0},(461,684):{'3_1':0.0},(461,683):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(461,682):{'3_1':0.03},(461,681):{'3_1':0.0},(461,680):{'3_1':0.06,'4_1':0.0},(461,679):{'5_2':0.0},(461,678):{'3_1':0.0,'4_1':0.0},(461,677):{'3_1':0.0},(461,676):{'3_1':0.0},(461,675):{'3_1':0.03},(461,674):{'3_1':0.0,'8_1':0.0},(461,673):{'3_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(461,672):{'3_1':0.0},(461,671):{'3_1':0.0,'8_1':0.0},(461,669):{'3_1':0.0},(461,668):{'3_1':0.0},(461,667):{'3_1':0.0},(461,666):{'3_1':0.0},(461,665):{'3_1':0.0},(461,664):{'4_1':0.0,'3_1':0.0},(461,663):{'4_1':0.0,'5_1':0.0,'6_1':0.0},(461,662):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(461,661):{'3_1':0.0},(461,660):{'3_1':0.0,'5_2':0.0},(461,659):{'3_1':0.03},(461,658):{'3_1':0.0},(461,657):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(461,656):{'3_1':0.0,'4_1':0.0},(461,655):{'3_1':0.03},(461,654):{'3_1':0.03},(461,653):{'3_1':0.03,'4_1':0.0},(461,652):{'3_1':0.0},(461,650):{'3_1':0.0,'4_1':0.0},(461,649):{'3_1':0.03},(461,648):{'3_1':0.03,'4_1':0.0},(461,647):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(461,646):{'3_1':0.0},(461,645):{'3_1':0.06,'5_2':0.0},(461,644):{'5_2':0.0},(461,643):{'3_1':0.0},(461,642):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(461,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(461,640):{'3_1':0.03,'4_1':0.0},(461,639):{'3_1':0.03,'4_1':0.0},(461,638):{'3_1':0.0,'4_1':0.0},(461,637):{'3_1':0.06},(461,636):{'3_1':0.0,'5_1':0.0},(461,635):{'3_1':0.0},(461,634):{'3_1':0.0,'5_2':0.0,'6_2':0.0},(461,633):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(461,632):{'3_1':0.0,'4_1':0.0},(461,631):{'3_1':0.0},(461,630):{'3_1':0.0},(461,629):{'3_1':0.03,'5_2':0.0},(461,628):{'3_1':0.03},(461,627):{'3_1':0.0,'4_1':0.0},(461,626):{'3_1':0.0,'5_2':0.0},(461,625):{'3_1':0.0},(461,624):{'3_1':0.03},(461,623):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(461,622):{'3_1':0.06,'4_1':0.0},(461,621):{'3_1':0.0},(461,620):{'3_1':0.0},(461,619):{'3_1':0.03},(461,618):{'3_1':0.03},(461,617):{'3_1':0.0,'4_1':0.0},(461,616):{'3_1':0.03},(461,615):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(461,614):{'3_1':0.0},(461,613):{'3_1':0.06},(461,612):{'3_1':0.0,'4_1':0.0},(461,611):{'3_1':0.0},(461,610):{'3_1':0.03,'4_1':0.0},(461,609):{'3_1':0.0},(461,608):{'3_1':0.0},(461,607):{'3_1':0.0,'4_1':0.0},(461,606):{'3_1':0.03},(461,604):{'3_1':0.0},(461,603):{'4_1':0.0,'5_1':0.0},(461,602):{'3_1':0.0,'4_1':0.0},(461,601):{'3_1':0.0},(461,600):{'3_1':0.0},(461,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(461,597):{'4_1':0.0},(461,596):{'3_1':0.0,'4_1':0.0},(461,595):{'4_1':0.0,'5_1':0.0,'6_1':0.0},(461,594):{'3_1':0.0},(461,593):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(461,592):{'4_1':0.0},(461,591):{'4_1':0.0},(461,589):{'3_1':0.0,'4_1':0.0},(461,587):{'3_1':0.0},(461,586):{'3_1':0.0},(461,585):{'3_1':0.0,'4_1':0.0},(461,584):{'4_1':0.0,'3_1':0.0},(461,583):{'3_1':0.0},(461,579):{'4_1':0.0},(461,577):{'4_1':0.0},(461,576):{'3_1':0.0},(461,575):{'3_1':0.0,'4_1':0.0},(461,574):{'3_1':0.0,'4_1':0.0},(461,573):{'4_1':0.0},(461,572):{'3_1':0.0},(461,571):{'3_1':0.0},(461,570):{'3_1':0.0},(461,569):{'3_1':0.0},(461,567):{'4_1':0.0},(461,566):{'4_1':0.0},(461,565):{'3_1':0.0},(461,564):{'3_1':0.0},(461,562):{'3_1':0.0,'4_1':0.0},(461,561):{'4_1':0.0},(461,560):{'3_1':0.0,'4_1':0.0},(461,559):{'3_1':0.0},(461,557):{'3_1':0.0},(461,556):{'3_1':0.0},(461,554):{'3_1':0.0},(461,553):{'3_1':0.0},(461,552):{'3_1':0.0},(461,550):{'3_1':0.0},(461,549):{'3_1':0.0},(461,548):{'3_1':0.0},(461,545):{'3_1':0.0},(461,544):{'3_1':0.0},(461,543):{'3_1':0.0},(461,542):{'3_1':0.0},(461,541):{'3_1':0.0},(461,540):{'3_1':0.0},(461,539):{'3_1':0.0},(461,538):{'3_1':0.0},(461,537):{'3_1':0.0},(461,536):{'3_1':0.0},(461,535):{'3_1':0.0},(461,534):{'3_1':0.0},(461,533):{'3_1':0.0},(461,532):{'3_1':0.0},(461,531):{'3_1':0.0},(461,530):{'3_1':0.0},(461,529):{'3_1':0.0},(461,528):{'3_1':0.0},(461,526):{'3_1':0.0},(461,525):{'3_1':0.0,'4_1':0.0},(461,524):{'3_1':0.0},(461,523):{'3_1':0.03},(461,522):{'3_1':0.0},(461,521):{'3_1':0.0},(461,520):{'3_1':0.0},(461,519):{'3_1':0.03},(461,518):{'3_1':0.03},(461,517):{'3_1':0.0},(461,515):{'3_1':0.0},(461,514):{'3_1':0.0},(461,502):{'3_1':0.0},(461,501):{'3_1':0.0},(461,500):{'3_1':0.0},(461,497):{'3_1':0.0},(461,496):{'3_1':0.0},(461,493):{'3_1':0.0},(461,468):{'3_1':0.0},(461,467):{'3_1':0.0},(461,466):{'3_1':0.0},(461,465):{'3_1':0.0},(461,464):{'3_1':0.0},(462,726):{'3_1':0.0,'4_1':0.0},(462,725):{'3_1':0.0,'4_1':0.0},(462,724):{'3_1':0.0,'4_1':0.0},(462,723):{'3_1':0.0},(462,722):{'3_1':0.0},(462,721):{'3_1':0.0},(462,720):{'3_1':0.0},(462,719):{'3_1':0.03},(462,718):{'3_1':0.0},(462,717):{'3_1':0.0},(462,716):{'3_1':0.0},(462,715):{'3_1':0.0,'5_2':0.0},(462,714):{'3_1':0.0},(462,713):{'3_1':0.0,'4_1':0.0},(462,712):{'3_1':0.0},(462,711):{'3_1':0.06,'4_1':0.0},(462,710):{'3_1':0.0,'4_1':0.0},(462,709):{'3_1':0.0},(462,708):{'3_1':0.0},(462,707):{'3_1':0.0},(462,706):{'3_1':0.0},(462,705):{'3_1':0.0},(462,704):{'3_1':0.0},(462,703):{'3_1':0.03},(462,702):{'3_1':0.0},(462,701):{'3_1':0.03,'4_1':0.0},(462,700):{'3_1':0.0},(462,699):{'3_1':0.06,'4_1':0.0},(462,698):{'3_1':0.03,'4_1':0.0},(462,697):{'3_1':0.03,'4_1':0.0},(462,696):{'4_1':0.0,'3_1':0.0},(462,695):{'3_1':0.03,'4_1':0.03},(462,694):{'3_1':0.0},(462,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(462,692):{'3_1':0.03},(462,691):{'3_1':0.0,'4_1':0.0},(462,690):{'3_1':0.06,'4_1':0.0},(462,689):{'3_1':0.0},(462,688):{'3_1':0.0,'4_1':0.0},(462,687):{'3_1':0.0,'4_1':0.0},(462,686):{'3_1':0.0},(462,685):{'3_1':0.0,'4_1':0.0},(462,684):{'3_1':0.0,'7_1':0.0},(462,683):{'3_1':0.0,'9_1':0.0},(462,682):{'3_1':0.0,'4_1':0.0},(462,681):{'3_1':0.0,'4_1':0.0},(462,680):{'3_1':0.0},(462,679):{'3_1':0.0},(462,677):{'3_1':0.0},(462,676):{'3_1':0.0,'4_1':0.0},(462,675):{'3_1':0.0},(462,674):{'3_1':0.0},(462,673):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(462,672):{'3_1':0.0},(462,671):{'3_1':0.0},(462,670):{'3_1':0.0},(462,669):{'3_1':0.0},(462,668):{'3_1':0.0,'4_1':0.0},(462,667):{'3_1':0.0,'5_1':0.0},(462,666):{'3_1':0.03},(462,665):{'3_1':0.0},(462,664):{'3_1':0.0},(462,663):{'3_1':0.0},(462,662):{'3_1':0.0},(462,661):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(462,660):{'3_1':0.03,'4_1':0.0},(462,659):{'3_1':0.0},(462,658):{'3_1':0.0},(462,657):{'3_1':0.03},(462,656):{'3_1':0.0,'4_1':0.0},(462,655):{'3_1':0.03},(462,654):{'3_1':0.0},(462,653):{'3_1':0.0},(462,652):{'3_1':0.0},(462,651):{'3_1':0.0},(462,650):{'3_1':0.03,'4_1':0.0},(462,649):{'3_1':0.0,'4_1':0.0},(462,648):{'4_1':0.0,'3_1':0.0},(462,647):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(462,646):{'3_1':0.03,'4_1':0.0},(462,645):{'3_1':0.0,'5_1':0.0,'6_2':0.0},(462,644):{'3_1':0.03,'4_1':0.0},(462,643):{'3_1':0.03},(462,642):{'3_1':0.0},(462,641):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(462,640):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(462,639):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(462,638):{'3_1':0.0,'4_1':0.0},(462,637):{'3_1':0.0,'4_1':0.0},(462,636):{'3_1':0.0},(462,635):{'3_1':0.0,'5_2':0.0},(462,634):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(462,633):{'3_1':0.03},(462,632):{'3_1':0.03},(462,631):{'3_1':0.03},(462,630):{'3_1':0.03},(462,629):{'3_1':0.03,'5_2':0.0},(462,628):{'3_1':0.0,'5_2':0.0},(462,627):{'3_1':0.0,'4_1':0.0},(462,626):{'3_1':0.0,'5_2':0.0},(462,625):{'3_1':0.0},(462,624):{'3_1':0.0},(462,623):{'3_1':0.06},(462,622):{'3_1':0.06,'5_1':0.0},(462,621):{'3_1':0.0,'5_2':0.0},(462,620):{'3_1':0.06},(462,619):{'3_1':0.0,'5_2':0.0},(462,618):{'3_1':0.03},(462,617):{'3_1':0.03},(462,616):{'3_1':0.0},(462,615):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(462,614):{'4_1':0.0},(462,613):{'3_1':0.03,'5_2':0.0},(462,612):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(462,611):{'3_1':0.03},(462,610):{'3_1':0.0},(462,609):{'4_1':0.0,'3_1':0.0},(462,608):{'3_1':0.0},(462,607):{'3_1':0.0,'4_1':0.0},(462,606):{'3_1':0.0},(462,605):{'3_1':0.0,'5_2':0.0},(462,604):{'3_1':0.0},(462,603):{'3_1':0.0},(462,602):{'4_1':0.0},(462,601):{'3_1':0.0},(462,600):{'3_1':0.0,'4_1':0.0},(462,598):{'3_1':0.0,'5_2':0.0},(462,597):{'3_1':0.0},(462,596):{'3_1':0.0,'4_1':0.0},(462,595):{'3_1':0.03},(462,594):{'4_1':0.0,'5_1':0.0},(462,593):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(462,592):{'3_1':0.0,'5_1':0.0},(462,591):{'4_1':0.0},(462,590):{'4_1':0.0},(462,589):{'3_1':0.0,'4_1':0.0},(462,588):{'3_1':0.0},(462,587):{'4_1':0.0},(462,586):{'3_1':0.0},(462,584):{'3_1':0.0,'4_1':0.0},(462,583):{'3_1':0.0,'4_1':0.0},(462,582):{'4_1':0.0},(462,581):{'3_1':0.0},(462,579):{'4_1':0.0},(462,578):{'3_1':0.0,'4_1':0.0},(462,576):{'3_1':0.0},(462,575):{'4_1':0.0},(462,574):{'3_1':0.0},(462,573):{'4_1':0.0},(462,572):{'4_1':0.0},(462,571):{'3_1':0.0},(462,570):{'3_1':0.0},(462,569):{'4_1':0.0},(462,567):{'3_1':0.0},(462,565):{'4_1':0.0},(462,563):{'4_1':0.0},(462,561):{'3_1':0.0},(462,559):{'3_1':0.0},(462,558):{'4_1':0.0},(462,557):{'3_1':0.0},(462,555):{'3_1':0.0},(462,554):{'3_1':0.0},(462,552):{'3_1':0.0},(462,551):{'3_1':0.0},(462,549):{'3_1':0.0},(462,547):{'3_1':0.0},(462,546):{'3_1':0.0},(462,544):{'3_1':0.0,'5_2':0.0},(462,543):{'3_1':0.0},(462,541):{'3_1':0.0},(462,540):{'3_1':0.0},(462,538):{'3_1':0.0},(462,537):{'3_1':0.0},(462,536):{'3_1':0.0},(462,535):{'3_1':0.0},(462,534):{'3_1':0.0},(462,533):{'3_1':0.0},(462,532):{'3_1':0.0},(462,530):{'3_1':0.0},(462,529):{'3_1':0.03},(462,527):{'3_1':0.0,'5_2':0.0},(462,526):{'3_1':0.0},(462,525):{'3_1':0.0},(462,524):{'3_1':0.0},(462,523):{'3_1':0.03},(462,522):{'3_1':0.0},(462,521):{'3_1':0.03},(462,520):{'3_1':0.0},(462,519):{'3_1':0.0},(462,518):{'3_1':0.0},(462,517):{'3_1':0.0},(462,516):{'3_1':0.0},(462,515):{'3_1':0.03},(462,514):{'3_1':0.0},(462,513):{'3_1':0.03},(462,511):{'3_1':0.0},(462,510):{'3_1':0.0},(462,508):{'3_1':0.0},(462,506):{'3_1':0.0},(462,505):{'3_1':0.0},(462,503):{'3_1':0.0},(462,502):{'3_1':0.0},(462,501):{'3_1':0.0},(462,500):{'3_1':0.0},(462,499):{'3_1':0.0},(462,497):{'3_1':0.0},(462,496):{'3_1':0.0},(462,495):{'3_1':0.0},(462,493):{'3_1':0.0},(462,492):{'3_1':0.0},(462,488):{'3_1':0.0},(462,471):{'5_1':0.0},(462,467):{'3_1':0.0},(463,727):{'3_1':0.03},(463,726):{'3_1':0.0},(463,725):{'3_1':0.0},(463,724):{'3_1':0.0},(463,723):{'3_1':0.0},(463,722):{'3_1':0.0},(463,721):{'3_1':0.0},(463,720):{'3_1':0.0},(463,719):{'3_1':0.0},(463,718):{'3_1':0.06},(463,717):{'3_1':0.03},(463,716):{'3_1':0.06},(463,715):{'3_1':0.03},(463,714):{'3_1':0.0},(463,713):{'3_1':0.03},(463,712):{'3_1':0.03},(463,711):{'3_1':0.0},(463,710):{'3_1':0.0},(463,709):{'3_1':0.0},(463,707):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(463,706):{'3_1':0.03},(463,705):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(463,704):{'3_1':0.0,'4_1':0.0},(463,703):{'3_1':0.03,'4_1':0.0},(463,702):{'3_1':0.03,'4_1':0.0},(463,701):{'4_1':0.0,'3_1':0.0},(463,700):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(463,699):{'3_1':0.0,'5_1':0.0},(463,698):{'3_1':0.03},(463,697):{'3_1':0.0},(463,696):{'3_1':0.03},(463,695):{'3_1':0.03},(463,694):{'3_1':0.09},(463,693):{'3_1':0.06},(463,692):{'3_1':0.03,'5_1':0.0},(463,691):{'3_1':0.06,'4_1':0.0},(463,690):{'3_1':0.03,'4_1':0.0},(463,689):{'3_1':0.03,'6_1':0.0},(463,688):{'3_1':0.0,'4_1':0.0},(463,687):{'3_1':0.03},(463,686):{'3_1':0.03,'4_1':0.0},(463,685):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(463,684):{'3_1':0.03,'6_1':0.0},(463,683):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(463,682):{'3_1':0.0},(463,681):{'3_1':0.0},(463,680):{'3_1':0.0},(463,678):{'3_1':0.0},(463,677):{'3_1':0.0},(463,676):{'3_1':0.0},(463,675):{'3_1':0.03},(463,674):{'4_1':0.0,'5_2':0.0},(463,673):{'3_1':0.0},(463,671):{'3_1':0.0},(463,669):{'3_1':0.0},(463,668):{'3_1':0.0},(463,667):{'3_1':0.0,'5_1':0.0},(463,666):{'3_1':0.0},(463,665):{'3_1':0.0},(463,664):{'3_1':0.0},(463,663):{'3_1':0.0,'6_1':0.0},(463,661):{'3_1':0.0},(463,660):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(463,659):{'3_1':0.03,'5_1':0.0},(463,658):{'3_1':0.03},(463,657):{'4_1':0.0,'3_1':0.0},(463,656):{'3_1':0.0},(463,655):{'3_1':0.0,'4_1':0.0},(463,654):{'3_1':0.0},(463,653):{'3_1':0.03,'4_1':0.0},(463,652):{'3_1':0.03,'4_1':0.0},(463,651):{'3_1':0.0},(463,650):{'3_1':0.0},(463,649):{'3_1':0.0},(463,648):{'3_1':0.03,'4_1':0.0},(463,647):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(463,646):{'3_1':0.03},(463,645):{'3_1':0.06,'4_1':0.0},(463,644):{'3_1':0.0,'5_2':0.0},(463,643):{'3_1':0.03},(463,642):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(463,641):{'3_1':0.0},(463,640):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(463,639):{'3_1':0.0,'5_1':0.0},(463,638):{'3_1':0.03,'4_1':0.0},(463,637):{'3_1':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(463,636):{'3_1':0.0,'5_1':0.0},(463,635):{'3_1':0.0,'5_1':0.0},(463,634):{'3_1':0.0},(463,633):{'3_1':0.0,'4_1':0.0},(463,632):{'3_1':0.0,'5_1':0.0},(463,631):{'3_1':0.0,'4_1':0.0},(463,630):{'3_1':0.03,'5_2':0.0},(463,629):{'3_1':0.0},(463,628):{'3_1':0.03,'4_1':0.0},(463,627):{'3_1':0.03,'4_1':0.0},(463,626):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(463,625):{'3_1':0.03},(463,624):{'3_1':0.0,'5_1':0.0},(463,623):{'3_1':0.0,'5_1':0.0},(463,622):{'3_1':0.09,'5_2':0.0},(463,621):{'3_1':0.03},(463,620):{'3_1':0.0},(463,619):{'3_1':0.06},(463,618):{'3_1':0.0},(463,617):{'3_1':0.0,'5_2':0.0},(463,616):{'3_1':0.0,'4_1':0.0},(463,615):{'3_1':0.0},(463,614):{'3_1':0.03},(463,613):{'3_1':0.0,'4_1':0.0},(463,612):{'3_1':0.0,'4_1':0.0},(463,611):{'4_1':0.0,'3_1':0.0},(463,610):{'3_1':0.0,'4_1':0.0},(463,609):{'4_1':0.0},(463,608):{'3_1':0.0,'4_1':0.0},(463,607):{'3_1':0.0,'4_1':0.0},(463,605):{'3_1':0.0},(463,604):{'3_1':0.0,'4_1':0.0},(463,603):{'3_1':0.0},(463,602):{'4_1':0.0,'3_1':0.0},(463,601):{'4_1':0.03},(463,600):{'3_1':0.0,'5_1':0.0},(463,599):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(463,598):{'3_1':0.0},(463,597):{'3_1':0.03,'4_1':0.0},(463,596):{'3_1':0.0},(463,594):{'3_1':0.0},(463,593):{'4_1':0.0},(463,592):{'3_1':0.0,'4_1':0.0},(463,591):{'4_1':0.0},(463,590):{'3_1':0.0,'4_1':0.0},(463,589):{'3_1':0.0,'4_1':0.0},(463,588):{'3_1':0.0},(463,587):{'4_1':0.0},(463,586):{'3_1':0.0,'4_1':0.0},(463,585):{'3_1':0.0},(463,584):{'3_1':0.0,'4_1':0.0},(463,583):{'3_1':0.0,'4_1':0.0},(463,582):{'3_1':0.0,'4_1':0.0},(463,581):{'3_1':0.0},(463,579):{'3_1':0.0},(463,578):{'3_1':0.0,'4_1':0.0},(463,577):{'3_1':0.0},(463,576):{'3_1':0.0},(463,575):{'3_1':0.0,'4_1':0.0},(463,572):{'3_1':0.0},(463,570):{'3_1':0.0},(463,569):{'3_1':0.0,'4_1':0.0},(463,567):{'3_1':0.0},(463,566):{'3_1':0.0},(463,565):{'3_1':0.0},(463,564):{'4_1':0.0},(463,561):{'7_2':0.0},(463,559):{'3_1':0.0},(463,558):{'3_1':0.0},(463,557):{'3_1':0.0},(463,556):{'3_1':0.0},(463,555):{'3_1':0.0},(463,552):{'3_1':0.0},(463,550):{'3_1':0.0},(463,549):{'3_1':0.0},(463,547):{'3_1':0.0},(463,546):{'3_1':0.0},(463,543):{'3_1':0.0},(463,542):{'3_1':0.0},(463,540):{'3_1':0.0},(463,539):{'3_1':0.0},(463,538):{'3_1':0.0},(463,537):{'3_1':0.0},(463,536):{'3_1':0.0},(463,535):{'3_1':0.0},(463,534):{'3_1':0.03},(463,533):{'3_1':0.03},(463,532):{'3_1':0.0},(463,531):{'3_1':0.03},(463,530):{'3_1':0.0},(463,528):{'3_1':0.03},(463,527):{'3_1':0.03,'5_2':0.0},(463,526):{'3_1':0.03},(463,525):{'3_1':0.03},(463,524):{'3_1':0.0,'5_2':0.0},(463,523):{'3_1':0.03},(463,522):{'3_1':0.03,'7_2':0.0},(463,521):{'3_1':0.0},(463,520):{'3_1':0.0},(463,519):{'3_1':0.0},(463,518):{'3_1':0.0},(463,517):{'3_1':0.03},(463,516):{'3_1':0.0},(463,515):{'3_1':0.0},(463,513):{'3_1':0.0},(463,511):{'3_1':0.0},(463,510):{'3_1':0.0},(463,503):{'3_1':0.0},(463,501):{'3_1':0.03},(463,500):{'3_1':0.0,'4_1':0.0},(463,499):{'3_1':0.0},(463,497):{'3_1':0.0},(463,496):{'3_1':0.0},(463,495):{'3_1':0.0},(463,492):{'3_1':0.0},(463,489):{'3_1':0.0},(463,488):{'3_1':0.0},(463,468):{'3_1':0.0},(463,467):{'3_1':0.0},(464,727):{'3_1':0.0},(464,726):{'3_1':0.0},(464,725):{'3_1':0.0},(464,724):{'3_1':0.0},(464,723):{'3_1':0.0},(464,722):{'3_1':0.0},(464,721):{'3_1':0.0},(464,720):{'3_1':0.0},(464,719):{'3_1':0.0},(464,718):{'3_1':0.0},(464,717):{'3_1':0.03},(464,716):{'3_1':0.0},(464,715):{'3_1':0.0},(464,714):{'3_1':0.0},(464,713):{'3_1':0.0,'4_1':0.0},(464,712):{'3_1':0.0},(464,711):{'3_1':0.03},(464,710):{'3_1':0.0},(464,709):{'3_1':0.03,'4_1':0.0},(464,708):{'3_1':0.0},(464,707):{'5_2':0.0},(464,706):{'3_1':0.03,'4_1':0.0},(464,705):{'3_1':0.03},(464,704):{'3_1':0.0},(464,703):{'3_1':0.0,'5_1':0.0},(464,702):{'3_1':0.03,'4_1':0.0},(464,701):{'3_1':0.06,'7_1':0.0,'4_1':0.0},(464,700):{'3_1':0.03,'4_1':0.0},(464,699):{'3_1':0.0,'4_1':0.0},(464,698):{'3_1':0.06,'4_1':0.0},(464,697):{'3_1':0.06},(464,696):{'3_1':0.03},(464,695):{'3_1':0.03},(464,694):{'3_1':0.03},(464,693):{'3_1':0.0,'5_2':0.0},(464,692):{'3_1':0.03},(464,691):{'3_1':0.03,'5_2':0.0},(464,690):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(464,689):{'3_1':0.03},(464,688):{'3_1':0.0},(464,687):{'3_1':0.03,'4_1':0.0},(464,686):{'3_1':0.0},(464,685):{'3_1':0.03},(464,684):{'3_1':0.0},(464,683):{'3_1':0.03,'5_1':0.0,'-3':0.0},(464,682):{'3_1':0.03},(464,681):{'3_1':0.03},(464,680):{'3_1':0.03},(464,679):{'3_1':0.0,'4_1':0.0},(464,678):{'7_1':0.0},(464,677):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(464,676):{'3_1':0.0},(464,675):{'3_1':0.03},(464,674):{'3_1':0.03},(464,673):{'3_1':0.0,'5_1':0.0},(464,672):{'3_1':0.0},(464,671):{'3_1':0.0,'5_1':0.0},(464,670):{'3_1':0.0},(464,669):{'3_1':0.0},(464,668):{'3_1':0.0,'6_1':0.0},(464,667):{'4_1':0.0},(464,666):{'3_1':0.0,'5_2':0.0,'7_4':0.0},(464,665):{'3_1':0.0},(464,664):{'3_1':0.0,'4_1':0.0},(464,663):{'3_1':0.0},(464,662):{'3_1':0.0,'4_1':0.0},(464,661):{'3_1':0.0},(464,660):{'3_1':0.0,'4_1':0.0},(464,659):{'3_1':0.0},(464,658):{'3_1':0.0},(464,657):{'3_1':0.0},(464,656):{'3_1':0.03},(464,655):{'3_1':0.0,'4_1':0.0},(464,654):{'3_1':0.0},(464,653):{'3_1':0.03},(464,652):{'3_1':0.0,'4_1':0.0},(464,651):{'3_1':0.03,'4_1':0.0},(464,650):{'3_1':0.06,'4_1':0.0},(464,649):{'3_1':0.0},(464,648):{'3_1':0.0,'4_1':0.0},(464,647):{'3_1':0.03},(464,646):{'3_1':0.0,'5_2':0.0},(464,645):{'3_1':0.03},(464,644):{'3_1':0.03,'5_1':0.0},(464,643):{'3_1':0.03,'5_1':0.0},(464,642):{'3_1':0.03,'4_1':0.0},(464,641):{'3_1':0.0,'5_2':0.0},(464,640):{'3_1':0.0,'5_2':0.0,'4_1':0.0},(464,639):{'3_1':0.03,'4_1':0.0},(464,638):{'3_1':0.0,'5_2':0.0},(464,637):{'3_1':0.0,'4_1':0.0},(464,636):{'3_1':0.0},(464,634):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(464,633):{'3_1':0.0},(464,632):{'3_1':0.03,'4_1':0.0},(464,631):{'3_1':0.0,'5_1':0.0},(464,630):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(464,629):{'3_1':0.0},(464,628):{'3_1':0.0},(464,627):{'3_1':0.03,'5_1':0.0},(464,626):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(464,625):{'3_1':0.0},(464,624):{'3_1':0.0},(464,623):{'3_1':0.0,'4_1':0.0},(464,622):{'3_1':0.03},(464,621):{'3_1':0.0,'5_1':0.0},(464,620):{'3_1':0.03},(464,619):{'3_1':0.03},(464,618):{'3_1':0.06},(464,617):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(464,616):{'3_1':0.03},(464,615):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(464,614):{'3_1':0.0,'4_1':0.0},(464,613):{'3_1':0.0},(464,612):{'4_1':0.0,'5_2':0.0},(464,611):{'3_1':0.03,'4_1':0.0},(464,610):{'3_1':0.06},(464,609):{'3_1':0.0},(464,608):{'3_1':0.0},(464,607):{'3_1':0.0},(464,606):{'4_1':0.0},(464,605):{'3_1':0.03},(464,604):{'3_1':0.03},(464,603):{'3_1':0.0},(464,601):{'3_1':0.0,'7_1':0.0},(464,600):{'3_1':0.0,'5_2':0.0},(464,599):{'3_1':0.0,'4_1':0.0},(464,598):{'4_1':0.0,'5_2':0.0},(464,597):{'3_1':0.0,'4_1':0.0},(464,596):{'4_1':0.0},(464,595):{'4_1':0.0,'3_1':0.0},(464,594):{'3_1':0.0},(464,593):{'3_1':0.0,'4_1':0.0},(464,592):{'4_1':0.0,'3_1':0.0},(464,591):{'3_1':0.0},(464,590):{'4_1':0.0,'3_1':0.0},(464,589):{'4_1':0.0},(464,588):{'3_1':0.0},(464,586):{'3_1':0.0,'4_1':0.0},(464,585):{'3_1':0.0},(464,584):{'3_1':0.0},(464,583):{'4_1':0.0},(464,582):{'3_1':0.0,'4_1':0.0},(464,580):{'4_1':0.0},(464,577):{'3_1':0.0},(464,576):{'3_1':0.0,'4_1':0.0},(464,575):{'3_1':0.0},(464,573):{'3_1':0.0,'4_1':0.0},(464,572):{'4_1':0.0},(464,570):{'4_1':0.0},(464,569):{'3_1':0.0},(464,567):{'3_1':0.0},(464,566):{'3_1':0.0},(464,565):{'4_1':0.0},(464,564):{'4_1':0.0},(464,563):{'3_1':0.0},(464,562):{'3_1':0.0},(464,561):{'3_1':0.0,'4_1':0.0},(464,560):{'4_1':0.0},(464,559):{'3_1':0.0},(464,558):{'3_1':0.0},(464,557):{'3_1':0.0},(464,556):{'3_1':0.0,'4_1':0.0},(464,555):{'3_1':0.0},(464,553):{'3_1':0.0},(464,552):{'3_1':0.0},(464,551):{'4_1':0.0},(464,550):{'3_1':0.0},(464,549):{'3_1':0.0},(464,547):{'3_1':0.0},(464,545):{'3_1':0.0},(464,542):{'3_1':0.0},(464,541):{'3_1':0.0},(464,540):{'3_1':0.0},(464,539):{'3_1':0.0},(464,537):{'3_1':0.0},(464,536):{'3_1':0.0},(464,532):{'3_1':0.0},(464,531):{'3_1':0.0},(464,529):{'3_1':0.0},(464,528):{'3_1':0.0},(464,526):{'3_1':0.03},(464,525):{'3_1':0.0},(464,524):{'3_1':0.0},(464,522):{'3_1':0.0},(464,521):{'3_1':0.03},(464,520):{'3_1':0.03},(464,519):{'3_1':0.0},(464,518):{'3_1':0.0},(464,517):{'3_1':0.0},(464,515):{'3_1':0.0},(464,514):{'3_1':0.0},(464,513):{'3_1':0.0},(464,512):{'3_1':0.0},(464,511):{'3_1':0.0},(464,509):{'3_1':0.0},(464,508):{'3_1':0.0},(464,506):{'3_1':0.0},(464,503):{'3_1':0.0},(464,501):{'3_1':0.0},(464,500):{'3_1':0.0},(464,499):{'3_1':0.0},(464,496):{'3_1':0.0},(464,493):{'3_1':0.0},(464,491):{'3_1':0.0},(464,490):{'3_1':0.0},(464,485):{'8_1':0.0},(464,479):{'3_1':0.0,'5_2':0.0},(464,478):{'3_1':0.0},(464,469):{'3_1':0.0},(465,726):{'3_1':0.0},(465,725):{'3_1':0.0},(465,724):{'3_1':0.0},(465,723):{'3_1':0.0},(465,722):{'3_1':0.03},(465,721):{'3_1':0.0},(465,720):{'3_1':0.0},(465,719):{'3_1':0.03},(465,718):{'3_1':0.0},(465,716):{'3_1':0.0},(465,715):{'3_1':0.0,'4_1':0.0},(465,714):{'3_1':0.03},(465,713):{'3_1':0.0},(465,712):{'3_1':0.0},(465,711):{'3_1':0.03},(465,710):{'3_1':0.0},(465,709):{'3_1':0.0},(465,708):{'3_1':0.03},(465,707):{'3_1':0.0,'5_1':0.0},(465,706):{'3_1':0.0},(465,705):{'3_1':0.03},(465,704):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(465,703):{'3_1':0.0,'4_1':0.0},(465,702):{'3_1':0.03},(465,701):{'3_1':0.03,'4_1':0.0},(465,700):{'3_1':0.03},(465,699):{'3_1':0.0},(465,698):{'3_1':0.03},(465,697):{'3_1':0.06},(465,696):{'3_1':0.0},(465,695):{'3_1':0.03},(465,694):{'3_1':0.03},(465,693):{'3_1':0.03},(465,692):{'3_1':0.0},(465,691):{'3_1':0.06},(465,690):{'3_1':0.03,'4_1':0.0},(465,689):{'3_1':0.03},(465,688):{'3_1':0.0,'4_1':0.0},(465,687):{'3_1':0.0,'4_1':0.0},(465,686):{'3_1':0.03,'4_1':0.0},(465,685):{'3_1':0.0,'4_1':0.0},(465,684):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(465,683):{'4_1':0.0},(465,682):{'3_1':0.03},(465,681):{'3_1':0.0,'4_1':0.0},(465,680):{'3_1':0.03,'6_1':0.0},(465,679):{'6_1':0.0},(465,678):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(465,677):{'3_1':0.0},(465,676):{'6_1':0.0},(465,675):{'3_1':0.0},(465,674):{'3_1':0.0},(465,673):{'3_1':0.0},(465,672):{'3_1':0.0,'6_1':0.0},(465,671):{'3_1':0.0,'4_1':0.0},(465,670):{'3_1':0.0,'4_1':0.0},(465,669):{'4_1':0.0},(465,668):{'3_1':0.0},(465,667):{'3_1':0.03,'5_2':0.0},(465,666):{'3_1':0.0,'4_1':0.0},(465,665):{'3_1':0.03,'4_1':0.0},(465,664):{'3_1':0.0,'5_2':0.0},(465,663):{'3_1':0.06},(465,662):{'3_1':0.0},(465,661):{'3_1':0.0,'6_1':0.0},(465,660):{'3_1':0.03},(465,659):{'3_1':0.03},(465,658):{'4_1':0.0},(465,657):{'3_1':0.0,'4_1':0.0},(465,656):{'3_1':0.03},(465,655):{'3_1':0.06},(465,654):{'3_1':0.03},(465,653):{'3_1':0.0,'4_1':0.0},(465,652):{'3_1':0.0},(465,651):{'3_1':0.0},(465,650):{'3_1':0.0},(465,649):{'3_1':0.0,'4_1':0.0},(465,648):{'3_1':0.0},(465,647):{'3_1':0.0,'4_1':0.0},(465,646):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(465,645):{'3_1':0.03,'4_1':0.0},(465,644):{'3_1':0.06},(465,643):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(465,642):{'3_1':0.03},(465,641):{'3_1':0.03},(465,640):{'3_1':0.0},(465,639):{'3_1':0.03},(465,638):{'3_1':0.03},(465,637):{'3_1':0.0},(465,636):{'3_1':0.03},(465,635):{'3_1':0.0},(465,634):{'3_1':0.0,'5_2':0.0},(465,633):{'3_1':0.0},(465,632):{'3_1':0.0},(465,631):{'5_1':0.0},(465,630):{'3_1':0.0,'4_1':0.0},(465,629):{'4_1':0.0},(465,628):{'3_1':0.0},(465,627):{'3_1':0.03},(465,626):{'3_1':0.0},(465,625):{'3_1':0.03},(465,624):{'3_1':0.03,'5_2':0.0},(465,623):{'3_1':0.03,'4_1':0.0},(465,622):{'3_1':0.03,'5_2':0.0},(465,621):{'3_1':0.03},(465,620):{'3_1':0.03},(465,619):{'3_1':0.03,'5_2':0.0},(465,618):{'3_1':0.03},(465,617):{'3_1':0.06},(465,616):{'3_1':0.0,'4_1':0.0},(465,615):{'3_1':0.0},(465,614):{'3_1':0.06,'6_2':0.0},(465,612):{'3_1':0.0,'4_1':0.0},(465,611):{'3_1':0.03},(465,610):{'3_1':0.0,'4_1':0.0},(465,609):{'3_1':0.0,'4_1':0.0},(465,608):{'3_1':0.0},(465,604):{'3_1':0.0},(465,603):{'3_1':0.0},(465,602):{'3_1':0.0,'5_1':0.0},(465,601):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(465,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(465,599):{'4_1':0.0},(465,598):{'3_1':0.0},(465,596):{'3_1':0.0},(465,594):{'3_1':0.0,'4_1':0.0},(465,592):{'3_1':0.0,'4_1':0.0},(465,590):{'4_1':0.0},(465,589):{'3_1':0.0,'4_1':0.0},(465,588):{'3_1':0.0},(465,587):{'3_1':0.0,'4_1':0.0},(465,586):{'4_1':0.0},(465,585):{'4_1':0.0},(465,584):{'3_1':0.0},(465,583):{'3_1':0.0},(465,582):{'3_1':0.0},(465,580):{'3_1':0.0,'4_1':0.0},(465,579):{'3_1':0.0},(465,578):{'3_1':0.0},(465,572):{'3_1':0.0},(465,571):{'4_1':0.0},(465,569):{'3_1':0.0,'4_1':0.0},(465,568):{'3_1':0.0,'4_1':0.0},(465,567):{'4_1':0.0},(465,564):{'4_1':0.0},(465,563):{'3_1':0.0},(465,561):{'3_1':0.0},(465,560):{'3_1':0.0},(465,559):{'3_1':0.0},(465,557):{'3_1':0.0},(465,556):{'3_1':0.0},(465,555):{'3_1':0.0},(465,554):{'3_1':0.0},(465,552):{'3_1':0.0,'6_1':0.0},(465,550):{'3_1':0.0},(465,546):{'3_1':0.0},(465,545):{'3_1':0.0},(465,544):{'3_1':0.0},(465,543):{'3_1':0.0,'4_1':0.0},(465,542):{'3_1':0.0},(465,541):{'3_1':0.0},(465,539):{'3_1':0.0},(465,538):{'3_1':0.03},(465,537):{'3_1':0.0},(465,536):{'3_1':0.0},(465,535):{'3_1':0.0},(465,533):{'3_1':0.0},(465,531):{'3_1':0.0},(465,530):{'3_1':0.0},(465,529):{'3_1':0.0},(465,527):{'3_1':0.0},(465,526):{'3_1':0.0},(465,525):{'3_1':0.03},(465,524):{'3_1':0.03},(465,523):{'3_1':0.0},(465,522):{'3_1':0.06},(465,521):{'3_1':0.03},(465,520):{'3_1':0.0},(465,519):{'3_1':0.0},(465,517):{'3_1':0.0},(465,516):{'3_1':0.0},(465,515):{'3_1':0.03},(465,513):{'3_1':0.0},(465,512):{'3_1':0.0},(465,511):{'3_1':0.0},(465,510):{'3_1':0.0},(465,495):{'3_1':0.0},(465,493):{'3_1':0.0},(465,492):{'3_1':0.0},(465,489):{'3_1':0.0},(465,486):{'3_1':0.0},(465,485):{'3_1':0.0},(465,469):{'3_1':0.0},(466,727):{'3_1':0.0},(466,726):{'3_1':0.0},(466,725):{'3_1':0.03},(466,724):{'3_1':0.0},(466,723):{'3_1':0.03},(466,722):{'3_1':0.0},(466,721):{'3_1':0.03},(466,720):{'3_1':0.03},(466,719):{'3_1':0.06},(466,718):{'3_1':0.0},(466,717):{'3_1':0.03,'4_1':0.0},(466,716):{'3_1':0.0,'4_1':0.0},(466,715):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(466,714):{'3_1':0.0},(466,713):{'3_1':0.0},(466,712):{'3_1':0.03},(466,711):{'3_1':0.06},(466,710):{'3_1':0.03,'5_1':0.0},(466,709):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(466,707):{'3_1':0.0,'4_1':0.0},(466,706):{'3_1':0.0,'5_2':0.0},(466,705):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(466,704):{'3_1':0.0},(466,703):{'3_1':0.03,'4_1':0.0},(466,702):{'3_1':0.03,'4_1':0.0},(466,701):{'3_1':0.03,'4_1':0.0},(466,700):{'3_1':0.03,'4_1':0.0},(466,699):{'3_1':0.03,'4_1':0.0},(466,698):{'3_1':0.03,'4_1':0.0},(466,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(466,696):{'3_1':0.0},(466,695):{'3_1':0.0,'4_1':0.0},(466,694):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(466,693):{'3_1':0.03,'4_1':0.03},(466,692):{'3_1':0.06},(466,691):{'3_1':0.06},(466,690):{'3_1':0.0,'5_1':0.0},(466,689):{'3_1':0.03,'4_1':0.0},(466,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(466,687):{'3_1':0.0,'4_1':0.0},(466,686):{'3_1':0.03},(466,685):{'3_1':0.0},(466,684):{'3_1':0.0,'4_1':0.0},(466,683):{'3_1':0.03,'6_1':0.0},(466,682):{'3_1':0.0},(466,681):{'3_1':0.0},(466,680):{'3_1':0.0},(466,679):{'3_1':0.0},(466,678):{'3_1':0.0,'4_1':0.0},(466,677):{'3_1':0.03},(466,676):{'3_1':0.0},(466,675):{'3_1':0.0},(466,674):{'3_1':0.03,'8_20|3_1#3_1':0.0},(466,673):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(466,672):{'3_1':0.0},(466,670):{'3_1':0.0,'6_1':0.0},(466,668):{'3_1':0.0,'5_1':0.0},(466,667):{'3_1':0.0,'5_2':0.0},(466,666):{'3_1':0.0},(466,665):{'3_1':0.0},(466,664):{'3_1':0.0,'8_1':0.0},(466,663):{'3_1':0.03},(466,662):{'3_1':0.0},(466,661):{'3_1':0.03},(466,660):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(466,659):{'3_1':0.0},(466,658):{'3_1':0.0,'4_1':0.0},(466,657):{'3_1':0.03,'4_1':0.0},(466,655):{'3_1':0.0},(466,653):{'3_1':0.06},(466,652):{'3_1':0.03},(466,651):{'3_1':0.06},(466,650):{'3_1':0.03},(466,649):{'3_1':0.0},(466,648):{'3_1':0.0,'4_1':0.0},(466,647):{'3_1':0.0,'5_1':0.0},(466,646):{'3_1':0.0,'5_1':0.0},(466,645):{'3_1':0.06,'5_2':0.0},(466,644):{'3_1':0.03,'4_1':0.0},(466,643):{'3_1':0.03},(466,642):{'3_1':0.0,'5_1':0.0},(466,641):{'3_1':0.03,'5_1':0.0},(466,640):{'3_1':0.0},(466,639):{'3_1':0.03,'4_1':0.0},(466,638):{'3_1':0.0,'4_1':0.0},(466,637):{'3_1':0.0,'5_1':0.0},(466,636):{'3_1':0.03,'5_1':0.0},(466,634):{'3_1':0.0,'5_2':0.0},(466,633):{'3_1':0.0,'4_1':0.0},(466,632):{'3_1':0.0,'4_1':0.0},(466,631):{'3_1':0.0},(466,630):{'3_1':0.03},(466,628):{'3_1':0.0,'5_2':0.0},(466,627):{'3_1':0.03,'4_1':0.0},(466,626):{'3_1':0.06,'4_1':0.0},(466,625):{'3_1':0.0},(466,624):{'3_1':0.0,'5_1':0.0},(466,623):{'3_1':0.0,'6_1':0.0},(466,622):{'3_1':0.0},(466,621):{'3_1':0.03},(466,620):{'3_1':0.03},(466,619):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(466,618):{'3_1':0.03},(466,617):{'3_1':0.06},(466,616):{'3_1':0.03,'5_2':0.0},(466,615):{'3_1':0.03},(466,614):{'3_1':0.09,'4_1':0.0},(466,613):{'3_1':0.0,'4_1':0.0},(466,612):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(466,611):{'3_1':0.0,'4_1':0.0},(466,610):{'3_1':0.03,'4_1':0.0},(466,609):{'3_1':0.0,'5_2':0.0},(466,608):{'3_1':0.03},(466,607):{'3_1':0.0},(466,606):{'3_1':0.0,'5_2':0.0},(466,605):{'3_1':0.0},(466,604):{'3_1':0.0,'4_1':0.0},(466,603):{'4_1':0.0,'3_1':0.0},(466,601):{'3_1':0.03},(466,600):{'3_1':0.0},(466,599):{'3_1':0.0,'4_1':0.0},(466,598):{'3_1':0.0},(466,597):{'3_1':0.0,'4_1':0.0},(466,596):{'4_1':0.0,'3_1':0.0},(466,595):{'3_1':0.0},(466,594):{'4_1':0.0,'3_1':0.0},(466,593):{'4_1':0.0,'3_1':0.0,'5_1':0.0,'5_2':0.0},(466,592):{'4_1':0.0},(466,591):{'3_1':0.0,'4_1':0.0},(466,590):{'3_1':0.0,'5_1':0.0},(466,589):{'3_1':0.0},(466,588):{'4_1':0.0,'5_1':0.0},(466,586):{'3_1':0.0,'5_1':0.0},(466,585):{'3_1':0.0},(466,583):{'3_1':0.0},(466,582):{'3_1':0.0,'4_1':0.0},(466,580):{'4_1':0.0},(466,579):{'4_1':0.0},(466,575):{'3_1':0.0},(466,572):{'3_1':0.0},(466,570):{'4_1':0.0},(466,558):{'4_1':0.0},(466,557):{'4_1':0.0},(466,556):{'3_1':0.0},(466,555):{'3_1':0.0},(466,554):{'3_1':0.0},(466,553):{'3_1':0.0},(466,552):{'3_1':0.0},(466,548):{'3_1':0.0},(466,547):{'3_1':0.0},(466,546):{'3_1':0.0},(466,545):{'3_1':0.0},(466,544):{'3_1':0.0,'4_1':0.0},(466,543):{'3_1':0.0},(466,531):{'3_1':0.0},(466,530):{'3_1':0.03},(466,528):{'3_1':0.0},(466,527):{'3_1':0.0},(466,526):{'3_1':0.0},(466,525):{'3_1':0.0},(466,524):{'3_1':0.03},(466,523):{'3_1':0.03},(466,522):{'3_1':0.0},(466,521):{'3_1':0.0},(466,520):{'3_1':0.0},(466,519):{'3_1':0.0},(466,518):{'3_1':0.0},(466,517):{'3_1':0.0},(466,516):{'3_1':0.0},(466,515):{'3_1':0.0},(466,512):{'3_1':0.0},(466,511):{'3_1':0.0},(466,510):{'3_1':0.0},(466,508):{'3_1':0.0},(466,499):{'3_1':0.0},(466,497):{'3_1':0.0},(466,496):{'3_1':0.0},(466,493):{'3_1':0.0},(466,492):{'3_1':0.0},(466,489):{'3_1':0.0},(466,482):{'5_2':0.0},(467,727):{'3_1':0.03,'4_1':0.0},(467,726):{'3_1':0.0},(467,725):{'3_1':0.0},(467,724):{'3_1':0.0},(467,723):{'3_1':0.0,'4_1':0.0},(467,722):{'3_1':0.0},(467,721):{'3_1':0.0},(467,720):{'3_1':0.0,'4_1':0.0},(467,719):{'3_1':0.03},(467,718):{'3_1':0.03,'4_1':0.0},(467,717):{'3_1':0.0,'4_1':0.0},(467,716):{'3_1':0.0},(467,715):{'3_1':0.0,'4_1':0.0},(467,714):{'3_1':0.0,'4_1':0.0},(467,713):{'3_1':0.0},(467,712):{'3_1':0.0,'5_1':0.0},(467,711):{'3_1':0.03,'4_1':0.0},(467,710):{'3_1':0.03,'4_1':0.0},(467,709):{'3_1':0.03},(467,708):{'3_1':0.0},(467,707):{'3_1':0.03,'4_1':0.0},(467,706):{'3_1':0.03,'5_1':0.0},(467,705):{'3_1':0.03},(467,704):{'3_1':0.06},(467,703):{'3_1':0.03},(467,702):{'3_1':0.0,'5_1':0.0},(467,701):{'3_1':0.03},(467,700):{'3_1':0.0},(467,699):{'3_1':0.09,'4_1':0.0},(467,698):{'3_1':0.03},(467,697):{'3_1':0.03,'4_1':0.0},(467,696):{'3_1':0.03},(467,695):{'3_1':0.06},(467,694):{'3_1':0.06},(467,693):{'3_1':0.03,'4_1':0.0},(467,692):{'3_1':0.03},(467,691):{'3_1':0.03,'4_1':0.0},(467,690):{'3_1':0.03,'5_1':0.0},(467,689):{'3_1':0.03,'5_1':0.0},(467,688):{'3_1':0.0},(467,687):{'3_1':0.03,'4_1':0.0},(467,686):{'3_1':0.03},(467,685):{'3_1':0.03,'4_1':0.0},(467,684):{'3_1':0.03,'4_1':0.0},(467,683):{'4_1':0.0},(467,682):{'3_1':0.0,'8_1':0.0},(467,681):{'3_1':0.0,'4_1':0.0},(467,680):{'3_1':0.03,'5_1':0.0},(467,679):{'3_1':0.0,'4_1':0.0,'8_1':0.0},(467,678):{'3_1':0.03},(467,677):{'3_1':0.03,'6_1':0.0},(467,676):{'3_1':0.0,'4_1':0.0},(467,675):{'3_1':0.03,'4_1':0.0},(467,674):{'3_1':0.03,'4_1':0.0},(467,673):{'3_1':0.0,'5_2':0.0},(467,672):{'3_1':0.0,'4_1':0.0},(467,671):{'3_1':0.0},(467,668):{'3_1':0.0},(467,667):{'3_1':0.0},(467,666):{'3_1':0.0},(467,665):{'3_1':0.0},(467,664):{'3_1':0.0},(467,663):{'3_1':0.0},(467,662):{'3_1':0.03},(467,661):{'3_1':0.0,'4_1':0.0},(467,660):{'3_1':0.06},(467,659):{'3_1':0.0},(467,658):{'3_1':0.03,'4_1':0.0},(467,657):{'3_1':0.0},(467,656):{'3_1':0.0},(467,655):{'3_1':0.0},(467,654):{'3_1':0.0},(467,653):{'3_1':0.0,'4_1':0.0},(467,652):{'3_1':0.0},(467,651):{'3_1':0.03,'4_1':0.0},(467,650):{'3_1':0.03},(467,649):{'3_1':0.03},(467,648):{'3_1':0.0},(467,647):{'3_1':0.03,'5_1':0.0},(467,646):{'3_1':0.03,'5_1':0.0},(467,645):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(467,644):{'3_1':0.03,'5_1':0.0},(467,643):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(467,642):{'3_1':0.06},(467,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(467,640):{'3_1':0.06,'5_1':0.0},(467,639):{'3_1':0.06},(467,638):{'3_1':0.03,'4_1':0.0},(467,637):{'3_1':0.0,'5_1':0.0},(467,636):{'3_1':0.0,'5_1':0.0},(467,635):{'3_1':0.0},(467,634):{'3_1':0.0,'5_1':0.0},(467,633):{'3_1':0.0},(467,632):{'3_1':0.0},(467,631):{'3_1':0.0},(467,630):{'3_1':0.0},(467,629):{'3_1':0.03},(467,628):{'3_1':0.03},(467,627):{'3_1':0.06,'4_1':0.0},(467,626):{'3_1':0.03,'5_1':0.0},(467,625):{'3_1':0.0},(467,624):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(467,623):{'3_1':0.0},(467,622):{'3_1':0.0},(467,621):{'3_1':0.0,'4_1':0.0},(467,620):{'3_1':0.0,'4_1':0.0},(467,619):{'3_1':0.0,'5_1':0.0},(467,618):{'3_1':0.06},(467,617):{'3_1':0.03},(467,616):{'3_1':0.03},(467,615):{'3_1':0.0,'5_2':0.0},(467,614):{'3_1':0.03},(467,613):{'3_1':0.03,'5_2':0.0},(467,612):{'3_1':0.0,'5_2':0.0},(467,611):{'3_1':0.0,'4_1':0.0},(467,610):{'3_1':0.0,'6_2':0.0},(467,609):{'3_1':0.0},(467,608):{'3_1':0.0},(467,607):{'3_1':0.0,'4_1':0.0},(467,606):{'3_1':0.0,'4_1':0.0},(467,605):{'3_1':0.0},(467,604):{'4_1':0.0},(467,603):{'3_1':0.0},(467,601):{'3_1':0.0,'7_3':0.0,'5_1':0.0},(467,600):{'3_1':0.0},(467,599):{'3_1':0.0,'4_1':0.0},(467,597):{'4_1':0.0,'3_1':0.0},(467,596):{'3_1':0.03},(467,595):{'4_1':0.0},(467,594):{'3_1':0.0,'4_1':0.0},(467,593):{'3_1':0.03},(467,592):{'3_1':0.0,'4_1':0.0},(467,591):{'3_1':0.0},(467,590):{'3_1':0.0,'4_1':0.0},(467,589):{'4_1':0.0},(467,588):{'3_1':0.0},(467,586):{'3_1':0.0},(467,585):{'3_1':0.0,'4_1':0.0},(467,584):{'4_1':0.0},(467,583):{'4_1':0.0,'3_1':0.0},(467,582):{'3_1':0.0,'4_1':0.0},(467,579):{'3_1':0.0},(467,578):{'3_1':0.0},(467,576):{'3_1':0.0},(467,575):{'4_1':0.0},(467,573):{'3_1':0.0},(467,572):{'3_1':0.0},(467,571):{'3_1':0.0,'4_1':0.0},(467,570):{'3_1':0.0},(467,568):{'3_1':0.0},(467,564):{'3_1':0.0},(467,563):{'3_1':0.0},(467,562):{'3_1':0.0},(467,561):{'3_1':0.0},(467,560):{'4_1':0.0},(467,559):{'4_1':0.0},(467,558):{'3_1':0.0},(467,557):{'3_1':0.03,'4_1':0.0},(467,556):{'3_1':0.03},(467,555):{'3_1':0.0},(467,554):{'3_1':0.0},(467,553):{'3_1':0.0},(467,552):{'3_1':0.0},(467,551):{'3_1':0.0},(467,549):{'3_1':0.0},(467,548):{'3_1':0.0,'4_1':0.0},(467,544):{'3_1':0.0},(467,543):{'3_1':0.0},(467,542):{'3_1':0.0},(467,540):{'3_1':0.03},(467,539):{'3_1':0.0},(467,537):{'3_1':0.03},(467,536):{'3_1':0.0},(467,535):{'3_1':0.0},(467,534):{'3_1':0.0},(467,533):{'3_1':0.0},(467,532):{'3_1':0.0},(467,531):{'3_1':0.0},(467,530):{'3_1':0.0},(467,528):{'3_1':0.0},(467,527):{'3_1':0.03},(467,526):{'3_1':0.0},(467,525):{'3_1':0.0},(467,524):{'3_1':0.0},(467,523):{'3_1':0.06},(467,522):{'3_1':0.03},(467,521):{'3_1':0.0},(467,520):{'3_1':0.0},(467,519):{'3_1':0.0,'4_1':0.0},(467,518):{'3_1':0.0},(467,517):{'3_1':0.03},(467,515):{'3_1':0.0},(467,514):{'3_1':0.0},(467,513):{'3_1':0.0},(467,511):{'3_1':0.0},(467,510):{'3_1':0.0},(467,499):{'3_1':0.0},(467,497):{'3_1':0.0},(467,495):{'3_1':0.0},(467,492):{'3_1':0.0},(467,491):{'3_1':0.0},(467,490):{'3_1':0.0},(467,488):{'3_1':0.0},(467,480):{'3_1':0.0},(468,727):{'3_1':0.0},(468,726):{'3_1':0.0},(468,725):{'3_1':0.0},(468,724):{'3_1':0.03},(468,723):{'3_1':0.03,'4_1':0.0},(468,720):{'3_1':0.0},(468,719):{'3_1':0.0},(468,718):{'3_1':0.0},(468,717):{'3_1':0.0},(468,716):{'3_1':0.0},(468,715):{'3_1':0.06},(468,714):{'3_1':0.0},(468,713):{'3_1':0.03},(468,712):{'3_1':0.0},(468,711):{'3_1':0.0},(468,710):{'3_1':0.03},(468,709):{'3_1':0.06},(468,708):{'3_1':0.0,'5_1':0.0},(468,707):{'3_1':0.03,'5_1':0.0},(468,706):{'3_1':0.03,'4_1':0.0},(468,705):{'3_1':0.06,'4_1':0.0},(468,704):{'3_1':0.03},(468,703):{'3_1':0.0,'4_1':0.0},(468,702):{'3_1':0.03,'4_1':0.0},(468,701):{'4_1':0.0,'7_1':0.0},(468,700):{'3_1':0.06},(468,699):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(468,698):{'3_1':0.03,'4_1':0.0},(468,697):{'3_1':0.03,'4_1':0.0},(468,696):{'3_1':0.0,'4_1':0.0},(468,695):{'3_1':0.0,'4_1':0.0},(468,694):{'3_1':0.03,'4_1':0.0},(468,693):{'3_1':0.06,'5_1':0.0},(468,692):{'3_1':0.06,'6_1':0.0},(468,691):{'3_1':0.06},(468,690):{'3_1':0.06},(468,689):{'3_1':0.03},(468,688):{'3_1':0.03},(468,687):{'3_1':0.03,'4_1':0.0},(468,686):{'3_1':0.03},(468,685):{'4_1':0.0},(468,684):{'3_1':0.03,'4_1':0.0},(468,683):{'3_1':0.0,'4_1':0.0},(468,682):{'3_1':0.03},(468,681):{'3_1':0.0},(468,680):{'3_1':0.0,'4_1':0.0},(468,679):{'3_1':0.03},(468,678):{'3_1':0.0},(468,676):{'3_1':0.0,'9_1':0.0},(468,675):{'3_1':0.0,'4_1':0.0},(468,674):{'3_1':0.0,'5_2':0.0},(468,673):{'3_1':0.03},(468,672):{'3_1':0.03,'5_2':0.0},(468,671):{'3_1':0.0,'4_1':0.0},(468,670):{'3_1':0.03},(468,669):{'3_1':0.0},(468,668):{'3_1':0.0},(468,667):{'3_1':0.0},(468,666):{'3_1':0.0},(468,665):{'3_1':0.03},(468,664):{'3_1':0.0},(468,663):{'3_1':0.0},(468,662):{'3_1':0.03,'5_2':0.0},(468,661):{'3_1':0.03},(468,660):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(468,659):{'3_1':0.0},(468,658):{'3_1':0.03,'4_1':0.0},(468,657):{'3_1':0.06,'4_1':0.0},(468,656):{'3_1':0.0},(468,655):{'3_1':0.0,'4_1':0.0},(468,654):{'3_1':0.0},(468,653):{'3_1':0.03},(468,652):{'3_1':0.0,'4_1':0.0},(468,651):{'3_1':0.0},(468,650):{'3_1':0.0},(468,649):{'3_1':0.03,'4_1':0.0},(468,648):{'3_1':0.03},(468,647):{'3_1':0.0,'5_2':0.0},(468,646):{'3_1':0.0,'5_1':0.0},(468,645):{'3_1':0.0,'4_1':0.0},(468,644):{'3_1':0.0},(468,643):{'3_1':0.03,'4_1':0.0},(468,642):{'3_1':0.12,'5_1':0.0},(468,641):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(468,640):{'3_1':0.03},(468,639):{'5_1':0.0},(468,638):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(468,637):{'3_1':0.06,'4_1':0.0},(468,636):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(468,635):{'3_1':0.0},(468,634):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(468,633):{'3_1':0.0},(468,632):{'3_1':0.0},(468,631):{'3_1':0.0,'5_2':0.0},(468,630):{'3_1':0.0},(468,629):{'3_1':0.03,'5_2':0.0},(468,627):{'3_1':0.03},(468,626):{'5_2':0.0},(468,625):{'3_1':0.0},(468,624):{'3_1':0.0},(468,623):{'3_1':0.03},(468,622):{'3_1':0.03},(468,621):{'5_1':0.0,'5_2':0.0},(468,620):{'3_1':0.0,'5_2':0.0},(468,619):{'4_1':0.0,'5_2':0.0,'3_1':0.0},(468,618):{'5_2':0.0},(468,617):{'3_1':0.03,'4_1':0.0},(468,616):{'3_1':0.0},(468,615):{'3_1':0.0},(468,614):{'3_1':0.03},(468,613):{'3_1':0.03,'4_1':0.0},(468,612):{'3_1':0.0,'4_1':0.0},(468,611):{'3_1':0.0},(468,610):{'4_1':0.0,'5_2':0.0},(468,609):{'3_1':0.0,'4_1':0.0},(468,608):{'3_1':0.0,'4_1':0.0},(468,605):{'3_1':0.0},(468,604):{'4_1':0.0},(468,603):{'3_1':0.0,'5_2':0.0},(468,602):{'3_1':0.0,'5_1':0.0},(468,601):{'5_1':0.0},(468,600):{'3_1':0.0,'4_1':0.0},(468,599):{'3_1':0.0},(468,598):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(468,597):{'3_1':0.0},(468,596):{'4_1':0.0},(468,595):{'3_1':0.0,'4_1':0.0},(468,594):{'3_1':0.0},(468,593):{'4_1':0.0,'3_1':0.0},(468,592):{'5_2':0.0},(468,591):{'3_1':0.0},(468,590):{'3_1':0.0,'4_1':0.0},(468,589):{'3_1':0.0},(468,588):{'3_1':0.0},(468,587):{'3_1':0.0},(468,586):{'4_1':0.0,'3_1':0.0},(468,584):{'3_1':0.0,'4_1':0.0},(468,582):{'3_1':0.0,'4_1':0.0},(468,581):{'3_1':0.0},(468,580):{'3_1':0.0},(468,579):{'4_1':0.0},(468,576):{'3_1':0.0},(468,574):{'3_1':0.0},(468,573):{'3_1':0.0,'4_1':0.0},(468,570):{'3_1':0.0},(468,568):{'4_1':0.0},(468,567):{'3_1':0.0},(468,566):{'4_1':0.0},(468,565):{'4_1':0.0},(468,563):{'4_1':0.0},(468,561):{'3_1':0.0,'4_1':0.0},(468,560):{'3_1':0.0},(468,559):{'3_1':0.0},(468,558):{'3_1':0.0,'4_1':0.0},(468,557):{'3_1':0.0,'4_1':0.0},(468,556):{'4_1':0.0},(468,554):{'3_1':0.0},(468,553):{'3_1':0.0},(468,552):{'4_1':0.0},(468,551):{'4_1':0.0},(468,550):{'3_1':0.0},(468,548):{'3_1':0.0},(468,547):{'3_1':0.0},(468,545):{'3_1':0.0},(468,543):{'3_1':0.0},(468,542):{'3_1':0.0},(468,541):{'3_1':0.0},(468,537):{'3_1':0.0},(468,535):{'3_1':0.0,'5_2':0.0},(468,534):{'3_1':0.0},(468,533):{'3_1':0.0},(468,532):{'3_1':0.03},(468,531):{'3_1':0.0},(468,530):{'3_1':0.0},(468,529):{'3_1':0.0},(468,527):{'3_1':0.0,'6_3':0.0},(468,526):{'3_1':0.06},(468,525):{'3_1':0.0},(468,524):{'3_1':0.03},(468,523):{'3_1':0.0},(468,522):{'3_1':0.03},(468,521):{'3_1':0.0},(468,520):{'3_1':0.0},(468,519):{'3_1':0.03},(468,518):{'3_1':0.0},(468,517):{'3_1':0.0},(468,516):{'3_1':0.0},(468,514):{'3_1':0.0},(468,512):{'3_1':0.0},(468,504):{'5_2':0.0},(468,501):{'3_1':0.0},(468,497):{'5_2':0.0},(468,496):{'3_1':0.0},(468,495):{'3_1':0.0},(468,494):{'3_1':0.0},(468,492):{'3_1':0.0},(468,490):{'4_1':0.0},(468,489):{'3_1':0.0},(468,488):{'3_1':0.0},(469,727):{'3_1':0.0},(469,726):{'3_1':0.0},(469,725):{'3_1':0.03},(469,724):{'3_1':0.0},(469,723):{'3_1':0.03},(469,722):{'3_1':0.0,'5_2':0.0},(469,721):{'3_1':0.0},(469,720):{'3_1':0.03},(469,719):{'3_1':0.0,'6_3':0.0},(469,718):{'3_1':0.03,'4_1':0.0},(469,717):{'3_1':0.0},(469,716):{'3_1':0.03},(469,715):{'3_1':0.03,'5_1':0.0},(469,714):{'3_1':0.0},(469,713):{'3_1':0.0},(469,712):{'3_1':0.03},(469,711):{'3_1':0.0},(469,710):{'3_1':0.03},(469,709):{'3_1':0.03},(469,708):{'3_1':0.0,'4_1':0.0},(469,707):{'3_1':0.03,'4_1':0.0},(469,706):{'3_1':0.06},(469,705):{'4_1':0.0},(469,704):{'3_1':0.03,'7_1':0.0},(469,703):{'3_1':0.06,'4_1':0.0},(469,702):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,701):{'3_1':0.03},(469,700):{'3_1':0.06},(469,699):{'3_1':0.03},(469,698):{'3_1':0.03,'4_1':0.0},(469,697):{'3_1':0.03,'5_1':0.0},(469,696):{'3_1':0.03},(469,695):{'3_1':0.03,'5_1':0.0},(469,694):{'3_1':0.06,'4_1':0.0},(469,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,692):{'3_1':0.09,'5_1':0.0},(469,691):{'3_1':0.03,'4_1':0.0},(469,690):{'3_1':0.06},(469,689):{'3_1':0.03,'5_1':0.0},(469,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,687):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,686):{'3_1':0.03},(469,685):{'3_1':0.03},(469,684):{'3_1':0.0,'9_1':0.0},(469,683):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(469,682):{'3_1':0.03},(469,681):{'3_1':0.0,'4_1':0.0},(469,680):{'3_1':0.03},(469,679):{'3_1':0.03},(469,678):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(469,677):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(469,676):{'3_1':0.03},(469,675):{'3_1':0.03,'4_1':0.0},(469,674):{'4_1':0.0},(469,673):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(469,671):{'3_1':0.0,'5_1':0.0},(469,670):{'3_1':0.0},(469,669):{'4_1':0.0},(469,668):{'3_1':0.03},(469,667):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,666):{'3_1':0.03},(469,665):{'3_1':0.0},(469,664):{'3_1':0.03},(469,663):{'3_1':0.03,'8_1':0.0},(469,662):{'3_1':0.0},(469,661):{'3_1':0.0},(469,660):{'3_1':0.03,'5_1':0.0},(469,659):{'3_1':0.0,'4_1':0.0},(469,658):{'3_1':0.0},(469,657):{'3_1':0.03,'4_1':0.0},(469,656):{'3_1':0.03},(469,655):{'3_1':0.03},(469,654):{'3_1':0.03},(469,653):{'3_1':0.03},(469,652):{'3_1':0.0,'4_1':0.0},(469,651):{'3_1':0.0},(469,650):{'3_1':0.0},(469,649):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,648):{'3_1':0.0},(469,647):{'3_1':0.0,'4_1':0.0},(469,646):{'3_1':0.03},(469,645):{'3_1':0.03,'5_1':0.0},(469,644):{'3_1':0.03,'4_1':0.0},(469,643):{'3_1':0.06,'4_1':0.0},(469,642):{'3_1':0.0,'5_1':0.0},(469,641):{'3_1':0.03,'4_1':0.0},(469,640):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(469,639):{'3_1':0.06,'5_1':0.0},(469,638):{'3_1':0.0,'4_1':0.0},(469,637):{'3_1':0.0},(469,636):{'3_1':0.03,'7_1':0.0},(469,635):{'3_1':0.0},(469,634):{'3_1':0.0,'4_1':0.0},(469,633):{'3_1':0.0},(469,632):{'3_1':0.03,'5_1':0.0},(469,631):{'3_1':0.0},(469,630):{'3_1':0.0},(469,629):{'3_1':0.03},(469,628):{'3_1':0.0,'5_1':0.0},(469,627):{'3_1':0.03},(469,626):{'3_1':0.03},(469,625):{'3_1':0.0,'5_1':0.0},(469,624):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(469,623):{'3_1':0.03},(469,622):{'3_1':0.0,'5_2':0.0},(469,621):{'3_1':0.0},(469,620):{'3_1':0.0},(469,619):{'3_1':0.0},(469,618):{'3_1':0.03,'4_1':0.0},(469,617):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(469,616):{'3_1':0.0,'4_1':0.0},(469,615):{'3_1':0.0},(469,614):{'3_1':0.03},(469,613):{'3_1':0.0},(469,612):{'3_1':0.0,'5_1':0.0},(469,611):{'3_1':0.0},(469,610):{'3_1':0.0},(469,609):{'4_1':0.0,'3_1':0.0},(469,608):{'3_1':0.03},(469,607):{'3_1':0.0},(469,606):{'3_1':0.0},(469,605):{'3_1':0.0},(469,604):{'3_1':0.0},(469,603):{'3_1':0.0},(469,602):{'3_1':0.0,'5_1':0.0,'7_3':0.0},(469,601):{'4_1':0.0},(469,600):{'3_1':0.0},(469,599):{'3_1':0.0,'5_1':0.0},(469,598):{'3_1':0.0},(469,597):{'3_1':0.0,'4_1':0.0},(469,596):{'3_1':0.0,'4_1':0.0},(469,595):{'4_1':0.0},(469,594):{'3_1':0.0,'4_1':0.0},(469,593):{'3_1':0.0,'4_1':0.0},(469,592):{'3_1':0.0,'5_1':0.0},(469,590):{'4_1':0.0},(469,588):{'3_1':0.0,'5_1':0.0},(469,587):{'3_1':0.0},(469,586):{'3_1':0.0},(469,585):{'3_1':0.0,'4_1':0.0},(469,578):{'3_1':0.0},(469,576):{'3_1':0.0,'4_1':0.0},(469,575):{'4_1':0.0,'5_1':0.0},(469,574):{'3_1':0.0},(469,571):{'4_1':0.0},(469,567):{'3_1':0.0,'4_1':0.0},(469,566):{'3_1':0.0},(469,565):{'4_1':0.0},(469,564):{'3_1':0.0,'4_1':0.0},(469,563):{'3_1':0.0},(469,562):{'3_1':0.0,'4_1':0.0},(469,561):{'3_1':0.0},(469,560):{'3_1':0.0},(469,558):{'3_1':0.0},(469,557):{'3_1':0.0},(469,556):{'3_1':0.0},(469,551):{'3_1':0.0},(469,549):{'3_1':0.0},(469,548):{'3_1':0.03},(469,546):{'3_1':0.0},(469,541):{'3_1':0.0},(469,540):{'3_1':0.0},(469,537):{'3_1':0.0},(469,536):{'3_1':0.0},(469,534):{'3_1':0.0},(469,533):{'3_1':0.0},(469,532):{'3_1':0.03},(469,531):{'3_1':0.0,'7_2':0.0},(469,530):{'3_1':0.03},(469,529):{'3_1':0.0},(469,527):{'3_1':0.0},(469,526):{'3_1':0.03},(469,525):{'3_1':0.0},(469,524):{'3_1':0.0},(469,523):{'3_1':0.0},(469,522):{'3_1':0.0},(469,521):{'3_1':0.0},(469,520):{'3_1':0.0},(469,519):{'3_1':0.0},(469,518):{'3_1':0.0,'6_1':0.0},(469,517):{'3_1':0.0},(469,516):{'3_1':0.0},(469,510):{'3_1':0.0},(469,509):{'3_1':0.0},(469,506):{'3_1':0.0},(469,505):{'3_1':0.0},(469,498):{'3_1':0.0},(469,492):{'3_1':0.0},(469,490):{'3_1':0.0},(469,481):{'3_1':0.0},(469,479):{'3_1':0.0},(470,727):{'3_1':0.0},(470,726):{'3_1':0.0},(470,725):{'3_1':0.0,'4_1':0.0},(470,724):{'3_1':0.03},(470,722):{'3_1':0.0},(470,721):{'3_1':0.03},(470,720):{'3_1':0.0},(470,719):{'3_1':0.0},(470,718):{'3_1':0.0},(470,717):{'3_1':0.03,'4_1':0.0},(470,716):{'3_1':0.0},(470,715):{'3_1':0.03,'4_1':0.0},(470,714):{'3_1':0.0},(470,713):{'3_1':0.03,'4_1':0.0},(470,712):{'3_1':0.03},(470,711):{'3_1':0.0,'5_1':0.0},(470,710):{'3_1':0.0,'4_1':0.0},(470,709):{'3_1':0.03},(470,708):{'3_1':0.0},(470,707):{'3_1':0.0,'5_1':0.0},(470,706):{'3_1':0.03},(470,705):{'3_1':0.0,'4_1':0.0},(470,704):{'3_1':0.03,'4_1':0.0},(470,703):{'3_1':0.03,'5_1':0.0},(470,702):{'3_1':0.03},(470,701):{'3_1':0.06},(470,700):{'3_1':0.03},(470,699):{'3_1':0.03,'4_1':0.0},(470,698):{'3_1':0.03},(470,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(470,696):{'3_1':0.09},(470,695):{'3_1':0.0},(470,694):{'3_1':0.06,'4_1':0.0},(470,693):{'3_1':0.06},(470,692):{'3_1':0.03},(470,691):{'3_1':0.0},(470,690):{'3_1':0.03,'4_1':0.0},(470,689):{'3_1':0.03},(470,688):{'3_1':0.03,'4_1':0.0},(470,687):{'3_1':0.03,'4_1':0.0},(470,686):{'3_1':0.03},(470,685):{'3_1':0.03},(470,684):{'3_1':0.03,'4_1':0.0},(470,683):{'3_1':0.0,'9_1':0.0,'4_1':0.0},(470,682):{'3_1':0.0},(470,681):{'3_1':0.03,'4_1':0.0},(470,680):{'3_1':0.03,'4_1':0.0},(470,679):{'3_1':0.0},(470,678):{'3_1':0.0},(470,677):{'3_1':0.0},(470,676):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(470,675):{'5_1':0.0},(470,674):{'3_1':0.03,'5_1':0.0},(470,673):{'3_1':0.0},(470,672):{'3_1':0.0,'4_1':0.0},(470,671):{'3_1':0.0,'4_1':0.0},(470,670):{'3_1':0.0},(470,669):{'4_1':0.0},(470,668):{'3_1':0.0,'4_1':0.0},(470,667):{'3_1':0.0},(470,666):{'3_1':0.0},(470,665):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(470,664):{'3_1':0.0},(470,663):{'3_1':0.0},(470,662):{'3_1':0.0},(470,661):{'3_1':0.03},(470,660):{'3_1':0.0},(470,659):{'3_1':0.0},(470,658):{'3_1':0.0},(470,657):{'3_1':0.0},(470,656):{'3_1':0.0},(470,654):{'3_1':0.03},(470,653):{'3_1':0.03,'4_1':0.0},(470,652):{'3_1':0.03},(470,651):{'3_1':0.0},(470,650):{'3_1':0.06},(470,649):{'3_1':0.06},(470,648):{'3_1':0.03},(470,647):{'3_1':0.09},(470,646):{'3_1':0.03},(470,645):{'3_1':0.03},(470,644):{'3_1':0.03},(470,643):{'3_1':0.06},(470,642):{'3_1':0.03,'5_1':0.0},(470,641):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(470,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(470,639):{'3_1':0.0,'5_1':0.0},(470,638):{'3_1':0.09,'5_1':0.0},(470,637):{'3_1':0.03},(470,636):{'3_1':0.03},(470,635):{'3_1':0.0,'4_1':0.0},(470,634):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(470,633):{'3_1':0.03,'5_1':0.0},(470,632):{'3_1':0.0},(470,631):{'3_1':0.0},(470,630):{'3_1':0.0,'5_1':0.0},(470,629):{'3_1':0.0},(470,628):{'3_1':0.0,'5_2':0.0},(470,627):{'3_1':0.0},(470,626):{'3_1':0.0},(470,625):{'3_1':0.03,'5_1':0.0},(470,624):{'3_1':0.03},(470,623):{'3_1':0.0},(470,622):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(470,621):{'3_1':0.0,'4_1':0.0},(470,620):{'3_1':0.0},(470,619):{'3_1':0.06,'5_2':0.0},(470,618):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(470,617):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(470,616):{'3_1':0.0},(470,615):{'3_1':0.0},(470,614):{'3_1':0.06,'4_1':0.0},(470,613):{'3_1':0.03},(470,612):{'3_1':0.06},(470,610):{'3_1':0.03},(470,609):{'3_1':0.0},(470,608):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(470,607):{'3_1':0.0,'5_1':0.0},(470,606):{'3_1':0.0},(470,605):{'4_1':0.0},(470,604):{'3_1':0.0},(470,603):{'4_1':0.0},(470,602):{'3_1':0.0,'5_1':0.0},(470,601):{'3_1':0.0,'4_1':0.0},(470,600):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(470,598):{'3_1':0.0,'4_1':0.0},(470,596):{'3_1':0.03},(470,595):{'3_1':0.03},(470,594):{'3_1':0.0},(470,593):{'3_1':0.03},(470,592):{'3_1':0.0,'6_1':0.0},(470,591):{'4_1':0.0,'3_1':0.0},(470,590):{'3_1':0.0},(470,588):{'3_1':0.0},(470,587):{'3_1':0.0},(470,586):{'3_1':0.0},(470,583):{'3_1':0.0},(470,582):{'3_1':0.0},(470,580):{'3_1':0.0},(470,576):{'3_1':0.0},(470,571):{'3_1':0.0},(470,570):{'3_1':0.0},(470,568):{'3_1':0.0},(470,567):{'4_1':0.0},(470,565):{'3_1':0.0},(470,561):{'3_1':0.0},(470,560):{'3_1':0.0},(470,559):{'3_1':0.0,'5_2':0.0},(470,557):{'3_1':0.0,'4_1':0.0},(470,556):{'3_1':0.0},(470,555):{'3_1':0.0,'4_1':0.0},(470,552):{'3_1':0.0},(470,551):{'3_1':0.0},(470,550):{'3_1':0.0,'4_1':0.0},(470,549):{'3_1':0.0},(470,547):{'3_1':0.0},(470,546):{'3_1':0.0},(470,545):{'3_1':0.0},(470,544):{'3_1':0.0},(470,543):{'3_1':0.03},(470,541):{'3_1':0.0},(470,540):{'3_1':0.0},(470,538):{'3_1':0.0},(470,537):{'3_1':0.0},(470,536):{'3_1':0.03},(470,535):{'3_1':0.0},(470,534):{'3_1':0.0},(470,533):{'3_1':0.03},(470,532):{'3_1':0.0},(470,531):{'3_1':0.0},(470,530):{'3_1':0.03},(470,529):{'3_1':0.03},(470,528):{'3_1':0.0},(470,527):{'3_1':0.0},(470,526):{'3_1':0.03},(470,525):{'3_1':0.0},(470,524):{'6_1':0.0},(470,523):{'3_1':0.0},(470,522):{'3_1':0.0},(470,521):{'3_1':0.0},(470,520):{'3_1':0.0},(470,519):{'3_1':0.0},(470,518):{'3_1':0.0},(470,515):{'3_1':0.0},(470,506):{'5_2':0.0},(470,502):{'3_1':0.0},(470,495):{'3_1':0.0},(470,492):{'3_1':0.0},(470,491):{'3_1':0.0},(470,488):{'3_1':0.0},(470,486):{'3_1':0.0,'5_2':0.0},(470,485):{'3_1':0.0},(470,484):{'5_2':0.0},(470,479):{'3_1':0.0},(471,727):{'3_1':0.03,'5_2':0.0},(471,726):{'3_1':0.0,'4_1':0.0},(471,725):{'3_1':0.0},(471,724):{'3_1':0.0},(471,723):{'3_1':0.0},(471,722):{'3_1':0.03},(471,721):{'3_1':0.0},(471,720):{'3_1':0.06},(471,718):{'3_1':0.0},(471,717):{'3_1':0.0},(471,716):{'3_1':0.0},(471,715):{'4_1':0.0},(471,714):{'3_1':0.03},(471,713):{'3_1':0.03},(471,712):{'3_1':0.0},(471,711):{'3_1':0.03},(471,710):{'3_1':0.03,'4_1':0.0},(471,709):{'3_1':0.0,'4_1':0.0},(471,708):{'3_1':0.0,'4_1':0.0},(471,707):{'3_1':0.06},(471,706):{'3_1':0.03,'4_1':0.0},(471,705):{'3_1':0.0},(471,704):{'3_1':0.03},(471,703):{'3_1':0.03},(471,702):{'3_1':0.06,'4_1':0.0},(471,701):{'3_1':0.06},(471,700):{'3_1':0.06},(471,699):{'3_1':0.0},(471,698):{'3_1':0.03,'4_1':0.0},(471,697):{'3_1':0.06,'5_1':0.0},(471,696):{'3_1':0.0,'4_1':0.0},(471,695):{'3_1':0.06},(471,694):{'3_1':0.03,'4_1':0.0},(471,693):{'3_1':0.06},(471,692):{'3_1':0.09},(471,691):{'3_1':0.03},(471,690):{'3_1':0.06,'4_1':0.0},(471,689):{'3_1':0.03,'4_1':0.0},(471,688):{'3_1':0.03},(471,687):{'3_1':0.03,'4_1':0.0},(471,686):{'3_1':0.03,'4_1':0.0},(471,685):{'3_1':0.0,'6_1':0.0},(471,684):{'3_1':0.06},(471,683):{'3_1':0.03},(471,682):{'3_1':0.06},(471,681):{'3_1':0.0},(471,680):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(471,679):{'3_1':0.0},(471,678):{'5_1':0.0},(471,677):{'3_1':0.06,'4_1':0.0},(471,676):{'3_1':0.0},(471,675):{'3_1':0.03,'4_1':0.0},(471,674):{'3_1':0.0,'5_1':0.0},(471,673):{'3_1':0.03},(471,672):{'3_1':0.0,'4_1':0.0},(471,671):{'3_1':0.0,'4_1':0.0},(471,670):{'3_1':0.0},(471,669):{'3_1':0.0},(471,668):{'5_1':0.0},(471,667):{'3_1':0.03},(471,666):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(471,665):{'3_1':0.03,'4_1':0.0},(471,664):{'3_1':0.0},(471,663):{'3_1':0.0,'4_1':0.0},(471,662):{'3_1':0.0,'4_1':0.0},(471,661):{'3_1':0.03,'4_1':0.0},(471,660):{'3_1':0.0,'7_5':0.0},(471,659):{'3_1':0.0},(471,658):{'3_1':0.06},(471,657):{'3_1':0.0},(471,656):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(471,655):{'3_1':0.0,'4_1':0.0},(471,654):{'3_1':0.03},(471,653):{'3_1':0.03,'4_1':0.0},(471,652):{'3_1':0.03},(471,651):{'3_1':0.06},(471,650):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(471,649):{'3_1':0.03},(471,648):{'3_1':0.0},(471,647):{'3_1':0.03,'5_2':0.0},(471,646):{'3_1':0.09,'5_2':0.0},(471,645):{'3_1':0.09},(471,644):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(471,643):{'3_1':0.03,'4_1':0.0},(471,642):{'3_1':0.03},(471,641):{'3_1':0.06,'4_1':0.0},(471,640):{'3_1':0.06},(471,639):{'3_1':0.0,'4_1':0.0},(471,638):{'3_1':0.03,'4_1':0.0},(471,637):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(471,636):{'3_1':0.03,'5_1':0.0},(471,635):{'3_1':0.03},(471,634):{'3_1':0.0,'5_1':0.0},(471,633):{'3_1':0.0,'4_1':0.0},(471,632):{'3_1':0.0,'5_1':0.0},(471,631):{'3_1':0.03},(471,630):{'3_1':0.03},(471,629):{'3_1':0.0,'4_1':0.0},(471,628):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(471,627):{'3_1':0.03,'4_1':0.0},(471,626):{'3_1':0.03},(471,625):{'3_1':0.06,'5_2':0.0},(471,624):{'3_1':0.0},(471,623):{'3_1':0.0,'5_1':0.0},(471,622):{'3_1':0.0},(471,621):{'3_1':0.03,'5_2':0.0},(471,620):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(471,619):{'3_1':0.03,'4_1':0.0},(471,618):{'3_1':0.03,'5_1':0.0},(471,617):{'3_1':0.0},(471,616):{'3_1':0.0,'4_1':0.0},(471,615):{'3_1':0.0},(471,614):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(471,613):{'3_1':0.06},(471,612):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(471,611):{'3_1':0.0},(471,610):{'3_1':0.0,'4_1':0.0},(471,609):{'3_1':0.0},(471,608):{'3_1':0.0},(471,607):{'3_1':0.0},(471,606):{'3_1':0.0},(471,605):{'3_1':0.0},(471,604):{'3_1':0.0,'5_2':0.0},(471,603):{'3_1':0.0,'5_2':0.0},(471,602):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(471,600):{'3_1':0.03,'4_1':0.0,'7_3':0.0},(471,599):{'3_1':0.03,'4_1':0.0},(471,598):{'3_1':0.0},(471,597):{'3_1':0.0,'4_1':0.0},(471,596):{'3_1':0.0,'4_1':0.0},(471,595):{'3_1':0.03},(471,594):{'3_1':0.03},(471,593):{'3_1':0.0,'4_1':0.0,'7_3':0.0},(471,592):{'3_1':0.0},(471,591):{'3_1':0.0},(471,590):{'3_1':0.0},(471,589):{'3_1':0.0},(471,588):{'3_1':0.0,'4_1':0.0},(471,587):{'3_1':0.0,'4_1':0.0},(471,586):{'3_1':0.0},(471,585):{'3_1':0.0,'4_1':0.0},(471,583):{'3_1':0.0},(471,582):{'4_1':0.03,'3_1':0.0},(471,578):{'3_1':0.0},(471,575):{'3_1':0.0},(471,574):{'3_1':0.0},(471,573):{'3_1':0.0},(471,572):{'3_1':0.0,'4_1':0.0},(471,571):{'3_1':0.0},(471,569):{'3_1':0.0},(471,568):{'4_1':0.0,'3_1':0.0},(471,567):{'4_1':0.0,'3_1':0.0},(471,566):{'3_1':0.0},(471,565):{'4_1':0.0},(471,564):{'3_1':0.0},(471,563):{'3_1':0.0},(471,562):{'4_1':0.0},(471,561):{'3_1':0.0},(471,560):{'3_1':0.0,'4_1':0.0},(471,559):{'3_1':0.0},(471,557):{'4_1':0.0},(471,556):{'3_1':0.0,'4_1':0.0},(471,555):{'3_1':0.0},(471,554):{'4_1':0.0,'3_1':0.0},(471,553):{'3_1':0.0},(471,552):{'3_1':0.0},(471,551):{'3_1':0.0},(471,550):{'3_1':0.0},(471,549):{'3_1':0.0},(471,548):{'3_1':0.0},(471,547):{'3_1':0.0},(471,546):{'3_1':0.0,'4_1':0.0},(471,545):{'3_1':0.0},(471,543):{'3_1':0.0},(471,542):{'3_1':0.0},(471,541):{'5_2':0.0},(471,540):{'3_1':0.03,'5_2':0.0},(471,539):{'3_1':0.0},(471,538):{'3_1':0.0},(471,537):{'3_1':0.0,'5_2':0.0},(471,535):{'3_1':0.0},(471,534):{'3_1':0.03},(471,533):{'3_1':0.0},(471,532):{'3_1':0.03},(471,531):{'3_1':0.0},(471,530):{'3_1':0.0},(471,529):{'3_1':0.0},(471,528):{'3_1':0.0},(471,527):{'3_1':0.03},(471,526):{'3_1':0.03},(471,525):{'3_1':0.03},(471,524):{'3_1':0.0},(471,523):{'3_1':0.0},(471,522):{'3_1':0.0},(471,521):{'3_1':0.06},(471,520):{'3_1':0.0},(471,519):{'3_1':0.0},(471,517):{'3_1':0.0},(471,516):{'3_1':0.0},(471,502):{'3_1':0.0},(471,500):{'3_1':0.0},(471,499):{'3_1':0.0},(471,491):{'3_1':0.0},(471,490):{'3_1':0.0},(471,489):{'3_1':0.0},(471,488):{'3_1':0.0},(471,487):{'3_1':0.0},(471,482):{'3_1':0.0},(471,479):{'3_1':0.0},(472,727):{'3_1':0.03},(472,725):{'3_1':0.03},(472,724):{'3_1':0.03},(472,723):{'3_1':0.0},(472,722):{'3_1':0.0},(472,721):{'3_1':0.03},(472,720):{'3_1':0.0},(472,719):{'3_1':0.0},(472,718):{'3_1':0.03,'4_1':0.0},(472,717):{'3_1':0.03,'4_1':0.0},(472,716):{'3_1':0.0},(472,715):{'3_1':0.03},(472,714):{'3_1':0.0},(472,713):{'3_1':0.03,'4_1':0.0},(472,712):{'3_1':0.03},(472,711):{'3_1':0.0},(472,710):{'3_1':0.0},(472,709):{'3_1':0.03},(472,708):{'3_1':0.03},(472,707):{'3_1':0.03},(472,706):{'3_1':0.0},(472,705):{'3_1':0.06,'4_1':0.0},(472,704):{'3_1':0.03,'7_1':0.0,'9_1':0.0},(472,703):{'3_1':0.03,'4_1':0.0},(472,702):{'3_1':0.06,'5_1':0.0},(472,701):{'3_1':0.06,'4_1':0.0},(472,700):{'3_1':0.06},(472,699):{'3_1':0.03},(472,698):{'3_1':0.03},(472,697):{'3_1':0.03,'4_1':0.0},(472,696):{'3_1':0.12,'5_1':0.0},(472,695):{'3_1':0.0,'5_1':0.0},(472,694):{'3_1':0.03,'4_1':0.0},(472,693):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(472,692):{'3_1':0.0,'4_1':0.0},(472,691):{'3_1':0.0},(472,690):{'3_1':0.0,'4_1':0.0},(472,689):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(472,688):{'3_1':0.06},(472,687):{'3_1':0.06},(472,686):{'3_1':0.0},(472,685):{'3_1':0.06},(472,684):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(472,683):{'3_1':0.0,'4_1':0.0},(472,682):{'3_1':0.03},(472,681):{'3_1':0.0,'4_1':0.0},(472,680):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(472,679):{'3_1':0.03,'5_1':0.0},(472,678):{'3_1':0.0,'5_2':0.0},(472,677):{'3_1':0.0,'4_1':0.0},(472,676):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(472,675):{'3_1':0.0},(472,674):{'3_1':0.03},(472,673):{'3_1':0.0,'4_1':0.0},(472,672):{'4_1':0.0},(472,671):{'3_1':0.0},(472,670):{'6_1':0.0},(472,669):{'3_1':0.0},(472,668):{'3_1':0.0,'4_1':0.0},(472,667):{'3_1':0.0},(472,666):{'3_1':0.0},(472,665):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(472,664):{'3_1':0.06},(472,663):{'3_1':0.0},(472,662):{'3_1':0.06,'4_1':0.0},(472,661):{'3_1':0.03,'4_1':0.0},(472,660):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(472,659):{'3_1':0.0,'4_1':0.0},(472,658):{'3_1':0.0},(472,657):{'3_1':0.0,'4_1':0.0},(472,656):{'3_1':0.0},(472,654):{'3_1':0.03},(472,653):{'3_1':0.0},(472,652):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(472,651):{'3_1':0.03},(472,650):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(472,649):{'3_1':0.0},(472,648):{'3_1':0.0,'4_1':0.0},(472,647):{'3_1':0.03,'5_1':0.0},(472,646):{'3_1':0.0,'4_1':0.0},(472,645):{'3_1':0.06,'5_1':0.0},(472,644):{'3_1':0.03,'5_1':0.0},(472,643):{'3_1':0.06},(472,642):{'3_1':0.06},(472,641):{'3_1':0.03},(472,640):{'3_1':0.03,'4_1':0.0},(472,639):{'3_1':0.03},(472,638):{'3_1':0.03},(472,637):{'3_1':0.03,'4_1':0.0},(472,636):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(472,635):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(472,634):{'3_1':0.0},(472,633):{'3_1':0.0},(472,632):{'3_1':0.03},(472,631):{'3_1':0.03,'4_1':0.0},(472,630):{'3_1':0.0},(472,629):{'3_1':0.0,'4_1':0.0},(472,628):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(472,627):{'3_1':0.0},(472,626):{'3_1':0.0,'5_2':0.0},(472,625):{'3_1':0.0,'4_1':0.0},(472,624):{'3_1':0.03,'5_1':0.0},(472,623):{'3_1':0.0},(472,622):{'3_1':0.0,'4_1':0.0},(472,621):{'3_1':0.03,'5_1':0.0},(472,620):{'3_1':0.0},(472,619):{'3_1':0.03},(472,618):{'3_1':0.06,'4_1':0.0},(472,617):{'3_1':0.03,'4_1':0.0},(472,616):{'3_1':0.03},(472,615):{'3_1':0.03,'4_1':0.0},(472,614):{'3_1':0.0},(472,613):{'3_1':0.03,'4_1':0.0},(472,612):{'3_1':0.0,'4_1':0.0},(472,611):{'3_1':0.0},(472,610):{'3_1':0.03},(472,609):{'3_1':0.03},(472,608):{'3_1':0.0},(472,607):{'3_1':0.0},(472,606):{'3_1':0.0},(472,605):{'3_1':0.0},(472,603):{'3_1':0.0,'4_1':0.0},(472,602):{'3_1':0.0,'5_2':0.0},(472,601):{'3_1':0.0},(472,600):{'3_1':0.0},(472,599):{'3_1':0.0},(472,598):{'3_1':0.0},(472,597):{'3_1':0.03,'4_1':0.0},(472,596):{'4_1':0.0,'3_1':0.0},(472,595):{'3_1':0.0,'4_1':0.0},(472,594):{'3_1':0.0},(472,592):{'3_1':0.0},(472,590):{'3_1':0.0,'4_1':0.0},(472,589):{'4_1':0.0},(472,588):{'3_1':0.0},(472,587):{'3_1':0.0},(472,586):{'3_1':0.0,'4_1':0.0},(472,583):{'3_1':0.0,'4_1':0.0},(472,582):{'3_1':0.0},(472,581):{'3_1':0.0},(472,579):{'3_1':0.0},(472,578):{'4_1':0.0},(472,576):{'3_1':0.0},(472,575):{'4_1':0.0},(472,574):{'3_1':0.0,'4_1':0.0},(472,573):{'3_1':0.0},(472,572):{'3_1':0.0},(472,570):{'4_1':0.0,'3_1':0.0},(472,569):{'3_1':0.0,'4_1':0.0},(472,568):{'3_1':0.0},(472,567):{'3_1':0.0,'4_1':0.0},(472,565):{'3_1':0.0},(472,564):{'3_1':0.0},(472,563):{'4_1':0.0},(472,562):{'3_1':0.0},(472,559):{'3_1':0.0,'4_1':0.0},(472,558):{'4_1':0.0,'3_1':0.0},(472,557):{'3_1':0.0,'4_1':0.0},(472,555):{'3_1':0.0},(472,554):{'3_1':0.0},(472,553):{'4_1':0.0,'3_1':0.0},(472,551):{'3_1':0.0},(472,549):{'4_1':0.0},(472,545):{'3_1':0.0,'5_2':0.0},(472,542):{'3_1':0.0},(472,541):{'3_1':0.0},(472,540):{'3_1':0.0},(472,539):{'3_1':0.0},(472,537):{'3_1':0.0,'5_2':0.0},(472,536):{'3_1':0.0},(472,535):{'3_1':0.0},(472,534):{'3_1':0.0},(472,533):{'3_1':0.03},(472,532):{'3_1':0.0},(472,531):{'3_1':0.0},(472,530):{'3_1':0.03},(472,529):{'3_1':0.03},(472,528):{'3_1':0.0},(472,527):{'3_1':0.0},(472,526):{'3_1':0.09},(472,525):{'3_1':0.0},(472,524):{'3_1':0.0},(472,523):{'3_1':0.0},(472,522):{'3_1':0.0},(472,521):{'3_1':0.0},(472,520):{'3_1':0.0},(472,519):{'3_1':0.0},(472,518):{'3_1':0.0},(472,517):{'3_1':0.0},(472,513):{'3_1':0.0},(472,510):{'4_1':0.0},(472,509):{'3_1':0.0},(472,502):{'3_1':0.0},(472,490):{'3_1':0.0},(472,489):{'5_1':0.0},(473,727):{'3_1':0.03},(473,726):{'3_1':0.0},(473,725):{'3_1':0.03},(473,724):{'3_1':0.0,'4_1':0.0},(473,723):{'3_1':0.03},(473,722):{'3_1':0.0,'4_1':0.0},(473,721):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(473,720):{'3_1':0.0},(473,719):{'3_1':0.03},(473,718):{'3_1':0.03},(473,717):{'3_1':0.03},(473,716):{'3_1':0.03},(473,715):{'3_1':0.0,'4_1':0.0},(473,714):{'3_1':0.0,'4_1':0.0},(473,713):{'3_1':0.0},(473,712):{'3_1':0.03},(473,711):{'3_1':0.03},(473,710):{'3_1':0.0},(473,709):{'3_1':0.03},(473,708):{'3_1':0.0},(473,707):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(473,706):{'3_1':0.03,'5_1':0.0},(473,705):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(473,704):{'3_1':0.09,'5_1':0.0},(473,703):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(473,702):{'3_1':0.06},(473,701):{'3_1':0.09},(473,700):{'3_1':0.03,'5_1':0.0},(473,699):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(473,698):{'3_1':0.06,'4_1':0.0},(473,697):{'3_1':0.06,'5_1':0.0},(473,696):{'3_1':0.03},(473,695):{'3_1':0.03},(473,694):{'3_1':0.06},(473,693):{'3_1':0.06,'4_1':0.0},(473,692):{'3_1':0.03,'4_1':0.0},(473,691):{'3_1':0.06,'4_1':0.0},(473,690):{'3_1':0.12,'4_1':0.0},(473,689):{'3_1':0.09},(473,688):{'3_1':0.03,'4_1':0.0},(473,687):{'3_1':0.03},(473,686):{'3_1':0.03},(473,685):{'3_1':0.03},(473,684):{'3_1':0.03,'5_1':0.0},(473,683):{'3_1':0.03},(473,682):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(473,681):{'3_1':0.03},(473,680):{'3_1':0.0,'4_1':0.0},(473,679):{'3_1':0.0},(473,678):{'3_1':0.03},(473,676):{'3_1':0.0},(473,675):{'3_1':0.0,'4_1':0.0},(473,674):{'3_1':0.03},(473,673):{'3_1':0.03},(473,672):{'3_1':0.0,'4_1':0.0},(473,671):{'3_1':0.0},(473,670):{'3_1':0.0},(473,669):{'3_1':0.0,'6_1':0.0},(473,667):{'3_1':0.06,'4_1':0.0},(473,666):{'3_1':0.03},(473,665):{'3_1':0.0},(473,664):{'3_1':0.03},(473,663):{'3_1':0.0,'4_1':0.0},(473,662):{'3_1':0.0},(473,661):{'3_1':0.0},(473,660):{'3_1':0.0},(473,659):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(473,658):{'3_1':0.0},(473,657):{'3_1':0.0,'5_1':0.0},(473,656):{'3_1':0.03},(473,655):{'3_1':0.0,'4_1':0.0},(473,654):{'3_1':0.0},(473,653):{'3_1':0.06},(473,652):{'3_1':0.03,'4_1':0.0},(473,650):{'3_1':0.03,'5_1':0.0},(473,649):{'3_1':0.0},(473,648):{'3_1':0.09},(473,647):{'3_1':0.03},(473,646):{'3_1':0.0,'5_1':0.0},(473,645):{'3_1':0.03,'4_1':0.0},(473,644):{'3_1':0.06,'5_1':0.0},(473,643):{'3_1':0.03,'5_2':0.0},(473,642):{'3_1':0.0,'4_1':0.0},(473,641):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(473,640):{'3_1':0.09},(473,639):{'3_1':0.0,'5_1':0.0},(473,638):{'3_1':0.0},(473,637):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(473,636):{'3_1':0.03},(473,635):{'3_1':0.0},(473,634):{'3_1':0.0},(473,633):{'3_1':0.03},(473,632):{'3_1':0.0,'5_1':0.0},(473,631):{'3_1':0.03},(473,630):{'3_1':0.0,'5_1':0.0},(473,629):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(473,628):{'3_1':0.0},(473,627):{'3_1':0.03,'4_1':0.0},(473,626):{'3_1':0.03},(473,625):{'3_1':0.0,'5_1':0.0},(473,624):{'3_1':0.0},(473,623):{'3_1':0.03},(473,622):{'3_1':0.03},(473,621):{'3_1':0.06},(473,620):{'3_1':0.03},(473,619):{'3_1':0.09},(473,618):{'3_1':0.03,'4_1':0.0},(473,617):{'3_1':0.03,'4_1':0.03},(473,616):{'3_1':0.03},(473,615):{'3_1':0.03,'4_1':0.0},(473,612):{'3_1':0.0},(473,611):{'3_1':0.0,'4_1':0.0},(473,610):{'3_1':0.03},(473,609):{'3_1':0.0},(473,608):{'4_1':0.0},(473,606):{'3_1':0.0,'6_1':0.0},(473,604):{'3_1':0.0},(473,603):{'4_1':0.0},(473,602):{'3_1':0.0},(473,601):{'3_1':0.0},(473,600):{'3_1':0.0},(473,599):{'4_1':0.0},(473,598):{'3_1':0.03},(473,597):{'3_1':0.03},(473,596):{'4_1':0.0},(473,595):{'3_1':0.0,'5_2':0.0},(473,594):{'3_1':0.0},(473,593):{'3_1':0.0,'5_2':0.0},(473,592):{'3_1':0.0},(473,591):{'3_1':0.0},(473,590):{'3_1':0.0,'4_1':0.0},(473,589):{'3_1':0.0},(473,588):{'3_1':0.0,'4_1':0.0},(473,587):{'4_1':0.0},(473,586):{'3_1':0.0,'4_1':0.0},(473,582):{'3_1':0.0},(473,581):{'3_1':0.0},(473,579):{'3_1':0.0},(473,578):{'3_1':0.0},(473,576):{'4_1':0.0},(473,574):{'3_1':0.0},(473,572):{'3_1':0.0},(473,571):{'3_1':0.0},(473,570):{'3_1':0.0},(473,569):{'3_1':0.0},(473,564):{'3_1':0.0,'4_1':0.0},(473,563):{'3_1':0.0},(473,560):{'3_1':0.0},(473,559):{'3_1':0.0},(473,557):{'3_1':0.0,'4_1':0.0},(473,555):{'3_1':0.0},(473,544):{'3_1':0.0},(473,542):{'3_1':0.0},(473,540):{'3_1':0.0},(473,538):{'3_1':0.0},(473,537):{'3_1':0.03},(473,536):{'3_1':0.0},(473,534):{'3_1':0.0},(473,533):{'3_1':0.0},(473,531):{'3_1':0.0},(473,530):{'3_1':0.0},(473,529):{'3_1':0.0},(473,527):{'3_1':0.0},(473,526):{'3_1':0.0},(473,524):{'3_1':0.0},(473,523):{'3_1':0.0},(473,522):{'3_1':0.0},(473,521):{'3_1':0.0},(473,520):{'3_1':0.0},(473,519):{'3_1':0.0},(473,518):{'3_1':0.0},(473,517):{'3_1':0.0},(473,516):{'3_1':0.0},(473,515):{'3_1':0.0},(473,513):{'3_1':0.0},(473,508):{'3_1':0.0},(473,505):{'3_1':0.0},(473,490):{'3_1':0.0},(473,487):{'3_1':0.0},(473,486):{'3_1':0.0,'4_1':0.0},(473,485):{'3_1':0.0},(473,484):{'3_1':0.0},(474,727):{'3_1':0.03},(474,726):{'3_1':0.0},(474,725):{'3_1':0.03},(474,724):{'3_1':0.0,'4_1':0.0},(474,723):{'3_1':0.0},(474,722):{'3_1':0.0},(474,721):{'3_1':0.0},(474,720):{'3_1':0.0,'4_1':0.0},(474,719):{'3_1':0.0},(474,718):{'3_1':0.0},(474,717):{'3_1':0.03},(474,716):{'3_1':0.0},(474,715):{'3_1':0.0},(474,713):{'3_1':0.03},(474,712):{'3_1':0.03},(474,711):{'3_1':0.03,'5_1':0.0},(474,709):{'3_1':0.03},(474,708):{'3_1':0.03},(474,707):{'3_1':0.0},(474,706):{'3_1':0.0},(474,705):{'3_1':0.03},(474,704):{'3_1':0.0,'4_1':0.0},(474,703):{'3_1':0.06},(474,702):{'3_1':0.06,'5_1':0.0},(474,701):{'3_1':0.06,'4_1':0.0},(474,700):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(474,699):{'3_1':0.06,'5_2':0.0},(474,698):{'3_1':0.03},(474,697):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(474,696):{'3_1':0.03},(474,695):{'3_1':0.0,'5_1':0.0},(474,694):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(474,693):{'3_1':0.03},(474,692):{'3_1':0.03,'5_1':0.0},(474,691):{'3_1':0.06},(474,690):{'3_1':0.03},(474,689):{'3_1':0.0},(474,688):{'3_1':0.0},(474,687):{'3_1':0.0},(474,686):{'3_1':0.03},(474,685):{'3_1':0.03},(474,684):{'3_1':0.0,'5_1':0.0},(474,683):{'3_1':0.0,'4_1':0.0},(474,682):{'3_1':0.03},(474,681):{'3_1':0.09},(474,680):{'3_1':0.03,'5_1':0.0},(474,679):{'3_1':0.0,'4_1':0.0},(474,678):{'3_1':0.0,'5_1':0.0},(474,677):{'3_1':0.03},(474,676):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(474,675):{'3_1':0.0},(474,674):{'3_1':0.0,'4_1':0.0},(474,673):{'3_1':0.0},(474,672):{'3_1':0.0,'4_1':0.0},(474,671):{'4_1':0.0},(474,670):{'3_1':0.0},(474,669):{'3_1':0.0},(474,668):{'3_1':0.0},(474,667):{'3_1':0.0,'5_2':0.0},(474,666):{'3_1':0.0},(474,665):{'3_1':0.0},(474,664):{'3_1':0.03},(474,663):{'3_1':0.03,'6_1':0.0},(474,662):{'3_1':0.03,'4_1':0.0},(474,661):{'3_1':0.0},(474,660):{'3_1':0.03,'4_1':0.0},(474,659):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(474,658):{'3_1':0.0,'5_1':0.0},(474,657):{'3_1':0.0,'4_1':0.0},(474,656):{'3_1':0.03},(474,655):{'3_1':0.0},(474,654):{'3_1':0.0},(474,653):{'3_1':0.0},(474,652):{'3_1':0.0,'4_1':0.0},(474,651):{'3_1':0.0},(474,650):{'3_1':0.0},(474,649):{'3_1':0.03},(474,648):{'3_1':0.03},(474,647):{'3_1':0.09},(474,646):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(474,645):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(474,644):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(474,643):{'3_1':0.06,'4_1':0.0},(474,642):{'3_1':0.03,'5_1':0.0},(474,641):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(474,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(474,639):{'3_1':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(474,638):{'3_1':0.03},(474,637):{'3_1':0.0,'4_1':0.0},(474,636):{'3_1':0.03},(474,635):{'3_1':0.0,'5_1':0.0},(474,634):{'3_1':0.0,'5_1':0.0},(474,633):{'3_1':0.0,'5_1':0.0},(474,632):{'3_1':0.0},(474,631):{'3_1':0.0,'5_1':0.0},(474,630):{'3_1':0.03},(474,629):{'3_1':0.0},(474,628):{'3_1':0.09,'5_2':0.0},(474,627):{'3_1':0.0,'5_2':0.0},(474,626):{'3_1':0.0},(474,625):{'3_1':0.03,'5_1':0.0},(474,624):{'3_1':0.03},(474,623):{'3_1':0.03},(474,622):{'3_1':0.03,'5_2':0.0},(474,621):{'3_1':0.0},(474,620):{'3_1':0.03},(474,619):{'3_1':0.0},(474,618):{'3_1':0.0,'5_1':0.0},(474,617):{'3_1':0.0},(474,616):{'3_1':0.0},(474,615):{'3_1':0.06},(474,614):{'3_1':0.03,'4_1':0.0},(474,613):{'3_1':0.0},(474,612):{'3_1':0.0},(474,611):{'3_1':0.0,'5_1':0.0},(474,610):{'3_1':0.03},(474,609):{'3_1':0.0,'4_1':0.0},(474,608):{'3_1':0.0},(474,607):{'3_1':0.0,'4_1':0.0},(474,606):{'3_1':0.0},(474,605):{'3_1':0.0},(474,604):{'3_1':0.0},(474,603):{'3_1':0.0},(474,602):{'3_1':0.03,'4_1':0.0},(474,601):{'5_2':0.0},(474,600):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(474,598):{'3_1':0.0},(474,597):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(474,596):{'3_1':0.03,'5_2':0.0},(474,595):{'3_1':0.0,'5_1':0.0},(474,594):{'3_1':0.03},(474,592):{'3_1':0.0},(474,591):{'3_1':0.0},(474,590):{'3_1':0.03},(474,589):{'3_1':0.0},(474,588):{'3_1':0.0},(474,587):{'3_1':0.0},(474,586):{'3_1':0.0},(474,584):{'3_1':0.0},(474,583):{'3_1':0.0},(474,581):{'3_1':0.0},(474,580):{'4_1':0.0},(474,579):{'3_1':0.0},(474,578):{'3_1':0.0},(474,574):{'3_1':0.0},(474,573):{'3_1':0.0},(474,572):{'3_1':0.0},(474,571):{'3_1':0.0},(474,570):{'3_1':0.0},(474,567):{'3_1':0.0},(474,565):{'3_1':0.0},(474,564):{'3_1':0.0},(474,559):{'3_1':0.0},(474,557):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(474,556):{'3_1':0.0},(474,552):{'3_1':0.0},(474,551):{'3_1':0.0},(474,550):{'3_1':0.0},(474,549):{'3_1':0.0},(474,547):{'3_1':0.0},(474,545):{'3_1':0.0},(474,544):{'3_1':0.0},(474,543):{'3_1':0.0},(474,542):{'3_1':0.0},(474,540):{'3_1':0.0},(474,538):{'3_1':0.0},(474,537):{'3_1':0.03},(474,536):{'3_1':0.0},(474,534):{'3_1':0.03},(474,533):{'3_1':0.03},(474,532):{'3_1':0.0},(474,531):{'3_1':0.0},(474,530):{'3_1':0.0},(474,529):{'3_1':0.0},(474,527):{'3_1':0.0},(474,526):{'3_1':0.03},(474,525):{'3_1':0.0},(474,524):{'3_1':0.0},(474,523):{'3_1':0.0},(474,522):{'3_1':0.0},(474,521):{'3_1':0.0},(474,519):{'3_1':0.0},(474,518):{'3_1':0.0},(474,517):{'3_1':0.0},(474,509):{'3_1':0.0},(474,508):{'3_1':0.0},(474,488):{'3_1':0.0},(474,486):{'3_1':0.0},(474,478):{'3_1':0.0},(475,727):{'3_1':0.0,'4_1':0.0},(475,726):{'3_1':0.0,'4_1':0.0},(475,725):{'3_1':0.0},(475,724):{'3_1':0.03},(475,723):{'3_1':0.06},(475,722):{'3_1':0.03},(475,721):{'3_1':0.0},(475,720):{'3_1':0.03},(475,719):{'3_1':0.0},(475,718):{'3_1':0.0},(475,717):{'3_1':0.0},(475,716):{'3_1':0.0},(475,715):{'3_1':0.03,'5_1':0.0},(475,714):{'3_1':0.03},(475,713):{'3_1':0.0},(475,712):{'3_1':0.03},(475,711):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(475,710):{'3_1':0.03},(475,709):{'3_1':0.06},(475,708):{'3_1':0.06},(475,707):{'3_1':0.03},(475,706):{'3_1':0.03},(475,705):{'3_1':0.09},(475,704):{'3_1':0.03},(475,703):{'3_1':0.0,'5_1':0.0},(475,702):{'3_1':0.03},(475,701):{'3_1':0.06},(475,700):{'3_1':0.06},(475,699):{'3_1':0.03,'5_1':0.0},(475,698):{'3_1':0.03,'5_1':0.0},(475,697):{'3_1':0.03},(475,696):{'3_1':0.03},(475,695):{'3_1':0.03,'4_1':0.0},(475,694):{'3_1':0.03},(475,693):{'3_1':0.06},(475,692):{'3_1':0.06,'5_1':0.0},(475,691):{'3_1':0.12},(475,690):{'3_1':0.06,'5_2':0.0},(475,689):{'3_1':0.0},(475,688):{'3_1':0.06},(475,687):{'3_1':0.06},(475,686):{'3_1':0.03},(475,685):{'3_1':0.03},(475,684):{'3_1':0.06,'4_1':0.0},(475,683):{'3_1':0.09,'4_1':0.0},(475,682):{'3_1':0.03},(475,681):{'3_1':0.03},(475,680):{'3_1':0.0,'4_1':0.0},(475,679):{'3_1':0.0},(475,678):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(475,677):{'3_1':0.0,'4_1':0.0},(475,676):{'3_1':0.06,'7_1':0.0},(475,675):{'3_1':0.09},(475,674):{'3_1':0.03,'4_1':0.0},(475,673):{'3_1':0.0,'5_1':0.0},(475,672):{'3_1':0.0,'6_2':0.0},(475,671):{'3_1':0.03},(475,670):{'3_1':0.03},(475,669):{'3_1':0.03,'4_1':0.0},(475,668):{'3_1':0.0,'5_1':0.0},(475,667):{'3_1':0.0},(475,666):{'3_1':0.0},(475,665):{'3_1':0.0},(475,664):{'3_1':0.03},(475,663):{'3_1':0.03},(475,662):{'3_1':0.03},(475,661):{'3_1':0.0},(475,660):{'3_1':0.03},(475,659):{'3_1':0.03},(475,658):{'3_1':0.0,'4_1':0.0},(475,657):{'3_1':0.06,'4_1':0.0},(475,656):{'3_1':0.0},(475,655):{'3_1':0.03},(475,654):{'3_1':0.0},(475,653):{'3_1':0.03},(475,652):{'3_1':0.03},(475,651):{'3_1':0.03},(475,650):{'3_1':0.03},(475,649):{'3_1':0.03},(475,648):{'3_1':0.0},(475,647):{'3_1':0.03,'5_1':0.0},(475,646):{'3_1':0.06},(475,645):{'3_1':0.03},(475,644):{'3_1':0.03,'4_1':0.0},(475,643):{'3_1':0.09,'5_1':0.0},(475,642):{'3_1':0.0},(475,641):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(475,640):{'3_1':0.0,'5_1':0.0},(475,639):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(475,638):{'3_1':0.0,'4_1':0.0},(475,637):{'3_1':0.03,'4_1':0.0},(475,636):{'3_1':0.0,'5_1':0.0},(475,635):{'3_1':0.03},(475,634):{'3_1':0.03,'4_1':0.0},(475,633):{'3_1':0.03},(475,632):{'4_1':0.0,'3_1':0.0},(475,631):{'3_1':0.0},(475,630):{'3_1':0.03},(475,629):{'3_1':0.0},(475,628):{'3_1':0.06},(475,627):{'3_1':0.0},(475,626):{'3_1':0.0},(475,625):{'3_1':0.03},(475,624):{'3_1':0.0},(475,623):{'3_1':0.03},(475,622):{'3_1':0.03},(475,621):{'3_1':0.03},(475,620):{'3_1':0.03},(475,619):{'3_1':0.03,'4_1':0.0},(475,618):{'3_1':0.03,'5_2':0.0},(475,617):{'3_1':0.06,'5_2':0.0},(475,616):{'3_1':0.03,'5_1':0.0},(475,615):{'3_1':0.03},(475,614):{'3_1':0.0,'4_1':0.0},(475,613):{'3_1':0.03},(475,612):{'3_1':0.03},(475,611):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(475,610):{'3_1':0.0},(475,609):{'3_1':0.0},(475,608):{'3_1':0.0},(475,607):{'3_1':0.0},(475,606):{'3_1':0.0},(475,605):{'3_1':0.0},(475,604):{'3_1':0.0},(475,603):{'3_1':0.0,'5_2':0.0},(475,602):{'3_1':0.0},(475,601):{'3_1':0.0,'4_1':0.0},(475,600):{'3_1':0.0},(475,599):{'3_1':0.0},(475,598):{'3_1':0.03},(475,597):{'3_1':0.03,'4_1':0.0},(475,596):{'3_1':0.03,'4_1':0.0},(475,595):{'3_1':0.0},(475,594):{'3_1':0.03,'4_1':0.0},(475,593):{'3_1':0.0},(475,592):{'3_1':0.0,'4_1':0.0},(475,591):{'3_1':0.0},(475,590):{'3_1':0.03,'4_1':0.0},(475,589):{'3_1':0.03},(475,588):{'3_1':0.0},(475,587):{'3_1':0.0},(475,586):{'3_1':0.0},(475,585):{'3_1':0.0,'4_1':0.0},(475,584):{'3_1':0.0,'4_1':0.0},(475,583):{'3_1':0.0},(475,582):{'3_1':0.0,'4_1':0.0},(475,581):{'3_1':0.0},(475,580):{'3_1':0.0},(475,579):{'3_1':0.0},(475,578):{'3_1':0.0},(475,576):{'3_1':0.0},(475,575):{'3_1':0.0},(475,574):{'4_1':0.0},(475,573):{'3_1':0.0},(475,572):{'3_1':0.0},(475,570):{'3_1':0.0},(475,569):{'3_1':0.0},(475,568):{'3_1':0.0},(475,567):{'3_1':0.0},(475,566):{'3_1':0.0},(475,564):{'3_1':0.0,'4_1':0.0},(475,562):{'3_1':0.0},(475,560):{'3_1':0.0},(475,559):{'3_1':0.0},(475,558):{'3_1':0.0},(475,556):{'3_1':0.0},(475,554):{'3_1':0.0},(475,553):{'3_1':0.0},(475,550):{'3_1':0.0},(475,549):{'3_1':0.0},(475,548):{'3_1':0.0},(475,547):{'3_1':0.0},(475,545):{'3_1':0.0},(475,544):{'3_1':0.03,'4_1':0.0},(475,543):{'3_1':0.0},(475,542):{'3_1':0.0},(475,540):{'3_1':0.03},(475,539):{'3_1':0.0},(475,538):{'3_1':0.0},(475,537):{'3_1':0.0},(475,536):{'3_1':0.0},(475,534):{'3_1':0.0},(475,533):{'3_1':0.0},(475,532):{'3_1':0.0},(475,531):{'3_1':0.03},(475,530):{'3_1':0.0},(475,529):{'3_1':0.03},(475,528):{'3_1':0.03},(475,527):{'3_1':0.03},(475,526):{'3_1':0.03},(475,525):{'3_1':0.0,'5_2':0.0},(475,524):{'3_1':0.0},(475,523):{'3_1':0.0},(475,522):{'3_1':0.0},(475,521):{'3_1':0.0},(475,520):{'3_1':0.0},(475,519):{'3_1':0.03},(475,518):{'3_1':0.0},(475,517):{'3_1':0.0},(475,516):{'3_1':0.0},(475,512):{'3_1':0.0},(475,511):{'3_1':0.0},(475,508):{'3_1':0.0},(475,503):{'3_1':0.0},(475,500):{'3_1':0.0},(475,490):{'3_1':0.0},(475,488):{'3_1':0.0},(475,487):{'3_1':0.0},(475,486):{'3_1':0.0},(475,484):{'3_1':0.0},(475,480):{'3_1':0.0},(476,726):{'3_1':0.03},(476,725):{'3_1':0.0},(476,724):{'3_1':0.0},(476,723):{'3_1':0.0},(476,722):{'3_1':0.03},(476,721):{'3_1':0.0},(476,720):{'3_1':0.0},(476,719):{'3_1':0.0},(476,718):{'3_1':0.0},(476,717):{'3_1':0.0,'5_1':0.0},(476,716):{'3_1':0.0},(476,715):{'3_1':0.03,'4_1':0.0},(476,714):{'3_1':0.0},(476,713):{'3_1':0.03},(476,712):{'3_1':0.03,'4_1':0.0},(476,711):{'3_1':0.03},(476,710):{'3_1':0.0,'4_1':0.0},(476,709):{'3_1':0.0},(476,708):{'3_1':0.0},(476,707):{'3_1':0.0},(476,706):{'3_1':0.03,'5_1':0.0},(476,705):{'3_1':0.06,'4_1':0.0},(476,704):{'3_1':0.06},(476,703):{'3_1':0.06},(476,702):{'3_1':0.03},(476,701):{'3_1':0.03,'4_1':0.0},(476,700):{'3_1':0.0,'5_1':0.0},(476,699):{'3_1':0.06},(476,698):{'3_1':0.03},(476,697):{'3_1':0.03},(476,696):{'3_1':0.06,'4_1':0.0},(476,695):{'3_1':0.09},(476,694):{'3_1':0.03},(476,693):{'3_1':0.03},(476,692):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(476,691):{'3_1':0.03},(476,690):{'3_1':0.0},(476,689):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(476,688):{'3_1':0.03,'4_1':0.0},(476,687):{'3_1':0.03},(476,686):{'3_1':0.0},(476,685):{'3_1':0.0},(476,684):{'3_1':0.06},(476,683):{'3_1':0.06},(476,682):{'3_1':0.03},(476,681):{'3_1':0.06},(476,680):{'3_1':0.03,'4_1':0.0},(476,679):{'3_1':0.0},(476,677):{'3_1':0.0,'4_1':0.0},(476,676):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(476,675):{'3_1':0.03},(476,674):{'3_1':0.0},(476,673):{'3_1':0.03},(476,672):{'3_1':0.06,'5_2':0.0},(476,671):{'3_1':0.0},(476,670):{'3_1':0.03},(476,669):{'3_1':0.06,'6_1':0.0},(476,668):{'3_1':0.0,'5_1':0.0},(476,667):{'3_1':0.06},(476,666):{'3_1':0.03},(476,665):{'3_1':0.03},(476,664):{'3_1':0.03,'4_1':0.0},(476,663):{'3_1':0.03},(476,662):{'3_1':0.0},(476,661):{'3_1':0.0},(476,660):{'3_1':0.0},(476,659):{'3_1':0.03},(476,658):{'3_1':0.03,'4_1':0.0},(476,657):{'3_1':0.03,'4_1':0.0},(476,656):{'3_1':0.03,'5_2':0.0},(476,655):{'3_1':0.03,'4_1':0.0},(476,654):{'3_1':0.06},(476,653):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(476,652):{'3_1':0.06},(476,651):{'3_1':0.03,'5_1':0.0},(476,650):{'3_1':0.03,'4_1':0.0},(476,649):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(476,648):{'3_1':0.03},(476,647):{'3_1':0.03,'5_1':0.0},(476,646):{'3_1':0.03,'4_1':0.0},(476,645):{'3_1':0.06},(476,644):{'3_1':0.03,'5_1':0.0},(476,643):{'3_1':0.09,'5_2':0.0},(476,642):{'3_1':0.06,'4_1':0.0},(476,641):{'3_1':0.06},(476,640):{'3_1':0.03,'7_1':0.0},(476,639):{'3_1':0.03},(476,638):{'3_1':0.06},(476,637):{'3_1':0.03},(476,636):{'5_1':0.0},(476,635):{'3_1':0.03},(476,633):{'3_1':0.0,'4_1':0.0},(476,632):{'3_1':0.0,'4_1':0.0},(476,631):{'3_1':0.0},(476,630):{'3_1':0.0},(476,629):{'3_1':0.0},(476,628):{'3_1':0.0,'5_1':0.0},(476,627):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(476,626):{'3_1':0.06},(476,625):{'3_1':0.03},(476,624):{'3_1':0.03,'5_1':0.0},(476,623):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(476,622):{'3_1':0.03,'4_1':0.0},(476,621):{'3_1':0.0,'4_1':0.0},(476,620):{'3_1':0.06},(476,619):{'3_1':0.06},(476,618):{'3_1':0.0,'4_1':0.0},(476,617):{'3_1':0.03},(476,616):{'3_1':0.06,'4_1':0.0},(476,615):{'3_1':0.03,'5_2':0.0},(476,614):{'3_1':0.0},(476,613):{'3_1':0.06},(476,611):{'3_1':0.0},(476,610):{'3_1':0.03},(476,609):{'3_1':0.0},(476,608):{'3_1':0.0},(476,607):{'3_1':0.0},(476,606):{'3_1':0.0},(476,605):{'4_1':0.0},(476,604):{'3_1':0.0},(476,601):{'3_1':0.0},(476,600):{'3_1':0.0},(476,599):{'3_1':0.0},(476,598):{'3_1':0.0},(476,597):{'3_1':0.06,'4_1':0.0},(476,596):{'3_1':0.0},(476,595):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(476,594):{'5_1':0.0,'4_1':0.0},(476,593):{'3_1':0.0},(476,592):{'3_1':0.0},(476,591):{'3_1':0.03,'6_1':0.0},(476,590):{'3_1':0.0},(476,589):{'3_1':0.0,'5_2':0.0},(476,588):{'3_1':0.0},(476,587):{'4_1':0.0},(476,585):{'3_1':0.0},(476,583):{'3_1':0.0},(476,582):{'3_1':0.0},(476,580):{'3_1':0.0},(476,578):{'3_1':0.0},(476,576):{'3_1':0.0},(476,574):{'3_1':0.0},(476,573):{'3_1':0.0},(476,572):{'3_1':0.0},(476,571):{'3_1':0.0},(476,569):{'3_1':0.0},(476,567):{'3_1':0.0},(476,566):{'3_1':0.0},(476,565):{'3_1':0.0},(476,562):{'3_1':0.0},(476,559):{'3_1':0.0},(476,558):{'3_1':0.0},(476,557):{'3_1':0.0},(476,555):{'3_1':0.0},(476,552):{'3_1':0.0},(476,551):{'3_1':0.0},(476,550):{'3_1':0.0},(476,549):{'3_1':0.0},(476,547):{'3_1':0.0},(476,546):{'3_1':0.0},(476,545):{'3_1':0.0},(476,544):{'3_1':0.0},(476,543):{'4_1':0.0},(476,542):{'3_1':0.0},(476,541):{'3_1':0.0},(476,540):{'3_1':0.0},(476,539):{'3_1':0.0},(476,538):{'3_1':0.0},(476,537):{'3_1':0.03},(476,536):{'3_1':0.0},(476,535):{'3_1':0.0},(476,534):{'3_1':0.03},(476,533):{'3_1':0.0},(476,526):{'3_1':0.03},(476,525):{'5_2':0.0},(476,524):{'3_1':0.0},(476,523):{'3_1':0.0},(476,522):{'3_1':0.0},(476,520):{'3_1':0.0},(476,519):{'3_1':0.0},(476,518):{'3_1':0.0},(476,512):{'3_1':0.0},(476,511):{'3_1':0.0},(476,510):{'3_1':0.0},(476,507):{'3_1':0.0},(477,726):{'3_1':0.0},(477,725):{'3_1':0.0},(477,724):{'3_1':0.0,'4_1':0.0},(477,723):{'3_1':0.03},(477,722):{'3_1':0.0,'4_1':0.0},(477,721):{'3_1':0.0},(477,720):{'3_1':0.03},(477,719):{'3_1':0.0},(477,718):{'3_1':0.0,'5_2':0.0},(477,717):{'3_1':0.03},(477,716):{'3_1':0.03},(477,715):{'3_1':0.0},(477,714):{'3_1':0.0,'4_1':0.0},(477,713):{'3_1':0.0},(477,712):{'3_1':0.03,'4_1':0.0},(477,711):{'3_1':0.06},(477,710):{'3_1':0.0},(477,709):{'3_1':0.03},(477,708):{'3_1':0.03,'5_1':0.0},(477,707):{'3_1':0.06,'4_1':0.0},(477,706):{'3_1':0.06,'4_1':0.0},(477,705):{'3_1':0.09,'4_1':0.0},(477,704):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(477,703):{'3_1':0.06},(477,702):{'3_1':0.03},(477,701):{'3_1':0.06,'4_1':0.0},(477,700):{'3_1':0.06},(477,699):{'3_1':0.06,'4_1':0.0},(477,698):{'3_1':0.03,'4_1':0.0},(477,697):{'3_1':0.09,'5_1':0.0,'7_1':0.0},(477,696):{'3_1':0.03},(477,695):{'3_1':0.03},(477,694):{'3_1':0.0},(477,693):{'3_1':0.0,'5_1':0.0},(477,692):{'3_1':0.06,'5_1':0.0},(477,691):{'3_1':0.06},(477,690):{'3_1':0.06},(477,689):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(477,688):{'3_1':0.03},(477,687):{'3_1':0.03},(477,686):{'3_1':0.03,'4_1':0.0},(477,685):{'3_1':0.06},(477,684):{'3_1':0.0},(477,683):{'3_1':0.03,'7_1':0.0,'4_1':0.0},(477,682):{'3_1':0.0},(477,681):{'3_1':0.03},(477,680):{'3_1':0.0,'4_1':0.0},(477,679):{'3_1':0.0},(477,678):{'3_1':0.0},(477,677):{'3_1':0.03,'5_1':0.0},(477,676):{'3_1':0.0},(477,675):{'3_1':0.0},(477,674):{'3_1':0.0},(477,673):{'3_1':0.0,'5_1':0.0},(477,672):{'3_1':0.0},(477,671):{'3_1':0.0},(477,670):{'3_1':0.0,'5_1':0.0},(477,669):{'3_1':0.0},(477,668):{'3_1':0.03},(477,667):{'3_1':0.0},(477,666):{'3_1':0.03},(477,665):{'3_1':0.0},(477,664):{'3_1':0.0},(477,663):{'3_1':0.0},(477,662):{'3_1':0.03},(477,661):{'3_1':0.06,'4_1':0.0},(477,660):{'3_1':0.0},(477,659):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(477,658):{'3_1':0.0},(477,657):{'3_1':0.0},(477,656):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(477,655):{'3_1':0.03},(477,654):{'3_1':0.03},(477,653):{'3_1':0.03,'5_2':0.0},(477,652):{'3_1':0.06},(477,651):{'3_1':0.0},(477,650):{'3_1':0.03,'5_2':0.0},(477,649):{'3_1':0.0},(477,648):{'3_1':0.06,'4_1':0.0},(477,647):{'3_1':0.0,'4_1':0.0},(477,646):{'3_1':0.03,'4_1':0.0},(477,645):{'3_1':0.0},(477,644):{'3_1':0.03,'4_1':0.0},(477,643):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(477,642):{'3_1':0.0,'5_1':0.0},(477,641):{'3_1':0.03},(477,640):{'3_1':0.03,'4_1':0.0},(477,639):{'3_1':0.0,'4_1':0.0},(477,638):{'3_1':0.0},(477,637):{'3_1':0.0},(477,636):{'3_1':0.03},(477,635):{'3_1':0.0,'5_1':0.0},(477,634):{'3_1':0.0,'4_1':0.0},(477,633):{'3_1':0.06},(477,632):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(477,630):{'3_1':0.0},(477,629):{'3_1':0.0},(477,628):{'3_1':0.03},(477,627):{'3_1':0.03,'4_1':0.0},(477,626):{'3_1':0.03},(477,625):{'3_1':0.0},(477,624):{'3_1':0.06},(477,623):{'3_1':0.0},(477,622):{'3_1':0.0,'4_1':0.0},(477,621):{'3_1':0.0},(477,620):{'3_1':0.0},(477,619):{'3_1':0.0,'4_1':0.0},(477,618):{'3_1':0.0},(477,617):{'3_1':0.03},(477,616):{'3_1':0.0},(477,615):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(477,614):{'3_1':0.0,'4_1':0.0},(477,613):{'3_1':0.03},(477,612):{'3_1':0.0},(477,611):{'3_1':0.0},(477,610):{'3_1':0.0},(477,608):{'3_1':0.0},(477,607):{'3_1':0.0},(477,606):{'3_1':0.03},(477,604):{'3_1':0.0,'4_1':0.0},(477,603):{'3_1':0.0},(477,602):{'3_1':0.03},(477,601):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(477,600):{'3_1':0.03},(477,599):{'3_1':0.0},(477,598):{'3_1':0.0},(477,597):{'3_1':0.03},(477,596):{'3_1':0.0,'5_2':0.0},(477,595):{'3_1':0.0},(477,594):{'3_1':0.0},(477,593):{'3_1':0.0},(477,592):{'3_1':0.03},(477,591):{'3_1':0.0},(477,590):{'3_1':0.0},(477,589):{'3_1':0.0},(477,588):{'3_1':0.0},(477,587):{'3_1':0.0},(477,586):{'3_1':0.0},(477,585):{'3_1':0.0},(477,582):{'3_1':0.0},(477,580):{'3_1':0.0},(477,578):{'3_1':0.0},(477,576):{'3_1':0.0},(477,575):{'3_1':0.0},(477,574):{'3_1':0.0},(477,570):{'3_1':0.0},(477,567):{'3_1':0.0},(477,564):{'3_1':0.0},(477,563):{'3_1':0.0},(477,562):{'3_1':0.0},(477,560):{'3_1':0.0},(477,559):{'3_1':0.0},(477,558):{'3_1':0.0},(477,557):{'3_1':0.0},(477,556):{'3_1':0.0},(477,555):{'3_1':0.0},(477,554):{'3_1':0.0},(477,551):{'3_1':0.0},(477,550):{'3_1':0.0},(477,549):{'3_1':0.0},(477,545):{'3_1':0.0},(477,542):{'3_1':0.0},(477,538):{'3_1':0.0},(477,536):{'3_1':0.0},(477,534):{'4_1':0.0},(477,533):{'3_1':0.03},(477,532):{'3_1':0.0},(477,531):{'3_1':0.0},(477,530):{'3_1':0.0},(477,529):{'3_1':0.0,'6_1':0.0},(477,528):{'3_1':0.0},(477,527):{'3_1':0.0},(477,526):{'5_2':0.0},(477,525):{'3_1':0.0},(477,522):{'3_1':0.0},(477,521):{'3_1':0.0},(477,520):{'3_1':0.0},(477,519):{'3_1':0.0},(477,512):{'3_1':0.0},(478,726):{'3_1':0.0,'4_1':0.0},(478,725):{'3_1':0.0},(478,724):{'3_1':0.06},(478,723):{'3_1':0.0},(478,722):{'3_1':0.0},(478,721):{'3_1':0.0},(478,720):{'3_1':0.0},(478,719):{'3_1':0.03},(478,718):{'3_1':0.0},(478,717):{'3_1':0.0},(478,716):{'3_1':0.03},(478,715):{'3_1':0.03},(478,714):{'3_1':0.03},(478,712):{'3_1':0.0,'4_1':0.0},(478,711):{'3_1':0.0,'5_1':0.0},(478,710):{'3_1':0.03},(478,709):{'3_1':0.03},(478,708):{'3_1':0.0,'4_1':0.0},(478,707):{'3_1':0.0,'8_20|3_1#3_1':0.0},(478,706):{'3_1':0.0},(478,705):{'3_1':0.03},(478,704):{'3_1':0.03,'4_1':0.0},(478,703):{'3_1':0.0,'5_1':0.0},(478,702):{'3_1':0.06},(478,701):{'3_1':0.06,'4_1':0.0},(478,700):{'3_1':0.0,'5_1':0.0},(478,699):{'3_1':0.03},(478,698):{'3_1':0.03,'5_1':0.0},(478,697):{'3_1':0.03},(478,696):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(478,695):{'3_1':0.06,'5_1':0.0},(478,694):{'3_1':0.06},(478,693):{'3_1':0.0},(478,692):{'3_1':0.03},(478,691):{'3_1':0.0},(478,690):{'3_1':0.0,'5_1':0.0},(478,689):{'3_1':0.03},(478,688):{'3_1':0.03},(478,687):{'3_1':0.06,'5_1':0.0},(478,686):{'3_1':0.03},(478,685):{'3_1':0.03},(478,684):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(478,683):{'3_1':0.03,'4_1':0.0},(478,682):{'3_1':0.06,'4_1':0.0},(478,681):{'3_1':0.03},(478,680):{'3_1':0.0,'4_1':0.0},(478,679):{'3_1':0.06},(478,678):{'3_1':0.0},(478,677):{'3_1':0.03},(478,676):{'3_1':0.0,'5_1':0.0},(478,675):{'3_1':0.0},(478,674):{'3_1':0.03,'4_1':0.0},(478,673):{'3_1':0.0},(478,672):{'4_1':0.0},(478,671):{'4_1':0.0,'3_1':0.0},(478,670):{'3_1':0.0},(478,669):{'3_1':0.0,'4_1':0.0},(478,668):{'5_1':0.0},(478,667):{'3_1':0.0},(478,666):{'3_1':0.0},(478,665):{'3_1':0.03,'4_1':0.0},(478,664):{'3_1':0.0,'5_2':0.0},(478,663):{'3_1':0.03},(478,660):{'3_1':0.0},(478,659):{'3_1':0.0},(478,658):{'3_1':0.0},(478,657):{'3_1':0.03},(478,656):{'3_1':0.0},(478,655):{'3_1':0.0,'4_1':0.0},(478,654):{'3_1':0.0,'4_1':0.0},(478,653):{'3_1':0.03},(478,651):{'3_1':0.0},(478,650):{'3_1':0.0},(478,649):{'3_1':0.0},(478,648):{'3_1':0.03},(478,647):{'3_1':0.0,'5_1':0.0},(478,646):{'3_1':0.0},(478,645):{'3_1':0.06,'6_1':0.0},(478,644):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(478,643):{'3_1':0.09,'5_2':0.0},(478,642):{'3_1':0.0},(478,641):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(478,640):{'3_1':0.03},(478,639):{'3_1':0.0},(478,638):{'3_1':0.03},(478,637):{'3_1':0.0},(478,636):{'3_1':0.03},(478,635):{'3_1':0.03,'5_1':0.0},(478,634):{'3_1':0.03},(478,633):{'3_1':0.0,'4_1':0.0},(478,632):{'3_1':0.0},(478,631):{'3_1':0.0},(478,630):{'3_1':0.0},(478,629):{'3_1':0.0},(478,628):{'3_1':0.03},(478,627):{'3_1':0.06},(478,626):{'3_1':0.0},(478,625):{'3_1':0.0},(478,624):{'3_1':0.03},(478,623):{'3_1':0.0},(478,622):{'3_1':0.03,'4_1':0.0},(478,621):{'3_1':0.0},(478,620):{'3_1':0.06},(478,619):{'3_1':0.03},(478,618):{'3_1':0.03},(478,617):{'3_1':0.0,'5_2':0.0},(478,616):{'3_1':0.0},(478,615):{'3_1':0.0,'5_2':0.0},(478,614):{'3_1':0.0},(478,613):{'3_1':0.0},(478,612):{'3_1':0.0},(478,611):{'3_1':0.0},(478,610):{'3_1':0.0},(478,609):{'3_1':0.0},(478,608):{'3_1':0.03},(478,607):{'3_1':0.0},(478,605):{'3_1':0.0},(478,604):{'3_1':0.0},(478,603):{'3_1':0.0},(478,602):{'3_1':0.03,'4_1':0.0},(478,601):{'3_1':0.03,'5_2':0.0},(478,600):{'3_1':0.06},(478,599):{'3_1':0.03},(478,598):{'3_1':0.03},(478,597):{'3_1':0.03,'5_2':0.0},(478,596):{'3_1':0.0},(478,595):{'3_1':0.0},(478,593):{'3_1':0.03},(478,592):{'3_1':0.0},(478,591):{'3_1':0.0},(478,590):{'3_1':0.0},(478,589):{'3_1':0.0},(478,588):{'3_1':0.03},(478,587):{'3_1':0.0},(478,586):{'3_1':0.0},(478,585):{'3_1':0.0},(478,584):{'3_1':0.0},(478,583):{'3_1':0.0},(478,582):{'3_1':0.03},(478,581):{'3_1':0.0},(478,580):{'3_1':0.0},(478,579):{'3_1':0.0},(478,578):{'3_1':0.0},(478,576):{'3_1':0.0},(478,575):{'3_1':0.0},(478,574):{'3_1':0.0},(478,573):{'3_1':0.03},(478,570):{'3_1':0.0},(478,569):{'3_1':0.0,'4_1':0.0},(478,568):{'3_1':0.0},(478,566):{'3_1':0.0},(478,565):{'3_1':0.0},(478,564):{'3_1':0.0},(478,563):{'3_1':0.0},(478,561):{'3_1':0.0},(478,557):{'3_1':0.0},(478,555):{'3_1':0.0},(478,554):{'3_1':0.0},(478,552):{'3_1':0.0},(478,551):{'3_1':0.0},(478,550):{'3_1':0.0},(478,549):{'3_1':0.0},(478,542):{'3_1':0.0},(478,541):{'3_1':0.0},(478,539):{'3_1':0.0},(478,538):{'3_1':0.0},(478,537):{'3_1':0.0},(478,534):{'3_1':0.03},(478,533):{'3_1':0.0},(478,532):{'3_1':0.0},(478,530):{'3_1':0.0},(478,529):{'3_1':0.03},(478,528):{'3_1':0.0},(478,527):{'3_1':0.0},(478,526):{'3_1':0.0},(478,525):{'3_1':0.0},(478,524):{'3_1':0.0},(478,522):{'3_1':0.0},(478,520):{'3_1':0.0},(478,519):{'3_1':0.0},(478,518):{'3_1':0.0,'4_1':0.0},(478,514):{'3_1':0.0},(478,513):{'3_1':0.0},(479,726):{'3_1':0.03},(479,725):{'3_1':0.0},(479,724):{'3_1':0.0},(479,723):{'3_1':0.0},(479,722):{'3_1':0.09},(479,721):{'3_1':0.03,'4_1':0.0},(479,720):{'3_1':0.0},(479,719):{'3_1':0.03,'4_1':0.0},(479,718):{'3_1':0.0,'4_1':0.0},(479,717):{'3_1':0.0,'5_1':0.0},(479,716):{'3_1':0.03},(479,715):{'3_1':0.0,'4_1':0.0},(479,714):{'3_1':0.0},(479,713):{'3_1':0.0},(479,712):{'3_1':0.0},(479,711):{'3_1':0.03},(479,710):{'3_1':0.06},(479,709):{'3_1':0.06,'4_1':0.0},(479,708):{'3_1':0.0},(479,707):{'3_1':0.06},(479,706):{'3_1':0.0,'7_1':0.0},(479,705):{'3_1':0.0},(479,704):{'3_1':0.0,'8_20|3_1#3_1':0.0},(479,703):{'3_1':0.06},(479,702):{'3_1':0.06},(479,701):{'3_1':0.03},(479,700):{'3_1':0.03,'4_1':0.0},(479,699):{'3_1':0.03,'4_1':0.0},(479,698):{'3_1':0.06},(479,697):{'3_1':0.03},(479,696):{'3_1':0.03,'4_1':0.0},(479,695):{'3_1':0.03,'4_1':0.0},(479,694):{'3_1':0.03,'4_1':0.0},(479,693):{'3_1':0.06,'5_1':0.0},(479,692):{'3_1':0.09},(479,691):{'3_1':0.03},(479,690):{'3_1':0.06,'4_1':0.0},(479,689):{'3_1':0.0},(479,688):{'3_1':0.06,'5_1':0.0},(479,687):{'3_1':0.03,'5_1':0.0},(479,686):{'3_1':0.09,'4_1':0.0},(479,685):{'3_1':0.03},(479,684):{'3_1':0.06},(479,683):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(479,682):{'3_1':0.0,'4_1':0.0},(479,681):{'3_1':0.0,'4_1':0.0},(479,680):{'3_1':0.06},(479,679):{'3_1':0.0},(479,678):{'3_1':0.0},(479,677):{'3_1':0.03},(479,676):{'3_1':0.03},(479,675):{'3_1':0.0},(479,674):{'3_1':0.0},(479,672):{'3_1':0.03},(479,671):{'4_1':0.0,'3_1':0.0},(479,670):{'3_1':0.0,'5_1':0.0},(479,669):{'3_1':0.0},(479,668):{'3_1':0.0},(479,667):{'3_1':0.03},(479,666):{'3_1':0.0},(479,665):{'3_1':0.0,'4_1':0.0},(479,664):{'3_1':0.0},(479,663):{'3_1':0.03},(479,662):{'3_1':0.03},(479,661):{'3_1':0.03},(479,660):{'3_1':0.03},(479,659):{'3_1':0.03,'7_3':0.0},(479,658):{'3_1':0.03,'5_2':0.0},(479,657):{'3_1':0.0,'4_1':0.0},(479,656):{'3_1':0.06,'4_1':0.0},(479,655):{'3_1':0.0},(479,654):{'3_1':0.0},(479,653):{'3_1':0.06},(479,652):{'3_1':0.0,'4_1':0.0},(479,651):{'3_1':0.0},(479,649):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(479,648):{'3_1':0.0,'4_1':0.0},(479,647):{'3_1':0.03,'4_1':0.0},(479,646):{'3_1':0.0,'4_1':0.0},(479,645):{'3_1':0.0},(479,644):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(479,643):{'3_1':0.06,'5_2':0.0},(479,642):{'3_1':0.03},(479,641):{'3_1':0.03},(479,640):{'3_1':0.0,'5_1':0.0},(479,639):{'3_1':0.03,'4_1':0.0},(479,638):{'3_1':0.0,'5_1':0.0},(479,637):{'3_1':0.06},(479,636):{'3_1':0.0},(479,635):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(479,634):{'3_1':0.03,'4_1':0.0},(479,633):{'3_1':0.03},(479,632):{'3_1':0.03,'4_1':0.0},(479,631):{'3_1':0.03},(479,630):{'3_1':0.0},(479,629):{'3_1':0.0},(479,628):{'3_1':0.0},(479,627):{'3_1':0.0,'4_1':0.0},(479,626):{'3_1':0.0},(479,625):{'3_1':0.0,'4_1':0.0},(479,624):{'3_1':0.0},(479,623):{'3_1':0.03},(479,622):{'3_1':0.0,'4_1':0.0},(479,621):{'3_1':0.0},(479,620):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(479,619):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(479,618):{'3_1':0.03,'4_1':0.0},(479,617):{'3_1':0.0,'4_1':0.0},(479,616):{'3_1':0.0},(479,615):{'3_1':0.03,'4_1':0.0},(479,614):{'3_1':0.03},(479,613):{'3_1':0.0},(479,612):{'3_1':0.03},(479,611):{'3_1':0.0,'4_1':0.0},(479,610):{'3_1':0.0},(479,609):{'3_1':0.03},(479,608):{'3_1':0.0,'4_1':0.0},(479,607):{'3_1':0.0},(479,606):{'3_1':0.0},(479,605):{'3_1':0.03},(479,604):{'4_1':0.0},(479,603):{'3_1':0.0},(479,602):{'3_1':0.0},(479,601):{'3_1':0.03},(479,600):{'3_1':0.03},(479,599):{'3_1':0.06},(479,598):{'3_1':0.0},(479,597):{'3_1':0.0},(479,596):{'3_1':0.0},(479,595):{'3_1':0.0},(479,594):{'3_1':0.03,'4_1':0.0},(479,593):{'3_1':0.0},(479,592):{'3_1':0.0},(479,591):{'3_1':0.0},(479,590):{'3_1':0.0},(479,589):{'3_1':0.0},(479,588):{'3_1':0.0},(479,587):{'3_1':0.03},(479,586):{'3_1':0.0},(479,585):{'3_1':0.03},(479,584):{'3_1':0.0},(479,583):{'3_1':0.0},(479,582):{'3_1':0.0},(479,581):{'3_1':0.0},(479,580):{'3_1':0.0},(479,579):{'3_1':0.0},(479,578):{'3_1':0.0},(479,576):{'3_1':0.0},(479,575):{'3_1':0.03},(479,573):{'3_1':0.0},(479,571):{'3_1':0.0},(479,570):{'3_1':0.0},(479,566):{'3_1':0.0},(479,565):{'3_1':0.0},(479,564):{'3_1':0.0},(479,562):{'3_1':0.03},(479,561):{'3_1':0.0},(479,560):{'3_1':0.0},(479,558):{'3_1':0.0},(479,557):{'3_1':0.0,'5_2':0.0},(479,556):{'3_1':0.0},(479,555):{'3_1':0.0},(479,554):{'3_1':0.0},(479,552):{'3_1':0.0},(479,551):{'3_1':0.0},(479,545):{'3_1':0.0},(479,544):{'3_1':0.0},(479,543):{'4_1':0.0},(479,541):{'3_1':0.0},(479,540):{'3_1':0.0},(479,539):{'3_1':0.0},(479,538):{'3_1':0.0},(479,537):{'3_1':0.0},(479,536):{'3_1':0.0},(479,535):{'3_1':0.0},(479,534):{'3_1':0.03},(479,533):{'3_1':0.0},(479,532):{'3_1':0.0},(479,531):{'3_1':0.03},(479,530):{'3_1':0.03},(479,529):{'3_1':0.0},(479,528):{'3_1':0.0,'5_1':0.0},(479,527):{'3_1':0.03,'4_1':0.0},(479,526):{'3_1':0.0},(479,525):{'3_1':0.0},(479,524):{'3_1':0.0},(479,523):{'3_1':0.03},(479,522):{'3_1':0.0},(479,521):{'5_1':0.0},(479,520):{'3_1':0.0},(479,519):{'3_1':0.0},(479,518):{'3_1':0.0},(480,726):{'3_1':0.03},(480,725):{'3_1':0.0},(480,724):{'3_1':0.0},(480,723):{'3_1':0.03,'4_1':0.0},(480,722):{'3_1':0.0},(480,721):{'3_1':0.0},(480,720):{'3_1':0.0},(480,719):{'3_1':0.03},(480,718):{'3_1':0.03},(480,717):{'3_1':0.0},(480,716):{'3_1':0.03},(480,715):{'3_1':0.0},(480,714):{'3_1':0.0,'4_1':0.0},(480,713):{'3_1':0.0,'5_1':0.0},(480,712):{'3_1':0.06},(480,711):{'3_1':0.03},(480,710):{'3_1':0.03},(480,709):{'3_1':0.06},(480,708):{'3_1':0.0},(480,707):{'3_1':0.0,'5_2':0.0},(480,706):{'3_1':0.06,'5_1':0.0},(480,705):{'3_1':0.03},(480,704):{'3_1':0.09},(480,703):{'3_1':0.03},(480,702):{'3_1':0.03},(480,701):{'3_1':0.06,'4_1':0.0},(480,700):{'3_1':0.0,'5_1':0.0},(480,699):{'3_1':0.06},(480,698):{'3_1':0.06},(480,697):{'3_1':0.0},(480,696):{'3_1':0.06},(480,695):{'3_1':0.03},(480,694):{'3_1':0.0},(480,693):{'3_1':0.03,'5_1':0.0},(480,692):{'3_1':0.03},(480,691):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(480,690):{'3_1':0.06},(480,689):{'3_1':0.03},(480,688):{'3_1':0.0},(480,687):{'3_1':0.03},(480,686):{'3_1':0.03,'4_1':0.0},(480,685):{'3_1':0.0},(480,684):{'3_1':0.0,'4_1':0.0},(480,683):{'3_1':0.03,'4_1':0.0},(480,682):{'3_1':0.0},(480,681):{'3_1':0.0,'5_2':0.0},(480,680):{'3_1':0.06},(480,679):{'3_1':0.03,'4_1':0.0},(480,678):{'3_1':0.0},(480,677):{'3_1':0.0},(480,676):{'3_1':0.03,'5_1':0.0},(480,675):{'3_1':0.0,'4_1':0.0},(480,674):{'3_1':0.0},(480,673):{'7_1':0.0},(480,672):{'3_1':0.0},(480,671):{'3_1':0.0,'4_1':0.0},(480,670):{'3_1':0.0},(480,669):{'3_1':0.0,'4_1':0.0},(480,668):{'3_1':0.03,'7_2':0.0},(480,667):{'3_1':0.0,'4_1':0.0},(480,666):{'3_1':0.03},(480,665):{'3_1':0.0},(480,664):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(480,663):{'3_1':0.0},(480,662):{'3_1':0.03,'4_1':0.0},(480,661):{'3_1':0.0,'4_1':0.0},(480,660):{'3_1':0.03},(480,658):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(480,657):{'3_1':0.0},(480,656):{'3_1':0.0},(480,655):{'3_1':0.0},(480,654):{'3_1':0.03},(480,653):{'3_1':0.03},(480,652):{'3_1':0.03,'4_1':0.0},(480,651):{'3_1':0.0},(480,650):{'3_1':0.0,'6_1':0.0},(480,649):{'3_1':0.03},(480,648):{'3_1':0.03},(480,647):{'3_1':0.03,'5_1':0.0},(480,646):{'3_1':0.0},(480,645):{'3_1':0.0},(480,644):{'3_1':0.06,'5_1':0.0},(480,643):{'3_1':0.0,'5_1':0.0},(480,642):{'3_1':0.03,'4_1':0.0},(480,641):{'3_1':0.09},(480,640):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(480,639):{'3_1':0.09,'4_1':0.0},(480,638):{'3_1':0.09},(480,637):{'3_1':0.03},(480,636):{'3_1':0.03},(480,635):{'3_1':0.0,'5_1':0.0},(480,634):{'3_1':0.03},(480,633):{'3_1':0.03},(480,632):{'3_1':0.03},(480,631):{'3_1':0.03},(480,630):{'3_1':0.03},(480,629):{'3_1':0.03},(480,628):{'3_1':0.03},(480,627):{'3_1':0.0,'4_1':0.0},(480,626):{'3_1':0.0},(480,625):{'3_1':0.0},(480,624):{'3_1':0.0},(480,623):{'3_1':0.03},(480,622):{'3_1':0.0},(480,621):{'3_1':0.0},(480,620):{'3_1':0.0},(480,619):{'3_1':0.03},(480,618):{'3_1':0.03,'5_1':0.0},(480,617):{'3_1':0.0},(480,616):{'3_1':0.03},(480,615):{'3_1':0.03},(480,614):{'3_1':0.0},(480,613):{'3_1':0.0},(480,612):{'3_1':0.0},(480,611):{'3_1':0.03,'4_1':0.0},(480,609):{'4_1':0.0},(480,607):{'3_1':0.0},(480,606):{'3_1':0.0},(480,605):{'3_1':0.0},(480,603):{'3_1':0.0},(480,601):{'3_1':0.0},(480,600):{'3_1':0.03},(480,599):{'3_1':0.0,'4_1':0.0},(480,598):{'3_1':0.0},(480,597):{'3_1':0.03,'5_2':0.0},(480,596):{'3_1':0.03},(480,594):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(480,593):{'3_1':0.06,'5_1':0.0},(480,592):{'3_1':0.0},(480,591):{'3_1':0.0},(480,590):{'3_1':0.0},(480,589):{'3_1':0.03,'4_1':0.0},(480,588):{'3_1':0.0},(480,586):{'3_1':0.03},(480,585):{'3_1':0.0},(480,584):{'3_1':0.0},(480,583):{'3_1':0.0},(480,581):{'3_1':0.0},(480,580):{'4_1':0.0},(480,579):{'3_1':0.0},(480,578):{'3_1':0.0,'4_1':0.0},(480,576):{'3_1':0.0},(480,574):{'3_1':0.0},(480,564):{'3_1':0.0,'4_1':0.0},(480,561):{'3_1':0.0},(480,557):{'3_1':0.0},(480,551):{'3_1':0.0},(480,547):{'3_1':0.0},(480,546):{'3_1':0.0},(480,545):{'4_1':0.0},(480,541):{'8_1':0.0},(480,538):{'3_1':0.0},(480,537):{'3_1':0.0},(480,534):{'3_1':0.0},(480,533):{'3_1':0.0,'4_1':0.0},(480,532):{'3_1':0.0},(480,531):{'3_1':0.0},(480,529):{'3_1':0.0},(480,528):{'3_1':0.0},(480,527):{'3_1':0.0,'5_2':0.0},(480,526):{'3_1':0.0},(480,524):{'3_1':0.0},(480,523):{'3_1':0.03},(480,522):{'3_1':0.0},(480,521):{'3_1':0.0},(480,520):{'3_1':0.0},(480,519):{'3_1':0.0},(480,518):{'5_1':0.0},(480,515):{'3_1':0.0},(481,726):{'3_1':0.0},(481,725):{'3_1':0.03},(481,723):{'3_1':0.0,'5_1':0.0},(481,722):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(481,720):{'3_1':0.0},(481,719):{'3_1':0.0},(481,718):{'3_1':0.0},(481,717):{'3_1':0.0},(481,716):{'3_1':0.0},(481,715):{'3_1':0.03},(481,714):{'3_1':0.0},(481,713):{'3_1':0.0},(481,712):{'3_1':0.03},(481,711):{'3_1':0.03},(481,710):{'3_1':0.06},(481,709):{'3_1':0.0},(481,708):{'3_1':0.0},(481,707):{'3_1':0.0,'4_1':0.0},(481,706):{'3_1':0.03},(481,705):{'3_1':0.03},(481,704):{'3_1':0.03},(481,703):{'3_1':0.03},(481,702):{'3_1':0.03},(481,701):{'3_1':0.06},(481,700):{'3_1':0.03},(481,699):{'3_1':0.09,'5_1':0.0},(481,698):{'3_1':0.0},(481,697):{'3_1':0.03},(481,696):{'3_1':0.09},(481,695):{'3_1':0.0},(481,694):{'3_1':0.06},(481,693):{'3_1':0.06,'5_1':0.0},(481,692):{'3_1':0.06},(481,691):{'3_1':0.03},(481,690):{'3_1':0.03},(481,689):{'3_1':0.06},(481,688):{'3_1':0.03},(481,687):{'3_1':0.03,'4_1':0.0},(481,686):{'3_1':0.03},(481,685):{'3_1':0.06},(481,684):{'3_1':0.03,'4_1':0.0},(481,683):{'3_1':0.0},(481,682):{'3_1':0.06},(481,681):{'3_1':0.06,'5_1':0.0},(481,680):{'3_1':0.0},(481,679):{'3_1':0.06,'5_1':0.0},(481,678):{'3_1':0.03},(481,677):{'3_1':0.0},(481,676):{'3_1':0.0,'4_1':0.0},(481,675):{'3_1':0.0},(481,674):{'3_1':0.03},(481,673):{'3_1':0.0},(481,672):{'3_1':0.0},(481,671):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(481,670):{'3_1':0.0},(481,669):{'3_1':0.03},(481,668):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(481,667):{'3_1':0.0},(481,666):{'3_1':0.0},(481,665):{'3_1':0.0},(481,664):{'3_1':0.0},(481,663):{'3_1':0.0},(481,662):{'3_1':0.03},(481,661):{'3_1':0.0},(481,660):{'3_1':0.0},(481,659):{'3_1':0.03,'4_1':0.0},(481,658):{'3_1':0.0},(481,657):{'6_2':0.0},(481,656):{'3_1':0.0},(481,655):{'3_1':0.0},(481,654):{'3_1':0.03},(481,653):{'3_1':0.06},(481,652):{'3_1':0.0},(481,651):{'3_1':0.03},(481,650):{'3_1':0.0},(481,649):{'3_1':0.0,'4_1':0.0},(481,648):{'3_1':0.0},(481,647):{'3_1':0.06,'5_1':0.0},(481,646):{'3_1':0.03},(481,645):{'3_1':0.0},(481,644):{'3_1':0.03,'5_1':0.0},(481,642):{'3_1':0.0},(481,641):{'3_1':0.03,'5_1':0.0},(481,640):{'3_1':0.0,'4_1':0.0},(481,639):{'3_1':0.03},(481,638):{'3_1':0.06},(481,637):{'3_1':0.03},(481,636):{'3_1':0.0},(481,635):{'3_1':0.0,'5_1':0.0},(481,634):{'3_1':0.0},(481,633):{'3_1':0.03},(481,632):{'3_1':0.03},(481,631):{'3_1':0.0},(481,630):{'3_1':0.0,'4_1':0.0},(481,629):{'3_1':0.0},(481,628):{'3_1':0.03},(481,627):{'3_1':0.0,'4_1':0.0},(481,626):{'3_1':0.0},(481,625):{'3_1':0.06},(481,624):{'3_1':0.0},(481,623):{'3_1':0.0},(481,622):{'3_1':0.03},(481,621):{'3_1':0.0,'4_1':0.0},(481,620):{'3_1':0.03},(481,619):{'3_1':0.0},(481,618):{'3_1':0.03},(481,617):{'3_1':0.03},(481,616):{'3_1':0.03},(481,615):{'3_1':0.0},(481,614):{'4_1':0.0},(481,613):{'3_1':0.0,'4_1':0.0},(481,612):{'4_1':0.0},(481,611):{'3_1':0.0,'4_1':0.0},(481,609):{'3_1':0.0},(481,608):{'3_1':0.0},(481,607):{'3_1':0.0},(481,606):{'3_1':0.0},(481,605):{'3_1':0.0},(481,603):{'3_1':0.03},(481,602):{'3_1':0.0},(481,599):{'3_1':0.0},(481,598):{'3_1':0.03},(481,597):{'3_1':0.0},(481,596):{'3_1':0.03,'5_2':0.0},(481,595):{'3_1':0.03},(481,594):{'3_1':0.0,'5_2':0.0},(481,593):{'3_1':0.0},(481,592):{'3_1':0.0},(481,591):{'3_1':0.03,'5_2':0.0},(481,590):{'3_1':0.0,'4_1':0.0},(481,589):{'3_1':0.03},(481,588):{'3_1':0.0},(481,587):{'3_1':0.0},(481,585):{'3_1':0.03},(481,584):{'3_1':0.0,'4_1':0.0},(481,583):{'3_1':0.0},(481,582):{'4_1':0.0},(481,581):{'3_1':0.0},(481,580):{'3_1':0.0},(481,579):{'3_1':0.03},(481,578):{'3_1':0.0},(481,576):{'3_1':0.0},(481,573):{'3_1':0.0},(481,572):{'3_1':0.0},(481,561):{'3_1':0.0},(481,559):{'3_1':0.0},(481,557):{'3_1':0.0},(481,556):{'3_1':0.0},(481,555):{'3_1':0.0,'4_1':0.0},(481,534):{'3_1':0.0},(481,533):{'3_1':0.0},(481,532):{'3_1':0.0},(481,531):{'3_1':0.0},(481,530):{'3_1':0.0},(481,529):{'3_1':0.0},(481,528):{'3_1':0.0},(481,527):{'3_1':0.0},(481,526):{'3_1':0.0,'5_2':0.0},(481,525):{'3_1':0.0},(481,523):{'3_1':0.0},(481,522):{'3_1':0.0},(481,518):{'3_1':0.0},(482,726):{'3_1':0.03},(482,725):{'3_1':0.03,'4_1':0.0},(482,724):{'3_1':0.03,'4_1':0.0},(482,723):{'3_1':0.03},(482,722):{'3_1':0.03},(482,721):{'3_1':0.03,'4_1':0.0},(482,720):{'3_1':0.06},(482,719):{'3_1':0.03,'4_1':0.0},(482,718):{'3_1':0.0},(482,717):{'3_1':0.03},(482,715):{'3_1':0.06},(482,714):{'3_1':0.06,'4_1':0.0},(482,713):{'3_1':0.06},(482,712):{'3_1':0.06,'6_2':0.0},(482,711):{'3_1':0.06},(482,710):{'3_1':0.06},(482,709):{'3_1':0.03},(482,708):{'3_1':0.03},(482,707):{'3_1':0.0},(482,706):{'3_1':0.09},(482,705):{'3_1':0.09,'5_1':0.0},(482,704):{'3_1':0.06},(482,703):{'3_1':0.03},(482,702):{'3_1':0.03},(482,701):{'3_1':0.03},(482,700):{'3_1':0.09,'5_1':0.0},(482,699):{'3_1':0.06},(482,698):{'3_1':0.09},(482,697):{'3_1':0.06},(482,696):{'3_1':0.0},(482,695):{'3_1':0.03},(482,694):{'3_1':0.12},(482,693):{'3_1':0.06},(482,692):{'3_1':0.06},(482,691):{'3_1':0.03},(482,690):{'3_1':0.03},(482,689):{'3_1':0.03},(482,687):{'3_1':0.06},(482,686):{'3_1':0.06,'5_1':0.0},(482,685):{'3_1':0.03,'4_1':0.0},(482,684):{'3_1':0.0},(482,683):{'3_1':0.0},(482,682):{'3_1':0.0},(482,681):{'3_1':0.0},(482,680):{'3_1':0.03,'5_1':0.0},(482,679):{'3_1':0.03},(482,678):{'3_1':0.03},(482,677):{'3_1':0.0},(482,676):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(482,675):{'3_1':0.03},(482,674):{'3_1':0.0},(482,673):{'3_1':0.0},(482,672):{'3_1':0.0},(482,671):{'3_1':0.0},(482,670):{'3_1':0.03,'4_1':0.0},(482,669):{'3_1':0.0},(482,667):{'3_1':0.0},(482,666):{'3_1':0.03,'4_1':0.0},(482,665):{'3_1':0.0},(482,664):{'3_1':0.03,'4_1':0.0},(482,663):{'3_1':0.0},(482,662):{'3_1':0.03},(482,661):{'3_1':0.0},(482,660):{'3_1':0.03},(482,659):{'3_1':0.0},(482,658):{'3_1':0.0},(482,657):{'3_1':0.0},(482,656):{'3_1':0.0,'4_1':0.0},(482,655):{'3_1':0.0,'4_1':0.0},(482,654):{'3_1':0.0,'4_1':0.0},(482,653):{'3_1':0.03,'4_1':0.0},(482,652):{'3_1':0.03},(482,651):{'3_1':0.0},(482,650):{'3_1':0.0},(482,649):{'3_1':0.0},(482,648):{'3_1':0.03},(482,647):{'3_1':0.03},(482,646):{'3_1':0.03},(482,645):{'3_1':0.03},(482,644):{'3_1':0.03},(482,643):{'3_1':0.09},(482,642):{'3_1':0.03},(482,641):{'3_1':0.03},(482,640):{'3_1':0.03},(482,639):{'3_1':0.06},(482,638):{'3_1':0.06},(482,637):{'3_1':0.03},(482,636):{'3_1':0.06},(482,635):{'5_1':0.0},(482,634):{'3_1':0.0},(482,633):{'3_1':0.0},(482,632):{'3_1':0.03,'4_1':0.0},(482,631):{'3_1':0.03},(482,630):{'3_1':0.03},(482,629):{'3_1':0.0},(482,628):{'3_1':0.0,'4_1':0.0},(482,627):{'3_1':0.03},(482,626):{'3_1':0.0},(482,625):{'3_1':0.03},(482,624):{'3_1':0.03},(482,623):{'3_1':0.03,'4_1':0.0},(482,622):{'3_1':0.06},(482,621):{'3_1':0.03},(482,620):{'3_1':0.03,'4_1':0.0},(482,619):{'3_1':0.03},(482,618):{'4_1':0.03,'3_1':0.0},(482,617):{'3_1':0.03},(482,616):{'3_1':0.0},(482,615):{'3_1':0.0},(482,614):{'3_1':0.0},(482,613):{'3_1':0.0},(482,612):{'3_1':0.0},(482,611):{'3_1':0.0},(482,610):{'3_1':0.03},(482,609):{'3_1':0.0},(482,608):{'3_1':0.0},(482,607):{'3_1':0.0},(482,606):{'3_1':0.0},(482,605):{'3_1':0.0},(482,604):{'3_1':0.0},(482,603):{'3_1':0.0},(482,602):{'3_1':0.0},(482,601):{'3_1':0.0},(482,600):{'3_1':0.0,'5_2':0.0},(482,599):{'3_1':0.0,'5_2':0.0},(482,598):{'3_1':0.0},(482,597):{'3_1':0.06},(482,596):{'3_1':0.0,'5_2':0.0},(482,595):{'3_1':0.03},(482,594):{'3_1':0.03,'5_1':0.0},(482,593):{'3_1':0.0},(482,592):{'3_1':0.0},(482,591):{'3_1':0.0},(482,590):{'3_1':0.03},(482,589):{'3_1':0.03},(482,588):{'3_1':0.0},(482,587):{'3_1':0.0},(482,585):{'3_1':0.0},(482,584):{'3_1':0.0},(482,583):{'3_1':0.03},(482,582):{'3_1':0.0},(482,581):{'3_1':0.0},(482,579):{'3_1':0.0},(482,577):{'3_1':0.0},(482,572):{'3_1':0.0},(482,571):{'3_1':0.0},(482,570):{'3_1':0.0},(482,569):{'3_1':0.0},(482,568):{'3_1':0.0},(482,566):{'3_1':0.0},(482,565):{'3_1':0.0},(482,564):{'3_1':0.0},(482,563):{'3_1':0.0},(482,562):{'3_1':0.0},(482,561):{'3_1':0.0},(482,560):{'3_1':0.0},(482,559):{'3_1':0.0},(482,557):{'3_1':0.0},(482,556):{'3_1':0.0,'4_1':0.0},(482,555):{'3_1':0.0},(482,553):{'3_1':0.0},(482,550):{'3_1':0.0},(482,545):{'3_1':0.0},(482,536):{'3_1':0.0},(482,533):{'3_1':0.0},(482,531):{'3_1':0.0},(482,530):{'3_1':0.0},(482,528):{'3_1':0.0},(482,527):{'3_1':0.0},(482,524):{'3_1':0.0},(482,523):{'3_1':0.0},(482,522):{'3_1':0.0},(482,521):{'3_1':0.0},(482,519):{'3_1':0.0},(482,515):{'3_1':0.0},(483,726):{'3_1':0.03},(483,725):{'5_1':0.0},(483,724):{'3_1':0.03},(483,723):{'3_1':0.0},(483,722):{'3_1':0.03},(483,721):{'3_1':0.0,'4_1':0.0},(483,720):{'3_1':0.03},(483,719):{'3_1':0.0},(483,718):{'3_1':0.0},(483,717):{'3_1':0.03},(483,716):{'3_1':0.03,'5_1':0.0},(483,715):{'3_1':0.0},(483,714):{'3_1':0.03},(483,713):{'3_1':0.03,'4_1':0.0},(483,712):{'3_1':0.06,'6_2':0.0},(483,711):{'3_1':0.03,'4_1':0.0},(483,710):{'3_1':0.03},(483,709):{'3_1':0.0},(483,708):{'3_1':0.03,'4_1':0.0},(483,707):{'3_1':0.0,'7_1':0.0},(483,706):{'3_1':0.03},(483,705):{'3_1':0.09,'5_1':0.0},(483,704):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'9_1':0.0},(483,703):{'3_1':0.06},(483,702):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(483,701):{'3_1':0.06},(483,700):{'3_1':0.06},(483,699):{'3_1':0.03,'5_1':0.0},(483,698):{'3_1':0.06},(483,697):{'3_1':0.06},(483,696):{'3_1':0.0},(483,695):{'3_1':0.06},(483,694):{'3_1':0.03},(483,693):{'3_1':0.09},(483,692):{'3_1':0.03,'5_1':0.0},(483,691):{'3_1':0.0},(483,690):{'3_1':0.06},(483,689):{'3_1':0.0},(483,688):{'3_1':0.0,'4_1':0.0},(483,687):{'3_1':0.0},(483,686):{'3_1':0.03},(483,685):{'3_1':0.0},(483,684):{'3_1':0.03,'4_1':0.0},(483,683):{'3_1':0.06},(483,682):{'3_1':0.03},(483,681):{'3_1':0.03,'6_2':0.0},(483,680):{'3_1':0.03},(483,679):{'3_1':0.0},(483,678):{'3_1':0.0},(483,677):{'3_1':0.06},(483,676):{'3_1':0.03},(483,674):{'3_1':0.0},(483,673):{'3_1':0.03},(483,672):{'3_1':0.0},(483,671):{'3_1':0.0},(483,670):{'3_1':0.03,'4_1':0.0},(483,669):{'3_1':0.0},(483,668):{'3_1':0.0},(483,667):{'3_1':0.0,'4_1':0.0},(483,666):{'3_1':0.0},(483,665):{'3_1':0.0},(483,664):{'3_1':0.03},(483,663):{'3_1':0.03},(483,662):{'3_1':0.03},(483,661):{'3_1':0.0,'5_1':0.0},(483,660):{'3_1':0.0},(483,659):{'3_1':0.03,'4_1':0.0},(483,658):{'3_1':0.03,'4_1':0.0},(483,657):{'3_1':0.0,'4_1':0.0},(483,656):{'3_1':0.0},(483,655):{'3_1':0.03},(483,654):{'3_1':0.0},(483,653):{'3_1':0.0},(483,652):{'3_1':0.06},(483,651):{'3_1':0.0},(483,650):{'3_1':0.03,'4_1':0.0},(483,649):{'3_1':0.0,'4_1':0.0},(483,648):{'3_1':0.03},(483,647):{'3_1':0.03},(483,646):{'3_1':0.0},(483,645):{'3_1':0.03},(483,644):{'3_1':0.03,'5_1':0.0},(483,643):{'3_1':0.03},(483,642):{'3_1':0.0},(483,641):{'3_1':0.06,'5_1':0.0},(483,640):{'3_1':0.03,'4_1':0.0},(483,639):{'3_1':0.0},(483,638):{'3_1':0.03},(483,637):{'3_1':0.0},(483,636):{'3_1':0.0},(483,635):{'3_1':0.0},(483,634):{'3_1':0.0},(483,633):{'3_1':0.0},(483,632):{'3_1':0.03},(483,631):{'3_1':0.0},(483,630):{'3_1':0.03},(483,629):{'3_1':0.0},(483,628):{'3_1':0.03},(483,627):{'3_1':0.03},(483,626):{'3_1':0.0},(483,625):{'3_1':0.0},(483,624):{'3_1':0.03},(483,623):{'3_1':0.0},(483,622):{'3_1':0.0},(483,621):{'3_1':0.0},(483,620):{'3_1':0.0},(483,619):{'3_1':0.0},(483,618):{'3_1':0.03},(483,617):{'3_1':0.0},(483,616):{'3_1':0.03},(483,615):{'3_1':0.03,'4_1':0.0},(483,614):{'3_1':0.0},(483,613):{'3_1':0.0},(483,612):{'3_1':0.0},(483,611):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(483,610):{'3_1':0.0},(483,609):{'3_1':0.0},(483,608):{'3_1':0.0},(483,607):{'3_1':0.0},(483,606):{'3_1':0.0},(483,605):{'3_1':0.0},(483,604):{'3_1':0.0,'4_1':0.0},(483,602):{'3_1':0.0},(483,601):{'3_1':0.0},(483,600):{'3_1':0.03},(483,598):{'3_1':0.0},(483,597):{'3_1':0.03},(483,596):{'3_1':0.0},(483,595):{'3_1':0.0},(483,594):{'3_1':0.03},(483,593):{'3_1':0.03},(483,592):{'3_1':0.03},(483,591):{'3_1':0.0},(483,590):{'3_1':0.0},(483,589):{'3_1':0.0},(483,588):{'3_1':0.0},(483,587):{'3_1':0.03},(483,586):{'3_1':0.0},(483,585):{'3_1':0.03},(483,584):{'3_1':0.0},(483,583):{'3_1':0.0},(483,582):{'3_1':0.0},(483,581):{'3_1':0.0},(483,580):{'3_1':0.0},(483,579):{'3_1':0.0},(483,578):{'3_1':0.0},(483,577):{'3_1':0.0},(483,576):{'3_1':0.0},(483,575):{'3_1':0.0},(483,574):{'3_1':0.0},(483,573):{'3_1':0.0},(483,570):{'3_1':0.0},(483,569):{'3_1':0.0},(483,568):{'3_1':0.0},(483,566):{'3_1':0.0},(483,565):{'3_1':0.0},(483,564):{'3_1':0.0},(483,563):{'3_1':0.0},(483,562):{'3_1':0.0},(483,561):{'3_1':0.0},(483,559):{'3_1':0.0},(483,551):{'3_1':0.0},(483,550):{'3_1':0.0},(483,548):{'3_1':0.0},(483,547):{'3_1':0.0},(483,546):{'3_1':0.0,'4_1':0.0},(483,544):{'3_1':0.0},(483,534):{'5_1':0.0},(483,532):{'3_1':0.0},(483,530):{'3_1':0.0},(483,529):{'3_1':0.0,'5_1':0.0},(483,528):{'3_1':0.0},(483,527):{'3_1':0.03},(483,526):{'6_1':0.0},(483,524):{'3_1':0.0},(483,523):{'3_1':0.03},(483,520):{'3_1':0.0},(483,516):{'3_1':0.0},(483,515):{'3_1':0.0},(483,514):{'3_1':0.0},(483,498):{'5_1':0.0},(484,726):{'3_1':0.0},(484,725):{'3_1':0.0},(484,724):{'3_1':0.03},(484,723):{'3_1':0.0,'4_1':0.0},(484,722):{'3_1':0.0},(484,721):{'3_1':0.06},(484,720):{'3_1':0.03},(484,719):{'3_1':0.0},(484,718):{'3_1':0.06,'4_1':0.0},(484,717):{'3_1':0.0},(484,716):{'3_1':0.0,'5_2':0.0},(484,715):{'3_1':0.0},(484,714):{'3_1':0.0},(484,713):{'3_1':0.03},(484,712):{'3_1':0.06},(484,711):{'3_1':0.0},(484,710):{'3_1':0.03},(484,709):{'3_1':0.0,'4_1':0.0},(484,708):{'3_1':0.03,'4_1':0.0},(484,707):{'3_1':0.09,'5_2':0.0},(484,706):{'3_1':0.03},(484,705):{'3_1':0.0,'4_1':0.0},(484,704):{'3_1':0.06,'9_1':0.0},(484,703):{'3_1':0.06},(484,702):{'3_1':0.0},(484,701):{'3_1':0.03},(484,700):{'3_1':0.09},(484,699):{'3_1':0.03,'4_1':0.0},(484,698):{'3_1':0.09},(484,697):{'3_1':0.03},(484,696):{'3_1':0.0},(484,695):{'3_1':0.06,'4_1':0.0},(484,694):{'3_1':0.06},(484,693):{'3_1':0.03,'5_1':0.0},(484,692):{'3_1':0.03},(484,691):{'3_1':0.03},(484,690):{'3_1':0.03},(484,689):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(484,688):{'3_1':0.03},(484,687):{'3_1':0.06},(484,686):{'3_1':0.09},(484,685):{'3_1':0.06,'4_1':0.0},(484,684):{'3_1':0.03},(484,683):{'3_1':0.0},(484,682):{'3_1':0.03},(484,681):{'3_1':0.03},(484,680):{'3_1':0.0},(484,679):{'3_1':0.0,'7_1':0.0},(484,678):{'3_1':0.0,'4_1':0.0},(484,677):{'3_1':0.03,'4_1':0.0},(484,676):{'3_1':0.03,'4_1':0.0},(484,675):{'3_1':0.0},(484,674):{'3_1':0.0},(484,673):{'3_1':0.0,'4_1':0.0},(484,672):{'3_1':0.0,'4_1':0.0},(484,671):{'3_1':0.06},(484,670):{'3_1':0.0},(484,669):{'3_1':0.0,'4_1':0.0},(484,668):{'3_1':0.0},(484,667):{'3_1':0.0},(484,666):{'3_1':0.03},(484,665):{'3_1':0.03},(484,664):{'3_1':0.03},(484,663):{'3_1':0.0},(484,662):{'3_1':0.0,'4_1':0.0},(484,661):{'3_1':0.03},(484,660):{'3_1':0.03},(484,659):{'3_1':0.03},(484,658):{'3_1':0.0,'4_1':0.0},(484,657):{'4_1':0.0,'5_2':0.0,'8_3':0.0},(484,656):{'3_1':0.0},(484,655):{'3_1':0.06},(484,654):{'3_1':0.0},(484,653):{'3_1':0.0,'4_1':0.0},(484,652):{'3_1':0.0},(484,651):{'3_1':0.0},(484,650):{'3_1':0.0,'4_1':0.0},(484,649):{'3_1':0.0},(484,648):{'3_1':0.03},(484,647):{'3_1':0.03},(484,646):{'3_1':0.0},(484,645):{'3_1':0.03,'8_3':0.0},(484,644):{'3_1':0.06},(484,643):{'3_1':0.0},(484,642):{'3_1':0.0},(484,641):{'3_1':0.03},(484,640):{'3_1':0.03},(484,639):{'3_1':0.0,'4_1':0.0},(484,638):{'3_1':0.0,'4_1':0.0},(484,637):{'3_1':0.0},(484,636):{'3_1':0.0},(484,635):{'3_1':0.03},(484,634):{'3_1':0.03},(484,633):{'3_1':0.0},(484,632):{'3_1':0.0},(484,631):{'3_1':0.0},(484,630):{'3_1':0.0},(484,629):{'3_1':0.03},(484,628):{'3_1':0.03,'4_1':0.0},(484,627):{'3_1':0.03},(484,626):{'3_1':0.0},(484,625):{'3_1':0.03},(484,624):{'3_1':0.0},(484,623):{'3_1':0.0,'4_1':0.0},(484,622):{'3_1':0.03},(484,621):{'3_1':0.0},(484,619):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(484,618):{'3_1':0.03,'5_1':0.0},(484,617):{'3_1':0.0},(484,616):{'3_1':0.03},(484,615):{'3_1':0.03},(484,614):{'3_1':0.0},(484,612):{'3_1':0.0},(484,611):{'3_1':0.0},(484,609):{'3_1':0.0},(484,608):{'3_1':0.0},(484,607):{'3_1':0.0},(484,606):{'3_1':0.0},(484,605):{'3_1':0.0},(484,604):{'3_1':0.0},(484,602):{'3_1':0.0,'5_2':0.0},(484,601):{'3_1':0.03},(484,600):{'3_1':0.0},(484,599):{'3_1':0.0,'4_1':0.0},(484,598):{'3_1':0.03},(484,597):{'3_1':0.0},(484,596):{'3_1':0.03,'5_2':0.0},(484,595):{'3_1':0.03},(484,594):{'3_1':0.0},(484,593):{'3_1':0.03,'4_1':0.0},(484,592):{'3_1':0.0},(484,591):{'3_1':0.06},(484,590):{'3_1':0.03,'7_2':0.0},(484,589):{'3_1':0.03},(484,588):{'3_1':0.0},(484,587):{'3_1':0.0},(484,586):{'3_1':0.0},(484,585):{'3_1':0.0},(484,584):{'3_1':0.0},(484,583):{'3_1':0.0},(484,582):{'3_1':0.03},(484,579):{'3_1':0.0},(484,576):{'3_1':0.0},(484,574):{'3_1':0.0},(484,572):{'3_1':0.0},(484,571):{'3_1':0.0},(484,569):{'3_1':0.0},(484,566):{'3_1':0.0},(484,564):{'3_1':0.0},(484,562):{'3_1':0.0},(484,547):{'3_1':0.0},(484,544):{'3_1':0.0},(484,538):{'3_1':0.0},(484,537):{'3_1':0.0},(484,536):{'3_1':0.0},(484,535):{'4_1':0.0},(484,533):{'3_1':0.0},(484,532):{'3_1':0.0},(484,530):{'3_1':0.0},(484,529):{'3_1':0.0},(484,527):{'5_1':0.0},(484,525):{'3_1':0.0},(484,524):{'3_1':0.0},(484,523):{'3_1':0.0},(484,521):{'3_1':0.0},(484,520):{'3_1':0.0},(484,519):{'3_1':0.0},(484,518):{'3_1':0.0},(484,516):{'3_1':0.0},(484,514):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(485,726):{'3_1':0.0},(485,724):{'3_1':0.0},(485,723):{'3_1':0.0},(485,722):{'3_1':0.0},(485,721):{'3_1':0.0},(485,720):{'3_1':0.03},(485,719):{'3_1':0.0},(485,718):{'3_1':0.03,'4_1':0.0},(485,717):{'3_1':0.0},(485,716):{'3_1':0.0},(485,715):{'3_1':0.03},(485,714):{'3_1':0.03},(485,713):{'3_1':0.06,'4_1':0.0},(485,712):{'3_1':0.03},(485,711):{'3_1':0.03},(485,710):{'3_1':0.0},(485,709):{'3_1':0.0},(485,708):{'3_1':0.03},(485,707):{'3_1':0.06,'5_1':0.0},(485,706):{'3_1':0.03},(485,705):{'3_1':0.0},(485,704):{'3_1':0.03,'7_1':0.0},(485,703):{'3_1':0.03},(485,702):{'3_1':0.12},(485,701):{'3_1':0.06},(485,700):{'3_1':0.03},(485,699):{'3_1':0.03},(485,698):{'3_1':0.03,'5_1':0.0},(485,697):{'3_1':0.09},(485,696):{'3_1':0.03,'5_1':0.0},(485,695):{'3_1':0.12},(485,694):{'3_1':0.09},(485,693):{'3_1':0.03,'5_1':0.0},(485,692):{'3_1':0.03},(485,691):{'3_1':0.06},(485,690):{'3_1':0.06,'4_1':0.0},(485,689):{'3_1':0.0},(485,688):{'3_1':0.0},(485,687):{'3_1':0.06},(485,686):{'3_1':0.03,'4_1':0.0},(485,685):{'3_1':0.03},(485,684):{'3_1':0.09},(485,683):{'3_1':0.03,'4_1':0.0},(485,682):{'3_1':0.03},(485,681):{'3_1':0.03},(485,680):{'3_1':0.06,'4_1':0.0},(485,679):{'3_1':0.03},(485,678):{'3_1':0.0,'5_1':0.0},(485,677):{'3_1':0.0},(485,676):{'3_1':0.0},(485,675):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(485,674):{'3_1':0.0},(485,673):{'3_1':0.0,'4_1':0.0},(485,672):{'3_1':0.0,'4_1':0.0},(485,671):{'3_1':0.03,'4_1':0.0},(485,670):{'3_1':0.0,'4_1':0.0},(485,669):{'3_1':0.03},(485,667):{'3_1':0.0},(485,666):{'3_1':0.06},(485,665):{'3_1':0.0},(485,663):{'3_1':0.03},(485,662):{'3_1':0.0},(485,661):{'3_1':0.0},(485,660):{'3_1':0.03,'8_3':0.0},(485,659):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(485,658):{'3_1':0.03,'4_1':0.0},(485,657):{'3_1':0.06},(485,656):{'3_1':0.03,'5_1':0.0},(485,655):{'3_1':0.06},(485,654):{'3_1':0.0},(485,653):{'3_1':0.0},(485,652):{'3_1':0.0},(485,651):{'3_1':0.0},(485,650):{'3_1':0.0},(485,649):{'3_1':0.06,'5_1':0.0},(485,648):{'3_1':0.03},(485,647):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(485,646):{'3_1':0.06,'5_1':0.0},(485,645):{'3_1':0.06},(485,644):{'3_1':0.0},(485,643):{'3_1':0.06,'4_1':0.0},(485,642):{'3_1':0.0},(485,641):{'3_1':0.03},(485,640):{'3_1':0.0},(485,639):{'3_1':0.0},(485,638):{'3_1':0.03,'4_1':0.0},(485,637):{'3_1':0.0},(485,636):{'3_1':0.0},(485,635):{'3_1':0.03},(485,634):{'3_1':0.0},(485,633):{'3_1':0.0,'4_1':0.0},(485,632):{'3_1':0.0},(485,631):{'3_1':0.0},(485,630):{'3_1':0.03},(485,629):{'3_1':0.03},(485,628):{'3_1':0.0},(485,627):{'3_1':0.0},(485,626):{'3_1':0.0},(485,625):{'3_1':0.03},(485,624):{'3_1':0.0},(485,623):{'3_1':0.03},(485,622):{'3_1':0.03},(485,620):{'3_1':0.06},(485,619):{'3_1':0.06},(485,618):{'3_1':0.06,'4_1':0.0},(485,617):{'3_1':0.0},(485,616):{'3_1':0.0},(485,615):{'3_1':0.03},(485,614):{'3_1':0.0},(485,613):{'3_1':0.0},(485,612):{'3_1':0.0},(485,611):{'3_1':0.0},(485,610):{'3_1':0.0},(485,609):{'3_1':0.0,'5_2':0.0},(485,607):{'3_1':0.0},(485,606):{'3_1':0.03},(485,605):{'3_1':0.0},(485,604):{'3_1':0.0},(485,603):{'3_1':0.0},(485,602):{'3_1':0.0},(485,600):{'3_1':0.03,'5_2':0.0},(485,599):{'3_1':0.0},(485,598):{'3_1':0.0},(485,597):{'3_1':0.0},(485,596):{'3_1':0.03},(485,595):{'3_1':0.0},(485,594):{'3_1':0.03},(485,593):{'3_1':0.03},(485,592):{'3_1':0.03},(485,591):{'3_1':0.0},(485,590):{'3_1':0.03},(485,589):{'3_1':0.0},(485,588):{'3_1':0.0},(485,587):{'3_1':0.0},(485,586):{'3_1':0.0},(485,585):{'3_1':0.0},(485,583):{'3_1':0.0},(485,580):{'3_1':0.0},(485,577):{'3_1':0.0},(485,569):{'3_1':0.0},(485,568):{'3_1':0.0},(485,565):{'5_2':0.0},(485,564):{'3_1':0.0},(485,563):{'3_1':0.0},(485,561):{'4_1':0.0},(485,560):{'3_1':0.0},(485,559):{'3_1':0.0},(485,554):{'3_1':0.0},(485,552):{'6_1':0.0},(485,549):{'3_1':0.0},(485,548):{'3_1':0.0},(485,547):{'3_1':0.0,'5_1':0.0},(485,541):{'3_1':0.0},(485,538):{'3_1':0.0},(485,537):{'3_1':0.0},(485,536):{'3_1':0.0},(485,533):{'3_1':0.0},(485,532):{'3_1':0.0},(485,530):{'3_1':0.0},(485,529):{'3_1':0.0},(485,528):{'3_1':0.0},(485,527):{'3_1':0.0},(485,524):{'3_1':0.0},(485,523):{'3_1':0.0,'5_2':0.0},(485,522):{'3_1':0.0},(485,521):{'3_1':0.0},(485,520):{'3_1':0.0},(485,518):{'3_1':0.0},(485,517):{'3_1':0.0},(485,515):{'3_1':0.0},(485,514):{'3_1':0.0},(486,726):{'3_1':0.0},(486,725):{'3_1':0.03,'5_1':0.0},(486,723):{'3_1':0.0},(486,722):{'3_1':0.03,'4_1':0.0},(486,721):{'3_1':0.03},(486,720):{'3_1':0.0,'4_1':0.0},(486,719):{'3_1':0.03},(486,718):{'3_1':0.0},(486,717):{'3_1':0.0},(486,716):{'3_1':0.03},(486,715):{'3_1':0.03},(486,714):{'3_1':0.06},(486,713):{'3_1':0.0},(486,712):{'3_1':0.03,'4_1':0.0},(486,711):{'3_1':0.0,'4_1':0.0},(486,710):{'3_1':0.03,'4_1':0.0},(486,709):{'3_1':0.03},(486,708):{'3_1':0.03},(486,707):{'3_1':0.09},(486,706):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(486,705):{'3_1':0.0,'5_1':0.0},(486,704):{'3_1':0.03,'7_1':0.0},(486,703):{'3_1':0.06},(486,702):{'3_1':0.06},(486,701):{'3_1':0.03},(486,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(486,699):{'3_1':0.0},(486,698):{'3_1':0.03,'4_1':0.0},(486,697):{'3_1':0.03,'5_1':0.0},(486,696):{'3_1':0.06},(486,695):{'3_1':0.03},(486,694):{'3_1':0.06},(486,693):{'3_1':0.03},(486,692):{'3_1':0.06,'5_1':0.0},(486,691):{'3_1':0.03,'4_1':0.0},(486,690):{'3_1':0.06},(486,689):{'3_1':0.0,'5_1':0.0},(486,688):{'3_1':0.03,'4_1':0.0},(486,687):{'3_1':0.06},(486,686):{'3_1':0.03},(486,685):{'3_1':0.03},(486,684):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(486,683):{'3_1':0.0},(486,682):{'3_1':0.03,'4_1':0.0},(486,681):{'3_1':0.06},(486,680):{'3_1':0.03},(486,679):{'3_1':0.03,'7_1':0.0},(486,678):{'3_1':0.0,'5_1':0.0},(486,671):{'4_1':0.0},(486,670):{'3_1':0.0},(486,669):{'3_1':0.0},(486,668):{'3_1':0.03,'4_1':0.0},(486,667):{'3_1':0.03,'4_1':0.0},(486,666):{'3_1':0.0},(486,664):{'3_1':0.0},(486,663):{'3_1':0.0},(486,662):{'3_1':0.0,'4_1':0.0},(486,661):{'3_1':0.0},(486,660):{'3_1':0.0},(486,659):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(486,658):{'3_1':0.0},(486,657):{'3_1':0.03},(486,656):{'3_1':0.0},(486,655):{'3_1':0.0},(486,654):{'3_1':0.03},(486,653):{'3_1':0.0},(486,652):{'3_1':0.03},(486,651):{'3_1':0.03},(486,650):{'3_1':0.0},(486,649):{'3_1':0.0},(486,648):{'3_1':0.03},(486,647):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(486,646):{'3_1':0.0},(486,645):{'3_1':0.03,'5_2':0.0},(486,644):{'3_1':0.03},(486,643):{'3_1':0.03,'5_1':0.0},(486,642):{'3_1':0.0,'5_1':0.0},(486,641):{'3_1':0.03},(486,640):{'3_1':0.06},(486,639):{'3_1':0.03},(486,638):{'3_1':0.0},(486,637):{'3_1':0.0,'5_1':0.0},(486,636):{'3_1':0.0,'4_1':0.0},(486,635):{'3_1':0.03},(486,634):{'3_1':0.0},(486,633):{'3_1':0.0},(486,632):{'3_1':0.0},(486,631):{'3_1':0.0},(486,630):{'3_1':0.0,'4_1':0.0},(486,629):{'3_1':0.0},(486,628):{'3_1':0.03},(486,627):{'3_1':0.0},(486,626):{'3_1':0.0,'5_2':0.0},(486,625):{'3_1':0.0},(486,624):{'3_1':0.0},(486,623):{'3_1':0.03},(486,622):{'3_1':0.0},(486,621):{'3_1':0.0},(486,620):{'3_1':0.0},(486,619):{'3_1':0.0,'5_1':0.0},(486,618):{'3_1':0.03,'4_1':0.0},(486,617):{'3_1':0.0},(486,616):{'3_1':0.03},(486,615):{'3_1':0.0},(486,614):{'3_1':0.0},(486,613):{'3_1':0.0},(486,612):{'4_1':0.0},(486,611):{'3_1':0.0},(486,610):{'3_1':0.0},(486,609):{'3_1':0.0},(486,608):{'3_1':0.0},(486,606):{'3_1':0.0},(486,605):{'3_1':0.0},(486,604):{'3_1':0.0},(486,603):{'3_1':0.0},(486,602):{'3_1':0.0},(486,601):{'3_1':0.0},(486,600):{'3_1':0.03,'4_1':0.0},(486,599):{'3_1':0.03},(486,598):{'3_1':0.03},(486,597):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(486,596):{'3_1':0.0},(486,595):{'3_1':0.0},(486,594):{'3_1':0.03},(486,593):{'3_1':0.03},(486,592):{'3_1':0.03},(486,591):{'3_1':0.0},(486,590):{'3_1':0.06},(486,589):{'3_1':0.0,'5_2':0.0},(486,588):{'3_1':0.03},(486,587):{'3_1':0.0},(486,586):{'3_1':0.0},(486,585):{'3_1':0.03},(486,584):{'3_1':0.03},(486,583):{'3_1':0.0},(486,582):{'3_1':0.0},(486,580):{'3_1':0.0},(486,579):{'3_1':0.0},(486,578):{'3_1':0.0},(486,577):{'3_1':0.0},(486,576):{'3_1':0.0},(486,574):{'3_1':0.0},(486,572):{'3_1':0.0},(486,571):{'3_1':0.0,'5_2':0.0},(486,570):{'3_1':0.0},(486,565):{'3_1':0.0},(486,563):{'3_1':0.03},(486,562):{'3_1':0.0},(486,561):{'3_1':0.0},(486,560):{'3_1':0.0},(486,559):{'3_1':0.0},(486,558):{'3_1':0.0},(486,556):{'3_1':0.0},(486,555):{'3_1':0.0},(486,554):{'3_1':0.0,'6_1':0.0},(486,553):{'3_1':0.0},(486,552):{'3_1':0.0},(486,549):{'3_1':0.0},(486,548):{'3_1':0.0},(486,547):{'3_1':0.0},(486,544):{'3_1':0.0},(486,543):{'3_1':0.0},(486,541):{'3_1':0.0},(486,540):{'3_1':0.0,'5_1':0.0},(486,537):{'3_1':0.0},(486,536):{'3_1':0.0},(486,533):{'3_1':0.0},(486,532):{'3_1':0.0,'5_1':0.0},(486,531):{'3_1':0.0},(486,529):{'3_1':0.0,'5_1':0.0},(486,527):{'3_1':0.0},(486,526):{'3_1':0.0},(486,525):{'3_1':0.0},(486,523):{'3_1':0.03},(486,522):{'3_1':0.0},(486,521):{'3_1':0.0},(486,520):{'3_1':0.0},(486,519):{'3_1':0.0},(486,518):{'3_1':0.03},(486,517):{'3_1':0.0},(486,514):{'3_1':0.0},(486,506):{'3_1':0.0},(486,502):{'7_2':0.0},(486,498):{'3_1':0.0},(487,727):{'3_1':0.03},(487,726):{'3_1':0.0},(487,725):{'3_1':0.03},(487,724):{'3_1':0.06,'4_1':0.0},(487,723):{'3_1':0.03},(487,722):{'3_1':0.03},(487,721):{'3_1':0.06},(487,720):{'3_1':0.06},(487,719):{'3_1':0.0},(487,718):{'3_1':0.03},(487,717):{'3_1':0.03,'4_1':0.0},(487,716):{'3_1':0.0},(487,715):{'3_1':0.09},(487,714):{'3_1':0.03,'4_1':0.0},(487,713):{'3_1':0.0},(487,712):{'3_1':0.03,'5_1':0.0},(487,711):{'3_1':0.03},(487,710):{'3_1':0.06},(487,709):{'3_1':0.03},(487,708):{'3_1':0.03},(487,707):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(487,706):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(487,705):{'3_1':0.06},(487,704):{'3_1':0.06},(487,703):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(487,702):{'3_1':0.03},(487,701):{'3_1':0.03},(487,700):{'3_1':0.09,'4_1':0.0},(487,699):{'3_1':0.03},(487,698):{'3_1':0.03,'4_1':0.0},(487,697):{'3_1':0.03,'4_1':0.0},(487,696):{'3_1':0.09,'4_1':0.0},(487,695):{'3_1':0.0,'5_1':0.0},(487,694):{'3_1':0.0},(487,693):{'3_1':0.06},(487,692):{'3_1':0.06},(487,691):{'3_1':0.03},(487,690):{'3_1':0.03},(487,689):{'3_1':0.03},(487,688):{'3_1':0.0},(487,687):{'3_1':0.0},(487,686):{'3_1':0.03,'4_1':0.0},(487,685):{'3_1':0.0,'4_1':0.0},(487,684):{'3_1':0.03,'7_1':0.0},(487,683):{'3_1':0.0},(487,682):{'3_1':0.0},(487,681):{'3_1':0.06,'4_1':0.0},(487,680):{'3_1':0.0},(487,679):{'3_1':0.03,'4_1':0.0},(487,678):{'3_1':0.0},(487,677):{'3_1':0.0},(487,676):{'3_1':0.0,'4_1':0.0},(487,673):{'3_1':0.0},(487,671):{'4_1':0.0},(487,670):{'3_1':0.0},(487,669):{'3_1':0.0},(487,668):{'3_1':0.0},(487,667):{'3_1':0.0,'4_1':0.0},(487,666):{'3_1':0.0},(487,665):{'3_1':0.0},(487,664):{'3_1':0.03,'4_1':0.0},(487,663):{'3_1':0.03},(487,662):{'3_1':0.06},(487,661):{'3_1':0.0,'4_1':0.0},(487,659):{'3_1':0.0},(487,658):{'3_1':0.0},(487,657):{'3_1':0.0},(487,656):{'3_1':0.0},(487,655):{'3_1':0.03},(487,654):{'3_1':0.0},(487,653):{'3_1':0.0,'4_1':0.0},(487,652):{'3_1':0.03},(487,651):{'3_1':0.0},(487,650):{'3_1':0.0},(487,649):{'3_1':0.0},(487,648):{'3_1':0.06,'4_1':0.0},(487,647):{'3_1':0.0},(487,646):{'3_1':0.03},(487,645):{'3_1':0.0},(487,644):{'3_1':0.03,'6_1':0.0},(487,643):{'3_1':0.03},(487,642):{'3_1':0.0},(487,641):{'3_1':0.03,'4_1':0.0},(487,640):{'3_1':0.0},(487,639):{'3_1':0.0},(487,638):{'3_1':0.0},(487,636):{'3_1':0.03},(487,635):{'3_1':0.0},(487,634):{'3_1':0.0},(487,633):{'3_1':0.0},(487,632):{'3_1':0.0},(487,631):{'3_1':0.0},(487,629):{'3_1':0.0},(487,628):{'3_1':0.03},(487,627):{'3_1':0.0},(487,625):{'3_1':0.0},(487,624):{'3_1':0.0},(487,623):{'3_1':0.03},(487,622):{'3_1':0.0,'4_1':0.0},(487,621):{'3_1':0.0},(487,620):{'3_1':0.0},(487,619):{'3_1':0.0},(487,618):{'3_1':0.0,'4_1':0.0},(487,617):{'3_1':0.0},(487,616):{'3_1':0.0,'4_1':0.0},(487,615):{'3_1':0.0},(487,612):{'3_1':0.0},(487,609):{'3_1':0.0},(487,608):{'3_1':0.0},(487,605):{'3_1':0.0},(487,604):{'3_1':0.0},(487,602):{'3_1':0.0},(487,601):{'3_1':0.0},(487,600):{'3_1':0.0,'5_1':0.0},(487,599):{'3_1':0.0,'4_1':0.0},(487,598):{'3_1':0.03},(487,597):{'3_1':0.06},(487,596):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(487,595):{'3_1':0.03},(487,594):{'3_1':0.0},(487,593):{'3_1':0.0},(487,592):{'3_1':0.0},(487,591):{'3_1':0.0},(487,590):{'3_1':0.0},(487,589):{'3_1':0.0},(487,588):{'3_1':0.0},(487,587):{'3_1':0.0},(487,586):{'3_1':0.0},(487,585):{'3_1':0.0},(487,584):{'3_1':0.0},(487,583):{'3_1':0.0},(487,582):{'3_1':0.0},(487,580):{'3_1':0.0},(487,579):{'3_1':0.0},(487,578):{'3_1':0.0},(487,577):{'3_1':0.0},(487,575):{'3_1':0.0},(487,572):{'3_1':0.0},(487,570):{'3_1':0.0},(487,569):{'3_1':0.0},(487,565):{'3_1':0.0},(487,564):{'3_1':0.0},(487,562):{'3_1':0.0,'4_1':0.0},(487,561):{'3_1':0.0},(487,560):{'3_1':0.0},(487,558):{'3_1':0.0},(487,551):{'3_1':0.0},(487,548):{'3_1':0.0},(487,540):{'3_1':0.0,'7_2':0.0},(487,534):{'3_1':0.03},(487,533):{'3_1':0.0},(487,532):{'3_1':0.0},(487,531):{'3_1':0.0},(487,530):{'3_1':0.0},(487,529):{'3_1':0.0},(487,526):{'3_1':0.0,'4_1':0.0},(487,525):{'3_1':0.0},(487,524):{'3_1':0.0},(487,523):{'3_1':0.0},(487,522):{'3_1':0.03},(487,521):{'3_1':0.0},(487,520):{'3_1':0.0},(487,519):{'3_1':0.0},(487,518):{'3_1':0.0,'5_1':0.0},(487,516):{'3_1':0.0},(487,515):{'3_1':0.0},(487,514):{'3_1':0.0},(487,498):{'5_2':0.0},(488,727):{'3_1':0.03,'4_1':0.0},(488,726):{'3_1':0.03,'5_1':0.0},(488,725):{'3_1':0.0},(488,724):{'3_1':0.0,'5_1':0.0},(488,723):{'3_1':0.0},(488,722):{'3_1':0.06,'4_1':0.0},(488,721):{'3_1':0.03},(488,720):{'3_1':0.03},(488,719):{'3_1':0.0},(488,718):{'3_1':0.03},(488,717):{'3_1':0.0},(488,716):{'3_1':0.03,'5_1':0.0},(488,715):{'3_1':0.0,'5_1':0.0},(488,714):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(488,713):{'3_1':0.0,'4_1':0.0},(488,712):{'3_1':0.03},(488,711):{'3_1':0.03,'4_1':0.0},(488,710):{'3_1':0.06},(488,709):{'3_1':0.06},(488,708):{'3_1':0.03},(488,707):{'3_1':0.0},(488,706):{'3_1':0.06},(488,705):{'3_1':0.03,'4_1':0.0},(488,704):{'3_1':0.06},(488,703):{'3_1':0.06},(488,702):{'3_1':0.03},(488,701):{'3_1':0.03,'5_1':0.0},(488,700):{'3_1':0.03,'4_1':0.0},(488,699):{'3_1':0.03},(488,698):{'3_1':0.03},(488,697):{'3_1':0.06},(488,696):{'3_1':0.06},(488,695):{'3_1':0.03},(488,694):{'3_1':0.03},(488,693):{'3_1':0.06},(488,692):{'3_1':0.06},(488,691):{'3_1':0.03},(488,690):{'3_1':0.09,'4_1':0.0},(488,689):{'3_1':0.03},(488,688):{'3_1':0.06,'4_1':0.0},(488,687):{'3_1':0.09},(488,686):{'3_1':0.06},(488,685):{'3_1':0.03},(488,684):{'3_1':0.03},(488,683):{'3_1':0.03,'5_1':0.0},(488,682):{'3_1':0.0,'4_1':0.0},(488,681):{'3_1':0.06},(488,680):{'3_1':0.06,'5_1':0.0},(488,679):{'3_1':0.03,'4_1':0.0},(488,678):{'3_1':0.0},(488,677):{'3_1':0.03,'5_1':0.0},(488,675):{'3_1':0.03},(488,674):{'3_1':0.06},(488,673):{'3_1':0.0},(488,671):{'4_1':0.0},(488,670):{'3_1':0.03},(488,669):{'3_1':0.03,'4_1':0.0},(488,668):{'5_1':0.0},(488,667):{'3_1':0.0,'4_1':0.0},(488,666):{'3_1':0.03},(488,665):{'3_1':0.03,'5_1':0.0},(488,664):{'3_1':0.0},(488,663):{'3_1':0.06},(488,662):{'3_1':0.0,'4_1':0.0},(488,661):{'3_1':0.0},(488,660):{'3_1':0.03},(488,659):{'3_1':0.06},(488,658):{'3_1':0.0},(488,657):{'3_1':0.0,'4_1':0.0},(488,656):{'3_1':0.0},(488,655):{'3_1':0.03,'4_1':0.0},(488,654):{'3_1':0.03},(488,653):{'3_1':0.06},(488,652):{'3_1':0.03},(488,651):{'3_1':0.03},(488,650):{'3_1':0.0},(488,649):{'3_1':0.06},(488,648):{'3_1':0.0},(488,647):{'3_1':0.0},(488,646):{'3_1':0.06},(488,645):{'3_1':0.0},(488,644):{'3_1':0.03},(488,643):{'3_1':0.03},(488,642):{'3_1':0.0},(488,641):{'3_1':0.0},(488,640):{'3_1':0.0},(488,639):{'3_1':0.0},(488,638):{'3_1':0.0},(488,637):{'3_1':0.0,'4_1':0.0},(488,635):{'3_1':0.0},(488,634):{'3_1':0.0},(488,633):{'3_1':0.0},(488,632):{'3_1':0.0},(488,631):{'3_1':0.0},(488,630):{'3_1':0.0},(488,629):{'3_1':0.0},(488,628):{'3_1':0.03},(488,627):{'3_1':0.03},(488,626):{'3_1':0.03},(488,625):{'3_1':0.0},(488,624):{'3_1':0.0},(488,623):{'3_1':0.0},(488,622):{'3_1':0.0},(488,621):{'3_1':0.0},(488,620):{'3_1':0.0},(488,619):{'3_1':0.0},(488,618):{'3_1':0.0},(488,617):{'3_1':0.03},(488,616):{'3_1':0.0,'4_1':0.0},(488,615):{'3_1':0.0},(488,612):{'3_1':0.0},(488,611):{'3_1':0.0},(488,610):{'3_1':0.0},(488,609):{'3_1':0.0},(488,608):{'3_1':0.0},(488,607):{'4_1':0.0},(488,604):{'3_1':0.0},(488,603):{'3_1':0.0},(488,602):{'3_1':0.0},(488,601):{'3_1':0.0,'5_2':0.0},(488,600):{'3_1':0.0},(488,599):{'3_1':0.0},(488,598):{'3_1':0.03},(488,597):{'3_1':0.0},(488,596):{'3_1':0.0,'5_2':0.0},(488,595):{'3_1':0.03},(488,594):{'3_1':0.0},(488,593):{'3_1':0.0},(488,592):{'3_1':0.0},(488,591):{'3_1':0.0},(488,590):{'3_1':0.0},(488,589):{'3_1':0.0},(488,587):{'3_1':0.0},(488,586):{'3_1':0.0},(488,585):{'3_1':0.0},(488,584):{'3_1':0.0},(488,583):{'3_1':0.0},(488,581):{'3_1':0.0},(488,578):{'3_1':0.0},(488,576):{'3_1':0.0,'5_1':0.0},(488,574):{'3_1':0.0},(488,573):{'3_1':0.0},(488,572):{'3_1':0.0},(488,571):{'3_1':0.0},(488,568):{'3_1':0.0},(488,562):{'3_1':0.0},(488,560):{'3_1':0.0},(488,556):{'3_1':0.0},(488,549):{'3_1':0.0},(488,540):{'3_1':0.0},(488,539):{'3_1':0.0},(488,538):{'5_1':0.0},(488,537):{'3_1':0.0},(488,536):{'3_1':0.0},(488,534):{'3_1':0.0},(488,533):{'3_1':0.0},(488,530):{'3_1':0.0},(488,529):{'3_1':0.0},(488,525):{'3_1':0.0},(488,524):{'3_1':0.0},(488,523):{'3_1':0.0},(488,522):{'3_1':0.03,'5_1':0.0},(488,521):{'3_1':0.0},(488,520):{'3_1':0.0},(488,519):{'3_1':0.0},(488,518):{'3_1':0.0},(488,517):{'3_1':0.0},(488,514):{'3_1':0.0},(488,513):{'3_1':0.0},(489,727):{'3_1':0.0},(489,726):{'3_1':0.03},(489,725):{'3_1':0.0},(489,723):{'3_1':0.0},(489,722):{'3_1':0.03},(489,721):{'3_1':0.0},(489,720):{'3_1':0.0},(489,719):{'3_1':0.0,'5_1':0.0},(489,718):{'3_1':0.0},(489,717):{'3_1':0.03},(489,716):{'3_1':0.0},(489,715):{'3_1':0.0},(489,714):{'3_1':0.03},(489,713):{'3_1':0.06},(489,712):{'3_1':0.06},(489,711):{'3_1':0.03,'5_1':0.0},(489,710):{'3_1':0.0,'4_1':0.0},(489,709):{'3_1':0.03},(489,708):{'3_1':0.03},(489,707):{'3_1':0.0,'4_1':0.0},(489,706):{'3_1':0.03,'4_1':0.0},(489,705):{'3_1':0.03},(489,704):{'3_1':0.03},(489,703):{'3_1':0.06},(489,702):{'3_1':0.03,'5_2':0.0},(489,701):{'3_1':0.03},(489,700):{'3_1':0.06,'4_1':0.0},(489,699):{'3_1':0.03,'7_1':0.0},(489,698):{'3_1':0.03},(489,697):{'3_1':0.09},(489,696):{'3_1':0.06},(489,695):{'3_1':0.0},(489,694):{'3_1':0.03},(489,693):{'3_1':0.03},(489,692):{'3_1':0.03},(489,691):{'3_1':0.06},(489,690):{'3_1':0.03,'4_1':0.0},(489,689):{'3_1':0.03,'4_1':0.0},(489,688):{'3_1':0.0,'5_1':0.0},(489,687):{'3_1':0.03},(489,686):{'3_1':0.06},(489,685):{'3_1':0.03,'4_1':0.0},(489,684):{'3_1':0.0},(489,683):{'3_1':0.03,'4_1':0.0},(489,681):{'3_1':0.03},(489,680):{'3_1':0.0},(489,679):{'3_1':0.0},(489,678):{'3_1':0.0},(489,677):{'3_1':0.03},(489,676):{'3_1':0.0},(489,675):{'3_1':0.0},(489,674):{'3_1':0.0,'4_1':0.0},(489,673):{'3_1':0.06},(489,671):{'3_1':0.03},(489,670):{'3_1':0.0},(489,669):{'3_1':0.0},(489,668):{'3_1':0.0},(489,666):{'3_1':0.0},(489,665):{'3_1':0.0},(489,664):{'3_1':0.0},(489,663):{'3_1':0.0},(489,662):{'3_1':0.0,'4_1':0.0},(489,661):{'3_1':0.0},(489,660):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(489,659):{'3_1':0.03},(489,658):{'3_1':0.0,'5_1':0.0},(489,657):{'3_1':0.0,'5_1':0.0},(489,656):{'3_1':0.0,'7_1':0.0},(489,655):{'3_1':0.0,'4_1':0.0},(489,654):{'3_1':0.0},(489,653):{'3_1':0.0},(489,652):{'3_1':0.0},(489,651):{'3_1':0.0},(489,650):{'3_1':0.03},(489,649):{'3_1':0.03},(489,648):{'3_1':0.0},(489,647):{'3_1':0.0},(489,646):{'3_1':0.03},(489,645):{'3_1':0.0},(489,644):{'3_1':0.0},(489,643):{'3_1':0.06,'4_1':0.0},(489,642):{'3_1':0.0},(489,641):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(489,640):{'3_1':0.0},(489,638):{'3_1':0.0,'4_1':0.0},(489,637):{'3_1':0.0},(489,636):{'3_1':0.0},(489,635):{'3_1':0.0},(489,634):{'3_1':0.03},(489,633):{'3_1':0.03,'4_1':0.0},(489,632):{'3_1':0.0,'4_1':0.0},(489,631):{'3_1':0.0},(489,630):{'3_1':0.0},(489,629):{'3_1':0.0},(489,628):{'3_1':0.0},(489,627):{'3_1':0.0},(489,626):{'3_1':0.03},(489,625):{'3_1':0.0},(489,624):{'3_1':0.03},(489,623):{'3_1':0.0},(489,622):{'3_1':0.0},(489,621):{'3_1':0.0},(489,620):{'3_1':0.0},(489,619):{'3_1':0.0},(489,618):{'3_1':0.0},(489,617):{'3_1':0.03},(489,616):{'3_1':0.0},(489,615):{'3_1':0.03},(489,613):{'3_1':0.0},(489,612):{'3_1':0.03},(489,611):{'3_1':0.0},(489,610):{'3_1':0.0},(489,608):{'3_1':0.0},(489,606):{'3_1':0.0,'4_1':0.0},(489,605):{'3_1':0.0},(489,604):{'3_1':0.0},(489,602):{'3_1':0.0},(489,601):{'3_1':0.0},(489,600):{'3_1':0.0},(489,599):{'3_1':0.0},(489,598):{'3_1':0.03},(489,597):{'3_1':0.03,'4_1':0.0},(489,596):{'3_1':0.0},(489,595):{'3_1':0.0},(489,594):{'3_1':0.03},(489,593):{'3_1':0.06},(489,592):{'3_1':0.0},(489,591):{'3_1':0.0},(489,590):{'3_1':0.03},(489,589):{'3_1':0.03},(489,587):{'3_1':0.0},(489,586):{'3_1':0.0},(489,585):{'3_1':0.06},(489,584):{'3_1':0.0},(489,583):{'3_1':0.0},(489,582):{'3_1':0.0},(489,579):{'3_1':0.0},(489,578):{'3_1':0.0},(489,577):{'3_1':0.0},(489,576):{'3_1':0.0},(489,574):{'3_1':0.0},(489,573):{'3_1':0.0},(489,572):{'3_1':0.0},(489,571):{'3_1':0.0},(489,570):{'3_1':0.0},(489,569):{'3_1':0.0},(489,564):{'3_1':0.0},(489,563):{'3_1':0.0},(489,559):{'3_1':0.0},(489,555):{'3_1':0.0},(489,554):{'3_1':0.0},(489,552):{'3_1':0.0},(489,549):{'3_1':0.0},(489,546):{'3_1':0.0},(489,545):{'3_1':0.0},(489,536):{'3_1':0.0},(489,535):{'3_1':0.0},(489,533):{'3_1':0.0},(489,532):{'3_1':0.0},(489,531):{'3_1':0.0},(489,530):{'3_1':0.0},(489,529):{'3_1':0.0},(489,528):{'3_1':0.0},(489,527):{'3_1':0.0},(489,525):{'3_1':0.0},(489,522):{'3_1':0.0},(489,520):{'5_2':0.0},(489,518):{'3_1':0.0,'5_1':0.0},(489,515):{'3_1':0.0},(489,514):{'3_1':0.0},(489,500):{'3_1':0.0},(489,498):{'3_1':0.0},(490,727):{'3_1':0.0},(490,725):{'3_1':0.0},(490,724):{'3_1':0.0,'4_1':0.0},(490,723):{'3_1':0.0},(490,722):{'3_1':0.0},(490,721):{'3_1':0.03},(490,720):{'3_1':0.0,'5_1':0.0},(490,719):{'3_1':0.03},(490,718):{'3_1':0.0,'4_1':0.0},(490,717):{'3_1':0.03},(490,716):{'3_1':0.03},(490,715):{'3_1':0.03},(490,714):{'3_1':0.03},(490,713):{'3_1':0.0},(490,712):{'3_1':0.06},(490,711):{'3_1':0.03},(490,709):{'3_1':0.03},(490,708):{'3_1':0.03},(490,707):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(490,706):{'3_1':0.03},(490,705):{'3_1':0.03},(490,704):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(490,703):{'3_1':0.06},(490,702):{'3_1':0.06},(490,701):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(490,700):{'3_1':0.09},(490,699):{'3_1':0.06},(490,698):{'3_1':0.03},(490,697):{'3_1':0.03},(490,696):{'3_1':0.06},(490,695):{'3_1':0.06},(490,694):{'3_1':0.03,'5_2':0.0},(490,693):{'3_1':0.09},(490,692):{'3_1':0.0,'5_1':0.0},(490,691):{'3_1':0.09},(490,690):{'3_1':0.06},(490,689):{'3_1':0.03},(490,688):{'3_1':0.03},(490,687):{'3_1':0.03},(490,686):{'3_1':0.03},(490,685):{'3_1':0.03},(490,684):{'3_1':0.03},(490,683):{'3_1':0.06},(490,682):{'3_1':0.03},(490,681):{'3_1':0.03,'4_1':0.0},(490,680):{'3_1':0.06,'4_1':0.0},(490,679):{'3_1':0.0},(490,678):{'3_1':0.0,'5_1':0.0},(490,677):{'3_1':0.0},(490,676):{'4_1':0.0},(490,675):{'3_1':0.0,'4_1':0.0},(490,674):{'3_1':0.03},(490,673):{'3_1':0.03},(490,671):{'3_1':0.0},(490,670):{'3_1':0.0},(490,669):{'3_1':0.0},(490,668):{'3_1':0.0,'4_1':0.0},(490,667):{'3_1':0.0,'4_1':0.0},(490,665):{'3_1':0.0,'4_1':0.0},(490,664):{'3_1':0.03},(490,663):{'3_1':0.0,'5_1':0.0},(490,662):{'3_1':0.03},(490,661):{'3_1':0.0},(490,660):{'3_1':0.0,'4_1':0.0},(490,659):{'3_1':0.06},(490,658):{'3_1':0.0},(490,657):{'3_1':0.0},(490,656):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(490,655):{'3_1':0.0},(490,654):{'3_1':0.0},(490,653):{'3_1':0.03},(490,652):{'3_1':0.0,'4_1':0.0},(490,651):{'3_1':0.03},(490,650):{'3_1':0.03},(490,649):{'3_1':0.03},(490,648):{'3_1':0.0},(490,647):{'3_1':0.03},(490,646):{'3_1':0.0},(490,645):{'3_1':0.06},(490,644):{'3_1':0.03},(490,643):{'3_1':0.03},(490,642):{'3_1':0.03},(490,641):{'3_1':0.0},(490,640):{'3_1':0.0},(490,639):{'3_1':0.03,'5_1':0.0},(490,638):{'3_1':0.0},(490,637):{'3_1':0.0},(490,636):{'3_1':0.0},(490,634):{'3_1':0.03},(490,633):{'3_1':0.0},(490,632):{'3_1':0.0},(490,631):{'3_1':0.0},(490,630):{'3_1':0.0},(490,629):{'3_1':0.0},(490,628):{'3_1':0.0},(490,627):{'3_1':0.0},(490,626):{'3_1':0.03},(490,625):{'3_1':0.0},(490,624):{'3_1':0.0},(490,623):{'3_1':0.03,'4_1':0.0},(490,622):{'3_1':0.0},(490,621):{'3_1':0.0},(490,620):{'3_1':0.0,'4_1':0.0},(490,619):{'5_1':0.0},(490,618):{'3_1':0.0,'4_1':0.0},(490,617):{'3_1':0.0},(490,616):{'3_1':0.0},(490,615):{'3_1':0.0},(490,614):{'3_1':0.03},(490,613):{'3_1':0.0},(490,612):{'3_1':0.0},(490,611):{'3_1':0.0},(490,610):{'3_1':0.0},(490,604):{'3_1':0.0},(490,603):{'3_1':0.0,'5_2':0.0},(490,602):{'3_1':0.0},(490,601):{'3_1':0.0},(490,600):{'3_1':0.0},(490,599):{'3_1':0.0},(490,597):{'3_1':0.06},(490,596):{'3_1':0.03,'4_1':0.0},(490,595):{'3_1':0.03},(490,594):{'3_1':0.03},(490,593):{'3_1':0.06},(490,592):{'3_1':0.0,'5_2':0.0},(490,590):{'3_1':0.0},(490,589):{'3_1':0.06,'5_1':0.0},(490,588):{'3_1':0.0},(490,587):{'3_1':0.0},(490,586):{'3_1':0.0},(490,585):{'3_1':0.0},(490,584):{'3_1':0.0},(490,583):{'3_1':0.0},(490,582):{'3_1':0.0},(490,580):{'3_1':0.0},(490,579):{'3_1':0.03},(490,576):{'3_1':0.0},(490,573):{'3_1':0.0},(490,568):{'4_1':0.0},(490,565):{'3_1':0.0},(490,561):{'3_1':0.0},(490,560):{'3_1':0.0},(490,555):{'3_1':0.0,'6_1':0.0},(490,554):{'3_1':0.0},(490,553):{'3_1':0.0,'4_1':0.0},(490,552):{'3_1':0.0},(490,551):{'5_2':0.0},(490,549):{'3_1':0.0},(490,537):{'3_1':0.0},(490,536):{'3_1':0.0},(490,535):{'3_1':0.0},(490,534):{'3_1':0.0},(490,533):{'3_1':0.0},(490,530):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(490,529):{'3_1':0.0},(490,528):{'3_1':0.0},(490,527):{'3_1':0.0},(490,526):{'3_1':0.0},(490,525):{'3_1':0.0},(490,524):{'3_1':0.0,'5_1':0.0},(490,523):{'3_1':0.0,'5_1':0.0},(490,522):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(490,520):{'3_1':0.0},(490,519):{'3_1':0.0},(490,518):{'3_1':0.03},(490,517):{'3_1':0.0},(490,516):{'3_1':0.0},(490,515):{'3_1':0.03},(490,514):{'3_1':0.03,'4_1':0.0},(490,513):{'3_1':0.0},(490,506):{'3_1':0.0},(490,499):{'5_1':0.0},(490,498):{'3_1':0.0},(491,727):{'3_1':0.0},(491,725):{'3_1':0.03},(491,724):{'3_1':0.0},(491,723):{'3_1':0.0},(491,722):{'3_1':0.0},(491,721):{'3_1':0.03},(491,720):{'3_1':0.03},(491,719):{'3_1':0.0},(491,718):{'3_1':0.03},(491,717):{'3_1':0.0,'5_1':0.0},(491,716):{'3_1':0.06},(491,715):{'3_1':0.0},(491,714):{'3_1':0.0},(491,713):{'3_1':0.03},(491,712):{'3_1':0.03},(491,711):{'3_1':0.0},(491,710):{'3_1':0.06},(491,709):{'3_1':0.0},(491,708):{'3_1':0.0},(491,707):{'3_1':0.03},(491,706):{'3_1':0.0},(491,705):{'3_1':0.03},(491,704):{'3_1':0.03,'4_1':0.0},(491,703):{'3_1':0.09},(491,702):{'3_1':0.03},(491,701):{'3_1':0.06},(491,700):{'3_1':0.03},(491,699):{'3_1':0.03,'4_1':0.0},(491,698):{'3_1':0.06},(491,697):{'3_1':0.06},(491,696):{'3_1':0.03},(491,695):{'3_1':0.0},(491,694):{'3_1':0.0,'5_1':0.0},(491,693):{'3_1':0.03},(491,692):{'3_1':0.06},(491,691):{'3_1':0.06},(491,690):{'3_1':0.06},(491,689):{'3_1':0.03},(491,688):{'3_1':0.06},(491,687):{'3_1':0.09},(491,686):{'3_1':0.03,'4_1':0.0},(491,685):{'3_1':0.06},(491,684):{'3_1':0.03},(491,683):{'3_1':0.03},(491,682):{'3_1':0.03},(491,681):{'3_1':0.03},(491,680):{'3_1':0.0,'4_1':0.0},(491,679):{'3_1':0.0,'5_1':0.0},(491,678):{'3_1':0.0},(491,677):{'3_1':0.0,'4_1':0.0},(491,676):{'3_1':0.03},(491,675):{'3_1':0.0},(491,674):{'3_1':0.03},(491,673):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(491,671):{'3_1':0.0,'4_1':0.0},(491,670):{'3_1':0.03},(491,669):{'3_1':0.03},(491,668):{'3_1':0.0},(491,667):{'3_1':0.0},(491,666):{'3_1':0.0,'4_1':0.0},(491,665):{'3_1':0.0},(491,664):{'3_1':0.0},(491,663):{'3_1':0.03,'5_1':0.0},(491,662):{'3_1':0.0},(491,661):{'3_1':0.0},(491,660):{'3_1':0.03},(491,658):{'3_1':0.03,'5_1':0.0},(491,657):{'3_1':0.03},(491,656):{'3_1':0.03,'5_1':0.0},(491,655):{'3_1':0.03},(491,654):{'3_1':0.03},(491,653):{'3_1':0.06},(491,652):{'3_1':0.03},(491,651):{'3_1':0.0},(491,650):{'3_1':0.03},(491,649):{'3_1':0.0},(491,648):{'3_1':0.03,'5_1':0.0},(491,647):{'3_1':0.03},(491,646):{'3_1':0.03},(491,645):{'3_1':0.03},(491,644):{'3_1':0.0},(491,643):{'3_1':0.03},(491,642):{'3_1':0.03},(491,641):{'3_1':0.03,'4_1':0.0},(491,640):{'3_1':0.0,'4_1':0.0},(491,639):{'3_1':0.0},(491,638):{'3_1':0.0},(491,637):{'3_1':0.0},(491,636):{'3_1':0.0,'4_1':0.0},(491,635):{'3_1':0.0},(491,634):{'3_1':0.0},(491,633):{'3_1':0.06},(491,631):{'3_1':0.0},(491,630):{'3_1':0.0},(491,629):{'3_1':0.0},(491,628):{'3_1':0.0},(491,627):{'3_1':0.0},(491,626):{'3_1':0.0},(491,625):{'3_1':0.0},(491,624):{'3_1':0.0},(491,623):{'3_1':0.03,'5_1':0.0},(491,622):{'3_1':0.0},(491,621):{'3_1':0.0},(491,620):{'3_1':0.0,'4_1':0.0},(491,619):{'3_1':0.0},(491,618):{'3_1':0.03,'4_1':0.0},(491,617):{'3_1':0.03},(491,616):{'3_1':0.03},(491,615):{'3_1':0.03},(491,614):{'3_1':0.03},(491,613):{'3_1':0.0},(491,612):{'3_1':0.06},(491,611):{'3_1':0.0},(491,609):{'3_1':0.0},(491,608):{'3_1':0.03},(491,607):{'3_1':0.0},(491,606):{'3_1':0.0},(491,605):{'3_1':0.0},(491,604):{'4_1':0.0},(491,603):{'3_1':0.0},(491,601):{'3_1':0.0},(491,600):{'3_1':0.03,'4_1':0.0},(491,599):{'3_1':0.03},(491,598):{'3_1':0.0,'4_1':0.0},(491,597):{'3_1':0.03},(491,596):{'3_1':0.0},(491,595):{'3_1':0.03},(491,594):{'3_1':0.06,'5_1':0.0},(491,593):{'3_1':0.06,'5_1':0.0},(491,592):{'3_1':0.03},(491,591):{'3_1':0.0},(491,590):{'3_1':0.03},(491,589):{'3_1':0.0},(491,588):{'3_1':0.0},(491,587):{'3_1':0.0},(491,586):{'3_1':0.0,'5_2':0.0},(491,585):{'3_1':0.0},(491,584):{'3_1':0.0},(491,582):{'3_1':0.0},(491,581):{'3_1':0.0},(491,580):{'3_1':0.0},(491,578):{'3_1':0.0},(491,577):{'3_1':0.0},(491,576):{'3_1':0.0},(491,575):{'4_1':0.0},(491,574):{'3_1':0.0},(491,573):{'3_1':0.0},(491,572):{'3_1':0.0},(491,569):{'3_1':0.0},(491,530):{'3_1':0.0},(491,528):{'5_2':0.0},(491,527):{'3_1':0.0},(491,526):{'5_1':0.0},(491,525):{'3_1':0.0},(491,524):{'3_1':0.0},(491,523):{'3_1':0.0},(491,522):{'3_1':0.0},(491,521):{'3_1':0.0},(491,520):{'3_1':0.0},(491,519):{'5_1':0.0},(491,517):{'3_1':0.0},(491,516):{'3_1':0.0},(491,514):{'3_1':0.0},(491,502):{'3_1':0.0},(491,499):{'3_1':0.0},(492,727):{'4_1':0.0},(492,726):{'3_1':0.0},(492,725):{'3_1':0.0,'5_1':0.0},(492,724):{'3_1':0.06,'4_1':0.0},(492,723):{'3_1':0.0},(492,722):{'3_1':0.03},(492,721):{'3_1':0.0},(492,720):{'3_1':0.0,'5_1':0.0},(492,719):{'3_1':0.0},(492,718):{'3_1':0.0},(492,717):{'3_1':0.0,'4_1':0.0},(492,716):{'3_1':0.03,'5_1':0.0},(492,715):{'3_1':0.0},(492,714):{'3_1':0.03},(492,713):{'3_1':0.0,'4_1':0.0},(492,712):{'3_1':0.0},(492,711):{'3_1':0.0},(492,710):{'3_1':0.03,'5_2':0.0},(492,709):{'3_1':0.03},(492,708):{'3_1':0.03},(492,707):{'3_1':0.03},(492,706):{'3_1':0.0},(492,705):{'3_1':0.03,'5_1':0.0},(492,704):{'3_1':0.06},(492,703):{'3_1':0.03,'4_1':0.0},(492,702):{'3_1':0.0,'5_1':0.0},(492,701):{'3_1':0.06,'4_1':0.0},(492,700):{'3_1':0.09},(492,699):{'3_1':0.03},(492,698):{'3_1':0.0,'4_1':0.0},(492,697):{'3_1':0.06},(492,696):{'3_1':0.06,'4_1':0.0},(492,695):{'3_1':0.0},(492,694):{'3_1':0.06},(492,693):{'3_1':0.06},(492,692):{'3_1':0.06,'5_1':0.0},(492,691):{'3_1':0.03},(492,690):{'3_1':0.09,'5_2':0.0},(492,689):{'3_1':0.03},(492,688):{'3_1':0.0},(492,687):{'3_1':0.06},(492,686):{'3_1':0.0,'4_1':0.0},(492,685):{'3_1':0.03},(492,684):{'3_1':0.0},(492,683):{'3_1':0.0,'4_1':0.0},(492,682):{'3_1':0.0,'4_1':0.0},(492,681):{'3_1':0.0},(492,680):{'3_1':0.03,'5_2':0.0},(492,679):{'3_1':0.03},(492,678):{'3_1':0.0},(492,677):{'3_1':0.0,'4_1':0.0},(492,676):{'3_1':0.0},(492,675):{'3_1':0.0,'5_1':0.0},(492,674):{'3_1':0.03,'4_1':0.0},(492,673):{'3_1':0.0,'5_1':0.0},(492,672):{'3_1':0.0,'4_1':0.0},(492,671):{'3_1':0.0},(492,670):{'3_1':0.06},(492,669):{'3_1':0.06},(492,668):{'3_1':0.0},(492,667):{'3_1':0.03,'4_1':0.0},(492,666):{'3_1':0.0},(492,665):{'3_1':0.03},(492,664):{'3_1':0.03},(492,663):{'3_1':0.03},(492,662):{'3_1':0.06},(492,661):{'3_1':0.03},(492,660):{'3_1':0.03},(492,659):{'3_1':0.0},(492,658):{'3_1':0.0},(492,657):{'3_1':0.03,'5_1':0.0},(492,656):{'3_1':0.03},(492,655):{'3_1':0.06,'4_1':0.0},(492,654):{'3_1':0.03},(492,653):{'3_1':0.03},(492,652):{'3_1':0.0},(492,651):{'3_1':0.0,'4_1':0.0},(492,650):{'3_1':0.03,'4_1':0.0},(492,649):{'3_1':0.0,'4_1':0.0},(492,648):{'3_1':0.09},(492,647):{'3_1':0.0,'5_1':0.0},(492,646):{'3_1':0.0},(492,645):{'3_1':0.03},(492,644):{'3_1':0.0},(492,643):{'3_1':0.0},(492,642):{'3_1':0.0},(492,641):{'3_1':0.0},(492,640):{'3_1':0.0},(492,639):{'3_1':0.0},(492,638):{'3_1':0.0},(492,637):{'3_1':0.0},(492,636):{'5_1':0.0},(492,635):{'3_1':0.0},(492,634):{'3_1':0.0},(492,632):{'3_1':0.0,'4_1':0.0},(492,631):{'3_1':0.0},(492,630):{'3_1':0.0},(492,629):{'3_1':0.0},(492,628):{'3_1':0.03},(492,627):{'3_1':0.0},(492,626):{'3_1':0.0},(492,625):{'3_1':0.0},(492,624):{'3_1':0.0},(492,623):{'3_1':0.0},(492,622):{'3_1':0.03,'4_1':0.0},(492,620):{'3_1':0.0},(492,619):{'3_1':0.0},(492,618):{'3_1':0.03},(492,617):{'3_1':0.03},(492,616):{'3_1':0.03},(492,615):{'3_1':0.0,'4_1':0.0},(492,614):{'3_1':0.0},(492,613):{'3_1':0.03,'4_1':0.0},(492,612):{'3_1':0.03},(492,610):{'3_1':0.0},(492,609):{'3_1':0.03},(492,608):{'3_1':0.0},(492,606):{'3_1':0.0},(492,605):{'3_1':0.0},(492,604):{'3_1':0.0},(492,601):{'3_1':0.0},(492,600):{'3_1':0.03,'5_1':0.0},(492,599):{'3_1':0.0,'5_1':0.0},(492,598):{'3_1':0.0},(492,597):{'3_1':0.0},(492,596):{'3_1':0.0},(492,595):{'3_1':0.0},(492,594):{'3_1':0.0,'5_1':0.0},(492,592):{'3_1':0.03},(492,591):{'3_1':0.0},(492,590):{'3_1':0.0},(492,589):{'3_1':0.0},(492,588):{'3_1':0.0},(492,585):{'3_1':0.0},(492,584):{'3_1':0.0},(492,583):{'3_1':0.0},(492,582):{'3_1':0.0},(492,581):{'3_1':0.0},(492,580):{'3_1':0.0},(492,579):{'3_1':0.0},(492,578):{'3_1':0.0},(492,577):{'3_1':0.0},(492,575):{'3_1':0.0},(492,574):{'3_1':0.0},(492,573):{'3_1':0.0},(492,557):{'3_1':0.0},(492,553):{'3_1':0.0},(492,529):{'3_1':0.0},(492,528):{'5_2':0.0},(492,527):{'3_1':0.0},(492,525):{'3_1':0.03},(492,524):{'3_1':0.0},(492,523):{'3_1':0.0},(492,522):{'3_1':0.0},(492,521):{'3_1':0.0},(492,520):{'3_1':0.0},(492,519):{'3_1':0.0},(492,517):{'3_1':0.0},(492,515):{'3_1':0.0},(492,514):{'3_1':0.0},(492,502):{'3_1':0.0},(492,501):{'5_1':0.0},(492,499):{'3_1':0.0},(492,498):{'3_1':0.0},(493,726):{'3_1':0.0},(493,725):{'3_1':0.0,'4_1':0.0},(493,724):{'3_1':0.0},(493,722):{'3_1':0.0},(493,721):{'3_1':0.0},(493,720):{'3_1':0.0},(493,719):{'3_1':0.03},(493,718):{'3_1':0.03},(493,717):{'3_1':0.03},(493,716):{'3_1':0.0},(493,715):{'3_1':0.03},(493,714):{'3_1':0.0,'5_1':0.0},(493,713):{'3_1':0.0},(493,712):{'3_1':0.03,'5_1':0.0},(493,711):{'3_1':0.06},(493,710):{'3_1':0.09},(493,709):{'3_1':0.0},(493,708):{'3_1':0.0,'4_1':0.0},(493,707):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(493,706):{'3_1':0.06},(493,705):{'3_1':0.0},(493,704):{'3_1':0.03},(493,703):{'3_1':0.03,'4_1':0.0},(493,702):{'3_1':0.03,'4_1':0.0},(493,701):{'3_1':0.03},(493,700):{'3_1':0.06},(493,699):{'3_1':0.06,'6_2':0.0},(493,698):{'3_1':0.03,'4_1':0.0},(493,697):{'3_1':0.03},(493,696):{'3_1':0.03,'5_1':0.0},(493,695):{'3_1':0.03},(493,694):{'3_1':0.06},(493,693):{'3_1':0.0,'5_1':0.0},(493,692):{'3_1':0.03},(493,691):{'3_1':0.03},(493,690):{'3_1':0.06,'4_1':0.0},(493,689):{'3_1':0.0},(493,688):{'3_1':0.06},(493,687):{'3_1':0.0},(493,686):{'3_1':0.03},(493,685):{'3_1':0.0},(493,684):{'3_1':0.03},(493,683):{'3_1':0.0,'4_1':0.0},(493,682):{'3_1':0.03},(493,681):{'3_1':0.06,'4_1':0.0},(493,680):{'3_1':0.0},(493,679):{'3_1':0.0},(493,678):{'3_1':0.0},(493,677):{'3_1':0.0},(493,676):{'3_1':0.0,'5_1':0.0},(493,674):{'3_1':0.03},(493,673):{'3_1':0.0,'4_1':0.0},(493,671):{'3_1':0.03},(493,670):{'3_1':0.0},(493,669):{'3_1':0.0,'4_1':0.0},(493,667):{'3_1':0.03},(493,666):{'3_1':0.0},(493,665):{'3_1':0.03,'4_1':0.0},(493,664):{'3_1':0.03},(493,663):{'3_1':0.0,'5_1':0.0},(493,661):{'3_1':0.0},(493,660):{'3_1':0.0},(493,659):{'3_1':0.03},(493,658):{'3_1':0.0},(493,657):{'3_1':0.0},(493,656):{'3_1':0.0},(493,655):{'3_1':0.0},(493,654):{'3_1':0.0,'4_1':0.0},(493,653):{'3_1':0.0},(493,652):{'3_1':0.0},(493,651):{'3_1':0.03},(493,650):{'3_1':0.03},(493,649):{'3_1':0.0,'5_2':0.0},(493,648):{'3_1':0.0},(493,647):{'3_1':0.0},(493,646):{'3_1':0.03},(493,645):{'3_1':0.0},(493,644):{'3_1':0.0},(493,643):{'3_1':0.03},(493,642):{'3_1':0.0},(493,641):{'3_1':0.03},(493,640):{'3_1':0.0},(493,639):{'3_1':0.03},(493,638):{'3_1':0.06},(493,637):{'3_1':0.03},(493,635):{'3_1':0.0,'5_1':0.0},(493,634):{'3_1':0.03,'4_1':0.0},(493,633):{'3_1':0.03},(493,632):{'3_1':0.03},(493,631):{'4_1':0.0},(493,630):{'3_1':0.0},(493,629):{'3_1':0.0},(493,628):{'3_1':0.0},(493,627):{'3_1':0.0},(493,626):{'3_1':0.0},(493,625):{'3_1':0.0},(493,624):{'3_1':0.0},(493,623):{'3_1':0.0},(493,622):{'3_1':0.03},(493,620):{'3_1':0.0},(493,619):{'3_1':0.03},(493,618):{'3_1':0.0},(493,617):{'3_1':0.0},(493,616):{'3_1':0.0},(493,615):{'3_1':0.0},(493,614):{'3_1':0.0,'5_1':0.0},(493,613):{'3_1':0.0},(493,612):{'3_1':0.03},(493,611):{'3_1':0.0},(493,610):{'3_1':0.0},(493,608):{'3_1':0.03},(493,607):{'3_1':0.03},(493,604):{'3_1':0.0},(493,603):{'3_1':0.0},(493,601):{'3_1':0.0},(493,600):{'3_1':0.0},(493,599):{'3_1':0.0,'4_1':0.0},(493,598):{'3_1':0.0},(493,597):{'3_1':0.0},(493,596):{'3_1':0.03},(493,595):{'3_1':0.0},(493,594):{'3_1':0.03},(493,593):{'3_1':0.0},(493,592):{'3_1':0.0},(493,590):{'3_1':0.0},(493,589):{'3_1':0.0},(493,588):{'3_1':0.0},(493,587):{'3_1':0.0},(493,586):{'3_1':0.0},(493,585):{'3_1':0.0},(493,579):{'3_1':0.0},(493,572):{'3_1':0.0},(493,570):{'3_1':0.0},(493,561):{'3_1':0.0},(493,531):{'3_1':0.0},(493,528):{'3_1':0.0},(493,526):{'3_1':0.0},(493,525):{'3_1':0.0},(493,524):{'3_1':0.0},(493,522):{'3_1':0.0},(493,521):{'5_1':0.0,'3_1':0.0},(493,519):{'3_1':0.0},(493,518):{'3_1':0.0},(493,517):{'3_1':0.0},(493,516):{'3_1':0.0},(493,515):{'3_1':0.0},(493,514):{'3_1':0.0},(493,511):{'3_1':0.0},(493,510):{'3_1':0.03},(493,508):{'3_1':0.0},(493,506):{'3_1':0.0},(493,502):{'3_1':0.0},(493,501):{'3_1':0.0},(493,498):{'3_1':0.0},(494,727):{'3_1':0.03},(494,726):{'3_1':0.0},(494,725):{'3_1':0.03},(494,724):{'3_1':0.03,'4_1':0.0},(494,723):{'3_1':0.0},(494,722):{'3_1':0.03,'4_1':0.0},(494,721):{'3_1':0.03},(494,720):{'3_1':0.03},(494,719):{'3_1':0.0},(494,718):{'3_1':0.03},(494,717):{'3_1':0.0},(494,716):{'3_1':0.0},(494,715):{'3_1':0.0},(494,714):{'3_1':0.03},(494,713):{'3_1':0.03},(494,712):{'3_1':0.03},(494,711):{'4_1':0.0,'3_1':0.0},(494,710):{'3_1':0.03},(494,709):{'3_1':0.0,'4_1':0.0},(494,708):{'3_1':0.0},(494,707):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(494,706):{'3_1':0.03,'5_1':0.0},(494,705):{'3_1':0.03},(494,704):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(494,703):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(494,702):{'3_1':0.06,'5_1':0.0},(494,701):{'3_1':0.03},(494,700):{'3_1':0.0},(494,699):{'3_1':0.06},(494,698):{'3_1':0.06},(494,697):{'3_1':0.06},(494,696):{'3_1':0.09},(494,695):{'3_1':0.06,'5_1':0.0},(494,694):{'3_1':0.06},(494,693):{'3_1':0.0},(494,692):{'3_1':0.03},(494,691):{'3_1':0.03},(494,690):{'3_1':0.03},(494,689):{'3_1':0.0,'4_1':0.0},(494,688):{'3_1':0.03},(494,687):{'3_1':0.0},(494,686):{'3_1':0.03},(494,685):{'3_1':0.0},(494,684):{'3_1':0.0},(494,683):{'3_1':0.0,'4_1':0.0},(494,682):{'3_1':0.03,'4_1':0.0},(494,681):{'3_1':0.0,'4_1':0.0},(494,680):{'3_1':0.03,'4_1':0.0},(494,679):{'3_1':0.0},(494,678):{'3_1':0.0},(494,675):{'3_1':0.0},(494,674):{'3_1':0.03},(494,672):{'3_1':0.0,'4_1':0.0},(494,671):{'3_1':0.0},(494,670):{'3_1':0.0},(494,669):{'3_1':0.0},(494,668):{'3_1':0.0},(494,667):{'3_1':0.03},(494,666):{'3_1':0.03},(494,665):{'3_1':0.03},(494,664):{'3_1':0.0},(494,663):{'3_1':0.06,'5_1':0.0},(494,662):{'3_1':0.0,'4_1':0.0},(494,661):{'3_1':0.0},(494,660):{'3_1':0.0},(494,659):{'3_1':0.0,'4_1':0.0},(494,658):{'3_1':0.0},(494,657):{'3_1':0.0,'5_2':0.0},(494,656):{'3_1':0.0,'4_1':0.0},(494,655):{'3_1':0.0},(494,654):{'3_1':0.03},(494,653):{'3_1':0.03},(494,652):{'3_1':0.06},(494,651):{'3_1':0.0,'4_1':0.0},(494,650):{'3_1':0.06},(494,649):{'3_1':0.03},(494,648):{'3_1':0.0,'4_1':0.0},(494,647):{'3_1':0.06},(494,646):{'3_1':0.03},(494,645):{'3_1':0.0},(494,644):{'3_1':0.0},(494,643):{'3_1':0.0},(494,642):{'3_1':0.03,'5_1':0.0},(494,641):{'3_1':0.0},(494,639):{'3_1':0.0},(494,638):{'3_1':0.0},(494,637):{'3_1':0.0},(494,636):{'3_1':0.03},(494,635):{'3_1':0.0,'5_1':0.0},(494,634):{'3_1':0.0},(494,633):{'3_1':0.0},(494,631):{'3_1':0.0},(494,628):{'3_1':0.0},(494,627):{'3_1':0.0},(494,626):{'3_1':0.0},(494,625):{'3_1':0.0},(494,624):{'3_1':0.03},(494,623):{'3_1':0.0},(494,622):{'3_1':0.03},(494,621):{'3_1':0.0},(494,620):{'3_1':0.0},(494,619):{'3_1':0.0},(494,617):{'3_1':0.0},(494,616):{'3_1':0.0},(494,615):{'3_1':0.0},(494,614):{'3_1':0.0},(494,612):{'3_1':0.0},(494,611):{'3_1':0.0,'4_1':0.0},(494,610):{'3_1':0.0},(494,609):{'3_1':0.0},(494,608):{'3_1':0.0},(494,606):{'3_1':0.0},(494,604):{'3_1':0.0},(494,601):{'3_1':0.0},(494,600):{'3_1':0.0},(494,599):{'3_1':0.0},(494,598):{'3_1':0.0},(494,597):{'3_1':0.03},(494,596):{'3_1':0.03},(494,595):{'3_1':0.0},(494,594):{'3_1':0.0},(494,593):{'3_1':0.0,'5_1':0.0},(494,592):{'3_1':0.0,'5_2':0.0},(494,591):{'3_1':0.0},(494,590):{'3_1':0.0},(494,588):{'3_1':0.0},(494,586):{'3_1':0.0},(494,585):{'3_1':0.0},(494,584):{'3_1':0.0},(494,583):{'3_1':0.0},(494,580):{'3_1':0.0},(494,578):{'3_1':0.0},(494,575):{'3_1':0.0},(494,572):{'3_1':0.0},(494,571):{'3_1':0.0},(494,569):{'3_1':0.0},(494,561):{'3_1':0.0},(494,560):{'3_1':0.0},(494,559):{'3_1':0.0},(494,527):{'3_1':0.0},(494,524):{'3_1':0.0},(494,523):{'3_1':0.0},(494,520):{'3_1':0.0},(494,519):{'3_1':0.0},(494,518):{'3_1':0.0},(494,517):{'3_1':0.0},(494,516):{'3_1':0.0},(494,515):{'3_1':0.0},(494,514):{'3_1':0.0},(494,511):{'3_1':0.0},(494,510):{'3_1':0.0},(494,509):{'3_1':0.0},(494,508):{'3_1':0.0},(494,506):{'3_1':0.0},(494,501):{'3_1':0.0},(494,499):{'3_1':0.0},(494,498):{'3_1':0.0},(495,727):{'3_1':0.0},(495,725):{'3_1':0.03},(495,724):{'3_1':0.03},(495,723):{'3_1':0.0},(495,722):{'3_1':0.0},(495,721):{'3_1':0.0},(495,719):{'3_1':0.0},(495,717):{'3_1':0.03,'5_1':0.0},(495,716):{'3_1':0.0,'5_1':0.0},(495,715):{'3_1':0.0},(495,714):{'3_1':0.03},(495,713):{'3_1':0.0},(495,712):{'3_1':0.03,'5_1':0.0},(495,711):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(495,710):{'3_1':0.0},(495,709):{'3_1':0.03},(495,708):{'3_1':0.03,'5_1':0.0},(495,707):{'3_1':0.03,'5_1':0.0},(495,706):{'3_1':0.03},(495,705):{'3_1':0.06,'5_1':0.0},(495,704):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0},(495,703):{'3_1':0.06},(495,702):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(495,701):{'3_1':0.03},(495,700):{'3_1':0.03,'7_1':0.0},(495,699):{'3_1':0.03,'4_1':0.0},(495,698):{'3_1':0.09},(495,697):{'3_1':0.06,'5_1':0.0},(495,696):{'3_1':0.03},(495,695):{'3_1':0.03,'5_1':0.0},(495,694):{'3_1':0.06,'5_1':0.0},(495,693):{'3_1':0.06,'4_1':0.0},(495,692):{'3_1':0.03},(495,691):{'3_1':0.03},(495,690):{'3_1':0.06,'7_1':0.0},(495,689):{'3_1':0.0},(495,688):{'3_1':0.0,'4_1':0.0},(495,687):{'3_1':0.03},(495,686):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(495,685):{'3_1':0.03,'5_1':0.0},(495,684):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(495,683):{'3_1':0.0},(495,682):{'3_1':0.03},(495,681):{'3_1':0.0,'5_1':0.0},(495,680):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(495,679):{'3_1':0.0},(495,678):{'3_1':0.03,'4_1':0.0},(495,677):{'3_1':0.03},(495,676):{'3_1':0.03},(495,675):{'3_1':0.0},(495,674):{'3_1':0.0},(495,673):{'3_1':0.0},(495,672):{'3_1':0.0},(495,671):{'3_1':0.03},(495,670):{'3_1':0.0},(495,669):{'3_1':0.0},(495,668):{'3_1':0.0,'4_1':0.0},(495,667):{'3_1':0.0},(495,666):{'3_1':0.09},(495,665):{'3_1':0.03,'4_1':0.0},(495,664):{'3_1':0.0},(495,663):{'3_1':0.03,'7_2':0.0},(495,662):{'3_1':0.03},(495,661):{'3_1':0.03,'4_1':0.0},(495,660):{'3_1':0.03},(495,659):{'3_1':0.0},(495,658):{'3_1':0.03},(495,657):{'3_1':0.0,'5_1':0.0},(495,656):{'3_1':0.0},(495,655):{'3_1':0.0},(495,654):{'3_1':0.0,'4_1':0.0},(495,653):{'3_1':0.0},(495,652):{'3_1':0.06},(495,651):{'3_1':0.0},(495,650):{'3_1':0.03},(495,649):{'3_1':0.03},(495,648):{'3_1':0.03},(495,647):{'3_1':0.03},(495,646):{'3_1':0.0},(495,645):{'3_1':0.0},(495,644):{'3_1':0.0},(495,643):{'3_1':0.0},(495,642):{'4_1':0.0},(495,641):{'3_1':0.0},(495,640):{'3_1':0.0},(495,639):{'3_1':0.0},(495,638):{'3_1':0.0},(495,637):{'3_1':0.0},(495,636):{'3_1':0.0,'4_1':0.0},(495,635):{'3_1':0.0},(495,634):{'3_1':0.0},(495,633):{'3_1':0.03,'5_1':0.0},(495,631):{'3_1':0.0,'4_1':0.0},(495,630):{'3_1':0.0},(495,629):{'3_1':0.0},(495,628):{'3_1':0.03},(495,627):{'3_1':0.03},(495,626):{'3_1':0.03},(495,625):{'3_1':0.03},(495,624):{'3_1':0.03},(495,623):{'3_1':0.0},(495,622):{'3_1':0.03},(495,621):{'3_1':0.0},(495,620):{'3_1':0.03},(495,619):{'3_1':0.03},(495,618):{'3_1':0.0,'5_1':0.0},(495,617):{'3_1':0.0},(495,616):{'3_1':0.0},(495,615):{'3_1':0.03},(495,614):{'3_1':0.0,'4_1':0.0},(495,613):{'3_1':0.0},(495,612):{'3_1':0.0,'5_1':0.0},(495,611):{'3_1':0.03},(495,610):{'3_1':0.0},(495,609):{'5_1':0.0},(495,608):{'3_1':0.0},(495,605):{'3_1':0.0},(495,603):{'3_1':0.0},(495,601):{'3_1':0.0},(495,600):{'3_1':0.0},(495,599):{'3_1':0.0},(495,598):{'3_1':0.0},(495,597):{'3_1':0.03,'5_1':0.0},(495,596):{'3_1':0.0},(495,595):{'3_1':0.03},(495,593):{'5_1':0.0},(495,591):{'3_1':0.0,'7_1':0.0},(495,590):{'3_1':0.0},(495,589):{'3_1':0.03},(495,588):{'3_1':0.0},(495,585):{'5_1':0.0},(495,584):{'3_1':0.0},(495,581):{'3_1':0.0},(495,579):{'3_1':0.0},(495,578):{'3_1':0.0},(495,576):{'3_1':0.0},(495,558):{'4_1':0.0},(495,523):{'3_1':0.0},(495,521):{'3_1':0.0},(495,520):{'3_1':0.0},(495,519):{'3_1':0.0},(495,518):{'4_1':0.0},(495,515):{'5_2':0.0},(495,514):{'3_1':0.0},(495,513):{'3_1':0.0},(495,510):{'3_1':0.0},(495,509):{'3_1':0.0},(495,508):{'4_1':0.0},(495,499):{'3_1':0.0},(496,727):{'3_1':0.03,'4_1':0.0},(496,726):{'3_1':0.03},(496,725):{'3_1':0.0,'5_1':0.0},(496,724):{'3_1':0.0,'4_1':0.0},(496,723):{'4_1':0.0},(496,722):{'3_1':0.03},(496,721):{'3_1':0.0},(496,720):{'3_1':0.0},(496,719):{'3_1':0.0},(496,718):{'3_1':0.0},(496,717):{'3_1':0.03},(496,716):{'5_1':0.0},(496,715):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(496,714):{'3_1':0.03},(496,713):{'3_1':0.0},(496,712):{'3_1':0.03,'5_1':0.0},(496,711):{'3_1':0.0},(496,710):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(496,709):{'3_1':0.03},(496,708):{'3_1':0.03,'4_1':0.0},(496,707):{'3_1':0.03,'4_1':0.0},(496,706):{'3_1':0.0},(496,705):{'3_1':0.03,'5_1':0.0},(496,704):{'3_1':0.0},(496,703):{'3_1':0.0,'5_1':0.0,'7_2':0.0},(496,702):{'3_1':0.0},(496,701):{'3_1':0.06},(496,700):{'3_1':0.06},(496,699):{'3_1':0.03},(496,698):{'3_1':0.09},(496,697):{'3_1':0.0},(496,696):{'3_1':0.06,'4_1':0.0},(496,695):{'3_1':0.0},(496,694):{'3_1':0.03,'4_1':0.0},(496,693):{'3_1':0.09},(496,692):{'3_1':0.0},(496,691):{'3_1':0.03,'5_1':0.0},(496,690):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(496,689):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(496,688):{'3_1':0.03,'4_1':0.0},(496,687):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(496,686):{'3_1':0.03},(496,685):{'3_1':0.03},(496,684):{'3_1':0.03,'5_1':0.0},(496,683):{'3_1':0.03,'4_1':0.0},(496,682):{'3_1':0.0,'4_1':0.0},(496,681):{'3_1':0.03},(496,680):{'3_1':0.0},(496,679):{'3_1':0.0},(496,678):{'3_1':0.0},(496,677):{'3_1':0.0,'5_1':0.0},(496,676):{'3_1':0.0,'5_1':0.0},(496,675):{'3_1':0.0,'5_1':0.0},(496,672):{'3_1':0.0},(496,671):{'3_1':0.0},(496,670):{'3_1':0.0},(496,669):{'3_1':0.0},(496,668):{'3_1':0.0,'4_1':0.0},(496,667):{'3_1':0.0,'4_1':0.0},(496,666):{'3_1':0.0},(496,665):{'3_1':0.0},(496,664):{'3_1':0.0},(496,663):{'3_1':0.0},(496,662):{'3_1':0.03},(496,661):{'3_1':0.03},(496,660):{'3_1':0.0},(496,659):{'3_1':0.03},(496,658):{'3_1':0.0},(496,657):{'3_1':0.03},(496,656):{'3_1':0.0,'5_1':0.0},(496,655):{'3_1':0.0},(496,654):{'3_1':0.0},(496,653):{'3_1':0.0},(496,652):{'3_1':0.0},(496,651):{'3_1':0.0},(496,650):{'3_1':0.0},(496,649):{'3_1':0.0,'4_1':0.0},(496,648):{'3_1':0.06},(496,647):{'3_1':0.0},(496,646):{'3_1':0.0},(496,645):{'3_1':0.0},(496,644):{'3_1':0.03},(496,643):{'3_1':0.0},(496,642):{'3_1':0.0},(496,641):{'3_1':0.0},(496,640):{'3_1':0.0},(496,639):{'3_1':0.0},(496,638):{'3_1':0.0},(496,637):{'3_1':0.0},(496,636):{'3_1':0.0},(496,635):{'3_1':0.0,'5_1':0.0},(496,634):{'3_1':0.0},(496,633):{'3_1':0.0},(496,632):{'3_1':0.0},(496,631):{'3_1':0.0},(496,630):{'3_1':0.0},(496,629):{'3_1':0.03},(496,628):{'3_1':0.03},(496,627):{'3_1':0.06},(496,626):{'3_1':0.03},(496,625):{'3_1':0.03},(496,624):{'3_1':0.0},(496,623):{'3_1':0.03},(496,622):{'3_1':0.0},(496,621):{'3_1':0.0},(496,620):{'3_1':0.0},(496,619):{'3_1':0.03},(496,618):{'3_1':0.03,'4_1':0.0},(496,617):{'3_1':0.03},(496,616):{'3_1':0.0},(496,614):{'3_1':0.0},(496,613):{'3_1':0.0,'4_1':0.0},(496,612):{'3_1':0.0},(496,611):{'3_1':0.0},(496,610):{'3_1':0.0,'4_1':0.0},(496,609):{'3_1':0.0,'4_1':0.0},(496,608):{'3_1':0.0},(496,607):{'3_1':0.0},(496,606):{'3_1':0.03},(496,605):{'3_1':0.0},(496,603):{'4_1':0.0},(496,601):{'3_1':0.0,'4_1':0.0},(496,600):{'3_1':0.0},(496,599):{'3_1':0.0},(496,598):{'3_1':0.03},(496,597):{'3_1':0.0},(496,596):{'3_1':0.0},(496,595):{'3_1':0.0},(496,594):{'3_1':0.03},(496,593):{'3_1':0.0,'4_1':0.0},(496,592):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(496,591):{'3_1':0.0},(496,590):{'3_1':0.0},(496,589):{'3_1':0.0},(496,587):{'3_1':0.0},(496,586):{'3_1':0.0},(496,584):{'3_1':0.0},(496,582):{'3_1':0.0},(496,577):{'3_1':0.0},(496,574):{'3_1':0.0,'4_1':0.0},(496,561):{'3_1':0.0},(496,560):{'3_1':0.0,'4_1':0.0},(496,557):{'3_1':0.0},(496,524):{'3_1':0.0},(496,523):{'3_1':0.0},(496,522):{'3_1':0.0},(496,519):{'3_1':0.0,'5_2':0.0},(496,517):{'3_1':0.0},(496,515):{'3_1':0.0,'4_1':0.0},(496,514):{'3_1':0.0},(496,513):{'3_1':0.0},(496,512):{'3_1':0.0},(496,510):{'4_1':0.0},(497,727):{'3_1':0.0},(497,726):{'3_1':0.0},(497,725):{'3_1':0.0},(497,724):{'3_1':0.03},(497,722):{'3_1':0.0,'4_1':0.0},(497,721):{'3_1':0.03},(497,720):{'3_1':0.0},(497,719):{'3_1':0.03},(497,718):{'3_1':0.03},(497,717):{'3_1':0.03},(497,716):{'3_1':0.0},(497,715):{'3_1':0.03},(497,714):{'3_1':0.03},(497,713):{'3_1':0.03},(497,712):{'3_1':0.0},(497,711):{'3_1':0.0},(497,710):{'3_1':0.03},(497,709):{'3_1':0.03},(497,708):{'3_1':0.0},(497,707):{'3_1':0.0,'4_1':0.0},(497,706):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(497,705):{'3_1':0.0},(497,704):{'3_1':0.0},(497,703):{'3_1':0.0},(497,702):{'3_1':0.03,'5_1':0.0},(497,701):{'3_1':0.0},(497,700):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(497,699):{'3_1':0.0},(497,698):{'3_1':0.06},(497,697):{'3_1':0.0},(497,696):{'3_1':0.03},(497,695):{'3_1':0.03},(497,694):{'3_1':0.0},(497,693):{'3_1':0.03,'5_1':0.0},(497,692):{'3_1':0.03,'5_2':0.0},(497,691):{'3_1':0.0},(497,690):{'3_1':0.0,'4_1':0.0},(497,689):{'3_1':0.06,'5_2':0.0},(497,688):{'3_1':0.03},(497,687):{'3_1':0.03},(497,686):{'3_1':0.06,'4_1':0.0},(497,685):{'3_1':0.0,'4_1':0.0},(497,684):{'4_1':0.0,'3_1':0.0},(497,683):{'3_1':0.0},(497,682):{'3_1':0.0},(497,680):{'3_1':0.0,'4_1':0.0},(497,679):{'3_1':0.0,'4_1':0.0},(497,678):{'3_1':0.0},(497,677):{'3_1':0.03},(497,676):{'3_1':0.0},(497,674):{'4_1':0.0,'3_1':0.0},(497,673):{'3_1':0.0},(497,672):{'3_1':0.0},(497,671):{'3_1':0.0},(497,670):{'3_1':0.0,'4_1':0.0},(497,669):{'3_1':0.0},(497,668):{'3_1':0.03},(497,667):{'3_1':0.0},(497,666):{'3_1':0.0},(497,665):{'3_1':0.0,'4_1':0.0},(497,664):{'3_1':0.0},(497,663):{'3_1':0.03},(497,662):{'3_1':0.03},(497,661):{'3_1':0.0},(497,660):{'3_1':0.03},(497,659):{'3_1':0.03,'4_1':0.0},(497,658):{'3_1':0.03,'6_1':0.0},(497,657):{'3_1':0.0},(497,656):{'3_1':0.03},(497,654):{'3_1':0.0},(497,653):{'3_1':0.0},(497,652):{'3_1':0.0},(497,651):{'3_1':0.03},(497,650):{'3_1':0.03},(497,649):{'3_1':0.03,'4_1':0.0},(497,648):{'3_1':0.0},(497,647):{'3_1':0.0},(497,646):{'3_1':0.03},(497,645):{'3_1':0.0},(497,644):{'3_1':0.03},(497,643):{'3_1':0.03,'4_1':0.0},(497,642):{'3_1':0.03},(497,641):{'3_1':0.06},(497,640):{'3_1':0.0},(497,639):{'3_1':0.0},(497,638):{'3_1':0.03,'4_1':0.0},(497,636):{'3_1':0.0},(497,635):{'3_1':0.0},(497,634):{'3_1':0.0},(497,633):{'3_1':0.0},(497,632):{'3_1':0.0},(497,631):{'3_1':0.0},(497,630):{'3_1':0.0},(497,629):{'3_1':0.0},(497,628):{'3_1':0.0,'4_1':0.0},(497,627):{'3_1':0.0},(497,626):{'3_1':0.0},(497,625):{'3_1':0.0},(497,624):{'3_1':0.03},(497,623):{'3_1':0.0},(497,622):{'3_1':0.0},(497,621):{'3_1':0.03},(497,620):{'3_1':0.0},(497,619):{'3_1':0.06},(497,618):{'3_1':0.03},(497,617):{'3_1':0.0},(497,616):{'3_1':0.0},(497,615):{'3_1':0.0},(497,614):{'3_1':0.0},(497,613):{'3_1':0.0},(497,612):{'3_1':0.0},(497,611):{'3_1':0.03},(497,610):{'3_1':0.03},(497,609):{'3_1':0.0},(497,608):{'3_1':0.0},(497,606):{'3_1':0.0},(497,604):{'3_1':0.0},(497,603):{'3_1':0.0},(497,601):{'3_1':0.0},(497,600):{'3_1':0.0},(497,599):{'3_1':0.0},(497,598):{'3_1':0.0},(497,597):{'3_1':0.0},(497,596):{'3_1':0.0},(497,595):{'3_1':0.0},(497,594):{'3_1':0.03},(497,593):{'3_1':0.0,'5_1':0.0},(497,592):{'3_1':0.03,'5_1':0.0},(497,589):{'3_1':0.0,'5_1':0.0},(497,588):{'3_1':0.0,'5_1':0.0},(497,587):{'3_1':0.0},(497,583):{'3_1':0.0},(497,581):{'3_1':0.0},(497,580):{'3_1':0.0},(497,579):{'3_1':0.0},(497,577):{'3_1':0.0},(497,573):{'3_1':0.0},(497,567):{'3_1':0.0},(497,566):{'3_1':0.0},(497,560):{'3_1':0.0},(497,524):{'3_1':0.0},(497,523):{'3_1':0.0},(497,521):{'3_1':0.0},(497,520):{'3_1':0.0},(497,519):{'3_1':0.0},(497,517):{'3_1':0.0},(497,515):{'3_1':0.0},(497,511):{'3_1':0.0},(498,727):{'3_1':0.03},(498,726):{'3_1':0.0,'4_1':0.0},(498,725):{'3_1':0.0},(498,724):{'3_1':0.0,'5_1':0.0},(498,723):{'3_1':0.0},(498,722):{'3_1':0.0},(498,721):{'3_1':0.03},(498,720):{'3_1':0.0,'4_1':0.0},(498,719):{'3_1':0.03},(498,718):{'3_1':0.03},(498,717):{'3_1':0.0,'4_1':0.0},(498,716):{'3_1':0.0},(498,715):{'3_1':0.06},(498,714):{'3_1':0.0,'5_1':0.0},(498,713):{'3_1':0.0},(498,712):{'3_1':0.06,'5_1':0.0},(498,711):{'3_1':0.03,'4_1':0.0},(498,710):{'3_1':0.06},(498,709):{'3_1':0.0},(498,708):{'3_1':0.0},(498,707):{'3_1':0.0},(498,706):{'3_1':0.03},(498,705):{'3_1':0.03},(498,704):{'3_1':0.0,'9_1':0.0},(498,703):{'3_1':0.03},(498,702):{'3_1':0.0},(498,701):{'3_1':0.0,'5_1':0.0},(498,700):{'3_1':0.09},(498,699):{'3_1':0.03,'4_1':0.0},(498,698):{'3_1':0.0},(498,697):{'3_1':0.03},(498,696):{'3_1':0.03},(498,695):{'3_1':0.03},(498,694):{'3_1':0.03},(498,693):{'3_1':0.09},(498,692):{'3_1':0.03,'5_1':0.0},(498,691):{'3_1':0.0},(498,690):{'3_1':0.0},(498,689):{'3_1':0.0},(498,688):{'3_1':0.03,'4_1':0.0},(498,687):{'3_1':0.03},(498,686):{'3_1':0.03},(498,685):{'3_1':0.06},(498,684):{'3_1':0.03},(498,683):{'3_1':0.0,'4_1':0.0},(498,682):{'3_1':0.03,'4_1':0.0},(498,681):{'3_1':0.0,'5_1':0.0},(498,680):{'3_1':0.03,'5_1':0.0},(498,679):{'3_1':0.03},(498,677):{'3_1':0.0},(498,676):{'3_1':0.03},(498,675):{'3_1':0.03},(498,674):{'3_1':0.0},(498,673):{'3_1':0.0},(498,672):{'3_1':0.0},(498,671):{'3_1':0.0,'4_1':0.0},(498,670):{'3_1':0.0},(498,669):{'3_1':0.0,'4_1':0.0},(498,668):{'3_1':0.0,'4_1':0.0},(498,667):{'3_1':0.0},(498,666):{'3_1':0.06},(498,665):{'3_1':0.03},(498,664):{'3_1':0.0},(498,663):{'3_1':0.06,'4_1':0.0},(498,662):{'3_1':0.03},(498,661):{'3_1':0.03},(498,660):{'3_1':0.0},(498,658):{'3_1':0.03},(498,657):{'3_1':0.03,'4_1':0.0},(498,656):{'3_1':0.0},(498,655):{'3_1':0.0},(498,654):{'3_1':0.0},(498,653):{'3_1':0.0},(498,652):{'3_1':0.03},(498,651):{'3_1':0.0},(498,650):{'3_1':0.0},(498,649):{'3_1':0.03},(498,648):{'3_1':0.0,'4_1':0.0},(498,647):{'3_1':0.06},(498,646):{'3_1':0.03},(498,645):{'3_1':0.03},(498,644):{'3_1':0.0},(498,643):{'3_1':0.03},(498,642):{'3_1':0.03},(498,641):{'3_1':0.0},(498,640):{'3_1':0.0},(498,639):{'4_1':0.0},(498,638):{'3_1':0.0},(498,637):{'3_1':0.0},(498,636):{'4_1':0.0},(498,635):{'3_1':0.0},(498,633):{'3_1':0.03},(498,632):{'3_1':0.0},(498,631):{'3_1':0.0},(498,630):{'3_1':0.03},(498,629):{'3_1':0.0},(498,628):{'3_1':0.0},(498,627):{'3_1':0.0},(498,626):{'3_1':0.0},(498,625):{'3_1':0.03},(498,624):{'3_1':0.0},(498,622):{'3_1':0.0},(498,621):{'3_1':0.03},(498,620):{'3_1':0.0},(498,619):{'3_1':0.0},(498,618):{'3_1':0.0},(498,617):{'3_1':0.0},(498,615):{'3_1':0.0},(498,614):{'3_1':0.0,'4_1':0.0},(498,612):{'3_1':0.0},(498,611):{'3_1':0.0},(498,610):{'3_1':0.06},(498,609):{'3_1':0.0},(498,608):{'3_1':0.0},(498,606):{'3_1':0.0},(498,605):{'3_1':0.0},(498,604):{'3_1':0.0},(498,603):{'3_1':0.0},(498,602):{'3_1':0.0},(498,601):{'3_1':0.0},(498,600):{'3_1':0.0},(498,599):{'3_1':0.0},(498,598):{'3_1':0.03},(498,597):{'3_1':0.0},(498,596):{'3_1':0.06},(498,595):{'3_1':0.0},(498,594):{'3_1':0.03},(498,593):{'3_1':0.0},(498,592):{'3_1':0.0},(498,591):{'3_1':0.0},(498,589):{'3_1':0.0},(498,588):{'3_1':0.0},(498,586):{'3_1':0.0},(498,585):{'3_1':0.0},(498,583):{'3_1':0.0},(498,582):{'3_1':0.0},(498,581):{'3_1':0.0},(498,579):{'3_1':0.0},(498,578):{'3_1':0.0},(498,575):{'3_1':0.0},(498,574):{'3_1':0.0},(498,568):{'3_1':0.0,'5_2':0.0},(498,567):{'3_1':0.0},(498,561):{'3_1':0.0},(498,560):{'3_1':0.0},(498,521):{'3_1':0.0},(498,520):{'3_1':0.0},(498,519):{'3_1':0.0},(498,518):{'3_1':0.0},(498,515):{'3_1':0.0},(498,514):{'3_1':0.0},(498,513):{'3_1':0.0},(498,512):{'3_1':0.0},(498,511):{'3_1':0.0},(498,510):{'3_1':0.0},(498,508):{'3_1':0.0},(499,727):{'3_1':0.0,'5_1':0.0},(499,726):{'3_1':0.03},(499,725):{'3_1':0.0},(499,723):{'3_1':0.0,'5_1':0.0},(499,722):{'3_1':0.03,'5_1':0.0},(499,721):{'3_1':0.0,'5_1':0.0},(499,720):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(499,719):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(499,718):{'3_1':0.03},(499,717):{'3_1':0.06},(499,716):{'3_1':0.06},(499,715):{'3_1':0.03},(499,714):{'3_1':0.0,'4_1':0.0},(499,713):{'3_1':0.03,'5_1':0.0},(499,712):{'3_1':0.0,'5_1':0.0},(499,711):{'3_1':0.06,'5_2':0.0},(499,710):{'3_1':0.03,'5_1':0.0},(499,709):{'3_1':0.0,'5_1':0.0},(499,708):{'3_1':0.06,'5_1':0.0},(499,707):{'3_1':0.0,'5_1':0.0},(499,706):{'3_1':0.0,'5_1':0.0},(499,705):{'3_1':0.06,'5_1':0.0},(499,704):{'3_1':0.03,'5_1':0.0,'7_1':0.0},(499,703):{'3_1':0.03},(499,702):{'3_1':0.03},(499,701):{'3_1':0.06},(499,700):{'3_1':0.06},(499,699):{'3_1':0.03},(499,698):{'3_1':0.06},(499,697):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(499,696):{'3_1':0.03},(499,695):{'3_1':0.03,'5_1':0.0},(499,694):{'3_1':0.06},(499,693):{'3_1':0.03,'7_1':0.0},(499,692):{'3_1':0.03,'5_1':0.0},(499,691):{'3_1':0.03},(499,690):{'3_1':0.03},(499,689):{'3_1':0.0},(499,688):{'3_1':0.0},(499,687):{'3_1':0.0,'5_1':0.0},(499,686):{'3_1':0.03,'4_1':0.0},(499,685):{'3_1':0.03,'5_1':0.0},(499,684):{'3_1':0.03},(499,683):{'3_1':0.03},(499,682):{'3_1':0.03,'4_1':0.0},(499,681):{'4_1':0.0,'5_2':0.0},(499,680):{'3_1':0.03,'4_1':0.0},(499,679):{'3_1':0.03,'4_1':0.0},(499,678):{'3_1':0.0},(499,677):{'3_1':0.03,'5_1':0.0},(499,676):{'3_1':0.0},(499,675):{'3_1':0.0},(499,674):{'3_1':0.0},(499,672):{'3_1':0.03},(499,671):{'3_1':0.0},(499,670):{'3_1':0.0},(499,669):{'3_1':0.0},(499,668):{'3_1':0.03},(499,667):{'3_1':0.0,'5_1':0.0},(499,665):{'3_1':0.0},(499,664):{'3_1':0.0},(499,663):{'3_1':0.0},(499,662):{'3_1':0.0},(499,661):{'3_1':0.03},(499,660):{'3_1':0.0},(499,659):{'3_1':0.0},(499,658):{'3_1':0.0,'5_1':0.0},(499,657):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(499,656):{'3_1':0.0},(499,655):{'3_1':0.0,'4_1':0.0},(499,654):{'3_1':0.0},(499,653):{'3_1':0.0},(499,652):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(499,651):{'3_1':0.0,'5_1':0.0},(499,650):{'3_1':0.03,'4_1':0.0},(499,649):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(499,648):{'3_1':0.0},(499,647):{'5_1':0.0},(499,646):{'3_1':0.0},(499,645):{'3_1':0.03},(499,644):{'3_1':0.0},(499,643):{'3_1':0.0},(499,642):{'3_1':0.0},(499,641):{'3_1':0.0},(499,640):{'3_1':0.0},(499,639):{'3_1':0.0},(499,638):{'3_1':0.03},(499,637):{'3_1':0.0,'4_1':0.0},(499,636):{'3_1':0.0},(499,635):{'3_1':0.0},(499,634):{'3_1':0.03},(499,633):{'3_1':0.0},(499,631):{'3_1':0.0},(499,630):{'3_1':0.0},(499,629):{'3_1':0.0},(499,628):{'3_1':0.03},(499,627):{'3_1':0.03},(499,626):{'3_1':0.0},(499,625):{'3_1':0.03,'5_1':0.0},(499,624):{'5_1':0.0,'3_1':0.0},(499,623):{'3_1':0.03},(499,622):{'3_1':0.0},(499,621):{'3_1':0.0},(499,620):{'3_1':0.03,'5_1':0.0},(499,619):{'3_1':0.03,'5_1':0.0},(499,618):{'3_1':0.0},(499,617):{'3_1':0.03},(499,616):{'3_1':0.03,'5_1':0.0},(499,615):{'3_1':0.03},(499,614):{'3_1':0.0,'5_1':0.0},(499,613):{'3_1':0.0},(499,612):{'3_1':0.0},(499,611):{'3_1':0.0,'4_1':0.0},(499,610):{'3_1':0.03,'4_1':0.0},(499,609):{'3_1':0.0},(499,608):{'3_1':0.0,'4_1':0.0},(499,607):{'3_1':0.03},(499,606):{'3_1':0.0},(499,605):{'3_1':0.0},(499,604):{'3_1':0.0},(499,603):{'3_1':0.0},(499,602):{'3_1':0.0},(499,601):{'3_1':0.0},(499,600):{'3_1':0.0},(499,599):{'3_1':0.0},(499,598):{'3_1':0.0,'4_1':0.0},(499,597):{'3_1':0.0},(499,596):{'3_1':0.0},(499,595):{'3_1':0.0},(499,594):{'3_1':0.0},(499,593):{'3_1':0.0},(499,592):{'3_1':0.0},(499,591):{'3_1':0.0},(499,590):{'3_1':0.0,'5_1':0.0},(499,589):{'3_1':0.0,'5_1':0.0},(499,586):{'3_1':0.0},(499,585):{'3_1':0.0},(499,584):{'3_1':0.0},(499,583):{'3_1':0.0},(499,579):{'3_1':0.0},(499,578):{'3_1':0.0},(499,577):{'3_1':0.0},(499,573):{'3_1':0.0},(499,571):{'3_1':0.0},(499,567):{'3_1':0.03},(499,566):{'3_1':0.0},(499,565):{'7_2':0.0},(499,560):{'3_1':0.0},(499,553):{'3_1':0.0},(499,526):{'3_1':0.0},(499,523):{'3_1':0.0},(499,521):{'3_1':0.0},(499,519):{'3_1':0.0},(499,512):{'3_1':0.0},(500,727):{'3_1':0.0},(500,725):{'3_1':0.03},(500,724):{'3_1':0.0},(500,723):{'3_1':0.0},(500,722):{'3_1':0.0},(500,721):{'3_1':0.0},(500,720):{'3_1':0.0},(500,719):{'3_1':0.0},(500,718):{'3_1':0.03},(500,717):{'3_1':0.0},(500,716):{'3_1':0.0},(500,715):{'3_1':0.03,'4_1':0.0},(500,714):{'3_1':0.0},(500,713):{'3_1':0.0},(500,712):{'3_1':0.06},(500,711):{'3_1':0.03},(500,710):{'3_1':0.0},(500,709):{'3_1':0.0},(500,708):{'3_1':0.0,'5_1':0.0},(500,707):{'3_1':0.03},(500,706):{'3_1':0.03},(500,705):{'3_1':0.03},(500,704):{'3_1':0.0,'5_2':0.0},(500,703):{'3_1':0.03},(500,702):{'3_1':0.03,'5_1':0.0},(500,701):{'3_1':0.03},(500,700):{'3_1':0.0},(500,699):{'3_1':0.03},(500,698):{'3_1':0.06},(500,697):{'3_1':0.0,'8_19':0.0},(500,696):{'3_1':0.0,'5_1':0.0},(500,695):{'3_1':0.03},(500,694):{'3_1':0.0,'5_1':0.0},(500,693):{'3_1':0.03},(500,692):{'3_1':0.03},(500,691):{'3_1':0.0},(500,690):{'3_1':0.03,'5_1':0.0},(500,689):{'3_1':0.0},(500,688):{'3_1':0.0},(500,687):{'3_1':0.0,'4_1':0.0},(500,686):{'3_1':0.03},(500,685):{'3_1':0.03},(500,684):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(500,683):{'3_1':0.03,'5_1':0.0},(500,682):{'3_1':0.06},(500,681):{'3_1':0.03},(500,680):{'3_1':0.03},(500,679):{'3_1':0.0},(500,678):{'3_1':0.0},(500,677):{'3_1':0.0},(500,676):{'3_1':0.0},(500,675):{'3_1':0.0},(500,674):{'3_1':0.03,'4_1':0.0},(500,672):{'3_1':0.0},(500,671):{'3_1':0.0,'4_1':0.0},(500,670):{'3_1':0.0},(500,669):{'3_1':0.0,'4_1':0.0},(500,668):{'3_1':0.0,'4_1':0.0},(500,667):{'3_1':0.0},(500,666):{'3_1':0.03},(500,665):{'3_1':0.0},(500,664):{'3_1':0.0},(500,663):{'3_1':0.0,'4_1':0.0},(500,662):{'3_1':0.0},(500,661):{'3_1':0.0},(500,660):{'3_1':0.0},(500,659):{'3_1':0.03},(500,658):{'3_1':0.0},(500,657):{'3_1':0.0},(500,656):{'3_1':0.0},(500,655):{'3_1':0.03},(500,654):{'3_1':0.0,'4_1':0.0},(500,653):{'3_1':0.0},(500,652):{'3_1':0.0},(500,651):{'3_1':0.03,'4_1':0.0},(500,650):{'3_1':0.0},(500,649):{'3_1':0.03,'4_1':0.0},(500,648):{'3_1':0.03},(500,647):{'3_1':0.0},(500,646):{'3_1':0.0},(500,645):{'3_1':0.06},(500,644):{'3_1':0.0,'4_1':0.0},(500,643):{'3_1':0.0},(500,642):{'3_1':0.0},(500,641):{'3_1':0.0,'4_1':0.0},(500,640):{'3_1':0.0},(500,639):{'4_1':0.0},(500,638):{'3_1':0.0},(500,636):{'3_1':0.0},(500,634):{'3_1':0.0},(500,633):{'3_1':0.0},(500,632):{'3_1':0.0},(500,631):{'3_1':0.0},(500,630):{'3_1':0.03},(500,629):{'3_1':0.0},(500,627):{'3_1':0.03},(500,626):{'3_1':0.0},(500,625):{'3_1':0.03},(500,624):{'4_1':0.0},(500,622):{'3_1':0.0},(500,621):{'3_1':0.0},(500,620):{'3_1':0.0},(500,619):{'3_1':0.0},(500,618):{'3_1':0.0},(500,617):{'3_1':0.0,'4_1':0.0},(500,616):{'3_1':0.0},(500,615):{'3_1':0.03},(500,614):{'3_1':0.0},(500,613):{'3_1':0.0},(500,611):{'3_1':0.0},(500,610):{'3_1':0.03},(500,609):{'3_1':0.0},(500,608):{'3_1':0.0},(500,607):{'3_1':0.0},(500,606):{'3_1':0.0},(500,604):{'3_1':0.0},(500,602):{'3_1':0.0,'4_1':0.0},(500,601):{'3_1':0.0},(500,600):{'4_1':0.0},(500,599):{'3_1':0.0},(500,597):{'3_1':0.0},(500,596):{'3_1':0.0},(500,595):{'3_1':0.0},(500,594):{'3_1':0.0},(500,593):{'3_1':0.0},(500,592):{'3_1':0.0},(500,591):{'3_1':0.0},(500,590):{'3_1':0.0,'5_1':0.0},(500,589):{'3_1':0.0},(500,588):{'3_1':0.0},(500,587):{'3_1':0.0},(500,585):{'3_1':0.0},(500,584):{'4_1':0.0},(500,580):{'3_1':0.0},(500,579):{'3_1':0.0},(500,576):{'3_1':0.0},(500,574):{'3_1':0.0},(500,571):{'3_1':0.0},(500,568):{'3_1':0.0},(500,561):{'3_1':0.0},(500,554):{'3_1':0.0},(500,519):{'3_1':0.0},(500,510):{'3_1':0.0},(500,507):{'3_1':0.0},(501,727):{'3_1':0.0},(501,726):{'3_1':0.03},(501,725):{'3_1':0.03},(501,724):{'3_1':0.0},(501,723):{'3_1':0.0},(501,722):{'3_1':0.0},(501,721):{'3_1':0.0},(501,720):{'3_1':0.03,'5_1':0.0},(501,719):{'3_1':0.03},(501,718):{'3_1':0.03},(501,717):{'3_1':0.0,'4_1':0.0},(501,716):{'3_1':0.0},(501,715):{'3_1':0.0},(501,714):{'3_1':0.0},(501,713):{'3_1':0.0},(501,712):{'3_1':0.0,'4_1':0.0},(501,711):{'3_1':0.03,'5_1':0.0},(501,710):{'3_1':0.0,'5_1':0.0},(501,709):{'3_1':0.0,'5_1':0.0},(501,708):{'3_1':0.0,'4_1':0.0},(501,707):{'3_1':0.0},(501,706):{'3_1':0.03},(501,705):{'3_1':0.0},(501,704):{'3_1':0.03},(501,703):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(501,702):{'3_1':0.0},(501,701):{'3_1':0.06},(501,700):{'3_1':0.03,'7_1':0.0},(501,699):{'3_1':0.03,'5_1':0.0},(501,698):{'3_1':0.03,'5_1':0.0},(501,697):{'3_1':0.0},(501,696):{'3_1':0.0},(501,695):{'3_1':0.03},(501,694):{'3_1':0.03},(501,693):{'3_1':0.03,'4_1':0.0},(501,692):{'3_1':0.03},(501,691):{'3_1':0.03},(501,690):{'3_1':0.03},(501,689):{'3_1':0.0},(501,687):{'3_1':0.03},(501,686):{'3_1':0.03},(501,685):{'3_1':0.0},(501,684):{'3_1':0.0},(501,683):{'3_1':0.03},(501,682):{'3_1':0.0,'4_1':0.0},(501,681):{'3_1':0.0,'4_1':0.0},(501,679):{'3_1':0.03},(501,678):{'3_1':0.0},(501,677):{'3_1':0.03,'4_1':0.0},(501,676):{'3_1':0.0},(501,675):{'3_1':0.0},(501,674):{'3_1':0.0},(501,673):{'3_1':0.0},(501,672):{'3_1':0.0},(501,671):{'3_1':0.0},(501,670):{'3_1':0.0},(501,668):{'3_1':0.0},(501,667):{'3_1':0.03},(501,666):{'3_1':0.0},(501,665):{'3_1':0.0},(501,664):{'3_1':0.0},(501,663):{'3_1':0.0},(501,662):{'3_1':0.0},(501,661):{'3_1':0.03},(501,660):{'3_1':0.0},(501,659):{'3_1':0.0},(501,657):{'3_1':0.0},(501,656):{'3_1':0.0},(501,655):{'3_1':0.0},(501,654):{'3_1':0.0},(501,653):{'3_1':0.0},(501,652):{'3_1':0.0},(501,651):{'3_1':0.0},(501,650):{'3_1':0.03},(501,649):{'3_1':0.0,'4_1':0.0},(501,648):{'3_1':0.0},(501,647):{'3_1':0.0},(501,646):{'3_1':0.0},(501,645):{'3_1':0.0},(501,644):{'3_1':0.0,'4_1':0.0},(501,642):{'3_1':0.0},(501,641):{'3_1':0.0},(501,639):{'3_1':0.0},(501,636):{'3_1':0.0},(501,635):{'3_1':0.0},(501,633):{'3_1':0.03},(501,632):{'3_1':0.0},(501,631):{'3_1':0.0},(501,630):{'3_1':0.0},(501,629):{'3_1':0.0},(501,628):{'3_1':0.0},(501,627):{'3_1':0.0},(501,626):{'3_1':0.0},(501,625):{'3_1':0.0},(501,624):{'3_1':0.0},(501,623):{'3_1':0.03},(501,622):{'3_1':0.03},(501,621):{'3_1':0.0},(501,620):{'3_1':0.0},(501,619):{'3_1':0.0},(501,618):{'3_1':0.0},(501,617):{'3_1':0.0},(501,616):{'3_1':0.0},(501,615):{'3_1':0.0,'4_1':0.0},(501,614):{'3_1':0.0},(501,613):{'3_1':0.03},(501,612):{'3_1':0.0},(501,611):{'4_1':0.0},(501,610):{'3_1':0.0},(501,608):{'3_1':0.0},(501,607):{'3_1':0.0},(501,606):{'3_1':0.0,'4_1':0.0},(501,605):{'3_1':0.0,'4_1':0.0},(501,604):{'3_1':0.0,'4_1':0.0},(501,602):{'4_1':0.0},(501,601):{'3_1':0.0,'7_4':0.0},(501,600):{'4_1':0.0},(501,599):{'3_1':0.0},(501,596):{'3_1':0.0,'5_1':0.0},(501,595):{'3_1':0.03},(501,594):{'3_1':0.0,'5_2':0.0},(501,593):{'3_1':0.03},(501,592):{'3_1':0.0},(501,591):{'3_1':0.03},(501,590):{'3_1':0.0},(501,589):{'3_1':0.0},(501,588):{'4_1':0.0},(501,587):{'3_1':0.0},(501,586):{'3_1':0.0},(501,585):{'3_1':0.0},(501,584):{'3_1':0.0},(501,583):{'3_1':0.0},(501,582):{'3_1':0.0},(501,581):{'3_1':0.0},(501,580):{'3_1':0.0},(501,579):{'3_1':0.0},(501,578):{'3_1':0.0,'5_1':0.0},(501,569):{'3_1':0.0},(501,567):{'3_1':0.0},(501,564):{'3_1':0.0},(501,563):{'3_1':0.0},(501,522):{'3_1':0.0},(501,510):{'3_1':0.0},(501,509):{'3_1':0.0},(501,508):{'3_1':0.0},(501,507):{'3_1':0.0},(502,727):{'3_1':0.0},(502,726):{'3_1':0.0},(502,725):{'3_1':0.0},(502,724):{'3_1':0.0},(502,723):{'3_1':0.0},(502,722):{'3_1':0.0},(502,721):{'3_1':0.03},(502,720):{'3_1':0.0,'4_1':0.0},(502,719):{'3_1':0.0},(502,717):{'3_1':0.0},(502,716):{'3_1':0.0},(502,715):{'5_1':0.0},(502,714):{'3_1':0.03,'8_20|3_1#3_1':0.0},(502,713):{'3_1':0.03,'4_1':0.0,'8_19':0.0},(502,712):{'3_1':0.09},(502,711):{'3_1':0.0},(502,710):{'3_1':0.03,'5_2':0.0},(502,709):{'3_1':0.0},(502,708):{'3_1':0.0},(502,707):{'3_1':0.0},(502,706):{'3_1':0.03,'5_1':0.0},(502,705):{'3_1':0.03},(502,704):{'3_1':0.0},(502,703):{'7_1':0.0},(502,702):{'3_1':0.03},(502,701):{'3_1':0.0},(502,700):{'3_1':0.03},(502,699):{'3_1':0.03,'5_2':0.0},(502,698):{'3_1':0.09},(502,697):{'3_1':0.03},(502,696):{'3_1':0.06},(502,695):{'3_1':0.0},(502,694):{'3_1':0.03},(502,693):{'3_1':0.0},(502,692):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(502,691):{'3_1':0.0},(502,690):{'3_1':0.0},(502,689):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(502,688):{'3_1':0.03},(502,687):{'3_1':0.0},(502,686):{'3_1':0.0,'4_1':0.0},(502,685):{'3_1':0.0},(502,684):{'3_1':0.0},(502,683):{'3_1':0.0},(502,682):{'3_1':0.0},(502,681):{'3_1':0.03},(502,680):{'3_1':0.03},(502,679):{'3_1':0.0},(502,677):{'3_1':0.0},(502,676):{'3_1':0.0,'4_1':0.0},(502,673):{'3_1':0.0,'4_1':0.0},(502,672):{'3_1':0.0},(502,671):{'3_1':0.0},(502,670):{'3_1':0.0},(502,669):{'3_1':0.03},(502,668):{'3_1':0.0},(502,667):{'3_1':0.0},(502,666):{'5_1':0.0,'3_1':0.0},(502,665):{'3_1':0.0},(502,664):{'3_1':0.0,'5_1':0.0},(502,663):{'3_1':0.0},(502,662):{'3_1':0.03},(502,661):{'3_1':0.0,'4_1':0.0},(502,660):{'4_1':0.0},(502,658):{'3_1':0.0},(502,656):{'3_1':0.0},(502,655):{'3_1':0.0},(502,654):{'3_1':0.0},(502,653):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(502,652):{'3_1':0.0,'4_1':0.0},(502,651):{'3_1':0.0},(502,650):{'3_1':0.03},(502,649):{'3_1':0.0},(502,648):{'3_1':0.0},(502,647):{'3_1':0.03,'4_1':0.0},(502,646):{'3_1':0.03},(502,645):{'3_1':0.0},(502,644):{'3_1':0.0},(502,643):{'3_1':0.0},(502,642):{'3_1':0.0},(502,641):{'3_1':0.0},(502,640):{'3_1':0.03},(502,638):{'3_1':0.03},(502,636):{'3_1':0.0},(502,635):{'3_1':0.0},(502,634):{'3_1':0.03},(502,633):{'3_1':0.0},(502,632):{'3_1':0.0},(502,631):{'3_1':0.0},(502,630):{'3_1':0.03},(502,629):{'3_1':0.0},(502,628):{'3_1':0.0},(502,627):{'3_1':0.0},(502,626):{'3_1':0.0},(502,625):{'3_1':0.0},(502,624):{'3_1':0.0,'5_1':0.0},(502,623):{'3_1':0.0},(502,622):{'3_1':0.0},(502,621):{'3_1':0.0},(502,620):{'3_1':0.03},(502,619):{'3_1':0.0},(502,618):{'3_1':0.0,'4_1':0.0},(502,617):{'3_1':0.0},(502,616):{'3_1':0.0},(502,615):{'3_1':0.0},(502,614):{'3_1':0.0},(502,612):{'3_1':0.0},(502,611):{'3_1':0.0,'5_1':0.0},(502,610):{'3_1':0.0},(502,608):{'3_1':0.0,'5_1':0.0},(502,607):{'3_1':0.03},(502,606):{'3_1':0.0},(502,604):{'3_1':0.0,'5_2':0.0},(502,603):{'3_1':0.0},(502,602):{'3_1':0.0},(502,601):{'3_1':0.0},(502,600):{'3_1':0.0},(502,599):{'3_1':0.0,'6_1':0.0},(502,598):{'3_1':0.0},(502,596):{'3_1':0.03},(502,595):{'3_1':0.03},(502,594):{'3_1':0.0},(502,593):{'3_1':0.0},(502,592):{'3_1':0.0},(502,591):{'3_1':0.03},(502,590):{'3_1':0.0},(502,589):{'3_1':0.0},(502,588):{'3_1':0.0},(502,586):{'3_1':0.0},(502,585):{'3_1':0.0},(502,584):{'3_1':0.0},(502,581):{'3_1':0.0},(502,580):{'3_1':0.0},(502,579):{'3_1':0.0},(502,577):{'3_1':0.0},(502,575):{'3_1':0.0},(502,574):{'3_1':0.0},(502,569):{'3_1':0.0},(502,565):{'3_1':0.0},(502,562):{'3_1':0.0},(502,561):{'5_1':0.0},(502,558):{'3_1':0.0},(502,534):{'5_1':0.0},(502,525):{'3_1':0.0},(502,509):{'3_1':0.0},(502,508):{'3_1':0.0},(502,507):{'3_1':0.0},(502,506):{'3_1':0.0},(503,727):{'3_1':0.0},(503,726):{'3_1':0.0},(503,725):{'3_1':0.03},(503,724):{'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(503,723):{'3_1':0.0},(503,721):{'3_1':0.03,'8_20|3_1#3_1':0.0},(503,720):{'3_1':0.03},(503,719):{'3_1':0.0},(503,718):{'3_1':0.0,'8_20|3_1#3_1':0.0},(503,717):{'3_1':0.0},(503,716):{'3_1':0.0},(503,715):{'3_1':0.0,'8_20|3_1#3_1':0.0},(503,714):{'3_1':0.03,'5_1':0.0},(503,713):{'8_20|3_1#3_1':0.0,'3_1':0.0},(503,712):{'3_1':0.0,'8_20|3_1#3_1':0.0},(503,711):{'3_1':0.0},(503,710):{'3_1':0.0,'5_1':0.0},(503,709):{'3_1':0.0,'5_1':0.0},(503,708):{'3_1':0.0},(503,707):{'3_1':0.0},(503,706):{'3_1':0.0,'5_2':0.0},(503,705):{'3_1':0.0},(503,704):{'3_1':0.0},(503,703):{'3_1':0.0,'5_1':0.0},(503,702):{'3_1':0.03},(503,701):{'3_1':0.0},(503,700):{'3_1':0.0,'5_1':0.0},(503,699):{'3_1':0.0},(503,698):{'3_1':0.03},(503,697):{'3_1':0.03},(503,696):{'3_1':0.0},(503,695):{'3_1':0.03},(503,694):{'3_1':0.0},(503,693):{'3_1':0.0},(503,692):{'3_1':0.0},(503,691):{'3_1':0.0},(503,690):{'3_1':0.03},(503,689):{'3_1':0.0,'5_1':0.0},(503,688):{'3_1':0.0},(503,687):{'3_1':0.03},(503,686):{'3_1':0.0},(503,685):{'3_1':0.03,'4_1':0.0},(503,684):{'3_1':0.03},(503,683):{'3_1':0.0},(503,682):{'3_1':0.0},(503,681):{'3_1':0.03},(503,680):{'4_1':0.0},(503,679):{'3_1':0.0},(503,678):{'3_1':0.0},(503,676):{'3_1':0.0},(503,675):{'3_1':0.0},(503,674):{'3_1':0.03,'4_1':0.0},(503,673):{'3_1':0.0,'5_1':0.0},(503,672):{'3_1':0.03},(503,671):{'3_1':0.0,'4_1':0.0},(503,670):{'3_1':0.0},(503,669):{'3_1':0.0},(503,668):{'3_1':0.0},(503,667):{'3_1':0.0},(503,666):{'3_1':0.0,'4_1':0.0},(503,665):{'3_1':0.0},(503,663):{'3_1':0.0},(503,661):{'3_1':0.0,'4_1':0.0},(503,659):{'3_1':0.0},(503,658):{'3_1':0.0,'4_1':0.0},(503,657):{'3_1':0.03},(503,656):{'3_1':0.0},(503,654):{'3_1':0.0},(503,652):{'3_1':0.0},(503,651):{'3_1':0.0},(503,650):{'3_1':0.0},(503,649):{'3_1':0.0},(503,647):{'3_1':0.0},(503,646):{'3_1':0.0},(503,645):{'3_1':0.0},(503,644):{'3_1':0.0},(503,643):{'3_1':0.0},(503,642):{'3_1':0.03},(503,641):{'3_1':0.0,'5_1':0.0},(503,640):{'3_1':0.03,'4_1':0.0},(503,639):{'3_1':0.0,'4_1':0.0},(503,638):{'3_1':0.03},(503,637):{'3_1':0.0},(503,636):{'3_1':0.03},(503,635):{'3_1':0.03},(503,634):{'3_1':0.0},(503,632):{'3_1':0.03,'4_1':0.0},(503,630):{'3_1':0.0},(503,629):{'3_1':0.0},(503,628):{'3_1':0.03},(503,627):{'3_1':0.0,'7_2':0.0},(503,625):{'3_1':0.0},(503,624):{'3_1':0.0},(503,623):{'4_1':0.0},(503,622):{'3_1':0.0},(503,620):{'3_1':0.0},(503,619):{'3_1':0.0},(503,618):{'3_1':0.03},(503,616):{'3_1':0.0},(503,615):{'3_1':0.0},(503,614):{'3_1':0.0},(503,613):{'3_1':0.0},(503,612):{'3_1':0.0},(503,611):{'3_1':0.0},(503,609):{'3_1':0.0},(503,607):{'3_1':0.0},(503,606):{'3_1':0.0},(503,605):{'3_1':0.0},(503,604):{'3_1':0.03},(503,602):{'3_1':0.0},(503,601):{'3_1':0.0,'5_1':0.0},(503,600):{'3_1':0.03},(503,599):{'3_1':0.0},(503,598):{'3_1':0.03,'5_1':0.0},(503,596):{'3_1':0.0,'5_2':0.0},(503,595):{'3_1':0.03},(503,594):{'3_1':0.03,'7_1':0.0},(503,593):{'3_1':0.0,'5_1':0.0},(503,592):{'3_1':0.0},(503,591):{'3_1':0.03},(503,590):{'3_1':0.0},(503,589):{'3_1':0.03,'5_1':0.0},(503,588):{'3_1':0.0},(503,587):{'3_1':0.0},(503,586):{'3_1':0.0},(503,585):{'5_1':0.0},(503,584):{'3_1':0.0},(503,582):{'3_1':0.0},(503,581):{'3_1':0.0},(503,580):{'3_1':0.0},(503,577):{'3_1':0.0},(503,576):{'3_1':0.0},(503,573):{'3_1':0.0,'4_1':0.0},(503,569):{'3_1':0.0},(503,559):{'3_1':0.0},(503,511):{'3_1':0.0},(503,507):{'3_1':0.0},(504,727):{'3_1':0.0},(504,726):{'3_1':0.0,'8_20|3_1#3_1':0.0},(504,725):{'3_1':0.03},(504,724):{'3_1':0.0},(504,723):{'3_1':0.0},(504,722):{'3_1':0.0},(504,721):{'3_1':0.0},(504,720):{'3_1':0.0,'8_20|3_1#3_1':0.0},(504,719):{'3_1':0.0},(504,718):{'3_1':0.0},(504,717):{'3_1':0.03},(504,716):{'3_1':0.0,'4_1':0.0},(504,715):{'3_1':0.0},(504,714):{'3_1':0.0},(504,713):{'3_1':0.0},(504,712):{'3_1':0.03},(504,711):{'3_1':0.06},(504,710):{'3_1':0.03,'5_1':0.0},(504,709):{'3_1':0.0},(504,708):{'3_1':0.0},(504,707):{'3_1':0.0},(504,706):{'3_1':0.03},(504,705):{'3_1':0.0},(504,704):{'3_1':0.0,'5_1':0.0},(504,703):{'4_1':0.0},(504,702):{'3_1':0.03},(504,701):{'3_1':0.0},(504,700):{'3_1':0.0,'4_1':0.0},(504,699):{'3_1':0.0},(504,698):{'3_1':0.03},(504,697):{'3_1':0.0},(504,696):{'3_1':0.03},(504,695):{'3_1':0.0},(504,694):{'3_1':0.03},(504,693):{'3_1':0.03,'6_2':0.0},(504,692):{'3_1':0.0},(504,690):{'3_1':0.03},(504,689):{'3_1':0.03},(504,688):{'3_1':0.03},(504,687):{'3_1':0.0},(504,686):{'3_1':0.0},(504,685):{'3_1':0.0},(504,684):{'3_1':0.0},(504,683):{'3_1':0.0},(504,682):{'3_1':0.0},(504,681):{'3_1':0.03},(504,680):{'3_1':0.03,'5_1':0.0},(504,678):{'3_1':0.0},(504,677):{'3_1':0.0},(504,676):{'3_1':0.0,'4_1':0.0},(504,675):{'3_1':0.0},(504,674):{'3_1':0.0},(504,673):{'3_1':0.0},(504,672):{'3_1':0.03},(504,670):{'3_1':0.0},(504,668):{'3_1':0.0},(504,666):{'3_1':0.03},(504,665):{'3_1':0.0},(504,664):{'3_1':0.0},(504,662):{'4_1':0.0},(504,661):{'3_1':0.0},(504,660):{'3_1':0.0},(504,658):{'3_1':0.0},(504,657):{'3_1':0.0},(504,656):{'3_1':0.03},(504,655):{'3_1':0.0},(504,654):{'3_1':0.0},(504,653):{'3_1':0.0},(504,651):{'3_1':0.0},(504,650):{'3_1':0.0},(504,649):{'3_1':0.0,'4_1':0.0},(504,647):{'3_1':0.0},(504,646):{'3_1':0.0},(504,645):{'3_1':0.0},(504,644):{'3_1':0.0},(504,643):{'3_1':0.0},(504,642):{'3_1':0.0},(504,641):{'3_1':0.0},(504,640):{'3_1':0.0},(504,639):{'3_1':0.0},(504,638):{'3_1':0.0},(504,635):{'3_1':0.0},(504,634):{'3_1':0.0},(504,633):{'3_1':0.0},(504,632):{'3_1':0.0},(504,631):{'3_1':0.0},(504,630):{'3_1':0.06},(504,628):{'3_1':0.03},(504,627):{'3_1':0.0},(504,626):{'3_1':0.0},(504,625):{'3_1':0.0},(504,623):{'3_1':0.0},(504,622):{'3_1':0.0},(504,621):{'3_1':0.0},(504,620):{'3_1':0.0},(504,619):{'3_1':0.0,'5_1':0.0},(504,618):{'3_1':0.0},(504,617):{'3_1':0.0},(504,616):{'3_1':0.0},(504,615):{'3_1':0.0},(504,614):{'3_1':0.0},(504,613):{'3_1':0.0,'4_1':0.0},(504,612):{'3_1':0.0},(504,610):{'3_1':0.0},(504,609):{'5_2':0.0},(504,608):{'3_1':0.03},(504,607):{'3_1':0.0,'7_2':0.0},(504,606):{'3_1':0.0},(504,603):{'3_1':0.0},(504,602):{'3_1':0.0},(504,601):{'3_1':0.0},(504,600):{'3_1':0.0},(504,599):{'3_1':0.0},(504,596):{'3_1':0.0},(504,594):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(504,593):{'3_1':0.03,'5_1':0.0},(504,592):{'4_1':0.0},(504,590):{'3_1':0.0},(504,589):{'3_1':0.0},(504,588):{'3_1':0.0},(504,587):{'3_1':0.0},(504,586):{'3_1':0.0},(504,585):{'3_1':0.0},(504,577):{'3_1':0.0},(504,576):{'3_1':0.0},(504,575):{'3_1':0.0},(504,574):{'3_1':0.0},(504,573):{'3_1':0.0},(504,571):{'3_1':0.0},(504,570):{'3_1':0.0},(504,566):{'3_1':0.0},(504,562):{'3_1':0.0},(504,514):{'3_1':0.0},(505,726):{'3_1':0.03},(505,725):{'3_1':0.0},(505,724):{'3_1':0.0},(505,723):{'3_1':0.0},(505,722):{'3_1':0.0},(505,720):{'3_1':0.0},(505,719):{'3_1':0.0,'4_1':0.0},(505,718):{'3_1':0.03},(505,717):{'3_1':0.0},(505,716):{'3_1':0.0,'4_1':0.0},(505,715):{'3_1':0.0},(505,714):{'3_1':0.0},(505,713):{'3_1':0.03},(505,712):{'3_1':0.0,'5_1':0.0},(505,711):{'3_1':0.0},(505,710):{'3_1':0.0},(505,709):{'3_1':0.0},(505,708):{'3_1':0.03},(505,707):{'3_1':0.0},(505,706):{'3_1':0.0},(505,705):{'3_1':0.09},(505,703):{'3_1':0.03,'7_1':0.0},(505,702):{'3_1':0.0},(505,701):{'3_1':0.0},(505,700):{'3_1':0.0},(505,699):{'3_1':0.03},(505,698):{'3_1':0.0},(505,697):{'3_1':0.03,'4_1':0.0},(505,696):{'3_1':0.0},(505,695):{'3_1':0.0},(505,694):{'3_1':0.0},(505,693):{'3_1':0.0},(505,692):{'3_1':0.0},(505,691):{'3_1':0.0},(505,690):{'3_1':0.03},(505,689):{'3_1':0.03},(505,688):{'3_1':0.0},(505,687):{'3_1':0.03,'5_1':0.0},(505,685):{'3_1':0.03},(505,684):{'3_1':0.0},(505,683):{'3_1':0.0},(505,682):{'3_1':0.0},(505,681):{'3_1':0.0},(505,680):{'3_1':0.0},(505,679):{'3_1':0.0},(505,678):{'3_1':0.0},(505,677):{'3_1':0.0},(505,675):{'3_1':0.0},(505,674):{'3_1':0.0},(505,673):{'3_1':0.0},(505,671):{'3_1':0.0,'4_1':0.0},(505,668):{'3_1':0.0},(505,666):{'3_1':0.0},(505,665):{'3_1':0.0},(505,663):{'3_1':0.0},(505,662):{'3_1':0.03,'4_1':0.0},(505,661):{'3_1':0.0},(505,660):{'3_1':0.0},(505,659):{'3_1':0.0},(505,658):{'3_1':0.0},(505,657):{'3_1':0.0,'4_1':0.0},(505,656):{'3_1':0.0},(505,655):{'3_1':0.0},(505,654):{'3_1':0.03},(505,653):{'3_1':0.0},(505,652):{'3_1':0.0},(505,651):{'3_1':0.0},(505,650):{'3_1':0.0},(505,649):{'3_1':0.0},(505,648):{'3_1':0.03},(505,647):{'3_1':0.0},(505,646):{'3_1':0.03},(505,645):{'3_1':0.0},(505,644):{'3_1':0.0},(505,643):{'3_1':0.0},(505,642):{'3_1':0.0},(505,641):{'3_1':0.0},(505,640):{'3_1':0.0,'5_1':0.0},(505,639):{'3_1':0.03,'5_1':0.0},(505,638):{'3_1':0.03},(505,636):{'3_1':0.0},(505,635):{'3_1':0.0},(505,634):{'3_1':0.0},(505,633):{'3_1':0.0},(505,631):{'3_1':0.0},(505,630):{'3_1':0.0},(505,629):{'3_1':0.0},(505,628):{'3_1':0.0},(505,627):{'3_1':0.0},(505,626):{'3_1':0.0},(505,622):{'3_1':0.0},(505,621):{'3_1':0.0},(505,620):{'3_1':0.0},(505,619):{'3_1':0.0},(505,616):{'3_1':0.0},(505,615):{'3_1':0.0},(505,614):{'3_1':0.0},(505,612):{'3_1':0.0},(505,611):{'5_1':0.0},(505,610):{'3_1':0.03},(505,609):{'3_1':0.0},(505,608):{'3_1':0.0},(505,607):{'3_1':0.0},(505,605):{'3_1':0.0},(505,603):{'3_1':0.0},(505,601):{'3_1':0.0},(505,599):{'3_1':0.0,'4_1':0.0},(505,598):{'3_1':0.03,'5_1':0.0},(505,596):{'3_1':0.03},(505,595):{'3_1':0.0},(505,594):{'3_1':0.03},(505,593):{'5_1':0.0},(505,592):{'3_1':0.03},(505,591):{'3_1':0.0},(505,590):{'3_1':0.03},(505,589):{'3_1':0.03},(505,588):{'3_1':0.0},(505,587):{'3_1':0.0},(505,585):{'3_1':0.03},(505,583):{'3_1':0.0},(505,579):{'3_1':0.0},(505,578):{'3_1':0.0},(505,577):{'3_1':0.0},(505,576):{'3_1':0.0},(505,575):{'3_1':0.0},(505,573):{'3_1':0.0},(505,571):{'3_1':0.0},(505,569):{'3_1':0.0},(505,568):{'3_1':0.0},(505,566):{'3_1':0.0},(505,565):{'3_1':0.0},(505,564):{'3_1':0.0},(505,560):{'3_1':0.0},(505,523):{'3_1':0.0},(506,727):{'3_1':0.03},(506,726):{'3_1':0.0},(506,725):{'3_1':0.0},(506,723):{'3_1':0.0},(506,722):{'3_1':0.03},(506,721):{'3_1':0.0},(506,720):{'3_1':0.0},(506,719):{'3_1':0.0},(506,718):{'3_1':0.0,'4_1':0.0},(506,717):{'3_1':0.0,'4_1':0.0},(506,716):{'3_1':0.0},(506,715):{'3_1':0.03,'4_1':0.0},(506,714):{'3_1':0.0,'5_1':0.0},(506,713):{'3_1':0.0},(506,712):{'5_1':0.0},(506,711):{'3_1':0.06,'4_1':0.0},(506,710):{'3_1':0.0},(506,709):{'3_1':0.03,'4_1':0.0},(506,708):{'3_1':0.0},(506,707):{'3_1':0.03,'4_1':0.0},(506,706):{'3_1':0.06},(506,705):{'3_1':0.0,'4_1':0.0},(506,704):{'3_1':0.03},(506,703):{'3_1':0.0},(506,702):{'3_1':0.03,'4_1':0.0},(506,701):{'3_1':0.0},(506,700):{'3_1':0.03},(506,699):{'3_1':0.0},(506,698):{'3_1':0.0},(506,697):{'3_1':0.03,'5_1':0.0},(506,696):{'3_1':0.0},(506,694):{'3_1':0.03},(506,693):{'3_1':0.0},(506,692):{'3_1':0.03},(506,691):{'5_1':0.0},(506,690):{'3_1':0.0},(506,689):{'3_1':0.0,'4_1':0.0},(506,688):{'3_1':0.0},(506,687):{'4_1':0.0},(506,686):{'3_1':0.0},(506,685):{'3_1':0.0,'4_1':0.0},(506,684):{'3_1':0.0},(506,683):{'3_1':0.03},(506,682):{'3_1':0.0},(506,681):{'3_1':0.03,'4_1':0.0},(506,680):{'3_1':0.0},(506,679):{'3_1':0.03},(506,678):{'3_1':0.03},(506,677):{'3_1':0.0,'4_1':0.0},(506,676):{'3_1':0.0,'5_1':0.0},(506,675):{'3_1':0.0},(506,674):{'3_1':0.0},(506,673):{'3_1':0.0,'4_1':0.0},(506,672):{'3_1':0.03},(506,671):{'3_1':0.0},(506,670):{'3_1':0.0},(506,669):{'3_1':0.0},(506,668):{'3_1':0.0,'4_1':0.0},(506,667):{'3_1':0.0},(506,666):{'3_1':0.0},(506,665):{'3_1':0.0,'5_1':0.0},(506,664):{'3_1':0.0},(506,663):{'3_1':0.0},(506,656):{'4_1':0.0},(506,655):{'3_1':0.0},(506,654):{'3_1':0.0},(506,653):{'3_1':0.03,'4_1':0.0},(506,652):{'3_1':0.0},(506,651):{'3_1':0.0,'4_1':0.0},(506,649):{'3_1':0.0},(506,648):{'3_1':0.0},(506,647):{'3_1':0.03},(506,646):{'3_1':0.0},(506,645):{'3_1':0.03},(506,644):{'3_1':0.0},(506,643):{'3_1':0.0},(506,642):{'3_1':0.0},(506,641):{'3_1':0.0,'4_1':0.0},(506,640):{'3_1':0.03},(506,639):{'3_1':0.0},(506,638):{'3_1':0.0},(506,637):{'4_1':0.0},(506,636):{'3_1':0.0},(506,635):{'3_1':0.0},(506,634):{'3_1':0.0},(506,632):{'3_1':0.0},(506,631):{'3_1':0.03},(506,630):{'3_1':0.0},(506,629):{'3_1':0.0},(506,628):{'3_1':0.0},(506,626):{'3_1':0.0},(506,624):{'3_1':0.0},(506,623):{'3_1':0.0},(506,622):{'3_1':0.0},(506,621):{'3_1':0.0},(506,620):{'3_1':0.0},(506,619):{'3_1':0.0},(506,618):{'3_1':0.03},(506,616):{'3_1':0.0},(506,615):{'3_1':0.0,'4_1':0.0},(506,614):{'3_1':0.0,'4_1':0.0},(506,613):{'3_1':0.0,'4_1':0.0},(506,612):{'3_1':0.03},(506,611):{'3_1':0.0},(506,610):{'3_1':0.0},(506,609):{'3_1':0.03},(506,607):{'3_1':0.0},(506,604):{'3_1':0.03},(506,603):{'3_1':0.0},(506,602):{'3_1':0.03},(506,600):{'3_1':0.0},(506,599):{'3_1':0.0},(506,596):{'3_1':0.0},(506,595):{'3_1':0.0},(506,594):{'3_1':0.0},(506,593):{'3_1':0.03,'5_2':0.0},(506,592):{'3_1':0.03},(506,591):{'3_1':0.0},(506,590):{'3_1':0.0},(506,589):{'3_1':0.03},(506,588):{'3_1':0.03,'4_1':0.0},(506,587):{'3_1':0.0},(506,586):{'3_1':0.0},(506,585):{'3_1':0.0},(506,584):{'3_1':0.0},(506,582):{'3_1':0.0},(506,581):{'3_1':0.0},(506,580):{'3_1':0.0},(506,579):{'3_1':0.0},(506,577):{'3_1':0.0,'5_1':0.0},(506,576):{'3_1':0.0},(506,575):{'3_1':0.0,'5_1':0.0},(506,574):{'3_1':0.0},(506,569):{'3_1':0.0},(506,568):{'3_1':0.0,'5_1':0.0},(506,567):{'3_1':0.0},(506,564):{'4_1':0.0},(506,563):{'3_1':0.0},(506,562):{'7_2':0.0},(506,532):{'3_1':0.0},(506,512):{'3_1':0.0},(506,511):{'3_1':0.0},(506,510):{'3_1':0.0},(507,727):{'3_1':0.0},(507,725):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(507,724):{'3_1':0.0},(507,723):{'3_1':0.0},(507,721):{'3_1':0.0},(507,720):{'3_1':0.03,'4_1':0.0},(507,719):{'3_1':0.0},(507,718):{'3_1':0.03},(507,717):{'3_1':0.0},(507,716):{'3_1':0.03,'5_1':0.0},(507,715):{'3_1':0.03,'5_1':0.0},(507,713):{'3_1':0.0,'5_1':0.0},(507,712):{'3_1':0.03},(507,711):{'3_1':0.03,'5_1':0.0},(507,710):{'3_1':0.0,'5_1':0.0},(507,709):{'3_1':0.0,'4_1':0.0},(507,708):{'3_1':0.03},(507,707):{'3_1':0.03},(507,706):{'3_1':0.03},(507,705):{'3_1':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(507,704):{'3_1':0.06,'5_1':0.0},(507,703):{'3_1':0.03,'7_1':0.0},(507,702):{'3_1':0.0},(507,701):{'3_1':0.0},(507,700):{'3_1':0.03},(507,699):{'3_1':0.0},(507,698):{'3_1':0.0},(507,697):{'3_1':0.09},(507,696):{'3_1':0.0},(507,695):{'3_1':0.0,'5_1':0.0},(507,694):{'3_1':0.0},(507,693):{'3_1':0.0},(507,691):{'3_1':0.0},(507,690):{'3_1':0.03},(507,689):{'3_1':0.03},(507,688):{'3_1':0.06},(507,687):{'3_1':0.0},(507,686):{'3_1':0.03},(507,685):{'3_1':0.03},(507,684):{'3_1':0.0},(507,683):{'3_1':0.0},(507,682):{'3_1':0.0},(507,681):{'3_1':0.03},(507,680):{'3_1':0.0},(507,679):{'3_1':0.0},(507,678):{'3_1':0.0},(507,677):{'3_1':0.0},(507,676):{'3_1':0.0,'5_1':0.0},(507,675):{'3_1':0.0,'4_1':0.0},(507,674):{'3_1':0.0,'4_1':0.0},(507,673):{'3_1':0.0},(507,672):{'3_1':0.0,'4_1':0.0},(507,671):{'3_1':0.0},(507,670):{'3_1':0.0},(507,669):{'3_1':0.0},(507,668):{'3_1':0.0},(507,667):{'3_1':0.0},(507,666):{'3_1':0.03},(507,665):{'3_1':0.0},(507,664):{'3_1':0.0},(507,663):{'3_1':0.0},(507,662):{'3_1':0.0},(507,661):{'3_1':0.0},(507,660):{'3_1':0.0,'5_1':0.0},(507,659):{'3_1':0.03},(507,658):{'3_1':0.0,'4_1':0.0},(507,656):{'3_1':0.0},(507,655):{'3_1':0.0},(507,654):{'3_1':0.0},(507,653):{'3_1':0.06},(507,652):{'3_1':0.0,'4_1':0.0},(507,651):{'3_1':0.0},(507,650):{'3_1':0.0},(507,649):{'3_1':0.0},(507,648):{'3_1':0.0,'5_1':0.0},(507,647):{'3_1':0.0,'5_1':0.0},(507,646):{'3_1':0.03},(507,645):{'3_1':0.0},(507,644):{'3_1':0.0},(507,643):{'3_1':0.0},(507,642):{'3_1':0.0},(507,641):{'3_1':0.0},(507,640):{'3_1':0.03},(507,639):{'3_1':0.0,'5_1':0.0},(507,638):{'3_1':0.0},(507,637):{'3_1':0.0},(507,636):{'3_1':0.03},(507,635):{'3_1':0.03},(507,634):{'3_1':0.0},(507,632):{'3_1':0.03},(507,631):{'4_1':0.0},(507,630):{'3_1':0.03},(507,629):{'3_1':0.0},(507,628):{'3_1':0.0},(507,627):{'3_1':0.0},(507,626):{'3_1':0.0},(507,625):{'3_1':0.0,'4_1':0.0},(507,624):{'3_1':0.0},(507,623):{'3_1':0.0},(507,622):{'3_1':0.03},(507,621):{'3_1':0.0},(507,620):{'3_1':0.03},(507,619):{'3_1':0.0},(507,618):{'3_1':0.0},(507,617):{'3_1':0.03},(507,615):{'3_1':0.0},(507,614):{'3_1':0.0},(507,613):{'3_1':0.03},(507,612):{'3_1':0.03},(507,611):{'3_1':0.03},(507,609):{'3_1':0.03},(507,608):{'3_1':0.0},(507,607):{'3_1':0.0},(507,606):{'3_1':0.0},(507,604):{'3_1':0.0},(507,603):{'3_1':0.0},(507,601):{'3_1':0.0,'6_1':0.0},(507,600):{'3_1':0.03},(507,598):{'3_1':0.0},(507,597):{'3_1':0.03},(507,596):{'3_1':0.0},(507,595):{'3_1':0.06},(507,594):{'3_1':0.0},(507,593):{'3_1':0.0,'-3':0.0},(507,592):{'3_1':0.03},(507,591):{'3_1':0.0},(507,590):{'3_1':0.0},(507,589):{'3_1':0.0},(507,588):{'3_1':0.03},(507,587):{'3_1':0.03},(507,585):{'3_1':0.0},(507,583):{'3_1':0.0},(507,581):{'3_1':0.0},(507,580):{'3_1':0.0},(507,578):{'3_1':0.0},(507,577):{'3_1':0.0},(507,576):{'3_1':0.0},(507,573):{'3_1':0.0},(507,567):{'3_1':0.0},(507,564):{'3_1':0.0},(507,563):{'3_1':0.0},(507,561):{'3_1':0.0},(507,556):{'3_1':0.0},(507,520):{'5_2':0.0},(507,518):{'3_1':0.0},(507,515):{'3_1':0.0},(507,513):{'3_1':0.0},(507,511):{'3_1':0.0},(508,727):{'3_1':0.0},(508,725):{'3_1':0.0},(508,724):{'3_1':0.0},(508,723):{'3_1':0.0},(508,722):{'3_1':0.0},(508,721):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(508,720):{'3_1':0.0},(508,719):{'3_1':0.0,'4_1':0.0},(508,718):{'3_1':0.0},(508,717):{'3_1':0.0,'5_1':0.0},(508,716):{'3_1':0.0,'8_20|3_1#3_1':0.0},(508,715):{'3_1':0.03},(508,714):{'3_1':0.03},(508,713):{'3_1':0.03},(508,712):{'3_1':0.0,'5_1':0.0},(508,711):{'3_1':0.0,'4_1':0.0,'8_19':0.0},(508,710):{'3_1':0.0,'4_1':0.0},(508,709):{'3_1':0.03,'5_1':0.0},(508,708):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(508,707):{'3_1':0.0},(508,706):{'3_1':0.0},(508,705):{'3_1':0.0},(508,704):{'3_1':0.06},(508,703):{'3_1':0.0},(508,702):{'3_1':0.0,'4_1':0.0},(508,701):{'3_1':0.03,'4_1':0.0},(508,700):{'3_1':0.0,'4_1':0.0},(508,699):{'3_1':0.03},(508,698):{'3_1':0.03},(508,697):{'3_1':0.0},(508,696):{'3_1':0.0},(508,695):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(508,694):{'3_1':0.09,'8_20|3_1#3_1':0.0},(508,693):{'3_1':0.03},(508,692):{'3_1':0.0,'4_1':0.0},(508,691):{'3_1':0.0},(508,690):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(508,689):{'3_1':0.03},(508,688):{'3_1':0.03},(508,687):{'3_1':0.03},(508,686):{'3_1':0.0,'4_1':0.0},(508,685):{'3_1':0.0,'5_1':0.0},(508,684):{'3_1':0.0},(508,683):{'3_1':0.03,'4_1':0.0},(508,682):{'3_1':0.03},(508,681):{'3_1':0.0,'4_1':0.0},(508,680):{'3_1':0.0},(508,679):{'3_1':0.03,'4_1':0.0},(508,678):{'3_1':0.03},(508,677):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(508,676):{'3_1':0.0},(508,675):{'3_1':0.0},(508,674):{'3_1':0.0},(508,673):{'3_1':0.0},(508,672):{'3_1':0.0},(508,671):{'5_1':0.0,'3_1':0.0},(508,669):{'3_1':0.0},(508,668):{'3_1':0.0},(508,667):{'3_1':0.0,'4_1':0.0},(508,666):{'3_1':0.0,'4_1':0.0},(508,665):{'3_1':0.0},(508,664):{'3_1':0.0},(508,663):{'3_1':0.0},(508,662):{'3_1':0.0},(508,661):{'3_1':0.03},(508,659):{'3_1':0.0},(508,658):{'3_1':0.0},(508,656):{'3_1':0.0},(508,655):{'3_1':0.03,'4_1':0.0},(508,654):{'3_1':0.0},(508,653):{'4_1':0.0},(508,652):{'3_1':0.0},(508,651):{'3_1':0.0},(508,650):{'3_1':0.0},(508,649):{'3_1':0.0},(508,648):{'3_1':0.0},(508,647):{'3_1':0.0},(508,646):{'3_1':0.0},(508,645):{'3_1':0.0},(508,644):{'3_1':0.0,'4_1':0.0},(508,643):{'3_1':0.0},(508,642):{'3_1':0.0},(508,641):{'3_1':0.0},(508,640):{'3_1':0.0},(508,639):{'3_1':0.0,'4_1':0.0},(508,638):{'3_1':0.0,'5_1':0.0},(508,637):{'4_1':0.0},(508,636):{'3_1':0.0},(508,635):{'3_1':0.0},(508,634):{'3_1':0.0},(508,632):{'3_1':0.0},(508,631):{'3_1':0.0},(508,630):{'3_1':0.0},(508,629):{'3_1':0.0,'4_1':0.0},(508,628):{'3_1':0.0},(508,627):{'3_1':0.03},(508,625):{'3_1':0.0},(508,624):{'3_1':0.0},(508,623):{'3_1':0.0},(508,622):{'3_1':0.0,'5_1':0.0},(508,621):{'3_1':0.0},(508,620):{'3_1':0.03},(508,619):{'3_1':0.0},(508,618):{'3_1':0.03},(508,617):{'3_1':0.0,'4_1':0.0},(508,616):{'3_1':0.0},(508,615):{'3_1':0.0,'5_1':0.0},(508,614):{'3_1':0.0},(508,613):{'3_1':0.0,'5_1':0.0},(508,612):{'3_1':0.03,'4_1':0.0},(508,611):{'3_1':0.03},(508,610):{'3_1':0.0},(508,609):{'3_1':0.03},(508,608):{'3_1':0.0},(508,607):{'3_1':0.03},(508,605):{'3_1':0.03},(508,604):{'3_1':0.0},(508,603):{'3_1':0.0},(508,602):{'3_1':0.0},(508,601):{'3_1':0.0},(508,599):{'3_1':0.0},(508,598):{'3_1':0.03},(508,597):{'3_1':0.0,'5_1':0.0},(508,596):{'3_1':0.0},(508,595):{'3_1':0.0},(508,594):{'3_1':0.03},(508,593):{'3_1':0.03},(508,592):{'3_1':0.03},(508,591):{'3_1':0.03},(508,590):{'3_1':0.03},(508,589):{'3_1':0.0},(508,588):{'3_1':0.0},(508,587):{'3_1':0.0},(508,586):{'3_1':0.0},(508,585):{'3_1':0.0},(508,584):{'3_1':0.0},(508,583):{'3_1':0.0},(508,582):{'3_1':0.0},(508,577):{'3_1':0.0},(508,576):{'3_1':0.0},(508,575):{'3_1':0.03},(508,573):{'3_1':0.0},(508,565):{'3_1':0.0},(508,564):{'3_1':0.0},(508,563):{'3_1':0.0},(508,562):{'3_1':0.0},(508,560):{'3_1':0.0},(508,557):{'3_1':0.0},(508,556):{'3_1':0.0},(508,530):{'3_1':0.0},(508,517):{'3_1':0.0},(508,516):{'3_1':0.0},(508,513):{'3_1':0.0},(508,512):{'3_1':0.0},(509,727):{'3_1':0.0},(509,726):{'3_1':0.0},(509,725):{'3_1':0.03},(509,724):{'3_1':0.03},(509,723):{'3_1':0.0},(509,722):{'3_1':0.0,'4_1':0.0},(509,721):{'6_1':0.0},(509,720):{'3_1':0.0},(509,719):{'3_1':0.0},(509,718):{'3_1':0.03},(509,717):{'3_1':0.0},(509,715):{'3_1':0.0},(509,714):{'3_1':0.0},(509,712):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(509,711):{'3_1':0.03,'4_1':0.0},(509,710):{'3_1':0.0,'4_1':0.0},(509,709):{'3_1':0.0},(509,708):{'3_1':0.06},(509,707):{'3_1':0.06},(509,706):{'3_1':0.0},(509,705):{'3_1':0.0,'4_1':0.0},(509,704):{'3_1':0.0},(509,703):{'3_1':0.0,'9_1':0.0},(509,702):{'3_1':0.03},(509,701):{'3_1':0.06,'4_1':0.0},(509,700):{'3_1':0.06},(509,699):{'3_1':0.0,'4_1':0.0},(509,698):{'3_1':0.0},(509,697):{'3_1':0.06},(509,696):{'3_1':0.0},(509,695):{'3_1':0.0,'4_1':0.0},(509,694):{'3_1':0.0},(509,693):{'3_1':0.06},(509,692):{'3_1':0.03},(509,691):{'3_1':0.03},(509,690):{'3_1':0.03},(509,689):{'3_1':0.03},(509,688):{'3_1':0.03},(509,687):{'3_1':0.0},(509,686):{'3_1':0.03},(509,685):{'3_1':0.03},(509,684):{'3_1':0.0},(509,683):{'3_1':0.0,'4_1':0.0},(509,682):{'3_1':0.0},(509,681):{'3_1':0.03,'4_1':0.0},(509,680):{'4_1':0.0,'3_1':0.0},(509,679):{'3_1':0.0},(509,678):{'3_1':0.0},(509,677):{'3_1':0.0,'4_1':0.0},(509,676):{'3_1':0.0,'4_1':0.0},(509,675):{'3_1':0.03},(509,674):{'3_1':0.03},(509,673):{'3_1':0.0},(509,672):{'3_1':0.0},(509,671):{'3_1':0.0},(509,670):{'3_1':0.0},(509,669):{'3_1':0.0},(509,668):{'3_1':0.0,'4_1':0.0},(509,667):{'3_1':0.0},(509,666):{'3_1':0.03,'4_1':0.0},(509,665):{'3_1':0.0},(509,664):{'3_1':0.0},(509,663):{'3_1':0.0},(509,662):{'3_1':0.0},(509,661):{'3_1':0.0},(509,660):{'3_1':0.0},(509,659):{'3_1':0.03},(509,658):{'3_1':0.0},(509,656):{'3_1':0.0},(509,654):{'3_1':0.0},(509,653):{'3_1':0.0},(509,652):{'3_1':0.0},(509,651):{'3_1':0.0},(509,650):{'3_1':0.0},(509,649):{'3_1':0.0},(509,648):{'3_1':0.0},(509,647):{'3_1':0.0,'4_1':0.0},(509,646):{'3_1':0.0},(509,645):{'3_1':0.0},(509,644):{'3_1':0.0},(509,643):{'3_1':0.0},(509,642):{'3_1':0.0},(509,640):{'3_1':0.0},(509,639):{'3_1':0.0},(509,637):{'3_1':0.0},(509,635):{'3_1':0.0},(509,633):{'3_1':0.0},(509,632):{'3_1':0.0},(509,631):{'3_1':0.0},(509,630):{'3_1':0.03},(509,629):{'3_1':0.0},(509,628):{'3_1':0.03},(509,627):{'3_1':0.0},(509,626):{'3_1':0.0},(509,625):{'3_1':0.0},(509,624):{'3_1':0.0},(509,623):{'3_1':0.0},(509,622):{'3_1':0.0},(509,621):{'3_1':0.0},(509,618):{'3_1':0.03},(509,617):{'3_1':0.0},(509,616):{'3_1':0.0},(509,615):{'3_1':0.0},(509,614):{'3_1':0.0},(509,613):{'3_1':0.0},(509,612):{'3_1':0.0},(509,611):{'3_1':0.0},(509,610):{'3_1':0.0},(509,609):{'3_1':0.0},(509,608):{'3_1':0.0},(509,607):{'3_1':0.0},(509,606):{'3_1':0.0},(509,602):{'3_1':0.03,'5_1':0.0},(509,601):{'3_1':0.0},(509,600):{'3_1':0.0},(509,599):{'3_1':0.0},(509,598):{'3_1':0.0},(509,597):{'3_1':0.03},(509,596):{'3_1':0.03,'5_2':0.0},(509,595):{'3_1':0.0},(509,594):{'3_1':0.0},(509,593):{'3_1':0.03,'4_1':0.0},(509,592):{'3_1':0.03},(509,591):{'3_1':0.03},(509,590):{'3_1':0.03,'5_1':0.0},(509,589):{'3_1':0.0},(509,588):{'3_1':0.03},(509,587):{'3_1':0.0},(509,586):{'3_1':0.0},(509,585):{'3_1':0.0},(509,583):{'3_1':0.0},(509,582):{'3_1':0.0},(509,580):{'3_1':0.0},(509,577):{'3_1':0.0},(509,575):{'3_1':0.0,'4_1':0.0},(509,574):{'3_1':0.0},(509,573):{'3_1':0.0},(509,572):{'3_1':0.0},(509,568):{'3_1':0.0},(509,567):{'3_1':0.0,'4_1':0.0},(509,563):{'3_1':0.0},(509,562):{'3_1':0.0},(509,561):{'3_1':0.0},(509,560):{'3_1':0.0},(509,554):{'3_1':0.0},(509,553):{'3_1':0.0},(509,534):{'3_1':0.0},(509,517):{'3_1':0.0},(509,514):{'3_1':0.0},(509,513):{'3_1':0.0},(510,727):{'3_1':0.0},(510,726):{'3_1':0.0},(510,724):{'3_1':0.0},(510,723):{'3_1':0.0},(510,722):{'3_1':0.0},(510,721):{'3_1':0.03},(510,720):{'3_1':0.0,'5_1':0.0},(510,719):{'3_1':0.03},(510,718):{'3_1':0.03},(510,717):{'3_1':0.0},(510,716):{'3_1':0.0},(510,715):{'3_1':0.0,'5_1':0.0},(510,714):{'3_1':0.0},(510,713):{'3_1':0.0},(510,712):{'3_1':0.06},(510,711):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(510,710):{'3_1':0.06},(510,709):{'3_1':0.03,'4_1':0.0},(510,708):{'3_1':0.0},(510,707):{'3_1':0.03},(510,706):{'3_1':0.0},(510,705):{'3_1':0.0,'4_1':0.0},(510,704):{'3_1':0.03,'4_1':0.0},(510,703):{'3_1':0.0},(510,702):{'3_1':0.03},(510,701):{'3_1':0.0},(510,700):{'3_1':0.0,'5_1':0.0},(510,699):{'3_1':0.0},(510,698):{'3_1':0.0},(510,697):{'3_1':0.03},(510,696):{'3_1':0.03},(510,695):{'3_1':0.0},(510,694):{'3_1':0.06},(510,693):{'3_1':0.0},(510,692):{'3_1':0.0},(510,691):{'3_1':0.0},(510,690):{'3_1':0.06,'4_1':0.0},(510,689):{'3_1':0.0,'4_1':0.0},(510,688):{'3_1':0.0},(510,687):{'3_1':0.0,'4_1':0.0},(510,686):{'3_1':0.03,'4_1':0.0},(510,685):{'3_1':0.03},(510,684):{'3_1':0.03},(510,683):{'3_1':0.0},(510,682):{'3_1':0.0,'7_2':0.0},(510,681):{'3_1':0.0},(510,680):{'3_1':0.0},(510,678):{'3_1':0.0},(510,677):{'5_1':0.0},(510,676):{'3_1':0.0},(510,675):{'3_1':0.0,'4_1':0.0},(510,674):{'3_1':0.0},(510,673):{'3_1':0.0},(510,672):{'3_1':0.0,'4_1':0.0},(510,671):{'3_1':0.03},(510,669):{'3_1':0.0},(510,668):{'3_1':0.0},(510,667):{'3_1':0.0},(510,665):{'3_1':0.0},(510,664):{'3_1':0.0},(510,662):{'3_1':0.0},(510,661):{'3_1':0.0},(510,660):{'3_1':0.0},(510,659):{'3_1':0.0},(510,658):{'3_1':0.0,'4_1':0.0},(510,656):{'3_1':0.0},(510,653):{'3_1':0.0},(510,652):{'3_1':0.0},(510,651):{'3_1':0.0},(510,650):{'3_1':0.0},(510,649):{'3_1':0.0},(510,648):{'3_1':0.0},(510,647):{'3_1':0.0,'4_1':0.0},(510,645):{'3_1':0.0},(510,644):{'3_1':0.0},(510,643):{'3_1':0.0},(510,642):{'3_1':0.0,'4_1':0.0},(510,641):{'3_1':0.03},(510,640):{'3_1':0.0},(510,639):{'3_1':0.03},(510,638):{'3_1':0.0},(510,637):{'3_1':0.0},(510,636):{'3_1':0.03},(510,635):{'3_1':0.0},(510,634):{'3_1':0.0},(510,633):{'3_1':0.0},(510,632):{'3_1':0.03},(510,631):{'3_1':0.0},(510,628):{'3_1':0.0},(510,627):{'3_1':0.0},(510,626):{'3_1':0.03},(510,625):{'3_1':0.0},(510,624):{'3_1':0.0,'4_1':0.0},(510,623):{'3_1':0.0,'4_1':0.0},(510,622):{'3_1':0.0},(510,621):{'3_1':0.0},(510,620):{'3_1':0.03},(510,619):{'3_1':0.03},(510,618):{'3_1':0.0},(510,617):{'3_1':0.0},(510,616):{'3_1':0.0},(510,615):{'3_1':0.0},(510,614):{'3_1':0.0},(510,613):{'3_1':0.0},(510,612):{'3_1':0.0},(510,611):{'3_1':0.0},(510,610):{'3_1':0.0},(510,609):{'3_1':0.0},(510,608):{'3_1':0.0},(510,607):{'3_1':0.0},(510,604):{'3_1':0.0},(510,603):{'3_1':0.0},(510,602):{'3_1':0.03},(510,600):{'3_1':0.0},(510,598):{'3_1':0.0,'5_1':0.0},(510,597):{'3_1':0.0},(510,595):{'3_1':0.0},(510,594):{'3_1':0.03},(510,593):{'3_1':0.0},(510,592):{'3_1':0.0},(510,591):{'3_1':0.0},(510,590):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(510,589):{'3_1':0.03},(510,588):{'3_1':0.0},(510,587):{'3_1':0.0},(510,586):{'3_1':0.0},(510,585):{'3_1':0.0},(510,584):{'3_1':0.0},(510,583):{'3_1':0.0},(510,582):{'3_1':0.0},(510,581):{'3_1':0.0},(510,575):{'3_1':0.0,'6_1':0.0},(510,574):{'3_1':0.0},(510,573):{'3_1':0.0},(510,570):{'3_1':0.0},(510,568):{'3_1':0.0},(510,567):{'3_1':0.0},(510,565):{'3_1':0.0},(510,562):{'3_1':0.0},(510,557):{'3_1':0.0},(510,556):{'3_1':0.0},(510,554):{'3_1':0.0},(510,553):{'3_1':0.0},(510,517):{'3_1':0.0},(510,515):{'3_1':0.0},(511,727):{'3_1':0.0},(511,726):{'3_1':0.0},(511,725):{'3_1':0.0},(511,723):{'3_1':0.03},(511,722):{'3_1':0.03},(511,720):{'3_1':0.0},(511,719):{'3_1':0.0},(511,718):{'3_1':0.0},(511,717):{'3_1':0.0},(511,716):{'3_1':0.03},(511,715):{'3_1':0.03},(511,714):{'3_1':0.0},(511,713):{'3_1':0.0},(511,712):{'3_1':0.03},(511,711):{'3_1':0.03},(511,710):{'3_1':0.03},(511,709):{'3_1':0.0},(511,708):{'3_1':0.03},(511,707):{'3_1':0.0},(511,706):{'3_1':0.0},(511,705):{'3_1':0.0},(511,704):{'3_1':0.03},(511,703):{'3_1':0.03,'4_1':0.0},(511,702):{'3_1':0.06},(511,701):{'3_1':0.06},(511,700):{'3_1':0.03},(511,699):{'3_1':0.0,'4_1':0.0},(511,698):{'3_1':0.0,'5_1':0.0},(511,697):{'3_1':0.03,'4_1':0.0},(511,696):{'3_1':0.03},(511,695):{'3_1':0.03},(511,694):{'3_1':0.0,'5_1':0.0},(511,693):{'3_1':0.03},(511,692):{'3_1':0.0},(511,691):{'3_1':0.03},(511,690):{'3_1':0.03,'4_1':0.0},(511,689):{'3_1':0.0},(511,688):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(511,687):{'3_1':0.0},(511,686):{'3_1':0.03},(511,685):{'3_1':0.0},(511,683):{'3_1':0.0},(511,682):{'3_1':0.0},(511,681):{'3_1':0.0},(511,680):{'3_1':0.0},(511,679):{'3_1':0.0},(511,678):{'3_1':0.0,'5_1':0.0},(511,677):{'3_1':0.06,'4_1':0.0},(511,676):{'3_1':0.0},(511,675):{'3_1':0.0},(511,674):{'3_1':0.0},(511,673):{'3_1':0.0},(511,672):{'3_1':0.0,'4_1':0.0},(511,671):{'3_1':0.0},(511,670):{'3_1':0.0},(511,669):{'5_2':0.0},(511,668):{'3_1':0.0,'4_1':0.0},(511,667):{'4_1':0.0},(511,666):{'3_1':0.0},(511,663):{'3_1':0.0},(511,662):{'3_1':0.0},(511,661):{'3_1':0.0},(511,660):{'3_1':0.03,'4_1':0.0},(511,659):{'3_1':0.0},(511,658):{'3_1':0.0},(511,656):{'3_1':0.0},(511,655):{'3_1':0.03},(511,654):{'3_1':0.0},(511,653):{'3_1':0.0},(511,652):{'3_1':0.0},(511,651):{'3_1':0.03},(511,650):{'3_1':0.0},(511,649):{'3_1':0.0},(511,648):{'3_1':0.0,'4_1':0.0},(511,647):{'3_1':0.0},(511,646):{'3_1':0.0},(511,645):{'3_1':0.0,'4_1':0.0},(511,644):{'3_1':0.03,'5_1':0.0},(511,643):{'3_1':0.0,'4_1':0.0},(511,642):{'3_1':0.0},(511,641):{'3_1':0.0},(511,638):{'3_1':0.0},(511,637):{'3_1':0.0},(511,636):{'3_1':0.0},(511,635):{'3_1':0.0},(511,634):{'3_1':0.0},(511,632):{'3_1':0.03},(511,631):{'4_1':0.0},(511,630):{'3_1':0.03},(511,628):{'3_1':0.0},(511,627):{'3_1':0.0},(511,626):{'3_1':0.0},(511,625):{'3_1':0.0},(511,624):{'3_1':0.0},(511,623):{'3_1':0.0},(511,622):{'3_1':0.0},(511,619):{'3_1':0.0},(511,618):{'3_1':0.0},(511,617):{'3_1':0.0},(511,616):{'3_1':0.0},(511,614):{'3_1':0.0},(511,613):{'3_1':0.0},(511,612):{'3_1':0.0},(511,611):{'3_1':0.0},(511,609):{'3_1':0.0},(511,607):{'3_1':0.0},(511,605):{'3_1':0.0},(511,603):{'3_1':0.03},(511,602):{'5_1':0.0},(511,601):{'3_1':0.0,'4_1':0.0},(511,599):{'3_1':0.0},(511,598):{'3_1':0.0},(511,597):{'7_1':0.0},(511,596):{'3_1':0.03},(511,595):{'3_1':0.0},(511,594):{'3_1':0.0,'5_1':0.0},(511,593):{'3_1':0.0,'5_1':0.0},(511,592):{'3_1':0.03},(511,590):{'3_1':0.03},(511,589):{'3_1':0.0},(511,586):{'3_1':0.0},(511,585):{'3_1':0.0},(511,584):{'3_1':0.0},(511,582):{'3_1':0.0},(511,579):{'3_1':0.0},(511,577):{'3_1':0.0},(511,576):{'3_1':0.0},(511,572):{'3_1':0.0},(511,571):{'3_1':0.0},(511,567):{'3_1':0.0},(511,566):{'3_1':0.0},(511,564):{'3_1':0.0},(511,563):{'3_1':0.0},(511,562):{'3_1':0.0},(511,561):{'3_1':0.0},(511,560):{'3_1':0.0},(511,557):{'3_1':0.0},(511,527):{'3_1':0.0},(511,524):{'3_1':0.0},(511,523):{'3_1':0.0},(511,517):{'3_1':0.0},(511,516):{'3_1':0.0},(511,515):{'3_1':0.0},(512,727):{'3_1':0.0},(512,726):{'3_1':0.0},(512,725):{'3_1':0.0},(512,724):{'3_1':0.0},(512,723):{'3_1':0.0},(512,722):{'3_1':0.06},(512,721):{'3_1':0.0},(512,720):{'3_1':0.0},(512,719):{'3_1':0.0},(512,718):{'3_1':0.0},(512,717):{'3_1':0.0},(512,716):{'3_1':0.0},(512,715):{'3_1':0.03},(512,714):{'3_1':0.0},(512,713):{'3_1':0.0,'4_1':0.0},(512,712):{'3_1':0.06},(512,711):{'3_1':0.0,'5_1':0.0},(512,710):{'3_1':0.03},(512,709):{'3_1':0.06},(512,708):{'3_1':0.03},(512,707):{'3_1':0.03},(512,706):{'3_1':0.06,'4_1':0.0},(512,705):{'3_1':0.03,'4_1':0.0},(512,704):{'3_1':0.0},(512,703):{'3_1':0.03},(512,702):{'3_1':0.03},(512,701):{'3_1':0.09,'4_1':0.0},(512,700):{'3_1':0.03,'4_1':0.0},(512,699):{'3_1':0.06},(512,698):{'3_1':0.03},(512,697):{'3_1':0.03},(512,696):{'3_1':0.03},(512,695):{'3_1':0.03},(512,694):{'3_1':0.0},(512,693):{'3_1':0.0},(512,692):{'3_1':0.03},(512,691):{'3_1':0.0},(512,690):{'3_1':0.03},(512,689):{'3_1':0.03},(512,688):{'3_1':0.06},(512,687):{'3_1':0.03},(512,686):{'3_1':0.0},(512,685):{'3_1':0.03},(512,684):{'3_1':0.0},(512,683):{'3_1':0.03},(512,682):{'3_1':0.0},(512,681):{'3_1':0.0},(512,680):{'3_1':0.0,'4_1':0.0},(512,679):{'3_1':0.03},(512,678):{'3_1':0.0},(512,677):{'3_1':0.03},(512,674):{'3_1':0.0},(512,673):{'3_1':0.03,'4_1':0.0},(512,672):{'3_1':0.0},(512,671):{'3_1':0.0},(512,670):{'4_1':0.0,'3_1':0.0},(512,669):{'3_1':0.03},(512,668):{'3_1':0.0,'4_1':0.0},(512,667):{'3_1':0.0},(512,666):{'3_1':0.0},(512,665):{'3_1':0.0},(512,664):{'3_1':0.03},(512,663):{'3_1':0.03,'4_1':0.0},(512,662):{'4_1':0.0},(512,661):{'3_1':0.03},(512,659):{'3_1':0.0},(512,658):{'3_1':0.0},(512,657):{'3_1':0.0,'5_2':0.0},(512,656):{'3_1':0.0},(512,655):{'3_1':0.0},(512,654):{'3_1':0.03},(512,653):{'3_1':0.0},(512,652):{'3_1':0.0},(512,651):{'3_1':0.0},(512,650):{'3_1':0.03},(512,649):{'3_1':0.0,'4_1':0.0},(512,648):{'3_1':0.03},(512,647):{'3_1':0.0,'4_1':0.0},(512,646):{'3_1':0.0},(512,645):{'3_1':0.03},(512,644):{'3_1':0.03},(512,643):{'3_1':0.03},(512,642):{'3_1':0.0},(512,641):{'3_1':0.0},(512,640):{'3_1':0.0},(512,639):{'3_1':0.03},(512,638):{'3_1':0.03},(512,636):{'3_1':0.0},(512,635):{'3_1':0.0},(512,634):{'3_1':0.0},(512,632):{'3_1':0.0},(512,631):{'3_1':0.03},(512,630):{'3_1':0.0},(512,629):{'3_1':0.0},(512,628):{'3_1':0.0,'4_1':0.0},(512,627):{'3_1':0.03},(512,626):{'3_1':0.0},(512,624):{'3_1':0.0},(512,622):{'3_1':0.03,'4_1':0.0},(512,621):{'3_1':0.0},(512,620):{'3_1':0.0},(512,619):{'3_1':0.03},(512,618):{'3_1':0.0},(512,617):{'3_1':0.0},(512,615):{'3_1':0.03},(512,614):{'3_1':0.0},(512,613):{'3_1':0.0},(512,612):{'4_1':0.0},(512,611):{'3_1':0.0},(512,610):{'3_1':0.0},(512,609):{'3_1':0.0},(512,608):{'3_1':0.0},(512,607):{'3_1':0.0},(512,606):{'4_1':0.0},(512,605):{'3_1':0.0},(512,604):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(512,603):{'3_1':0.0},(512,602):{'3_1':0.0},(512,600):{'3_1':0.0,'6_1':0.0},(512,598):{'3_1':0.0},(512,597):{'3_1':0.0},(512,596):{'3_1':0.0,'5_1':0.0},(512,595):{'3_1':0.0},(512,594):{'3_1':0.0,'5_1':0.0},(512,593):{'3_1':0.0},(512,592):{'3_1':0.0},(512,591):{'3_1':0.0},(512,590):{'3_1':0.0,'5_1':0.0},(512,589):{'3_1':0.0},(512,588):{'4_1':0.0},(512,586):{'3_1':0.0},(512,585):{'3_1':0.03},(512,584):{'3_1':0.0},(512,583):{'3_1':0.0},(512,581):{'3_1':0.0},(512,580):{'3_1':0.0},(512,578):{'3_1':0.0},(512,576):{'3_1':0.0},(512,573):{'3_1':0.0},(512,571):{'3_1':0.0},(512,568):{'3_1':0.0},(512,566):{'3_1':0.0},(512,565):{'3_1':0.0},(512,564):{'3_1':0.0},(512,560):{'3_1':0.0},(512,555):{'3_1':0.0,'5_2':0.0},(512,552):{'3_1':0.0},(512,549):{'3_1':0.0},(512,545):{'3_1':0.0},(512,543):{'3_1':0.0},(512,528):{'3_1':0.0},(512,527):{'3_1':0.0},(512,521):{'5_1':0.0},(512,516):{'3_1':0.0},(513,727):{'3_1':0.0},(513,726):{'3_1':0.0},(513,725):{'3_1':0.0,'5_1':0.0},(513,724):{'3_1':0.0},(513,722):{'3_1':0.0},(513,721):{'3_1':0.03,'6_1':0.0},(513,720):{'3_1':0.0},(513,719):{'3_1':0.0,'5_1':0.0},(513,718):{'3_1':0.06},(513,717):{'3_1':0.0},(513,716):{'3_1':0.03},(513,715):{'3_1':0.0},(513,714):{'3_1':0.03},(513,713):{'3_1':0.03},(513,712):{'3_1':0.03},(513,711):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(513,710):{'3_1':0.06,'5_1':0.0},(513,709):{'3_1':0.0,'4_1':0.0},(513,708):{'3_1':0.0},(513,707):{'3_1':0.0,'4_1':0.0},(513,706):{'3_1':0.03},(513,705):{'3_1':0.03},(513,704):{'3_1':0.0},(513,703):{'3_1':0.06},(513,702):{'3_1':0.06,'5_1':0.0},(513,701):{'3_1':0.06},(513,700):{'3_1':0.0},(513,699):{'3_1':0.03},(513,698):{'3_1':0.09},(513,697):{'3_1':0.0},(513,696):{'3_1':0.03},(513,695):{'3_1':0.03,'5_1':0.0},(513,694):{'3_1':0.06},(513,693):{'3_1':0.03,'5_1':0.0},(513,692):{'3_1':0.0,'4_1':0.0},(513,691):{'3_1':0.03},(513,690):{'3_1':0.0},(513,689):{'3_1':0.0},(513,688):{'3_1':0.0,'4_1':0.0},(513,687):{'3_1':0.0,'4_1':0.0},(513,686):{'3_1':0.03},(513,685):{'3_1':0.03},(513,684):{'3_1':0.0},(513,683):{'3_1':0.0},(513,682):{'3_1':0.0},(513,681):{'3_1':0.0},(513,680):{'3_1':0.0},(513,679):{'3_1':0.0,'4_1':0.0},(513,678):{'3_1':0.0},(513,677):{'3_1':0.0},(513,675):{'3_1':0.0},(513,674):{'4_1':0.0},(513,673):{'3_1':0.0,'4_1':0.0},(513,672):{'3_1':0.0},(513,671):{'3_1':0.0},(513,670):{'3_1':0.0},(513,669):{'3_1':0.06},(513,667):{'3_1':0.0},(513,666):{'3_1':0.0},(513,665):{'3_1':0.03},(513,664):{'3_1':0.0},(513,662):{'3_1':0.0},(513,661):{'3_1':0.0},(513,660):{'3_1':0.0},(513,659):{'3_1':0.03},(513,658):{'3_1':0.0},(513,657):{'3_1':0.0},(513,656):{'3_1':0.0},(513,655):{'3_1':0.03},(513,654):{'3_1':0.0},(513,653):{'3_1':0.0,'4_1':0.0},(513,652):{'3_1':0.0,'4_1':0.0},(513,651):{'3_1':0.03,'4_1':0.0},(513,650):{'3_1':0.0},(513,649):{'3_1':0.0},(513,647):{'3_1':0.03},(513,646):{'3_1':0.0},(513,645):{'3_1':0.0},(513,644):{'3_1':0.03},(513,643):{'3_1':0.0},(513,642):{'3_1':0.0},(513,641):{'3_1':0.03},(513,640):{'3_1':0.0},(513,639):{'3_1':0.0},(513,638):{'3_1':0.0},(513,636):{'3_1':0.0},(513,635):{'3_1':0.0},(513,634):{'3_1':0.0},(513,632):{'3_1':0.03},(513,630):{'3_1':0.0},(513,627):{'3_1':0.0},(513,626):{'3_1':0.0},(513,625):{'3_1':0.0},(513,621):{'3_1':0.0},(513,620):{'3_1':0.0},(513,619):{'3_1':0.0},(513,618):{'3_1':0.0},(513,617):{'3_1':0.0},(513,616):{'4_1':0.0},(513,615):{'3_1':0.0},(513,613):{'3_1':0.0},(513,612):{'3_1':0.0},(513,610):{'3_1':0.0},(513,607):{'3_1':0.0},(513,603):{'3_1':0.0},(513,602):{'3_1':0.0},(513,601):{'3_1':0.0},(513,600):{'3_1':0.03},(513,599):{'3_1':0.0},(513,598):{'3_1':0.0},(513,597):{'3_1':0.0},(513,596):{'3_1':0.0},(513,595):{'3_1':0.0},(513,594):{'3_1':0.0,'5_1':0.0},(513,593):{'3_1':0.0},(513,590):{'3_1':0.03,'5_1':0.0},(513,589):{'3_1':0.0},(513,588):{'3_1':0.0},(513,586):{'3_1':0.0},(513,585):{'3_1':0.0},(513,584):{'3_1':0.0},(513,583):{'3_1':0.0,'5_1':0.0},(513,582):{'3_1':0.0},(513,581):{'3_1':0.0},(513,580):{'3_1':0.0},(513,578):{'3_1':0.0},(513,577):{'3_1':0.0},(513,574):{'3_1':0.0},(513,572):{'3_1':0.0},(513,567):{'3_1':0.0},(513,566):{'3_1':0.0,'5_1':0.0},(513,565):{'3_1':0.03},(513,564):{'3_1':0.0},(513,562):{'3_1':0.0},(513,559):{'3_1':0.0},(513,557):{'3_1':0.0},(513,555):{'3_1':0.0},(513,554):{'3_1':0.0},(513,552):{'3_1':0.0},(513,551):{'3_1':0.0},(513,549):{'3_1':0.0},(513,520):{'3_1':0.0},(513,518):{'3_1':0.0},(514,727):{'3_1':0.03},(514,726):{'3_1':0.0},(514,725):{'3_1':0.0},(514,724):{'3_1':0.06},(514,723):{'3_1':0.03},(514,722):{'3_1':0.0},(514,721):{'3_1':0.0},(514,720):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(514,719):{'5_1':0.0},(514,718):{'3_1':0.0},(514,717):{'3_1':0.0},(514,715):{'3_1':0.03},(514,714):{'3_1':0.03},(514,713):{'3_1':0.0},(514,712):{'3_1':0.06},(514,711):{'3_1':0.0},(514,710):{'3_1':0.03},(514,709):{'3_1':0.03,'4_1':0.0},(514,708):{'3_1':0.0},(514,707):{'3_1':0.03,'4_1':0.0},(514,706):{'3_1':0.0,'4_1':0.0},(514,705):{'3_1':0.0},(514,704):{'3_1':0.03,'9_1':0.0},(514,703):{'3_1':0.0},(514,702):{'3_1':0.06},(514,701):{'3_1':0.06,'5_1':0.0},(514,700):{'3_1':0.0},(514,699):{'3_1':0.03},(514,698):{'3_1':0.03},(514,697):{'3_1':0.03},(514,696):{'3_1':0.03},(514,695):{'3_1':0.03},(514,694):{'3_1':0.06},(514,693):{'3_1':0.09},(514,692):{'3_1':0.03,'4_1':0.0},(514,691):{'3_1':0.06},(514,690):{'3_1':0.06,'4_1':0.0},(514,689):{'3_1':0.03},(514,688):{'3_1':0.0},(514,687):{'3_1':0.0},(514,686):{'3_1':0.0},(514,685):{'3_1':0.03},(514,684):{'3_1':0.0},(514,683):{'3_1':0.0},(514,682):{'3_1':0.0},(514,681):{'3_1':0.0},(514,680):{'3_1':0.0,'4_1':0.0},(514,678):{'3_1':0.0},(514,677):{'3_1':0.0},(514,676):{'3_1':0.0},(514,675):{'3_1':0.0},(514,674):{'3_1':0.0},(514,673):{'3_1':0.03,'4_1':0.0},(514,672):{'3_1':0.0,'4_1':0.0},(514,671):{'3_1':0.0,'4_1':0.0},(514,670):{'3_1':0.0},(514,669):{'3_1':0.0},(514,668):{'3_1':0.0},(514,667):{'3_1':0.0},(514,666):{'3_1':0.03},(514,665):{'3_1':0.0},(514,664):{'3_1':0.03},(514,663):{'3_1':0.0},(514,662):{'3_1':0.0},(514,661):{'3_1':0.0,'4_1':0.0},(514,660):{'3_1':0.0},(514,659):{'3_1':0.0},(514,658):{'3_1':0.0},(514,657):{'3_1':0.0},(514,656):{'3_1':0.0},(514,655):{'3_1':0.0,'4_1':0.0},(514,654):{'3_1':0.0,'4_1':0.0},(514,653):{'3_1':0.0},(514,651):{'3_1':0.0},(514,650):{'3_1':0.0},(514,649):{'3_1':0.03},(514,648):{'3_1':0.0},(514,647):{'3_1':0.03},(514,646):{'3_1':0.03},(514,645):{'3_1':0.0},(514,644):{'3_1':0.0},(514,643):{'3_1':0.0},(514,642):{'3_1':0.0},(514,641):{'3_1':0.0},(514,640):{'3_1':0.0},(514,639):{'3_1':0.0},(514,638):{'3_1':0.0},(514,637):{'3_1':0.0},(514,636):{'3_1':0.0},(514,635):{'3_1':0.0},(514,634):{'3_1':0.0},(514,633):{'3_1':0.0},(514,632):{'3_1':0.0},(514,631):{'4_1':0.0},(514,630):{'3_1':0.0},(514,629):{'3_1':0.0},(514,626):{'3_1':0.03},(514,624):{'3_1':0.0},(514,623):{'3_1':0.0},(514,622):{'3_1':0.0},(514,620):{'3_1':0.0},(514,619):{'3_1':0.0},(514,618):{'3_1':0.0},(514,617):{'3_1':0.0},(514,616):{'3_1':0.0},(514,615):{'3_1':0.0},(514,614):{'3_1':0.0},(514,613):{'3_1':0.0,'4_1':0.0},(514,611):{'3_1':0.03},(514,609):{'3_1':0.0},(514,606):{'3_1':0.0,'4_1':0.0},(514,604):{'4_1':0.0},(514,603):{'3_1':0.0},(514,602):{'3_1':0.0},(514,600):{'3_1':0.0},(514,597):{'3_1':0.0,'7_1':0.0},(514,594):{'3_1':0.0},(514,593):{'3_1':0.0,'5_1':0.0},(514,590):{'3_1':0.0},(514,589):{'3_1':0.0},(514,588):{'3_1':0.0},(514,584):{'3_1':0.03},(514,583):{'3_1':0.0},(514,582):{'3_1':0.0},(514,581):{'3_1':0.0},(514,580):{'3_1':0.0},(514,579):{'3_1':0.0},(514,577):{'3_1':0.0},(514,576):{'3_1':0.0},(514,575):{'3_1':0.0},(514,571):{'3_1':0.0},(514,564):{'3_1':0.0},(514,563):{'3_1':0.0},(514,560):{'3_1':0.03},(514,559):{'3_1':0.0},(514,558):{'3_1':0.0},(514,556):{'3_1':0.0},(514,554):{'3_1':0.0},(514,551):{'3_1':0.0},(514,535):{'3_1':0.0},(514,532):{'3_1':0.0},(514,526):{'3_1':0.0},(514,520):{'3_1':0.0},(515,727):{'3_1':0.0},(515,726):{'3_1':0.0},(515,725):{'3_1':0.03},(515,724):{'3_1':0.0},(515,723):{'3_1':0.0,'5_1':0.0},(515,722):{'3_1':0.0},(515,721):{'3_1':0.03},(515,720):{'3_1':0.03},(515,719):{'3_1':0.0},(515,718):{'3_1':0.0},(515,717):{'3_1':0.0},(515,716):{'3_1':0.06},(515,715):{'3_1':0.0},(515,714):{'3_1':0.0},(515,713):{'3_1':0.03},(515,712):{'3_1':0.0,'5_1':0.0},(515,711):{'3_1':0.03},(515,710):{'3_1':0.0},(515,709):{'3_1':0.03},(515,708):{'3_1':0.06,'5_1':0.0},(515,707):{'3_1':0.03},(515,706):{'3_1':0.06},(515,705):{'3_1':0.0},(515,704):{'3_1':0.03},(515,703):{'3_1':0.03,'4_1':0.0,'9_1':0.0},(515,702):{'3_1':0.03},(515,701):{'3_1':0.06},(515,700):{'3_1':0.03},(515,699):{'3_1':0.06},(515,698):{'3_1':0.03},(515,697):{'3_1':0.03},(515,696):{'3_1':0.0},(515,695):{'3_1':0.03},(515,694):{'3_1':0.06},(515,693):{'3_1':0.0},(515,692):{'3_1':0.0},(515,691):{'3_1':0.03},(515,690):{'3_1':0.06},(515,689):{'3_1':0.03},(515,688):{'3_1':0.03,'4_1':0.0},(515,687):{'3_1':0.03,'5_1':0.0},(515,686):{'3_1':0.03},(515,685):{'3_1':0.0},(515,684):{'3_1':0.03},(515,683):{'3_1':0.03},(515,682):{'3_1':0.0},(515,681):{'3_1':0.0},(515,680):{'3_1':0.0,'4_1':0.0},(515,679):{'3_1':0.03},(515,678):{'3_1':0.0},(515,676):{'3_1':0.0,'4_1':0.0},(515,675):{'3_1':0.0},(515,674):{'3_1':0.0},(515,673):{'3_1':0.0},(515,672):{'3_1':0.0},(515,670):{'3_1':0.0},(515,668):{'3_1':0.0},(515,667):{'3_1':0.0,'4_1':0.0},(515,666):{'3_1':0.03,'4_1':0.0},(515,665):{'3_1':0.0},(515,664):{'3_1':0.03},(515,663):{'3_1':0.0},(515,662):{'3_1':0.03,'4_1':0.0},(515,661):{'3_1':0.03},(515,660):{'3_1':0.0},(515,659):{'3_1':0.0},(515,658):{'3_1':0.0,'4_1':0.0},(515,657):{'3_1':0.0},(515,656):{'3_1':0.0},(515,655):{'3_1':0.0},(515,654):{'3_1':0.0},(515,653):{'3_1':0.03,'4_1':0.0},(515,652):{'3_1':0.03},(515,651):{'3_1':0.0},(515,650):{'3_1':0.03},(515,649):{'3_1':0.0},(515,648):{'3_1':0.0},(515,647):{'3_1':0.0},(515,646):{'3_1':0.0},(515,645):{'3_1':0.06},(515,644):{'3_1':0.0},(515,642):{'3_1':0.03},(515,641):{'3_1':0.0},(515,640):{'3_1':0.0},(515,639):{'3_1':0.0},(515,638):{'3_1':0.0,'4_1':0.0},(515,637):{'3_1':0.0},(515,636):{'3_1':0.0},(515,635):{'3_1':0.0},(515,634):{'3_1':0.0},(515,633):{'3_1':0.0},(515,632):{'3_1':0.0},(515,628):{'3_1':0.0},(515,627):{'3_1':0.0,'4_1':0.0},(515,626):{'3_1':0.0},(515,625):{'3_1':0.03},(515,624):{'3_1':0.0},(515,623):{'3_1':0.0},(515,622):{'3_1':0.03},(515,621):{'3_1':0.0},(515,620):{'3_1':0.0},(515,619):{'3_1':0.03},(515,618):{'3_1':0.0},(515,616):{'3_1':0.0},(515,615):{'3_1':0.0},(515,614):{'3_1':0.0},(515,613):{'3_1':0.0},(515,612):{'3_1':0.0,'4_1':0.0},(515,611):{'4_1':0.0},(515,610):{'3_1':0.0},(515,607):{'3_1':0.0,'4_1':0.0},(515,606):{'3_1':0.0},(515,604):{'-3':0.0},(515,603):{'3_1':0.0},(515,602):{'3_1':0.0,'4_1':0.0},(515,601):{'3_1':0.0},(515,599):{'3_1':0.0},(515,597):{'3_1':0.0},(515,596):{'3_1':0.03},(515,595):{'3_1':0.0},(515,594):{'3_1':0.0},(515,593):{'3_1':0.0},(515,592):{'3_1':0.0},(515,590):{'3_1':0.0},(515,589):{'3_1':0.0},(515,588):{'3_1':0.0},(515,586):{'3_1':0.0},(515,585):{'3_1':0.0},(515,584):{'3_1':0.0},(515,583):{'3_1':0.0},(515,582):{'3_1':0.0},(515,577):{'3_1':0.0},(515,575):{'5_1':0.0},(515,570):{'4_1':0.0},(515,566):{'3_1':0.0},(515,564):{'3_1':0.0},(515,562):{'3_1':0.0},(515,560):{'3_1':0.0},(515,551):{'3_1':0.0},(515,549):{'3_1':0.0},(515,543):{'3_1':0.0},(515,525):{'3_1':0.0},(515,524):{'3_1':0.0},(515,519):{'3_1':0.0},(515,518):{'3_1':0.0},(516,727):{'3_1':0.0},(516,726):{'3_1':0.0},(516,725):{'3_1':0.0},(516,724):{'3_1':0.0},(516,723):{'3_1':0.0},(516,722):{'3_1':0.03},(516,721):{'3_1':0.0},(516,720):{'3_1':0.03},(516,719):{'3_1':0.0},(516,718):{'3_1':0.03},(516,717):{'3_1':0.0},(516,716):{'3_1':0.0},(516,715):{'3_1':0.03},(516,714):{'3_1':0.06},(516,713):{'3_1':0.0},(516,712):{'3_1':0.06},(516,711):{'3_1':0.0},(516,710):{'3_1':0.03},(516,709):{'3_1':0.03},(516,708):{'3_1':0.0,'4_1':0.0},(516,707):{'3_1':0.03},(516,706):{'3_1':0.03},(516,705):{'3_1':0.03},(516,704):{'3_1':0.06,'7_1':0.0},(516,703):{'3_1':0.03,'9_1':0.0,'-3':0.0},(516,702):{'3_1':0.03,'5_1':0.0},(516,701):{'3_1':0.06},(516,700):{'3_1':0.06},(516,699):{'3_1':0.06,'4_1':0.0},(516,698):{'3_1':0.03},(516,697):{'3_1':0.03},(516,696):{'3_1':0.0},(516,695):{'3_1':0.03,'4_1':0.0},(516,694):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(516,693):{'3_1':0.0,'4_1':0.0},(516,692):{'3_1':0.03},(516,691):{'3_1':0.0},(516,690):{'3_1':0.06,'5_1':0.0},(516,689):{'3_1':0.03},(516,688):{'3_1':0.03,'4_1':0.0},(516,687):{'3_1':0.0},(516,686):{'3_1':0.12,'5_1':0.0},(516,685):{'3_1':0.0},(516,684):{'3_1':0.03},(516,683):{'3_1':0.03},(516,682):{'3_1':0.0},(516,681):{'4_1':0.0},(516,680):{'3_1':0.03},(516,679):{'3_1':0.0},(516,678):{'3_1':0.03,'4_1':0.0},(516,677):{'3_1':0.0},(516,676):{'3_1':0.03},(516,674):{'3_1':0.0},(516,673):{'3_1':0.03},(516,672):{'3_1':0.0,'5_2':0.0},(516,670):{'3_1':0.0},(516,668):{'4_1':0.0},(516,667):{'3_1':0.0},(516,666):{'3_1':0.0},(516,665):{'3_1':0.0},(516,664):{'3_1':0.03},(516,663):{'3_1':0.0},(516,662):{'3_1':0.0},(516,661):{'3_1':0.0,'4_1':0.0},(516,660):{'3_1':0.0},(516,659):{'3_1':0.0},(516,658):{'3_1':0.0},(516,657):{'3_1':0.0},(516,655):{'3_1':0.0},(516,654):{'3_1':0.0},(516,652):{'3_1':0.0},(516,651):{'3_1':0.03},(516,650):{'3_1':0.0,'4_1':0.0},(516,648):{'3_1':0.03},(516,647):{'3_1':0.0,'5_1':0.0},(516,646):{'3_1':0.0},(516,644):{'3_1':0.0},(516,643):{'3_1':0.0},(516,642):{'3_1':0.0},(516,640):{'3_1':0.0},(516,639):{'3_1':0.0},(516,637):{'3_1':0.0},(516,634):{'3_1':0.03},(516,633):{'3_1':0.0},(516,631):{'3_1':0.0},(516,629):{'3_1':0.0},(516,627):{'3_1':0.0},(516,625):{'3_1':0.0},(516,624):{'3_1':0.0},(516,623):{'3_1':0.0,'4_1':0.0},(516,622):{'3_1':0.0},(516,621):{'3_1':0.0},(516,620):{'3_1':0.0},(516,619):{'3_1':0.0},(516,618):{'3_1':0.0},(516,615):{'3_1':0.0},(516,614):{'3_1':0.0},(516,613):{'3_1':0.0},(516,612):{'3_1':0.0},(516,611):{'3_1':0.0},(516,608):{'3_1':0.03},(516,607):{'3_1':0.0},(516,604):{'3_1':0.0},(516,603):{'3_1':0.0},(516,600):{'3_1':0.0},(516,599):{'3_1':0.0},(516,598):{'3_1':0.0},(516,597):{'3_1':0.0,'5_1':0.0},(516,596):{'3_1':0.0,'5_1':0.0},(516,594):{'3_1':0.0},(516,593):{'3_1':0.0,'5_1':0.0},(516,592):{'3_1':0.0},(516,591):{'5_1':0.0},(516,590):{'3_1':0.0},(516,589):{'3_1':0.03},(516,586):{'3_1':0.0},(516,584):{'3_1':0.0},(516,583):{'3_1':0.0},(516,582):{'3_1':0.0},(516,580):{'3_1':0.0},(516,570):{'3_1':0.0},(516,569):{'3_1':0.0},(516,567):{'3_1':0.0},(516,564):{'3_1':0.0},(516,563):{'3_1':0.0},(516,562):{'3_1':0.0},(516,561):{'3_1':0.0},(516,555):{'3_1':0.0},(516,552):{'3_1':0.0},(516,551):{'3_1':0.0},(516,550):{'3_1':0.0},(516,549):{'3_1':0.0},(516,548):{'3_1':0.0},(516,542):{'3_1':0.0},(516,532):{'3_1':0.0},(516,527):{'3_1':0.0},(517,727):{'3_1':0.0},(517,726):{'3_1':0.03},(517,725):{'3_1':0.0},(517,724):{'3_1':0.0},(517,723):{'3_1':0.0},(517,722):{'3_1':0.0},(517,721):{'3_1':0.0},(517,720):{'3_1':0.0},(517,719):{'3_1':0.0,'4_1':0.0},(517,718):{'3_1':0.0,'4_1':0.0},(517,717):{'3_1':0.0},(517,716):{'3_1':0.03},(517,715):{'3_1':0.0,'4_1':0.0},(517,714):{'3_1':0.0},(517,713):{'3_1':0.0},(517,712):{'3_1':0.0},(517,711):{'3_1':0.0},(517,710):{'3_1':0.03,'4_1':0.0},(517,709):{'3_1':0.03},(517,708):{'3_1':0.06},(517,707):{'3_1':0.03},(517,706):{'3_1':0.03},(517,705):{'3_1':0.06},(517,704):{'3_1':0.0,'5_1':0.0},(517,703):{'3_1':0.06},(517,702):{'3_1':0.06,'4_1':0.0},(517,701):{'3_1':0.06},(517,700):{'3_1':0.06,'4_1':0.0},(517,699):{'3_1':0.03},(517,698):{'3_1':0.03,'7_1':0.0},(517,697):{'3_1':0.03},(517,696):{'3_1':0.03},(517,695):{'3_1':0.0},(517,694):{'3_1':0.03},(517,693):{'3_1':0.03},(517,692):{'3_1':0.03},(517,691):{'3_1':0.03,'4_1':0.0},(517,690):{'3_1':0.03,'4_1':0.0},(517,689):{'3_1':0.03},(517,688):{'3_1':0.03},(517,687):{'3_1':0.03},(517,686):{'3_1':0.03},(517,685):{'3_1':0.03},(517,684):{'3_1':0.03},(517,683):{'3_1':0.0},(517,682):{'3_1':0.03,'4_1':0.0},(517,681):{'3_1':0.0},(517,680):{'3_1':0.0},(517,679):{'3_1':0.0},(517,678):{'3_1':0.0},(517,677):{'4_1':0.0},(517,676):{'3_1':0.0},(517,675):{'3_1':0.03},(517,674):{'3_1':0.0},(517,673):{'3_1':0.03,'4_1':0.0},(517,671):{'3_1':0.0,'4_1':0.0},(517,669):{'3_1':0.0},(517,666):{'3_1':0.0},(517,665):{'3_1':0.03,'4_1':0.0},(517,664):{'4_1':0.0},(517,663):{'3_1':0.03,'4_1':0.0},(517,662):{'3_1':0.0},(517,661):{'3_1':0.0,'4_1':0.0},(517,660):{'3_1':0.0},(517,659):{'3_1':0.0},(517,658):{'3_1':0.0},(517,657):{'3_1':0.0},(517,655):{'3_1':0.0},(517,654):{'3_1':0.0},(517,653):{'3_1':0.03,'4_1':0.0},(517,652):{'3_1':0.03},(517,651):{'3_1':0.0},(517,650):{'3_1':0.0},(517,649):{'3_1':0.0},(517,648):{'3_1':0.0},(517,647):{'3_1':0.0},(517,646):{'3_1':0.0},(517,645):{'3_1':0.0},(517,644):{'3_1':0.0},(517,643):{'3_1':0.03},(517,642):{'3_1':0.0},(517,641):{'3_1':0.0},(517,640):{'3_1':0.0},(517,638):{'3_1':0.0},(517,636):{'3_1':0.0},(517,634):{'3_1':0.03},(517,633):{'3_1':0.0},(517,631):{'3_1':0.0,'4_1':0.0},(517,630):{'3_1':0.0},(517,629):{'3_1':0.0},(517,628):{'3_1':0.0},(517,626):{'3_1':0.0},(517,625):{'3_1':0.0},(517,624):{'3_1':0.03},(517,623):{'3_1':0.0},(517,619):{'3_1':0.0},(517,618):{'3_1':0.0},(517,614):{'3_1':0.0},(517,610):{'3_1':0.0},(517,609):{'3_1':0.0},(517,608):{'3_1':0.0},(517,606):{'3_1':0.0},(517,605):{'3_1':0.0},(517,604):{'3_1':0.0},(517,600):{'3_1':0.0},(517,598):{'3_1':0.0},(517,596):{'5_1':0.0},(517,593):{'3_1':0.0},(517,592):{'3_1':0.0},(517,590):{'3_1':0.03},(517,589):{'3_1':0.0},(517,586):{'3_1':0.0},(517,582):{'3_1':0.0},(517,581):{'3_1':0.0},(517,579):{'3_1':0.0},(517,569):{'3_1':0.0},(517,567):{'3_1':0.0},(517,566):{'5_1':0.0},(517,563):{'3_1':0.0},(517,562):{'3_1':0.0,'4_1':0.0},(517,560):{'3_1':0.0},(517,558):{'3_1':0.0},(517,556):{'3_1':0.0},(517,555):{'4_1':0.0},(517,552):{'3_1':0.0},(517,546):{'5_2':0.0},(517,526):{'3_1':0.0},(517,524):{'3_1':0.0},(517,523):{'3_1':0.0},(518,726):{'3_1':0.0},(518,725):{'3_1':0.0},(518,724):{'4_1':0.0},(518,723):{'3_1':0.0},(518,722):{'3_1':0.0},(518,721):{'3_1':0.06},(518,720):{'3_1':0.0,'4_1':0.0},(518,719):{'3_1':0.03,'4_1':0.0},(518,718):{'3_1':0.0},(518,717):{'3_1':0.0,'5_1':0.0},(518,716):{'3_1':0.03},(518,715):{'3_1':0.0},(518,714):{'3_1':0.03,'4_1':0.0},(518,713):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(518,712):{'3_1':0.03,'5_2':0.0},(518,711):{'3_1':0.03},(518,710):{'3_1':0.03},(518,709):{'3_1':0.03,'4_1':0.0},(518,708):{'3_1':0.0},(518,707):{'3_1':0.03},(518,706):{'3_1':0.0},(518,705):{'3_1':0.0},(518,704):{'3_1':0.03,'5_1':0.0},(518,703):{'3_1':0.03,'4_1':0.0},(518,702):{'3_1':0.06},(518,701):{'3_1':0.03},(518,700):{'3_1':0.03,'4_1':0.0},(518,699):{'3_1':0.03},(518,698):{'3_1':0.06},(518,697):{'3_1':0.0},(518,696):{'3_1':0.03},(518,695):{'3_1':0.0},(518,694):{'3_1':0.03},(518,693):{'3_1':0.03},(518,692):{'3_1':0.0},(518,691):{'3_1':0.0},(518,690):{'3_1':0.09},(518,689):{'3_1':0.0},(518,688):{'3_1':0.06},(518,687):{'3_1':0.0},(518,686):{'3_1':0.0},(518,685):{'3_1':0.03,'4_1':0.0},(518,684):{'3_1':0.0},(518,683):{'3_1':0.0},(518,682):{'3_1':0.03},(518,681):{'3_1':0.0,'4_1':0.0},(518,680):{'3_1':0.03},(518,679):{'3_1':0.03,'4_1':0.0},(518,678):{'3_1':0.06},(518,676):{'3_1':0.03,'5_1':0.0},(518,675):{'3_1':0.0},(518,674):{'3_1':0.0},(518,673):{'3_1':0.03},(518,672):{'3_1':0.0},(518,671):{'3_1':0.0},(518,670):{'3_1':0.0},(518,669):{'3_1':0.0},(518,668):{'3_1':0.0},(518,667):{'3_1':0.03,'6_2':0.0},(518,666):{'3_1':0.0},(518,665):{'3_1':0.03},(518,664):{'3_1':0.0},(518,663):{'3_1':0.0},(518,662):{'3_1':0.03},(518,661):{'3_1':0.0},(518,660):{'4_1':0.0},(518,659):{'3_1':0.03},(518,658):{'3_1':0.03},(518,657):{'3_1':0.0},(518,656):{'3_1':0.0},(518,655):{'3_1':0.03},(518,654):{'3_1':0.0,'4_1':0.0},(518,653):{'3_1':0.03},(518,652):{'3_1':0.0,'4_1':0.0},(518,651):{'3_1':0.03},(518,650):{'3_1':0.03,'4_1':0.0},(518,648):{'3_1':0.0},(518,646):{'3_1':0.0,'4_1':0.0},(518,645):{'3_1':0.0},(518,644):{'3_1':0.0},(518,643):{'3_1':0.0},(518,641):{'3_1':0.0},(518,639):{'3_1':0.0},(518,638):{'3_1':0.03},(518,637):{'3_1':0.0},(518,635):{'3_1':0.0},(518,634):{'3_1':0.0},(518,633):{'3_1':0.0},(518,632):{'3_1':0.0},(518,629):{'3_1':0.0},(518,628):{'3_1':0.0},(518,627):{'3_1':0.0},(518,626):{'3_1':0.03},(518,625):{'3_1':0.0},(518,624):{'3_1':0.0},(518,623):{'3_1':0.0},(518,622):{'3_1':0.03},(518,621):{'3_1':0.0},(518,620):{'3_1':0.03},(518,619):{'3_1':0.0},(518,618):{'3_1':0.0},(518,616):{'3_1':0.0},(518,615):{'3_1':0.0},(518,614):{'3_1':0.0},(518,613):{'3_1':0.0},(518,611):{'4_1':0.0},(518,604):{'3_1':0.0},(518,603):{'6_1':0.0},(518,597):{'3_1':0.0},(518,593):{'3_1':0.0},(518,592):{'5_1':0.0},(518,590):{'3_1':0.0},(518,589):{'3_1':0.0},(518,586):{'3_1':0.0},(518,585):{'3_1':0.0},(518,583):{'3_1':0.0},(518,582):{'3_1':0.0},(518,581):{'3_1':0.0},(518,579):{'3_1':0.0},(518,578):{'3_1':0.0},(518,570):{'3_1':0.0},(518,568):{'3_1':0.0},(518,567):{'3_1':0.0},(518,566):{'3_1':0.0},(518,565):{'3_1':0.0},(518,563):{'3_1':0.0},(518,560):{'3_1':0.0},(518,558):{'3_1':0.0},(518,557):{'3_1':0.0},(518,556):{'3_1':0.0},(518,554):{'3_1':0.0},(518,553):{'4_1':0.0},(518,549):{'3_1':0.0},(518,548):{'3_1':0.0},(518,545):{'3_1':0.0},(518,538):{'5_1':0.0},(518,527):{'3_1':0.0},(518,524):{'3_1':0.0},(519,727):{'3_1':0.0},(519,726):{'3_1':0.0},(519,725):{'3_1':0.0},(519,724):{'3_1':0.03},(519,723):{'3_1':0.0},(519,722):{'3_1':0.0},(519,721):{'3_1':0.0},(519,720):{'3_1':0.03},(519,719):{'3_1':0.0},(519,718):{'5_1':0.0},(519,717):{'3_1':0.03,'5_1':0.0},(519,716):{'3_1':0.0},(519,715):{'3_1':0.0},(519,714):{'3_1':0.0},(519,713):{'3_1':0.03},(519,712):{'3_1':0.0,'4_1':0.0},(519,711):{'3_1':0.03},(519,710):{'3_1':0.03},(519,709):{'3_1':0.06,'4_1':0.0},(519,708):{'3_1':0.03},(519,707):{'3_1':0.06},(519,706):{'3_1':0.03},(519,705):{'3_1':0.03,'4_1':0.0},(519,704):{'3_1':0.03,'5_1':0.0},(519,703):{'3_1':0.03},(519,702):{'3_1':0.03},(519,701):{'3_1':0.0,'4_1':0.0},(519,700):{'3_1':0.06},(519,699):{'3_1':0.03},(519,698):{'3_1':0.09},(519,697):{'3_1':0.03},(519,696):{'3_1':0.03},(519,695):{'3_1':0.0},(519,694):{'3_1':0.09,'5_1':0.0},(519,693):{'3_1':0.0},(519,692):{'3_1':0.0},(519,691):{'3_1':0.03},(519,690):{'3_1':0.03,'4_1':0.0},(519,689):{'3_1':0.0},(519,688):{'3_1':0.06},(519,687):{'3_1':0.0},(519,686):{'3_1':0.0},(519,685):{'3_1':0.0},(519,684):{'3_1':0.0},(519,683):{'3_1':0.0,'4_1':0.0},(519,682):{'3_1':0.0},(519,681):{'3_1':0.0},(519,680):{'3_1':0.0},(519,679):{'3_1':0.0,'4_1':0.0},(519,678):{'3_1':0.0},(519,677):{'3_1':0.03,'4_1':0.0},(519,674):{'3_1':0.0},(519,673):{'3_1':0.0,'4_1':0.0},(519,672):{'3_1':0.0},(519,671):{'3_1':0.0,'4_1':0.0},(519,670):{'3_1':0.0},(519,669):{'3_1':0.0},(519,668):{'3_1':0.0,'4_1':0.0},(519,667):{'3_1':0.0},(519,665):{'3_1':0.0},(519,664):{'3_1':0.0},(519,663):{'3_1':0.0},(519,662):{'3_1':0.03,'4_1':0.0},(519,660):{'3_1':0.03},(519,659):{'3_1':0.0},(519,658):{'3_1':0.0},(519,657):{'3_1':0.0},(519,656):{'3_1':0.0,'4_1':0.0},(519,655):{'3_1':0.03},(519,654):{'3_1':0.03},(519,653):{'3_1':0.06},(519,652):{'3_1':0.03},(519,651):{'3_1':0.0},(519,650):{'3_1':0.0},(519,649):{'3_1':0.0},(519,648):{'3_1':0.03},(519,647):{'3_1':0.03},(519,646):{'3_1':0.0},(519,645):{'3_1':0.0},(519,644):{'3_1':0.03},(519,643):{'3_1':0.0},(519,642):{'3_1':0.0},(519,641):{'3_1':0.03},(519,640):{'3_1':0.0},(519,639):{'3_1':0.0},(519,638):{'3_1':0.0,'6_1':0.0},(519,637):{'3_1':0.0},(519,636):{'3_1':0.0},(519,635):{'3_1':0.03},(519,634):{'3_1':0.0},(519,632):{'3_1':0.0},(519,631):{'3_1':0.0},(519,630):{'3_1':0.0},(519,629):{'4_1':0.0},(519,627):{'3_1':0.0},(519,626):{'3_1':0.0},(519,625):{'3_1':0.03},(519,623):{'3_1':0.0},(519,622):{'4_1':0.0},(519,620):{'3_1':0.0},(519,619):{'3_1':0.0},(519,615):{'3_1':0.0},(519,614):{'3_1':0.0},(519,612):{'3_1':0.0},(519,610):{'3_1':0.0},(519,609):{'3_1':0.0},(519,605):{'3_1':0.0},(519,603):{'3_1':0.0},(519,600):{'3_1':0.0},(519,597):{'3_1':0.0},(519,596):{'7_1':0.0},(519,595):{'3_1':0.0},(519,594):{'3_1':0.0},(519,591):{'3_1':0.0},(519,590):{'3_1':0.03},(519,589):{'3_1':0.0,'5_1':0.0},(519,585):{'3_1':0.0,'4_1':0.0},(519,583):{'3_1':0.0,'5_1':0.0},(519,582):{'3_1':0.0},(519,581):{'3_1':0.0},(519,580):{'3_1':0.0},(519,578):{'3_1':0.0},(519,571):{'3_1':0.0},(519,563):{'3_1':0.0},(519,560):{'3_1':0.0},(519,557):{'3_1':0.0},(519,554):{'3_1':0.0},(519,551):{'3_1':0.0},(519,550):{'3_1':0.0},(519,549):{'3_1':0.0},(519,531):{'3_1':0.0},(520,727):{'3_1':0.0},(520,725):{'3_1':0.03,'4_1':0.0},(520,723):{'3_1':0.0},(520,722):{'3_1':0.0},(520,721):{'3_1':0.0},(520,720):{'3_1':0.0},(520,719):{'3_1':0.0},(520,718):{'3_1':0.0,'4_1':0.0},(520,717):{'3_1':0.0,'4_1':0.0},(520,716):{'3_1':0.0},(520,715):{'3_1':0.0,'4_1':0.0},(520,714):{'3_1':0.0},(520,713):{'3_1':0.0},(520,712):{'3_1':0.0},(520,711):{'3_1':0.0},(520,710):{'3_1':0.03},(520,709):{'3_1':0.06,'4_1':0.0},(520,708):{'3_1':0.03},(520,707):{'3_1':0.0},(520,706):{'3_1':0.03},(520,705):{'3_1':0.03},(520,704):{'3_1':0.06,'4_1':0.0},(520,703):{'3_1':0.03},(520,702):{'3_1':0.06},(520,701):{'3_1':0.03},(520,700):{'3_1':0.06},(520,699):{'3_1':0.0},(520,698):{'3_1':0.0},(520,697):{'3_1':0.06},(520,696):{'3_1':0.0},(520,695):{'3_1':0.03},(520,694):{'3_1':0.06},(520,693):{'3_1':0.06},(520,692):{'3_1':0.03,'5_1':0.0},(520,691):{'3_1':0.0,'4_1':0.0},(520,690):{'3_1':0.03},(520,689):{'3_1':0.0,'4_1':0.0},(520,688):{'3_1':0.0},(520,687):{'3_1':0.03},(520,686):{'3_1':0.03},(520,685):{'3_1':0.03},(520,684):{'3_1':0.0},(520,683):{'3_1':0.03},(520,682):{'3_1':0.03},(520,681):{'3_1':0.0},(520,680):{'3_1':0.03},(520,679):{'3_1':0.0},(520,677):{'3_1':0.0},(520,676):{'3_1':0.0,'4_1':0.0},(520,675):{'3_1':0.03},(520,674):{'3_1':0.0},(520,673):{'3_1':0.0,'4_1':0.0},(520,672):{'3_1':0.0},(520,671):{'3_1':0.0},(520,670):{'3_1':0.03},(520,669):{'3_1':0.0},(520,667):{'3_1':0.0},(520,666):{'3_1':0.0},(520,664):{'3_1':0.0},(520,663):{'3_1':0.0},(520,662):{'3_1':0.0},(520,661):{'3_1':0.0},(520,659):{'3_1':0.03},(520,658):{'3_1':0.0},(520,657):{'3_1':0.0},(520,656):{'3_1':0.0},(520,655):{'3_1':0.0},(520,654):{'3_1':0.0},(520,653):{'3_1':0.0},(520,652):{'3_1':0.03},(520,651):{'3_1':0.0},(520,650):{'3_1':0.0},(520,649):{'3_1':0.0},(520,648):{'3_1':0.03},(520,647):{'3_1':0.06},(520,646):{'3_1':0.0},(520,645):{'3_1':0.0},(520,644):{'3_1':0.0},(520,643):{'3_1':0.0},(520,642):{'3_1':0.0},(520,641):{'3_1':0.0},(520,640):{'3_1':0.03},(520,639):{'3_1':0.0},(520,638):{'3_1':0.03},(520,637):{'3_1':0.0},(520,631):{'3_1':0.0},(520,629):{'3_1':0.0},(520,628):{'3_1':0.0},(520,627):{'3_1':0.0},(520,622):{'3_1':0.0},(520,621):{'3_1':0.0},(520,620):{'3_1':0.0},(520,618):{'3_1':0.0},(520,615):{'3_1':0.0},(520,614):{'3_1':0.0},(520,608):{'3_1':0.0},(520,605):{'3_1':0.0},(520,603):{'3_1':0.0},(520,601):{'4_1':0.0},(520,599):{'3_1':0.0,'5_1':0.0},(520,596):{'5_1':0.0,'7_1':0.0},(520,595):{'5_1':0.0},(520,594):{'3_1':0.0},(520,592):{'5_1':0.0},(520,591):{'3_1':0.0},(520,590):{'3_1':0.0},(520,588):{'3_1':0.0},(520,585):{'3_1':0.0},(520,584):{'3_1':0.0},(520,581):{'3_1':0.0},(520,580):{'3_1':0.0},(520,578):{'3_1':0.0},(520,571):{'3_1':0.0},(520,566):{'3_1':0.0},(520,564):{'3_1':0.0},(520,563):{'3_1':0.0},(520,559):{'3_1':0.0},(520,558):{'3_1':0.0},(520,557):{'3_1':0.0},(520,556):{'3_1':0.0},(520,555):{'3_1':0.0},(520,554):{'3_1':0.0},(520,553):{'3_1':0.0},(520,552):{'3_1':0.0},(520,549):{'3_1':0.0},(520,548):{'3_1':0.0},(520,529):{'3_1':0.0},(520,528):{'3_1':0.0},(520,527):{'3_1':0.0},(520,526):{'3_1':0.0},(520,525):{'3_1':0.0},(520,524):{'3_1':0.0},(521,727):{'3_1':0.0},(521,726):{'3_1':0.0},(521,725):{'3_1':0.0},(521,724):{'3_1':0.0},(521,723):{'3_1':0.0,'5_1':0.0},(521,722):{'3_1':0.0},(521,721):{'3_1':0.0},(521,720):{'3_1':0.03,'4_1':0.0},(521,718):{'3_1':0.06},(521,716):{'3_1':0.0},(521,715):{'3_1':0.0},(521,714):{'3_1':0.03},(521,713):{'3_1':0.0,'5_1':0.0},(521,712):{'3_1':0.03},(521,711):{'3_1':0.03},(521,710):{'3_1':0.03,'5_1':0.0},(521,709):{'3_1':0.06},(521,708):{'3_1':0.06},(521,707):{'3_1':0.03},(521,706):{'3_1':0.03},(521,705):{'3_1':0.03,'4_1':0.0},(521,704):{'3_1':0.03,'5_1':0.0},(521,703):{'3_1':0.0},(521,702):{'3_1':0.06,'4_1':0.0},(521,701):{'3_1':0.0},(521,700):{'3_1':0.06},(521,699):{'3_1':0.03},(521,698):{'3_1':0.0},(521,697):{'3_1':0.0},(521,696):{'3_1':0.06},(521,695):{'3_1':0.03},(521,694):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(521,693):{'3_1':0.03},(521,692):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(521,691):{'3_1':0.0},(521,690):{'3_1':0.03},(521,689):{'3_1':0.06},(521,688):{'3_1':0.06},(521,687):{'3_1':0.03},(521,686):{'3_1':0.0},(521,685):{'3_1':0.03},(521,684):{'3_1':0.0,'4_1':0.0},(521,683):{'3_1':0.03},(521,682):{'3_1':0.0},(521,681):{'3_1':0.03},(521,680):{'3_1':0.0},(521,679):{'3_1':0.0},(521,674):{'3_1':0.0},(521,673):{'4_1':0.0},(521,672):{'3_1':0.03},(521,671):{'3_1':0.0},(521,669):{'3_1':0.0},(521,668):{'4_1':0.0,'3_1':0.0},(521,667):{'3_1':0.03},(521,666):{'3_1':0.0},(521,665):{'3_1':0.0},(521,663):{'3_1':0.0},(521,662):{'3_1':0.0,'4_1':0.0},(521,661):{'3_1':0.0},(521,660):{'3_1':0.0},(521,659):{'3_1':0.0},(521,658):{'3_1':0.0},(521,657):{'3_1':0.03},(521,656):{'3_1':0.0},(521,655):{'3_1':0.0},(521,654):{'3_1':0.03,'4_1':0.0},(521,653):{'3_1':0.0},(521,651):{'3_1':0.0},(521,650):{'3_1':0.03},(521,649):{'3_1':0.0},(521,648):{'3_1':0.03},(521,647):{'3_1':0.0},(521,646):{'3_1':0.0},(521,645):{'3_1':0.0},(521,642):{'3_1':0.0},(521,641):{'3_1':0.0},(521,640):{'3_1':0.03},(521,639):{'3_1':0.0},(521,637):{'3_1':0.0},(521,636):{'3_1':0.0},(521,635):{'3_1':0.0},(521,633):{'3_1':0.0},(521,632):{'3_1':0.0},(521,631):{'3_1':0.0},(521,630):{'3_1':0.0,'4_1':0.0},(521,627):{'3_1':0.0},(521,626):{'3_1':0.0},(521,625):{'3_1':0.0},(521,623):{'3_1':0.0},(521,622):{'3_1':0.0},(521,621):{'3_1':0.0},(521,620):{'3_1':0.0},(521,619):{'3_1':0.0},(521,616):{'3_1':0.0},(521,615):{'3_1':0.0},(521,612):{'3_1':0.0},(521,600):{'5_1':0.0},(521,599):{'3_1':0.0},(521,597):{'3_1':0.0},(521,596):{'3_1':0.0},(521,594):{'5_1':0.0},(521,569):{'3_1':0.0},(521,567):{'3_1':0.0},(521,563):{'3_1':0.0},(521,561):{'3_1':0.0},(521,560):{'3_1':0.0},(521,559):{'3_1':0.0},(521,558):{'3_1':0.0},(521,557):{'3_1':0.0},(521,556):{'3_1':0.0},(521,555):{'3_1':0.0},(521,552):{'3_1':0.0},(521,551):{'3_1':0.0},(521,550):{'3_1':0.0},(521,549):{'3_1':0.0},(521,547):{'3_1':0.0},(522,727):{'3_1':0.0},(522,726):{'3_1':0.0},(522,725):{'3_1':0.03},(522,724):{'3_1':0.0},(522,723):{'3_1':0.03},(522,722):{'3_1':0.03},(522,721):{'3_1':0.03,'5_1':0.0},(522,720):{'3_1':0.0},(522,719):{'3_1':0.0},(522,718):{'3_1':0.0},(522,717):{'3_1':0.0},(522,716):{'3_1':0.0},(522,715):{'3_1':0.03},(522,714):{'3_1':0.03},(522,713):{'3_1':0.06},(522,712):{'3_1':0.06},(522,711):{'3_1':0.06},(522,710):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(522,709):{'3_1':0.03},(522,708):{'3_1':0.0},(522,707):{'3_1':0.03,'4_1':0.0},(522,706):{'3_1':0.06,'4_1':0.0},(522,705):{'3_1':0.06},(522,704):{'3_1':0.03},(522,703):{'3_1':0.03},(522,702):{'3_1':0.09},(522,701):{'3_1':0.0,'5_1':0.0},(522,700):{'3_1':0.03},(522,699):{'3_1':0.06},(522,698):{'3_1':0.03},(522,697):{'3_1':0.03},(522,696):{'3_1':0.09},(522,695):{'3_1':0.03},(522,694):{'3_1':0.06},(522,693):{'3_1':0.03},(522,692):{'3_1':0.0},(522,691):{'3_1':0.03},(522,690):{'3_1':0.03,'5_1':0.0},(522,689):{'3_1':0.0},(522,688):{'3_1':0.06},(522,687):{'3_1':0.06},(522,686):{'3_1':0.03},(522,685):{'3_1':0.0},(522,684):{'3_1':0.0},(522,682):{'3_1':0.03},(522,681):{'3_1':0.0},(522,680):{'3_1':0.03},(522,679):{'3_1':0.03},(522,678):{'3_1':0.0},(522,677):{'3_1':0.03},(522,676):{'3_1':0.0,'4_1':0.0},(522,674):{'3_1':0.0},(522,673):{'3_1':0.0},(522,672):{'3_1':0.0},(522,671):{'3_1':0.0},(522,670):{'3_1':0.0},(522,669):{'3_1':0.0},(522,668):{'3_1':0.0},(522,667):{'3_1':0.0},(522,666):{'3_1':0.0},(522,665):{'3_1':0.0},(522,664):{'3_1':0.0},(522,663):{'3_1':0.0,'4_1':0.0},(522,662):{'3_1':0.03},(522,661):{'4_1':0.0},(522,660):{'3_1':0.03,'4_1':0.0},(522,659):{'3_1':0.0},(522,658):{'3_1':0.0},(522,657):{'3_1':0.0},(522,656):{'3_1':0.03,'4_1':0.0},(522,655):{'3_1':0.03},(522,654):{'3_1':0.0},(522,653):{'3_1':0.0,'4_1':0.0},(522,652):{'3_1':0.0},(522,651):{'3_1':0.0,'4_1':0.0},(522,649):{'3_1':0.03},(522,648):{'3_1':0.0},(522,647):{'3_1':0.0},(522,646):{'3_1':0.0},(522,645):{'3_1':0.0,'4_1':0.0},(522,644):{'3_1':0.0},(522,643):{'3_1':0.0},(522,642):{'3_1':0.0},(522,641):{'3_1':0.0},(522,640):{'3_1':0.0},(522,639):{'3_1':0.0},(522,638):{'3_1':0.0,'4_1':0.0},(522,637):{'3_1':0.0},(522,636):{'3_1':0.03},(522,635):{'3_1':0.03},(522,633):{'3_1':0.0},(522,632):{'3_1':0.0},(522,631):{'3_1':0.0},(522,630):{'3_1':0.0},(522,629):{'3_1':0.0},(522,628):{'3_1':0.0},(522,626):{'3_1':0.0},(522,625):{'3_1':0.0},(522,624):{'3_1':0.0},(522,623):{'3_1':0.0},(522,622):{'3_1':0.0},(522,620):{'3_1':0.0},(522,616):{'3_1':0.0},(522,615):{'3_1':0.0},(522,612):{'3_1':0.0},(522,611):{'3_1':0.0},(522,601):{'3_1':0.0},(522,598):{'3_1':0.0},(522,595):{'3_1':0.0},(522,594):{'3_1':0.0,'5_1':0.0},(522,590):{'3_1':0.0},(522,570):{'3_1':0.0},(522,567):{'3_1':0.0},(522,564):{'3_1':0.0},(522,563):{'3_1':0.0},(522,559):{'3_1':0.0},(522,558):{'3_1':0.0},(522,557):{'6_1':0.0},(522,555):{'3_1':0.0},(522,554):{'3_1':0.0},(522,549):{'3_1':0.0},(522,547):{'3_1':0.0},(522,545):{'3_1':0.0},(523,727):{'3_1':0.0},(523,725):{'3_1':0.03},(523,724):{'3_1':0.0},(523,723):{'3_1':0.03},(523,722):{'3_1':0.03},(523,721):{'3_1':0.03},(523,720):{'3_1':0.03},(523,719):{'3_1':0.0},(523,718):{'3_1':0.0},(523,717):{'3_1':0.0},(523,716):{'3_1':0.03},(523,715):{'3_1':0.0},(523,714):{'3_1':0.0,'5_1':0.0},(523,713):{'3_1':0.03},(523,712):{'3_1':0.03},(523,711):{'3_1':0.03},(523,710):{'3_1':0.03},(523,709):{'3_1':0.03},(523,708):{'3_1':0.03},(523,707):{'3_1':0.03},(523,706):{'3_1':0.0,'4_1':0.0},(523,705):{'3_1':0.09,'4_1':0.0},(523,704):{'3_1':0.03},(523,703):{'3_1':0.03},(523,702):{'3_1':0.03,'4_1':0.0},(523,701):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'7_1':0.0},(523,700):{'3_1':0.0},(523,699):{'3_1':0.0},(523,698):{'3_1':0.03},(523,697):{'3_1':0.09},(523,696):{'3_1':0.03},(523,695):{'3_1':0.09},(523,694):{'3_1':0.0},(523,693):{'3_1':0.06,'4_1':0.0},(523,692):{'3_1':0.03},(523,691):{'3_1':0.06},(523,690):{'3_1':0.06},(523,689):{'3_1':0.03},(523,688):{'3_1':0.0},(523,687):{'3_1':0.09},(523,686):{'3_1':0.03},(523,685):{'3_1':0.03},(523,684):{'3_1':0.03},(523,683):{'3_1':0.0},(523,682):{'3_1':0.03},(523,681):{'3_1':0.0},(523,680):{'3_1':0.03},(523,679):{'3_1':0.0},(523,678):{'3_1':0.0},(523,677):{'3_1':0.0},(523,676):{'3_1':0.03},(523,675):{'3_1':0.0,'4_1':0.0},(523,674):{'3_1':0.0},(523,673):{'3_1':0.0},(523,672):{'3_1':0.0},(523,671):{'3_1':0.0},(523,670):{'3_1':0.0},(523,669):{'3_1':0.0},(523,668):{'4_1':0.0,'3_1':0.0},(523,667):{'3_1':0.0},(523,666):{'3_1':0.0,'4_1':0.0},(523,665):{'3_1':0.03},(523,664):{'3_1':0.0},(523,663):{'3_1':0.0,'4_1':0.0},(523,662):{'3_1':0.03},(523,661):{'3_1':0.0},(523,660):{'3_1':0.03},(523,659):{'3_1':0.0,'4_1':0.0},(523,658):{'3_1':0.0},(523,657):{'3_1':0.0,'4_1':0.0},(523,656):{'3_1':0.0},(523,655):{'3_1':0.03},(523,654):{'3_1':0.0},(523,653):{'3_1':0.03},(523,652):{'3_1':0.03},(523,651):{'3_1':0.0},(523,650):{'3_1':0.0},(523,649):{'3_1':0.03},(523,648):{'3_1':0.0},(523,647):{'3_1':0.0},(523,646):{'3_1':0.0},(523,645):{'3_1':0.0},(523,644):{'3_1':0.03},(523,643):{'3_1':0.0},(523,642):{'3_1':0.0},(523,641):{'3_1':0.0},(523,640):{'3_1':0.0},(523,639):{'3_1':0.0},(523,638):{'3_1':0.0},(523,637):{'3_1':0.0},(523,634):{'3_1':0.03},(523,632):{'3_1':0.0},(523,631):{'3_1':0.0},(523,630):{'3_1':0.0},(523,629):{'3_1':0.0},(523,627):{'3_1':0.03},(523,626):{'3_1':0.0},(523,624):{'3_1':0.0},(523,623):{'3_1':0.0},(523,621):{'3_1':0.0},(523,618):{'3_1':0.0},(523,617):{'3_1':0.0},(523,616):{'3_1':0.0},(523,612):{'3_1':0.0},(523,611):{'3_1':0.0},(523,610):{'3_1':0.0},(523,599):{'3_1':0.0},(523,597):{'3_1':0.0},(523,596):{'3_1':0.0},(523,595):{'3_1':0.0},(523,593):{'5_1':0.0},(523,592):{'3_1':0.0},(523,591):{'4_1':0.0},(523,589):{'3_1':0.0,'5_2':0.0},(523,588):{'3_1':0.0},(523,571):{'3_1':0.0},(523,565):{'3_1':0.0},(523,556):{'3_1':0.0},(523,554):{'5_2':0.0},(523,553):{'3_1':0.0},(523,548):{'3_1':0.0},(524,727):{'3_1':0.0},(524,726):{'3_1':0.0},(524,725):{'3_1':0.0},(524,724):{'3_1':0.03},(524,723):{'3_1':0.03},(524,722):{'3_1':0.0},(524,721):{'3_1':0.0,'4_1':0.0},(524,720):{'3_1':0.0},(524,719):{'3_1':0.0},(524,718):{'3_1':0.0},(524,717):{'3_1':0.0},(524,716):{'3_1':0.0},(524,715):{'3_1':0.0,'5_1':0.0},(524,714):{'3_1':0.0},(524,713):{'3_1':0.0},(524,712):{'3_1':0.0,'4_1':0.0},(524,711):{'3_1':0.03,'5_1':0.0},(524,710):{'3_1':0.0},(524,709):{'3_1':0.03,'5_1':0.0},(524,708):{'3_1':0.0},(524,707):{'3_1':0.03},(524,706):{'3_1':0.03},(524,705):{'3_1':0.03,'4_1':0.0},(524,704):{'3_1':0.0},(524,703):{'3_1':0.06},(524,702):{'3_1':0.03},(524,701):{'3_1':0.06},(524,700):{'3_1':0.03},(524,699):{'3_1':0.03},(524,698):{'3_1':0.06},(524,697):{'3_1':0.06,'4_1':0.0},(524,696):{'3_1':0.03},(524,695):{'3_1':0.03},(524,694):{'3_1':0.03},(524,693):{'3_1':0.03},(524,692):{'3_1':0.03},(524,691):{'3_1':0.0},(524,690):{'3_1':0.03},(524,689):{'3_1':0.0},(524,688):{'3_1':0.03,'4_1':0.0},(524,687):{'3_1':0.03},(524,686):{'3_1':0.06},(524,685):{'3_1':0.0},(524,684):{'3_1':0.03},(524,683):{'3_1':0.0},(524,682):{'3_1':0.0},(524,681):{'3_1':0.03},(524,680):{'3_1':0.0},(524,679):{'3_1':0.0},(524,678):{'4_1':0.0},(524,677):{'3_1':0.0},(524,676):{'3_1':0.0},(524,673):{'3_1':0.0},(524,672):{'3_1':0.0},(524,671):{'3_1':0.0},(524,670):{'3_1':0.0},(524,669):{'3_1':0.0,'5_1':0.0},(524,668):{'3_1':0.0},(524,667):{'3_1':0.0},(524,666):{'3_1':0.0,'4_1':0.0},(524,665):{'3_1':0.0},(524,664):{'3_1':0.0},(524,663):{'3_1':0.0},(524,662):{'3_1':0.0},(524,661):{'3_1':0.0},(524,660):{'3_1':0.03},(524,659):{'3_1':0.0,'4_1':0.0},(524,658):{'3_1':0.0},(524,657):{'3_1':0.0,'4_1':0.0},(524,656):{'3_1':0.0},(524,655):{'3_1':0.0},(524,654):{'3_1':0.0},(524,653):{'3_1':0.0},(524,652):{'3_1':0.0},(524,651):{'3_1':0.0},(524,649):{'3_1':0.0},(524,648):{'3_1':0.0},(524,647):{'3_1':0.0},(524,646):{'3_1':0.0},(524,645):{'3_1':0.03},(524,644):{'3_1':0.0},(524,643):{'3_1':0.0},(524,642):{'3_1':0.0},(524,640):{'3_1':0.0},(524,638):{'3_1':0.0},(524,636):{'3_1':0.0},(524,635):{'3_1':0.0},(524,633):{'3_1':0.0},(524,632):{'3_1':0.0},(524,630):{'3_1':0.0},(524,628):{'3_1':0.0},(524,627):{'3_1':0.0},(524,626):{'3_1':0.0},(524,625):{'3_1':0.0,'4_1':0.0},(524,624):{'3_1':0.0},(524,620):{'3_1':0.0},(524,617):{'3_1':0.0},(524,615):{'3_1':0.0},(524,611):{'4_1':0.0},(524,609):{'3_1':0.0,'5_2':0.0},(524,600):{'3_1':0.0},(524,598):{'3_1':0.0,'5_1':0.0},(524,597):{'3_1':0.0},(524,592):{'5_1':0.0},(524,591):{'3_1':0.0},(524,590):{'3_1':0.0},(524,589):{'3_1':0.0},(524,571):{'3_1':0.0},(524,570):{'3_1':0.0},(524,568):{'4_1':0.0},(524,567):{'3_1':0.0},(524,566):{'3_1':0.0},(524,565):{'3_1':0.0},(524,564):{'3_1':0.0},(524,561):{'3_1':0.0},(524,558):{'3_1':0.0},(524,557):{'3_1':0.0},(524,556):{'3_1':0.0},(524,555):{'3_1':0.0},(524,554):{'3_1':0.0},(524,553):{'3_1':0.0},(524,552):{'3_1':0.0},(524,551):{'5_1':0.0},(524,549):{'3_1':0.0},(524,548):{'3_1':0.0},(524,544):{'3_1':0.0},(525,727):{'3_1':0.0},(525,726):{'3_1':0.0},(525,725):{'3_1':0.0},(525,724):{'3_1':0.0,'4_1':0.0},(525,723):{'3_1':0.0},(525,722):{'3_1':0.0},(525,721):{'3_1':0.0,'4_1':0.0},(525,720):{'3_1':0.0},(525,719):{'3_1':0.0,'4_1':0.0},(525,718):{'3_1':0.0},(525,717):{'3_1':0.03,'5_1':0.0},(525,715):{'3_1':0.0},(525,714):{'3_1':0.03},(525,713):{'3_1':0.0},(525,712):{'3_1':0.0},(525,711):{'3_1':0.03},(525,710):{'3_1':0.03,'4_1':0.0},(525,709):{'3_1':0.0},(525,708):{'3_1':0.03},(525,707):{'3_1':0.0,'4_1':0.0},(525,706):{'3_1':0.06},(525,705):{'3_1':0.06},(525,704):{'3_1':0.03,'4_1':0.0},(525,703):{'3_1':0.0},(525,702):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(525,701):{'3_1':0.03},(525,700):{'3_1':0.03},(525,699):{'3_1':0.03,'4_1':0.0},(525,698):{'3_1':0.03},(525,697):{'3_1':0.03,'5_1':0.0},(525,696):{'3_1':0.06},(525,695):{'3_1':0.03},(525,694):{'3_1':0.03},(525,693):{'3_1':0.0},(525,692):{'3_1':0.06},(525,691):{'3_1':0.09},(525,690):{'3_1':0.03},(525,689):{'3_1':0.03},(525,688):{'3_1':0.03},(525,687):{'3_1':0.03},(525,686):{'3_1':0.0},(525,685):{'3_1':0.03},(525,684):{'3_1':0.03,'4_1':0.0},(525,683):{'3_1':0.03},(525,682):{'3_1':0.0},(525,681):{'3_1':0.03},(525,680):{'3_1':0.0,'4_1':0.0},(525,679):{'3_1':0.0},(525,678):{'3_1':0.03},(525,677):{'3_1':0.0},(525,676):{'3_1':0.03},(525,675):{'3_1':0.0},(525,674):{'3_1':0.0},(525,673):{'3_1':0.0},(525,672):{'3_1':0.0},(525,671):{'3_1':0.0},(525,669):{'3_1':0.0},(525,668):{'3_1':0.0},(525,667):{'3_1':0.0},(525,666):{'3_1':0.0},(525,665):{'3_1':0.0,'4_1':0.0},(525,664):{'3_1':0.0},(525,662):{'3_1':0.0},(525,661):{'4_1':0.0},(525,660):{'3_1':0.0},(525,659):{'3_1':0.0},(525,658):{'3_1':0.0},(525,657):{'3_1':0.0},(525,656):{'3_1':0.0},(525,655):{'3_1':0.03},(525,654):{'3_1':0.0},(525,653):{'3_1':0.0},(525,652):{'3_1':0.0},(525,650):{'3_1':0.0},(525,649):{'3_1':0.0,'4_1':0.0},(525,647):{'3_1':0.0},(525,646):{'3_1':0.0},(525,645):{'3_1':0.03},(525,644):{'3_1':0.0},(525,643):{'3_1':0.0},(525,642):{'3_1':0.0},(525,641):{'3_1':0.0},(525,640):{'3_1':0.0},(525,639):{'3_1':0.03},(525,637):{'3_1':0.0},(525,636):{'3_1':0.0},(525,634):{'3_1':0.0},(525,633):{'3_1':0.0},(525,632):{'3_1':0.0},(525,630):{'3_1':0.0},(525,629):{'3_1':0.0},(525,628):{'3_1':0.0},(525,627):{'3_1':0.0},(525,626):{'3_1':0.0},(525,625):{'3_1':0.0},(525,624):{'3_1':0.0},(525,621):{'3_1':0.0},(525,620):{'3_1':0.0},(525,619):{'3_1':0.0},(525,617):{'3_1':0.0},(525,615):{'3_1':0.0},(525,614):{'3_1':0.0},(525,610):{'3_1':0.0},(525,600):{'3_1':0.0},(525,599):{'3_1':0.0},(525,597):{'3_1':0.0},(525,596):{'3_1':0.0},(525,592):{'3_1':0.0},(525,589):{'3_1':0.0},(525,588):{'3_1':0.0},(525,567):{'3_1':0.0},(525,566):{'3_1':0.0},(525,563):{'3_1':0.0},(525,561):{'3_1':0.0},(525,560):{'3_1':0.0},(525,559):{'3_1':0.0},(525,558):{'3_1':0.0},(525,556):{'3_1':0.0},(525,555):{'3_1':0.0},(525,554):{'3_1':0.0},(525,552):{'3_1':0.03},(525,550):{'3_1':0.0},(525,548):{'3_1':0.0},(525,546):{'3_1':0.0},(525,545):{'3_1':0.0},(525,544):{'3_1':0.0},(526,727):{'3_1':0.0},(526,726):{'3_1':0.0},(526,725):{'3_1':0.0},(526,724):{'3_1':0.0},(526,723):{'3_1':0.03},(526,722):{'3_1':0.0},(526,721):{'3_1':0.0},(526,720):{'3_1':0.0},(526,719):{'3_1':0.03,'4_1':0.0},(526,718):{'3_1':0.0},(526,717):{'3_1':0.0},(526,716):{'3_1':0.0},(526,715):{'3_1':0.0},(526,714):{'3_1':0.0,'4_1':0.0},(526,713):{'3_1':0.03},(526,712):{'3_1':0.03},(526,711):{'3_1':0.0},(526,710):{'3_1':0.0,'4_1':0.0},(526,709):{'3_1':0.03},(526,708):{'3_1':0.06},(526,707):{'3_1':0.06},(526,706):{'3_1':0.03},(526,705):{'3_1':0.0,'4_1':0.0},(526,704):{'3_1':0.03},(526,703):{'3_1':0.06},(526,702):{'3_1':0.03},(526,701):{'3_1':0.06},(526,700):{'3_1':0.0,'4_1':0.0},(526,699):{'3_1':0.03},(526,698):{'3_1':0.0},(526,697):{'3_1':0.06},(526,696):{'3_1':0.0},(526,695):{'3_1':0.06},(526,694):{'3_1':0.03,'5_1':0.0},(526,693):{'3_1':0.06,'4_1':0.0},(526,692):{'3_1':0.03},(526,691):{'3_1':0.09},(526,690):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(526,689):{'3_1':0.03},(526,688):{'3_1':0.03,'4_1':0.0},(526,687):{'3_1':0.03},(526,686):{'3_1':0.03},(526,685):{'3_1':0.03,'5_1':0.0},(526,684):{'3_1':0.0},(526,683):{'3_1':0.03},(526,682):{'3_1':0.0},(526,681):{'3_1':0.0,'4_1':0.0},(526,680):{'3_1':0.0,'4_1':0.0},(526,679):{'3_1':0.03},(526,678):{'3_1':0.0},(526,677):{'3_1':0.03},(526,676):{'3_1':0.0},(526,675):{'3_1':0.0},(526,674):{'3_1':0.0},(526,673):{'3_1':0.0},(526,672):{'3_1':0.03},(526,671):{'3_1':0.0},(526,669):{'3_1':0.0},(526,667):{'3_1':0.0},(526,666):{'3_1':0.0,'4_1':0.0},(526,665):{'3_1':0.0},(526,664):{'3_1':0.0},(526,663):{'3_1':0.0},(526,662):{'3_1':0.0},(526,661):{'3_1':0.0},(526,660):{'3_1':0.0},(526,659):{'3_1':0.0},(526,658):{'3_1':0.0},(526,657):{'3_1':0.0},(526,656):{'3_1':0.0},(526,655):{'3_1':0.0},(526,654):{'3_1':0.0},(526,653):{'3_1':0.03},(526,652):{'3_1':0.0},(526,651):{'3_1':0.0},(526,650):{'3_1':0.0},(526,649):{'3_1':0.0},(526,648):{'3_1':0.0},(526,647):{'3_1':0.0},(526,646):{'3_1':0.0},(526,645):{'3_1':0.0},(526,644):{'3_1':0.0},(526,643):{'3_1':0.0},(526,642):{'3_1':0.0},(526,641):{'3_1':0.0},(526,640):{'3_1':0.0},(526,639):{'3_1':0.03},(526,637):{'3_1':0.0},(526,636):{'3_1':0.0},(526,635):{'3_1':0.0},(526,634):{'3_1':0.0},(526,633):{'3_1':0.0},(526,631):{'3_1':0.0},(526,630):{'3_1':0.0},(526,629):{'3_1':0.0},(526,627):{'3_1':0.0},(526,626):{'3_1':0.0},(526,624):{'3_1':0.0},(526,622):{'3_1':0.0},(526,621):{'3_1':0.0},(526,620):{'3_1':0.0},(526,619):{'3_1':0.0},(526,618):{'3_1':0.0},(526,617):{'3_1':0.0},(526,616):{'3_1':0.0},(526,614):{'3_1':0.0},(526,612):{'3_1':0.0},(526,611):{'3_1':0.0},(526,610):{'3_1':0.0},(526,608):{'3_1':0.0},(526,601):{'3_1':0.0},(526,597):{'3_1':0.0},(526,594):{'3_1':0.0},(526,593):{'3_1':0.0},(526,592):{'3_1':0.0},(526,590):{'3_1':0.0},(526,589):{'3_1':0.0},(526,560):{'4_1':0.0},(526,558):{'3_1':0.0},(526,557):{'3_1':0.0},(526,556):{'5_1':0.0},(526,553):{'3_1':0.0},(526,552):{'3_1':0.0},(526,548):{'3_1':0.0},(527,727):{'3_1':0.0,'4_1':0.0},(527,726):{'3_1':0.0},(527,725):{'3_1':0.0},(527,724):{'3_1':0.03},(527,723):{'3_1':0.03,'5_1':0.0},(527,722):{'3_1':0.0},(527,721):{'3_1':0.0},(527,719):{'3_1':0.03,'4_1':0.0},(527,718):{'3_1':0.03},(527,717):{'3_1':0.03},(527,716):{'3_1':0.0},(527,715):{'3_1':0.0},(527,714):{'3_1':0.03},(527,713):{'3_1':0.0},(527,712):{'3_1':0.03},(527,711):{'3_1':0.06},(527,710):{'3_1':0.03},(527,709):{'3_1':0.06},(527,708):{'3_1':0.09},(527,707):{'3_1':0.0},(527,706):{'3_1':0.0},(527,705):{'3_1':0.0},(527,704):{'3_1':0.0},(527,703):{'3_1':0.03,'4_1':0.0},(527,702):{'3_1':0.06},(527,701):{'3_1':0.03,'5_1':0.0},(527,700):{'3_1':0.06},(527,699):{'3_1':0.06},(527,698):{'3_1':0.03},(527,697):{'3_1':0.03},(527,696):{'3_1':0.03},(527,695):{'3_1':0.03},(527,694):{'3_1':0.03},(527,693):{'3_1':0.06},(527,692):{'3_1':0.0},(527,691):{'3_1':0.0},(527,690):{'3_1':0.09},(527,689):{'3_1':0.0},(527,688):{'3_1':0.03},(527,687):{'3_1':0.03},(527,686):{'3_1':0.03},(527,685):{'3_1':0.0},(527,684):{'3_1':0.0},(527,683):{'3_1':0.03},(527,682):{'3_1':0.0},(527,681):{'3_1':0.03},(527,680):{'3_1':0.0},(527,679):{'3_1':0.0},(527,678):{'3_1':0.0},(527,677):{'3_1':0.0},(527,676):{'3_1':0.0},(527,674):{'3_1':0.0},(527,673):{'3_1':0.0},(527,672):{'3_1':0.0},(527,671):{'3_1':0.0},(527,667):{'3_1':0.0},(527,666):{'3_1':0.0},(527,664):{'3_1':0.0},(527,663):{'3_1':0.0},(527,662):{'3_1':0.03},(527,661):{'3_1':0.0},(527,660):{'3_1':0.0,'4_1':0.0},(527,659):{'3_1':0.0,'4_1':0.0},(527,658):{'4_1':0.0},(527,657):{'3_1':0.0},(527,656):{'3_1':0.0},(527,655):{'3_1':0.0},(527,654):{'3_1':0.0},(527,653):{'3_1':0.0},(527,652):{'3_1':0.0,'5_1':0.0},(527,651):{'3_1':0.03},(527,650):{'3_1':0.0},(527,649):{'3_1':0.0},(527,648):{'3_1':0.03},(527,647):{'3_1':0.0},(527,646):{'3_1':0.0,'4_1':0.0},(527,645):{'3_1':0.0},(527,644):{'3_1':0.0},(527,642):{'3_1':0.03},(527,641):{'3_1':0.0},(527,640):{'3_1':0.0},(527,639):{'3_1':0.0},(527,637):{'3_1':0.0},(527,634):{'3_1':0.0},(527,631):{'3_1':0.0},(527,630):{'3_1':0.0},(527,629):{'3_1':0.0},(527,627):{'3_1':0.0},(527,624):{'3_1':0.0},(527,623):{'3_1':0.0},(527,622):{'3_1':0.0},(527,619):{'3_1':0.0},(527,617):{'3_1':0.0},(527,616):{'3_1':0.0},(527,615):{'3_1':0.0},(527,612):{'3_1':0.0},(527,609):{'3_1':0.0},(527,607):{'3_1':0.0},(527,605):{'3_1':0.0},(527,603):{'3_1':0.0},(527,600):{'3_1':0.0},(527,597):{'3_1':0.0},(527,596):{'3_1':0.0},(527,595):{'3_1':0.0},(527,594):{'3_1':0.0},(527,592):{'3_1':0.0},(527,590):{'3_1':0.0},(527,589):{'3_1':0.0},(527,585):{'4_1':0.0},(527,582):{'3_1':0.0},(527,561):{'3_1':0.0},(527,560):{'3_1':0.0},(527,554):{'4_1':0.0},(527,553):{'3_1':0.0},(527,552):{'3_1':0.0},(527,549):{'4_1':0.0},(527,548):{'3_1':0.0},(527,546):{'3_1':0.0},(528,727):{'3_1':0.0},(528,726):{'3_1':0.03},(528,725):{'3_1':0.03},(528,724):{'3_1':0.0},(528,723):{'3_1':0.0},(528,722):{'3_1':0.03},(528,720):{'3_1':0.0,'4_1':0.0},(528,719):{'3_1':0.0},(528,718):{'3_1':0.0},(528,717):{'3_1':0.0},(528,715):{'3_1':0.03},(528,714):{'3_1':0.03},(528,713):{'3_1':0.03},(528,712):{'3_1':0.03},(528,711):{'3_1':0.0},(528,710):{'3_1':0.03},(528,709):{'3_1':0.03},(528,708):{'3_1':0.0},(528,707):{'3_1':0.0},(528,706):{'3_1':0.06,'4_1':0.0},(528,705):{'3_1':0.03,'4_1':0.0},(528,704):{'3_1':0.06},(528,703):{'3_1':0.03},(528,702):{'3_1':0.03,'5_1':0.0},(528,701):{'3_1':0.0,'7_1':0.0},(528,700):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(528,699):{'3_1':0.03},(528,698):{'3_1':0.03},(528,697):{'3_1':0.0},(528,696):{'3_1':0.06},(528,695):{'3_1':0.0},(528,694):{'3_1':0.03},(528,693):{'3_1':0.09},(528,692):{'3_1':0.03},(528,691):{'3_1':0.03},(528,690):{'3_1':0.0},(528,689):{'3_1':0.06},(528,688):{'3_1':0.0},(528,687):{'3_1':0.03},(528,686):{'3_1':0.0},(528,685):{'3_1':0.06},(528,684):{'3_1':0.03},(528,683):{'3_1':0.0},(528,681):{'3_1':0.03},(528,680):{'3_1':0.0},(528,679):{'3_1':0.0},(528,678):{'3_1':0.0},(528,677):{'3_1':0.03},(528,676):{'3_1':0.03},(528,675):{'3_1':0.0},(528,674):{'3_1':0.0},(528,673):{'3_1':0.0},(528,672):{'3_1':0.0},(528,671):{'3_1':0.0,'4_1':0.0},(528,670):{'3_1':0.0},(528,669):{'3_1':0.0},(528,668):{'3_1':0.0},(528,667):{'3_1':0.0},(528,666):{'3_1':0.03},(528,665):{'3_1':0.03},(528,664):{'3_1':0.0},(528,663):{'3_1':0.0},(528,661):{'3_1':0.0},(528,660):{'3_1':0.0},(528,659):{'3_1':0.0},(528,657):{'3_1':0.0},(528,656):{'3_1':0.0,'4_1':0.0},(528,654):{'3_1':0.0},(528,653):{'3_1':0.0},(528,652):{'3_1':0.0},(528,651):{'3_1':0.03},(528,650):{'3_1':0.0},(528,649):{'3_1':0.0},(528,648):{'3_1':0.0,'4_1':0.0},(528,647):{'3_1':0.0},(528,646):{'3_1':0.0},(528,645):{'3_1':0.0},(528,644):{'3_1':0.0},(528,643):{'3_1':0.0},(528,642):{'3_1':0.03},(528,641):{'3_1':0.0},(528,640):{'3_1':0.0},(528,634):{'3_1':0.0},(528,633):{'3_1':0.0},(528,630):{'3_1':0.0},(528,625):{'3_1':0.0},(528,624):{'3_1':0.0},(528,623):{'3_1':0.0},(528,622):{'3_1':0.0},(528,621):{'3_1':0.0},(528,617):{'3_1':0.0},(528,614):{'3_1':0.0},(528,613):{'3_1':0.0},(528,612):{'3_1':0.0},(528,608):{'3_1':0.0},(528,603):{'3_1':0.0,'4_1':0.0},(528,600):{'3_1':0.0},(528,592):{'3_1':0.0},(528,590):{'3_1':0.03,'5_1':0.0},(528,586):{'3_1':0.0},(528,582):{'3_1':0.0},(528,581):{'3_1':0.0},(528,560):{'3_1':0.03},(528,559):{'3_1':0.0},(528,558):{'3_1':0.0},(528,557):{'3_1':0.0},(528,556):{'3_1':0.0,'4_1':0.0},(528,553):{'3_1':0.0},(528,548):{'3_1':0.0},(528,544):{'3_1':0.0},(529,727):{'3_1':0.0},(529,726):{'3_1':0.0},(529,725):{'3_1':0.03},(529,724):{'3_1':0.0},(529,723):{'3_1':0.0},(529,722):{'3_1':0.03},(529,721):{'3_1':0.06},(529,720):{'3_1':0.03},(529,719):{'3_1':0.0},(529,718):{'3_1':0.0},(529,717):{'3_1':0.03},(529,716):{'3_1':0.0,'4_1':0.0},(529,715):{'3_1':0.0},(529,714):{'3_1':0.03,'4_1':0.0},(529,713):{'3_1':0.06},(529,712):{'3_1':0.0},(529,711):{'3_1':0.03},(529,710):{'3_1':0.03},(529,709):{'3_1':0.03},(529,708):{'3_1':0.03,'5_1':0.0},(529,707):{'3_1':0.06},(529,706):{'3_1':0.0},(529,705):{'3_1':0.03},(529,704):{'3_1':0.06,'5_1':0.0},(529,703):{'3_1':0.03},(529,702):{'3_1':0.0,'5_2':0.0},(529,701):{'3_1':0.09},(529,700):{'3_1':0.03,'4_1':0.0},(529,699):{'3_1':0.03,'4_1':0.0},(529,698):{'3_1':0.09},(529,697):{'3_1':0.06},(529,696):{'3_1':0.0,'4_1':0.0},(529,695):{'3_1':0.03},(529,694):{'3_1':0.09},(529,693):{'3_1':0.03},(529,692):{'3_1':0.03,'4_1':0.0},(529,691):{'3_1':0.06,'4_1':0.0},(529,690):{'3_1':0.0},(529,689):{'3_1':0.03},(529,688):{'3_1':0.06},(529,687):{'3_1':0.06},(529,686):{'3_1':0.03},(529,685):{'3_1':0.03},(529,684):{'3_1':0.03,'4_1':0.0},(529,683):{'3_1':0.03},(529,682):{'3_1':0.03},(529,681):{'3_1':0.03},(529,680):{'3_1':0.0},(529,679):{'3_1':0.0},(529,678):{'3_1':0.0},(529,677):{'3_1':0.03,'4_1':0.0},(529,676):{'3_1':0.0},(529,675):{'3_1':0.0},(529,674):{'3_1':0.0},(529,673):{'3_1':0.03},(529,672):{'3_1':0.03,'4_1':0.0},(529,671):{'3_1':0.0},(529,670):{'3_1':0.0},(529,669):{'3_1':0.0},(529,668):{'3_1':0.0},(529,667):{'3_1':0.03},(529,666):{'3_1':0.0},(529,665):{'3_1':0.0},(529,664):{'3_1':0.03},(529,663):{'3_1':0.0},(529,662):{'3_1':0.03,'4_1':0.0},(529,661):{'3_1':0.0},(529,660):{'3_1':0.0,'4_1':0.0},(529,659):{'3_1':0.0},(529,658):{'3_1':0.0},(529,655):{'3_1':0.0},(529,654):{'3_1':0.0},(529,653):{'3_1':0.0},(529,652):{'3_1':0.0},(529,651):{'3_1':0.0},(529,650):{'3_1':0.0},(529,649):{'3_1':0.0},(529,647):{'3_1':0.0},(529,646):{'3_1':0.0},(529,644):{'3_1':0.0},(529,643):{'3_1':0.0},(529,640):{'3_1':0.0},(529,639):{'3_1':0.0},(529,638):{'3_1':0.0},(529,637):{'3_1':0.0},(529,636):{'3_1':0.0},(529,635):{'3_1':0.0},(529,634):{'3_1':0.0},(529,630):{'3_1':0.03},(529,627):{'3_1':0.0},(529,625):{'3_1':0.0},(529,620):{'3_1':0.0},(529,619):{'3_1':0.0},(529,618):{'3_1':0.0},(529,615):{'3_1':0.0},(529,610):{'3_1':0.0},(529,608):{'3_1':0.0},(529,607):{'3_1':0.0},(529,600):{'3_1':0.0},(529,599):{'3_1':0.0},(529,598):{'7_1':0.0},(529,597):{'3_1':0.0,'5_1':0.0},(529,593):{'3_1':0.0},(529,590):{'3_1':0.0},(529,586):{'3_1':0.0},(529,580):{'3_1':0.0},(529,578):{'3_1':0.0},(529,561):{'3_1':0.0},(529,555):{'3_1':0.0},(529,553):{'3_1':0.0},(529,552):{'3_1':0.03},(529,549):{'3_1':0.0},(529,548):{'3_1':0.0},(529,546):{'3_1':0.0},(529,545):{'3_1':0.0},(530,727):{'3_1':0.03},(530,726):{'3_1':0.0},(530,725):{'3_1':0.0},(530,724):{'3_1':0.03},(530,723):{'3_1':0.03},(530,722):{'3_1':0.06},(530,721):{'3_1':0.03},(530,720):{'3_1':0.03},(530,719):{'3_1':0.0},(530,718):{'3_1':0.0},(530,717):{'3_1':0.0},(530,716):{'3_1':0.0},(530,715):{'3_1':0.0},(530,714):{'3_1':0.0},(530,713):{'3_1':0.03,'5_1':0.0},(530,712):{'3_1':0.06},(530,711):{'3_1':0.0},(530,710):{'3_1':0.06},(530,709):{'3_1':0.0,'4_1':0.0},(530,708):{'3_1':0.03,'5_1':0.0},(530,707):{'3_1':0.06},(530,706):{'3_1':0.06},(530,705):{'3_1':0.0},(530,704):{'3_1':0.06,'5_1':0.0},(530,703):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(530,702):{'3_1':0.0},(530,701):{'3_1':0.03,'4_1':0.0},(530,700):{'3_1':0.03},(530,699):{'3_1':0.0},(530,698):{'3_1':0.09},(530,697):{'3_1':0.06},(530,696):{'3_1':0.03},(530,695):{'3_1':0.0},(530,694):{'3_1':0.06,'5_1':0.0},(530,693):{'3_1':0.03},(530,692):{'3_1':0.03},(530,691):{'3_1':0.03},(530,690):{'3_1':0.06},(530,689):{'3_1':0.06},(530,688):{'3_1':0.03},(530,687):{'3_1':0.06},(530,686):{'3_1':0.0},(530,685):{'3_1':0.0},(530,684):{'3_1':0.0},(530,683):{'3_1':0.0},(530,682):{'3_1':0.0},(530,681):{'3_1':0.0},(530,680):{'3_1':0.06},(530,679):{'3_1':0.0},(530,678):{'3_1':0.0},(530,677):{'3_1':0.0},(530,676):{'3_1':0.0},(530,675):{'3_1':0.0},(530,674):{'3_1':0.0,'4_1':0.0},(530,673):{'3_1':0.0},(530,672):{'3_1':0.0,'4_1':0.0},(530,671):{'3_1':0.0},(530,668):{'3_1':0.0},(530,667):{'3_1':0.0},(530,666):{'3_1':0.0},(530,665):{'3_1':0.0},(530,664):{'3_1':0.0,'4_1':0.0},(530,663):{'3_1':0.0},(530,662):{'3_1':0.06},(530,661):{'3_1':0.0},(530,660):{'3_1':0.0},(530,657):{'4_1':0.0},(530,656):{'3_1':0.0},(530,655):{'3_1':0.0},(530,654):{'3_1':0.0},(530,653):{'3_1':0.0},(530,652):{'3_1':0.03},(530,651):{'3_1':0.0},(530,650):{'3_1':0.0},(530,649):{'3_1':0.03},(530,648):{'3_1':0.0},(530,647):{'3_1':0.0},(530,646):{'3_1':0.0},(530,645):{'3_1':0.0},(530,644):{'3_1':0.03},(530,643):{'3_1':0.0},(530,642):{'3_1':0.0},(530,641):{'3_1':0.0},(530,640):{'3_1':0.0},(530,639):{'3_1':0.0},(530,638):{'3_1':0.0},(530,637):{'3_1':0.0},(530,636):{'3_1':0.0},(530,633):{'3_1':0.0},(530,631):{'3_1':0.0},(530,628):{'3_1':0.0},(530,624):{'3_1':0.0},(530,621):{'3_1':0.03,'5_1':0.0},(530,620):{'3_1':0.0},(530,619):{'3_1':0.0},(530,617):{'3_1':0.0},(530,615):{'3_1':0.0},(530,614):{'3_1':0.0},(530,612):{'3_1':0.0},(530,611):{'3_1':0.0},(530,610):{'3_1':0.0},(530,607):{'3_1':0.0},(530,604):{'3_1':0.0},(530,603):{'3_1':0.0},(530,599):{'3_1':0.0},(530,598):{'5_1':0.0},(530,597):{'3_1':0.0},(530,593):{'3_1':0.0},(530,590):{'3_1':0.0},(530,589):{'3_1':0.0},(530,584):{'3_1':0.0},(530,580):{'3_1':0.0},(530,559):{'3_1':0.0},(530,556):{'3_1':0.0},(530,552):{'3_1':0.0},(530,548):{'3_1':0.0},(530,545):{'3_1':0.0},(530,544):{'3_1':0.0},(531,727):{'3_1':0.0},(531,726):{'3_1':0.0},(531,725):{'3_1':0.0},(531,724):{'3_1':0.0},(531,722):{'3_1':0.0},(531,721):{'3_1':0.0},(531,720):{'3_1':0.0},(531,719):{'3_1':0.0},(531,718):{'3_1':0.0},(531,717):{'3_1':0.0},(531,716):{'3_1':0.0},(531,715):{'3_1':0.03},(531,714):{'3_1':0.03},(531,713):{'3_1':0.0,'5_1':0.0},(531,712):{'3_1':0.03},(531,711):{'3_1':0.03,'5_1':0.0},(531,710):{'3_1':0.0},(531,709):{'3_1':0.03},(531,708):{'3_1':0.03},(531,707):{'3_1':0.06},(531,706):{'3_1':0.03},(531,705):{'3_1':0.06},(531,704):{'3_1':0.03},(531,703):{'3_1':0.0},(531,702):{'3_1':0.03},(531,701):{'3_1':0.03},(531,700):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(531,699):{'3_1':0.03},(531,698):{'3_1':0.06,'5_1':0.0},(531,697):{'3_1':0.03},(531,696):{'3_1':0.06},(531,695):{'3_1':0.06},(531,694):{'3_1':0.03},(531,693):{'3_1':0.03},(531,692):{'3_1':0.06},(531,691):{'3_1':0.03},(531,690):{'3_1':0.03,'4_1':0.0},(531,689):{'3_1':0.06},(531,688):{'3_1':0.0,'4_1':0.0},(531,687):{'3_1':0.06},(531,686):{'3_1':0.03},(531,685):{'3_1':0.0},(531,684):{'3_1':0.03},(531,683):{'3_1':0.0},(531,682):{'3_1':0.0},(531,680):{'3_1':0.0},(531,679):{'3_1':0.0},(531,677):{'3_1':0.0},(531,676):{'3_1':0.0},(531,675):{'3_1':0.0},(531,674):{'3_1':0.0},(531,673):{'3_1':0.0},(531,672):{'3_1':0.0},(531,670):{'3_1':0.0},(531,669):{'3_1':0.03},(531,668):{'3_1':0.0},(531,667):{'3_1':0.0,'4_1':0.0},(531,666):{'3_1':0.0,'4_1':0.0},(531,665):{'3_1':0.0},(531,663):{'3_1':0.0},(531,662):{'3_1':0.0,'4_1':0.0},(531,661):{'3_1':0.0},(531,660):{'3_1':0.0},(531,659):{'3_1':0.0,'4_1':0.0},(531,658):{'3_1':0.03},(531,655):{'3_1':0.0},(531,654):{'3_1':0.0},(531,653):{'3_1':0.0,'4_1':0.0},(531,652):{'3_1':0.0},(531,651):{'3_1':0.0},(531,649):{'3_1':0.0},(531,648):{'3_1':0.0},(531,647):{'3_1':0.0},(531,646):{'3_1':0.0},(531,644):{'3_1':0.0},(531,643):{'3_1':0.0},(531,642):{'3_1':0.0},(531,641):{'3_1':0.0},(531,639):{'3_1':0.0},(531,638):{'3_1':0.0},(531,629):{'3_1':0.0},(531,628):{'3_1':0.0},(531,627):{'3_1':0.0},(531,626):{'3_1':0.0},(531,625):{'3_1':0.0},(531,624):{'3_1':0.0},(531,622):{'3_1':0.0},(531,621):{'3_1':0.0},(531,618):{'3_1':0.0},(531,611):{'3_1':0.0},(531,610):{'3_1':0.0},(531,607):{'3_1':0.03},(531,606):{'3_1':0.0},(531,605):{'3_1':0.0},(531,604):{'3_1':0.0},(531,603):{'3_1':0.0},(531,601):{'3_1':0.0},(531,600):{'3_1':0.0},(531,599):{'3_1':0.0},(531,597):{'3_1':0.0},(531,595):{'3_1':0.0},(531,594):{'3_1':0.0},(531,593):{'3_1':0.0},(531,592):{'3_1':0.0},(531,590):{'3_1':0.0,'5_1':0.0},(531,587):{'3_1':0.0},(531,586):{'3_1':0.0},(531,583):{'3_1':0.0},(531,582):{'3_1':0.0},(531,581):{'3_1':0.0},(531,580):{'3_1':0.0},(531,545):{'3_1':0.0},(531,543):{'3_1':0.0},(531,542):{'3_1':0.0},(531,541):{'3_1':0.0},(531,540):{'3_1':0.0},(532,727):{'3_1':0.0},(532,726):{'3_1':0.0},(532,725):{'3_1':0.0},(532,723):{'3_1':0.0},(532,722):{'3_1':0.03},(532,721):{'3_1':0.0},(532,720):{'3_1':0.0},(532,719):{'3_1':0.0},(532,718):{'3_1':0.0},(532,717):{'3_1':0.0},(532,716):{'4_1':0.0},(532,715):{'3_1':0.0},(532,714):{'3_1':0.0},(532,713):{'3_1':0.03},(532,712):{'3_1':0.0},(532,711):{'3_1':0.03},(532,710):{'3_1':0.0},(532,709):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(532,708):{'3_1':0.06},(532,707):{'3_1':0.03,'4_1':0.0},(532,706):{'3_1':0.06},(532,705):{'3_1':0.03},(532,704):{'3_1':0.03,'4_1':0.0},(532,703):{'3_1':0.06},(532,702):{'3_1':0.03},(532,701):{'3_1':0.03},(532,700):{'3_1':0.03,'4_1':0.0},(532,699):{'3_1':0.03},(532,698):{'3_1':0.0},(532,697):{'3_1':0.03},(532,696):{'3_1':0.0},(532,695):{'3_1':0.06,'5_1':0.0},(532,694):{'3_1':0.03,'5_1':0.0},(532,693):{'3_1':0.06},(532,692):{'3_1':0.06},(532,691):{'3_1':0.03},(532,690):{'3_1':0.03},(532,689):{'3_1':0.03},(532,688):{'3_1':0.03},(532,687):{'3_1':0.0,'5_1':0.0},(532,686):{'3_1':0.0},(532,685):{'3_1':0.0},(532,684):{'3_1':0.03},(532,683):{'3_1':0.0},(532,682):{'3_1':0.0},(532,681):{'3_1':0.0},(532,680):{'3_1':0.0},(532,679):{'3_1':0.0},(532,678):{'3_1':0.0,'4_1':0.0},(532,677):{'3_1':0.0},(532,674):{'3_1':0.0},(532,673):{'3_1':0.03},(532,671):{'3_1':0.0},(532,670):{'3_1':0.0},(532,669):{'3_1':0.0},(532,668):{'3_1':0.0},(532,667):{'3_1':0.0,'4_1':0.0},(532,666):{'3_1':0.0},(532,665):{'3_1':0.0},(532,664):{'3_1':0.0,'4_1':0.0},(532,663):{'3_1':0.0},(532,662):{'3_1':0.0},(532,661):{'3_1':0.0,'4_1':0.0},(532,659):{'3_1':0.0},(532,658):{'3_1':0.0},(532,657):{'3_1':0.0,'4_1':0.0},(532,655):{'3_1':0.03},(532,654):{'3_1':0.0,'4_1':0.0},(532,653):{'3_1':0.0},(532,652):{'3_1':0.0},(532,649):{'3_1':0.0,'4_1':0.0},(532,648):{'3_1':0.0},(532,647):{'3_1':0.03},(532,646):{'3_1':0.03},(532,645):{'3_1':0.0},(532,644):{'3_1':0.03},(532,643):{'3_1':0.0},(532,642):{'3_1':0.0},(532,641):{'3_1':0.0},(532,640):{'3_1':0.0},(532,639):{'3_1':0.0},(532,638):{'3_1':0.0},(532,637):{'3_1':0.0},(532,636):{'3_1':0.0},(532,635):{'3_1':0.0},(532,633):{'4_1':0.0},(532,632):{'3_1':0.0},(532,631):{'3_1':0.0},(532,630):{'3_1':0.0},(532,629):{'3_1':0.0},(532,626):{'3_1':0.0},(532,625):{'3_1':0.0,'5_2':0.0},(532,624):{'3_1':0.0},(532,623):{'3_1':0.0},(532,622):{'3_1':0.0},(532,620):{'3_1':0.0},(532,618):{'3_1':0.0},(532,615):{'3_1':0.0},(532,613):{'3_1':0.0},(532,611):{'4_1':0.0},(532,610):{'3_1':0.0},(532,607):{'3_1':0.0},(532,606):{'3_1':0.0},(532,605):{'3_1':0.0},(532,604):{'3_1':0.0},(532,603):{'3_1':0.0},(532,600):{'3_1':0.0},(532,598):{'5_2':0.0},(532,597):{'3_1':0.0},(532,596):{'3_1':0.0},(532,592):{'3_1':0.0},(532,587):{'3_1':0.0},(532,582):{'3_1':0.0},(532,555):{'3_1':0.0},(532,554):{'3_1':0.0},(532,553):{'3_1':0.0,'5_1':0.0},(532,552):{'3_1':0.0},(532,549):{'3_1':0.0,'4_1':0.0},(532,542):{'4_1':0.0},(533,727):{'3_1':0.0},(533,726):{'3_1':0.0},(533,725):{'3_1':0.0},(533,724):{'3_1':0.0,'4_1':0.0},(533,722):{'3_1':0.03},(533,721):{'3_1':0.03},(533,720):{'3_1':0.0},(533,719):{'3_1':0.03},(533,718):{'3_1':0.0},(533,717):{'3_1':0.0},(533,716):{'3_1':0.0},(533,715):{'3_1':0.03},(533,714):{'3_1':0.03},(533,713):{'3_1':0.0},(533,712):{'3_1':0.03},(533,711):{'3_1':0.03},(533,710):{'3_1':0.03},(533,709):{'3_1':0.0},(533,708):{'3_1':0.03,'4_1':0.0},(533,707):{'3_1':0.06},(533,706):{'3_1':0.06,'4_1':0.0},(533,705):{'3_1':0.06},(533,704):{'3_1':0.0},(533,703):{'3_1':0.03},(533,702):{'3_1':0.06,'7_1':0.0},(533,701):{'3_1':0.09},(533,700):{'3_1':0.06},(533,699):{'3_1':0.06},(533,698):{'3_1':0.06,'5_1':0.0},(533,697):{'3_1':0.0},(533,696):{'3_1':0.03},(533,695):{'3_1':0.0},(533,694):{'3_1':0.03,'5_1':0.0},(533,693):{'3_1':0.06},(533,692):{'3_1':0.09},(533,691):{'3_1':0.03},(533,690):{'3_1':0.03},(533,689):{'3_1':0.0},(533,688):{'3_1':0.03},(533,687):{'3_1':0.06},(533,686):{'3_1':0.0},(533,685):{'3_1':0.03},(533,684):{'3_1':0.09},(533,683):{'3_1':0.0},(533,682):{'3_1':0.03},(533,681):{'3_1':0.0},(533,680):{'3_1':0.0,'4_1':0.0},(533,679):{'3_1':0.0},(533,678):{'3_1':0.03},(533,676):{'3_1':0.0},(533,674):{'4_1':0.0},(533,673):{'3_1':0.0,'5_2':0.0},(533,672):{'3_1':0.0,'4_1':0.0},(533,670):{'3_1':0.0},(533,669):{'3_1':0.0},(533,667):{'3_1':0.0},(533,666):{'3_1':0.0,'4_1':0.0},(533,665):{'3_1':0.0},(533,664):{'3_1':0.0},(533,663):{'3_1':0.0,'4_1':0.0},(533,662):{'3_1':0.0},(533,660):{'3_1':0.0,'4_1':0.0},(533,659):{'3_1':0.0},(533,658):{'3_1':0.0},(533,657):{'3_1':0.0},(533,656):{'3_1':0.0},(533,655):{'3_1':0.03},(533,654):{'3_1':0.0,'4_1':0.0},(533,653):{'3_1':0.0},(533,652):{'3_1':0.0},(533,651):{'3_1':0.0},(533,650):{'3_1':0.0},(533,649):{'3_1':0.0},(533,648):{'3_1':0.03},(533,647):{'3_1':0.0},(533,646):{'3_1':0.0},(533,645):{'3_1':0.0},(533,644):{'3_1':0.0},(533,643):{'3_1':0.0},(533,642):{'3_1':0.0},(533,641):{'3_1':0.0},(533,640):{'3_1':0.0},(533,639):{'3_1':0.03},(533,638):{'3_1':0.0},(533,637):{'3_1':0.0},(533,633):{'3_1':0.0},(533,632):{'3_1':0.0},(533,631):{'3_1':0.0},(533,626):{'3_1':0.0},(533,624):{'3_1':0.0},(533,623):{'3_1':0.0},(533,622):{'3_1':0.0},(533,620):{'3_1':0.0},(533,618):{'3_1':0.0},(533,615):{'3_1':0.0},(533,614):{'3_1':0.0},(533,612):{'3_1':0.0},(533,610):{'3_1':0.0},(533,606):{'3_1':0.0},(533,603):{'3_1':0.0},(533,601):{'3_1':0.0},(533,600):{'3_1':0.0},(533,599):{'3_1':0.0},(533,597):{'3_1':0.0},(533,596):{'3_1':0.0},(533,594):{'3_1':0.0},(533,593):{'3_1':0.0},(533,591):{'3_1':0.0},(533,590):{'3_1':0.0},(533,589):{'3_1':0.0},(533,587):{'3_1':0.0},(533,583):{'3_1':0.0},(533,580):{'3_1':0.0},(533,556):{'3_1':0.0},(533,552):{'3_1':0.0,'4_1':0.0},(533,551):{'3_1':0.0},(533,550):{'3_1':0.0},(533,549):{'3_1':0.0},(533,548):{'3_1':0.0},(533,545):{'3_1':0.0},(533,538):{'3_1':0.0},(534,727):{'3_1':0.0},(534,726):{'3_1':0.0,'5_1':0.0},(534,725):{'3_1':0.0},(534,724):{'3_1':0.0},(534,723):{'3_1':0.0},(534,722):{'3_1':0.03},(534,721):{'3_1':0.0},(534,720):{'3_1':0.0},(534,718):{'3_1':0.0},(534,717):{'3_1':0.03,'4_1':0.0},(534,715):{'3_1':0.0},(534,714):{'3_1':0.0},(534,713):{'3_1':0.0},(534,712):{'3_1':0.0},(534,711):{'3_1':0.03,'4_1':0.0},(534,710):{'3_1':0.0},(534,709):{'3_1':0.03},(534,708):{'3_1':0.03},(534,707):{'3_1':0.0,'5_1':0.0},(534,706):{'3_1':0.0},(534,705):{'3_1':0.03,'4_1':0.0},(534,704):{'3_1':0.06,'5_1':0.0},(534,703):{'3_1':0.09,'9_1':0.0},(534,702):{'3_1':0.06,'7_1':0.0},(534,701):{'3_1':0.12},(534,700):{'3_1':0.06},(534,699):{'3_1':0.03},(534,698):{'3_1':0.06,'4_1':0.0},(534,697):{'3_1':0.03},(534,696):{'3_1':0.0,'4_1':0.0},(534,695):{'3_1':0.03},(534,694):{'3_1':0.15},(534,693):{'3_1':0.03},(534,692):{'3_1':0.09},(534,691):{'3_1':0.03},(534,690):{'3_1':0.03},(534,689):{'3_1':0.03,'5_1':0.0},(534,688):{'3_1':0.03,'5_1':0.0},(534,687):{'3_1':0.03},(534,686):{'3_1':0.03},(534,685):{'3_1':0.03},(534,684):{'3_1':0.0},(534,683):{'3_1':0.0},(534,682):{'3_1':0.03},(534,680):{'3_1':0.0},(534,679):{'3_1':0.0},(534,678):{'3_1':0.0,'5_1':0.0},(534,677):{'3_1':0.0},(534,676):{'3_1':0.0},(534,674):{'3_1':0.0},(534,673):{'3_1':0.0},(534,672):{'3_1':0.0},(534,671):{'3_1':0.0},(534,668):{'3_1':0.0},(534,667):{'3_1':0.0},(534,666):{'3_1':0.0},(534,665):{'4_1':0.0},(534,663):{'3_1':0.0},(534,662):{'3_1':0.0},(534,661):{'3_1':0.0},(534,659):{'3_1':0.0},(534,657):{'3_1':0.0},(534,656):{'3_1':0.0},(534,655):{'3_1':0.0},(534,654):{'3_1':0.0},(534,653):{'3_1':0.0,'4_1':0.0},(534,652):{'3_1':0.0},(534,651):{'3_1':0.0},(534,650):{'3_1':0.0},(534,649):{'3_1':0.03},(534,648):{'3_1':0.0},(534,647):{'3_1':0.03},(534,646):{'3_1':0.0},(534,645):{'3_1':0.0},(534,644):{'3_1':0.0},(534,643):{'3_1':0.0},(534,642):{'3_1':0.0},(534,639):{'3_1':0.0},(534,637):{'3_1':0.0},(534,636):{'3_1':0.0},(534,635):{'3_1':0.0},(534,634):{'3_1':0.0},(534,632):{'3_1':0.0},(534,631):{'3_1':0.0},(534,630):{'3_1':0.0},(534,628):{'3_1':0.03},(534,627):{'3_1':0.0},(534,626):{'3_1':0.0},(534,625):{'3_1':0.0},(534,623):{'3_1':0.0},(534,622):{'3_1':0.0},(534,619):{'3_1':0.0},(534,618):{'3_1':0.03},(534,616):{'3_1':0.0},(534,615):{'3_1':0.0},(534,613):{'3_1':0.0},(534,612):{'3_1':0.0},(534,609):{'3_1':0.0},(534,607):{'3_1':0.0},(534,605):{'3_1':0.0},(534,603):{'3_1':0.0},(534,601):{'3_1':0.0},(534,600):{'3_1':0.0},(534,599):{'3_1':0.0},(534,598):{'3_1':0.0},(534,597):{'3_1':0.0},(534,596):{'3_1':0.0},(534,595):{'3_1':0.0},(534,589):{'3_1':0.0},(534,588):{'3_1':0.0},(534,583):{'3_1':0.0},(534,580):{'3_1':0.0,'5_2':0.0},(534,579):{'3_1':0.0},(534,554):{'3_1':0.0},(534,553):{'3_1':0.0},(534,550):{'3_1':0.0},(534,540):{'3_1':0.0},(535,727):{'3_1':0.0},(535,726):{'3_1':0.0},(535,725):{'3_1':0.0},(535,724):{'3_1':0.0},(535,723):{'3_1':0.0},(535,722):{'3_1':0.03},(535,721):{'3_1':0.0},(535,719):{'3_1':0.0},(535,718):{'4_1':0.0},(535,717):{'3_1':0.0},(535,716):{'3_1':0.0,'4_1':0.0},(535,715):{'3_1':0.0},(535,714):{'3_1':0.0},(535,713):{'3_1':0.0},(535,712):{'3_1':0.06},(535,711):{'3_1':0.0},(535,710):{'3_1':0.03},(535,709):{'3_1':0.09},(535,708):{'3_1':0.03,'5_1':0.0},(535,707):{'3_1':0.06},(535,706):{'3_1':0.0},(535,705):{'3_1':0.0},(535,704):{'3_1':0.03},(535,703):{'3_1':0.03},(535,702):{'3_1':0.03,'4_1':0.0},(535,701):{'3_1':0.0},(535,700):{'3_1':0.0},(535,699):{'3_1':0.0,'4_1':0.0},(535,698):{'3_1':0.06},(535,697):{'3_1':0.03},(535,696):{'3_1':0.0},(535,695):{'3_1':0.06},(535,694):{'3_1':0.06},(535,693):{'3_1':0.03},(535,692):{'3_1':0.0},(535,691):{'3_1':0.03},(535,690):{'3_1':0.06},(535,689):{'3_1':0.06},(535,688):{'3_1':0.0,'4_1':0.0},(535,687):{'3_1':0.06},(535,686):{'3_1':0.0},(535,685):{'3_1':0.0},(535,684):{'3_1':0.03},(535,683):{'3_1':0.0},(535,682):{'3_1':0.0},(535,681):{'3_1':0.0},(535,679):{'3_1':0.0},(535,678):{'3_1':0.0},(535,677):{'3_1':0.0},(535,675):{'3_1':0.0},(535,674):{'3_1':0.0},(535,673):{'3_1':0.0},(535,672):{'3_1':0.0},(535,669):{'3_1':0.0},(535,667):{'3_1':0.0},(535,665):{'3_1':0.0},(535,664):{'3_1':0.0},(535,660):{'3_1':0.0},(535,659):{'3_1':0.0},(535,658):{'3_1':0.0},(535,656):{'3_1':0.0},(535,655):{'3_1':0.03},(535,654):{'3_1':0.0},(535,653):{'3_1':0.0},(535,652):{'3_1':0.0},(535,651):{'3_1':0.0},(535,650):{'3_1':0.0},(535,649):{'3_1':0.0,'4_1':0.0},(535,648):{'3_1':0.0},(535,647):{'3_1':0.0},(535,646):{'3_1':0.0},(535,644):{'3_1':0.0},(535,643):{'3_1':0.0},(535,641):{'3_1':0.0,'5_2':0.0},(535,638):{'3_1':0.0},(535,637):{'3_1':0.0,'4_1':0.0},(535,631):{'3_1':0.0},(535,630):{'3_1':0.0},(535,629):{'3_1':0.0},(535,625):{'3_1':0.0},(535,623):{'3_1':0.0},(535,619):{'3_1':0.0},(535,618):{'3_1':0.0},(535,615):{'3_1':0.0},(535,614):{'3_1':0.0},(535,609):{'3_1':0.0},(535,606):{'3_1':0.0},(535,605):{'3_1':0.0},(535,601):{'3_1':0.0},(535,599):{'3_1':0.0},(535,598):{'3_1':0.0},(535,597):{'3_1':0.0},(535,596):{'3_1':0.0},(535,594):{'3_1':0.0},(535,593):{'3_1':0.0},(535,590):{'3_1':0.0},(535,587):{'3_1':0.0},(535,585):{'3_1':0.0},(535,579):{'3_1':0.0},(535,555):{'3_1':0.0},(535,552):{'4_1':0.0},(535,541):{'3_1':0.0},(535,539):{'3_1':0.0},(536,727):{'3_1':0.0,'4_1':0.0},(536,726):{'3_1':0.06},(536,725):{'3_1':0.0},(536,724):{'3_1':0.03},(536,723):{'3_1':0.0},(536,722):{'3_1':0.0},(536,721):{'3_1':0.0},(536,720):{'3_1':0.0},(536,719):{'3_1':0.0},(536,718):{'3_1':0.0},(536,717):{'3_1':0.03},(536,716):{'3_1':0.0},(536,715):{'3_1':0.0},(536,714):{'3_1':0.03},(536,713):{'3_1':0.03},(536,712):{'3_1':0.0},(536,711):{'3_1':0.0},(536,710):{'3_1':0.0,'6_2':0.0},(536,709):{'3_1':0.06},(536,708):{'3_1':0.03,'4_1':0.0},(536,707):{'3_1':0.0},(536,706):{'3_1':0.03},(536,705):{'3_1':0.06},(536,704):{'3_1':0.03},(536,703):{'3_1':0.06,'9_1':0.0},(536,702):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(536,701):{'3_1':0.0},(536,700):{'3_1':0.09},(536,699):{'3_1':0.03},(536,698):{'3_1':0.09},(536,697):{'3_1':0.06,'5_1':0.0},(536,696):{'3_1':0.03,'5_1':0.0},(536,695):{'3_1':0.03},(536,694):{'3_1':0.06,'5_1':0.0},(536,693):{'3_1':0.06},(536,692):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(536,691):{'3_1':0.06},(536,690):{'3_1':0.03},(536,689):{'3_1':0.03},(536,688):{'3_1':0.0,'4_1':0.0},(536,687):{'3_1':0.0},(536,686):{'3_1':0.03,'4_1':0.0},(536,685):{'3_1':0.03},(536,684):{'3_1':0.03},(536,683):{'3_1':0.0,'5_1':0.0},(536,681):{'3_1':0.0},(536,679):{'3_1':0.03},(536,678):{'3_1':0.03},(536,677):{'3_1':0.0,'4_1':0.0},(536,675):{'3_1':0.0},(536,674):{'3_1':0.0},(536,672):{'3_1':0.03},(536,671):{'3_1':0.0},(536,670):{'5_1':0.0},(536,668):{'3_1':0.0},(536,667):{'3_1':0.0},(536,666):{'3_1':0.0},(536,665):{'3_1':0.0},(536,664):{'3_1':0.0},(536,663):{'3_1':0.0},(536,662):{'3_1':0.0},(536,660):{'3_1':0.03},(536,659):{'3_1':0.0},(536,658):{'3_1':0.0},(536,657):{'3_1':0.0},(536,655):{'3_1':0.03},(536,654):{'3_1':0.0},(536,653):{'3_1':0.0},(536,652):{'3_1':0.0},(536,651):{'3_1':0.03},(536,650):{'3_1':0.03,'4_1':0.0},(536,649):{'3_1':0.03},(536,648):{'3_1':0.03},(536,647):{'3_1':0.03},(536,646):{'3_1':0.0},(536,645):{'3_1':0.0,'4_1':0.0},(536,644):{'3_1':0.0},(536,643):{'3_1':0.03},(536,642):{'3_1':0.0},(536,641):{'3_1':0.0},(536,640):{'3_1':0.0},(536,638):{'3_1':0.0},(536,637):{'3_1':0.0},(536,634):{'3_1':0.0},(536,632):{'3_1':0.0},(536,630):{'3_1':0.0},(536,629):{'3_1':0.0},(536,626):{'3_1':0.0},(536,624):{'3_1':0.0},(536,623):{'3_1':0.0},(536,622):{'3_1':0.0},(536,620):{'3_1':0.0},(536,619):{'3_1':0.0},(536,615):{'3_1':0.0},(536,614):{'3_1':0.0},(536,612):{'3_1':0.03},(536,611):{'3_1':0.0},(536,609):{'3_1':0.0,'4_1':0.0},(536,608):{'3_1':0.0},(536,607):{'3_1':0.0},(536,592):{'3_1':0.0},(536,590):{'3_1':0.0},(536,588):{'3_1':0.0},(536,552):{'3_1':0.0},(536,550):{'3_1':0.0},(536,549):{'3_1':0.0},(537,727):{'3_1':0.0},(537,726):{'3_1':0.0},(537,725):{'3_1':0.03},(537,724):{'3_1':0.0},(537,721):{'3_1':0.0,'4_1':0.0},(537,720):{'3_1':0.0},(537,719):{'3_1':0.0},(537,718):{'3_1':0.0},(537,717):{'3_1':0.0,'4_1':0.0},(537,716):{'3_1':0.0,'4_1':0.0},(537,714):{'3_1':0.03},(537,713):{'3_1':0.0},(537,712):{'3_1':0.0},(537,711):{'3_1':0.06},(537,710):{'3_1':0.03,'5_2':0.0},(537,709):{'3_1':0.03},(537,708):{'3_1':0.0,'4_1':0.0},(537,707):{'3_1':0.0},(537,706):{'3_1':0.03,'4_1':0.0},(537,705):{'3_1':0.06,'5_1':0.0},(537,704):{'3_1':0.03},(537,703):{'3_1':0.06,'5_1':0.0},(537,702):{'3_1':0.03},(537,701):{'3_1':0.09},(537,700):{'3_1':0.03},(537,699):{'3_1':0.0},(537,698):{'3_1':0.06},(537,697):{'3_1':0.03},(537,696):{'3_1':0.03},(537,695):{'3_1':0.06},(537,694):{'3_1':0.03},(537,693):{'3_1':0.06,'5_1':0.0},(537,692):{'3_1':0.03,'4_1':0.0},(537,691):{'3_1':0.03},(537,690):{'3_1':0.06},(537,689):{'3_1':0.03},(537,688):{'3_1':0.0},(537,687):{'3_1':0.06},(537,686):{'3_1':0.03,'4_1':0.0},(537,685):{'3_1':0.03,'5_1':0.0},(537,684):{'3_1':0.03,'5_1':0.0},(537,683):{'3_1':0.03},(537,682):{'3_1':0.03,'5_1':0.0},(537,681):{'3_1':0.0},(537,680):{'3_1':0.0},(537,679):{'3_1':0.0},(537,678):{'3_1':0.0},(537,677):{'3_1':0.0},(537,676):{'3_1':0.0},(537,675):{'3_1':0.0},(537,674):{'3_1':0.0},(537,673):{'3_1':0.0},(537,672):{'3_1':0.0},(537,671):{'3_1':0.0},(537,670):{'3_1':0.0},(537,669):{'3_1':0.0},(537,668):{'3_1':0.0},(537,667):{'3_1':0.0},(537,666):{'3_1':0.0},(537,665):{'3_1':0.0},(537,664):{'3_1':0.0},(537,663):{'3_1':0.0},(537,662):{'3_1':0.0},(537,660):{'3_1':0.0},(537,658):{'3_1':0.0},(537,657):{'3_1':0.0},(537,656):{'3_1':0.0},(537,655):{'3_1':0.0,'4_1':0.0},(537,654):{'3_1':0.0},(537,653):{'3_1':0.0},(537,652):{'3_1':0.0},(537,651):{'3_1':0.0},(537,650):{'3_1':0.0},(537,649):{'3_1':0.0},(537,648):{'3_1':0.03},(537,647):{'3_1':0.0},(537,646):{'3_1':0.0},(537,644):{'3_1':0.0},(537,643):{'3_1':0.0},(537,642):{'3_1':0.0},(537,641):{'3_1':0.0},(537,640):{'3_1':0.0},(537,637):{'3_1':0.0},(537,636):{'3_1':0.0},(537,634):{'3_1':0.0},(537,632):{'3_1':0.0},(537,631):{'3_1':0.0},(537,630):{'3_1':0.0},(537,625):{'4_1':0.0},(537,624):{'3_1':0.0},(537,622):{'3_1':0.0},(537,621):{'3_1':0.0},(537,615):{'5_2':0.0},(537,611):{'3_1':0.0},(537,608):{'3_1':0.0},(537,601):{'3_1':0.0},(537,600):{'3_1':0.0},(537,598):{'3_1':0.0},(537,597):{'3_1':0.0},(537,590):{'3_1':0.0},(537,589):{'3_1':0.0},(538,726):{'3_1':0.03},(538,725):{'4_1':0.0},(538,724):{'3_1':0.03},(538,723):{'3_1':0.0},(538,722):{'3_1':0.0,'4_1':0.0},(538,721):{'3_1':0.0},(538,720):{'3_1':0.0,'4_1':0.0},(538,719):{'3_1':0.0},(538,718):{'3_1':0.0},(538,717):{'3_1':0.0},(538,716):{'3_1':0.0},(538,715):{'3_1':0.03},(538,714):{'3_1':0.0},(538,713):{'3_1':0.03},(538,712):{'3_1':0.0},(538,711):{'3_1':0.0,'4_1':0.0},(538,710):{'3_1':0.0},(538,709):{'3_1':0.03},(538,708):{'3_1':0.0},(538,707):{'3_1':0.0},(538,706):{'3_1':0.0},(538,705):{'3_1':0.0,'5_1':0.0},(538,704):{'3_1':0.03},(538,703):{'3_1':0.0},(538,702):{'3_1':0.03},(538,701):{'3_1':0.03},(538,700):{'3_1':0.03},(538,699):{'3_1':0.03},(538,698):{'3_1':0.06},(538,697):{'3_1':0.03},(538,696):{'3_1':0.03,'5_1':0.0},(538,695):{'3_1':0.03},(538,694):{'3_1':0.03},(538,693):{'3_1':0.03},(538,692):{'3_1':0.06},(538,691):{'3_1':0.0},(538,690):{'3_1':0.0},(538,689):{'3_1':0.0},(538,688):{'3_1':0.0},(538,687):{'3_1':0.0},(538,685):{'3_1':0.0},(538,684):{'3_1':0.0},(538,683):{'3_1':0.03},(538,682):{'3_1':0.03},(538,680):{'3_1':0.0},(538,679):{'3_1':0.03},(538,678):{'3_1':0.0},(538,677):{'3_1':0.0},(538,675):{'3_1':0.0},(538,674):{'3_1':0.0},(538,673):{'3_1':0.0},(538,672):{'3_1':0.0},(538,671):{'3_1':0.0},(538,670):{'3_1':0.0},(538,667):{'3_1':0.0},(538,666):{'3_1':0.0},(538,665):{'3_1':0.0},(538,664):{'3_1':0.0},(538,663):{'3_1':0.0},(538,662):{'3_1':0.0},(538,661):{'3_1':0.0},(538,659):{'3_1':0.0},(538,658):{'3_1':0.03},(538,656):{'3_1':0.0},(538,655):{'3_1':0.0},(538,654):{'3_1':0.0},(538,653):{'3_1':0.0},(538,651):{'3_1':0.0},(538,650):{'3_1':0.03},(538,649):{'3_1':0.0},(538,648):{'3_1':0.03},(538,647):{'3_1':0.0},(538,646):{'3_1':0.0},(538,644):{'3_1':0.0},(538,643):{'3_1':0.0},(538,642):{'3_1':0.0},(538,640):{'3_1':0.0},(538,639):{'3_1':0.0},(538,634):{'3_1':0.0},(538,633):{'3_1':0.0},(538,632):{'3_1':0.0},(538,631):{'3_1':0.0},(538,625):{'3_1':0.0},(538,624):{'3_1':0.0},(538,620):{'3_1':0.0},(538,616):{'3_1':0.0},(538,611):{'3_1':0.0},(538,609):{'3_1':0.0},(538,608):{'3_1':0.0},(538,607):{'3_1':0.0},(538,605):{'3_1':0.0},(538,604):{'3_1':0.0,'5_2':0.0},(538,603):{'3_1':0.0},(538,599):{'3_1':0.0},(538,594):{'3_1':0.0},(538,593):{'3_1':0.0},(538,555):{'3_1':0.0},(539,727):{'3_1':0.03},(539,726):{'3_1':0.0},(539,725):{'3_1':0.0},(539,724):{'3_1':0.0},(539,723):{'3_1':0.0},(539,722):{'5_2':0.0},(539,721):{'3_1':0.0},(539,720):{'3_1':0.0},(539,719):{'4_1':0.0},(539,718):{'3_1':0.0},(539,717):{'3_1':0.0},(539,716):{'3_1':0.0},(539,714):{'3_1':0.0},(539,713):{'3_1':0.0},(539,712):{'3_1':0.0},(539,711):{'3_1':0.03},(539,710):{'3_1':0.0},(539,709):{'3_1':0.0},(539,708):{'3_1':0.03},(539,707):{'3_1':0.0,'4_1':0.0},(539,706):{'3_1':0.0,'5_1':0.0},(539,705):{'3_1':0.03,'3_1#5_1':0.0},(539,704):{'3_1':0.0},(539,703):{'3_1':0.06,'9_1':0.0},(539,702):{'3_1':0.0,'4_1':0.0},(539,701):{'3_1':0.03,'5_1':0.0},(539,700):{'3_1':0.0,'5_1':0.0},(539,699):{'3_1':0.03},(539,698):{'3_1':0.03,'4_1':0.0},(539,697):{'3_1':0.0},(539,696):{'3_1':0.0},(539,695):{'3_1':0.0},(539,694):{'3_1':0.03},(539,693):{'3_1':0.03},(539,692):{'3_1':0.06,'4_1':0.0},(539,691):{'3_1':0.03},(539,690):{'3_1':0.03},(539,689):{'3_1':0.03,'4_1':0.0},(539,688):{'3_1':0.03},(539,687):{'3_1':0.03},(539,686):{'3_1':0.0,'5_2':0.0},(539,685):{'3_1':0.03},(539,684):{'3_1':0.0},(539,683):{'3_1':0.0},(539,682):{'3_1':0.0},(539,681):{'3_1':0.0},(539,680):{'3_1':0.0},(539,679):{'3_1':0.03},(539,678):{'3_1':0.0},(539,677):{'3_1':0.0},(539,674):{'3_1':0.0},(539,673):{'3_1':0.0},(539,672):{'3_1':0.0},(539,671):{'3_1':0.0},(539,670):{'3_1':0.0},(539,669):{'3_1':0.0},(539,668):{'3_1':0.0},(539,667):{'3_1':0.0},(539,666):{'3_1':0.0,'4_1':0.0},(539,665):{'3_1':0.0},(539,664):{'3_1':0.0,'4_1':0.0},(539,663):{'3_1':0.0},(539,661):{'3_1':0.0},(539,660):{'3_1':0.0},(539,659):{'3_1':0.0},(539,658):{'3_1':0.0},(539,656):{'3_1':0.0},(539,655):{'3_1':0.0},(539,653):{'3_1':0.0},(539,650):{'3_1':0.0},(539,649):{'3_1':0.0},(539,648):{'3_1':0.0},(539,647):{'3_1':0.0},(539,646):{'3_1':0.03},(539,644):{'3_1':0.0},(539,642):{'3_1':0.0,'4_1':0.0},(539,641):{'3_1':0.0},(539,640):{'3_1':0.0},(539,638):{'3_1':0.0},(539,637):{'3_1':0.0},(539,636):{'3_1':0.0},(539,634):{'3_1':0.0},(539,631):{'3_1':0.0},(539,630):{'3_1':0.0},(539,625):{'3_1':0.0},(539,624):{'3_1':0.0},(539,622):{'3_1':0.0},(539,614):{'3_1':0.0},(539,610):{'3_1':0.0},(539,606):{'3_1':0.0},(539,599):{'3_1':0.0},(539,594):{'3_1':0.0},(539,552):{'5_2':0.0},(540,727):{'3_1':0.0},(540,724):{'3_1':0.03},(540,723):{'3_1':0.03},(540,722):{'3_1':0.03,'5_1':0.0},(540,721):{'3_1':0.0},(540,720):{'3_1':0.0,'5_1':0.0},(540,719):{'3_1':0.0},(540,718):{'3_1':0.0},(540,717):{'3_1':0.03},(540,716):{'3_1':0.0},(540,715):{'3_1':0.0},(540,714):{'3_1':0.03},(540,713):{'3_1':0.03},(540,712):{'3_1':0.0},(540,711):{'3_1':0.0},(540,710):{'3_1':0.03},(540,709):{'3_1':0.0},(540,708):{'3_1':0.03},(540,707):{'3_1':0.0},(540,706):{'3_1':0.0,'4_1':0.0},(540,705):{'3_1':0.0},(540,704):{'3_1':0.03,'4_1':0.0},(540,703):{'3_1':0.03},(540,702):{'3_1':0.03},(540,701):{'3_1':0.06},(540,700):{'3_1':0.03},(540,699):{'3_1':0.06},(540,698):{'3_1':0.0,'4_1':0.0},(540,697):{'3_1':0.06},(540,696):{'3_1':0.03},(540,695):{'3_1':0.03},(540,694):{'3_1':0.0},(540,692):{'3_1':0.03},(540,691):{'3_1':0.03},(540,690):{'3_1':0.0,'4_1':0.0},(540,689):{'3_1':0.03},(540,688):{'3_1':0.03},(540,687):{'3_1':0.03},(540,686):{'3_1':0.0},(540,684):{'3_1':0.0},(540,683):{'3_1':0.0},(540,682):{'3_1':0.0},(540,679):{'3_1':0.03},(540,678):{'3_1':0.0},(540,677):{'3_1':0.0},(540,676):{'3_1':0.0},(540,675):{'3_1':0.0},(540,673):{'3_1':0.0},(540,671):{'3_1':0.0},(540,670):{'3_1':0.0},(540,669):{'3_1':0.0},(540,666):{'3_1':0.0},(540,665):{'3_1':0.0},(540,664):{'3_1':0.0},(540,663):{'3_1':0.0},(540,662):{'3_1':0.0},(540,660):{'3_1':0.0},(540,659):{'3_1':0.0},(540,657):{'3_1':0.0},(540,656):{'3_1':0.0},(540,655):{'3_1':0.0},(540,652):{'3_1':0.0},(540,651):{'4_1':0.0},(540,650):{'3_1':0.0},(540,649):{'3_1':0.0},(540,648):{'3_1':0.0},(540,647):{'3_1':0.0},(540,644):{'3_1':0.0},(540,643):{'3_1':0.0},(540,642):{'3_1':0.0,'5_2':0.0},(540,641):{'3_1':0.0},(540,640):{'3_1':0.0},(540,639):{'3_1':0.0},(540,637):{'3_1':0.0},(540,635):{'3_1':0.0},(540,634):{'5_2':0.0},(540,633):{'3_1':0.0,'5_1':0.0},(540,632):{'3_1':0.0},(540,631):{'3_1':0.0},(540,630):{'3_1':0.0},(540,626):{'3_1':0.0},(540,625):{'3_1':0.0},(540,622):{'3_1':0.0},(540,613):{'3_1':0.0},(540,609):{'3_1':0.0},(540,607):{'4_1':0.0},(540,605):{'3_1':0.0},(540,604):{'5_2':0.0},(540,597):{'3_1':0.0},(540,594):{'3_1':0.0},(540,593):{'3_1':0.0},(540,592):{'3_1':0.0},(540,591):{'3_1':0.0},(540,589):{'3_1':0.0},(540,551):{'3_1':0.0},(540,550):{'5_2':0.0},(541,727):{'3_1':0.0},(541,726):{'3_1':0.0},(541,725):{'3_1':0.0},(541,724):{'3_1':0.0},(541,723):{'3_1':0.0},(541,722):{'3_1':0.0},(541,721):{'3_1':0.0},(541,720):{'3_1':0.0},(541,718):{'3_1':0.0},(541,717):{'4_1':0.0},(541,716):{'3_1':0.0},(541,715):{'3_1':0.0},(541,714):{'3_1':0.03},(541,713):{'4_1':0.0},(541,712):{'3_1':0.0,'4_1':0.0},(541,711):{'3_1':0.0,'4_1':0.0},(541,710):{'3_1':0.0},(541,709):{'3_1':0.0},(541,708):{'3_1':0.0},(541,707):{'3_1':0.0},(541,706):{'3_1':0.06},(541,705):{'3_1':0.03},(541,704):{'3_1':0.03},(541,703):{'3_1':0.0,'7_1':0.0},(541,702):{'3_1':0.03},(541,701):{'3_1':0.0},(541,700):{'3_1':0.0},(541,699):{'3_1':0.0},(541,698):{'3_1':0.03},(541,697):{'3_1':0.06},(541,696):{'3_1':0.03},(541,695):{'3_1':0.0},(541,694):{'3_1':0.03},(541,693):{'3_1':0.0},(541,692):{'3_1':0.03,'5_1':0.0},(541,691):{'3_1':0.0},(541,690):{'3_1':0.03,'5_1':0.0},(541,689):{'3_1':0.03,'5_1':0.0},(541,688):{'3_1':0.03},(541,687):{'3_1':0.03},(541,686):{'3_1':0.0},(541,685):{'3_1':0.0,'5_2':0.0},(541,684):{'3_1':0.0},(541,683):{'3_1':0.0,'5_1':0.0},(541,682):{'3_1':0.03},(541,681):{'3_1':0.0},(541,680):{'3_1':0.0},(541,679):{'3_1':0.0},(541,671):{'3_1':0.0},(541,670):{'3_1':0.0},(541,669):{'4_1':0.0},(541,667):{'3_1':0.0},(541,666):{'3_1':0.0},(541,665):{'3_1':0.0,'4_1':0.0},(541,662):{'3_1':0.0},(541,661):{'3_1':0.0},(541,659):{'3_1':0.0},(541,658):{'3_1':0.03},(541,657):{'3_1':0.0},(541,656):{'3_1':0.0},(541,655):{'3_1':0.03},(541,654):{'3_1':0.0},(541,653):{'3_1':0.03},(541,652):{'3_1':0.0},(541,651):{'3_1':0.0},(541,650):{'3_1':0.03},(541,649):{'3_1':0.0},(541,648):{'3_1':0.0},(541,646):{'3_1':0.0},(541,644):{'3_1':0.0},(541,643):{'3_1':0.0},(541,642):{'3_1':0.0},(541,641):{'3_1':0.0},(541,640):{'3_1':0.0},(541,639):{'3_1':0.0},(541,638):{'3_1':0.0},(541,637):{'3_1':0.0},(541,636):{'3_1':0.03},(541,634):{'3_1':0.0},(541,632):{'3_1':0.0},(541,629):{'3_1':0.0},(541,597):{'3_1':0.0},(541,596):{'3_1':0.0},(541,594):{'3_1':0.0},(541,593):{'3_1':0.0},(541,592):{'5_2':0.0},(542,725):{'3_1':0.0},(542,724):{'3_1':0.0},(542,723):{'3_1':0.0},(542,722):{'3_1':0.0},(542,721):{'3_1':0.0},(542,720):{'3_1':0.0},(542,719):{'3_1':0.0},(542,718):{'3_1':0.0},(542,717):{'3_1':0.0},(542,716):{'3_1':0.0},(542,715):{'3_1':0.03},(542,713):{'3_1':0.0},(542,712):{'3_1':0.0},(542,711):{'3_1':0.03},(542,710):{'3_1':0.0,'4_1':0.0},(542,709):{'3_1':0.0},(542,708):{'3_1':0.03},(542,707):{'3_1':0.0},(542,706):{'3_1':0.03},(542,705):{'3_1':0.0},(542,704):{'3_1':0.03,'4_1':0.0},(542,703):{'3_1':0.0},(542,702):{'3_1':0.03},(542,701):{'3_1':0.03},(542,700):{'3_1':0.0,'5_1':0.0},(542,699):{'3_1':0.03},(542,698):{'3_1':0.03},(542,697):{'3_1':0.03},(542,696):{'3_1':0.03},(542,695):{'3_1':0.0},(542,694):{'3_1':0.03},(542,693):{'3_1':0.03},(542,692):{'3_1':0.0},(542,691):{'3_1':0.03},(542,690):{'3_1':0.03},(542,689):{'3_1':0.0},(542,688):{'3_1':0.03},(542,687):{'3_1':0.0,'5_1':0.0},(542,686):{'3_1':0.0},(542,685):{'3_1':0.0},(542,684):{'3_1':0.03},(542,683):{'3_1':0.0},(542,682):{'3_1':0.0},(542,681):{'3_1':0.03,'4_1':0.0},(542,680):{'3_1':0.0,'5_1':0.0},(542,679):{'3_1':0.0},(542,677):{'3_1':0.03},(542,676):{'3_1':0.03,'5_1':0.0},(542,675):{'3_1':0.0},(542,674):{'3_1':0.0},(542,673):{'3_1':0.0},(542,672):{'3_1':0.0},(542,670):{'3_1':0.0},(542,668):{'3_1':0.0},(542,667):{'3_1':0.0},(542,666):{'3_1':0.0},(542,663):{'3_1':0.0},(542,661):{'3_1':0.0,'4_1':0.0},(542,660):{'3_1':0.0},(542,659):{'3_1':0.0},(542,658):{'3_1':0.0},(542,657):{'3_1':0.0},(542,654):{'3_1':0.03},(542,653):{'3_1':0.0},(542,652):{'3_1':0.0},(542,651):{'3_1':0.0},(542,650):{'3_1':0.0},(542,648):{'3_1':0.03},(542,647):{'3_1':0.03},(542,646):{'3_1':0.0},(542,645):{'3_1':0.0},(542,644):{'3_1':0.0},(542,643):{'4_1':0.0},(542,642):{'3_1':0.0},(542,640):{'3_1':0.0},(542,639):{'3_1':0.0},(542,637):{'3_1':0.03},(542,636):{'3_1':0.03},(542,635):{'3_1':0.0},(542,630):{'3_1':0.0,'4_1':0.0},(542,596):{'3_1':0.0},(542,594):{'3_1':0.0},(542,591):{'3_1':0.0},(542,590):{'3_1':0.0},(542,588):{'3_1':0.0},(543,726):{'3_1':0.0},(543,725):{'3_1':0.0},(543,724):{'3_1':0.03},(543,723):{'3_1':0.0},(543,721):{'3_1':0.0},(543,719):{'3_1':0.0},(543,718):{'3_1':0.0},(543,717):{'3_1':0.0},(543,716):{'3_1':0.0},(543,715):{'3_1':0.0,'4_1':0.0},(543,713):{'3_1':0.0},(543,712):{'3_1':0.0},(543,711):{'3_1':0.0},(543,710):{'3_1':0.03},(543,709):{'3_1':0.0},(543,708):{'3_1':0.06},(543,707):{'3_1':0.03},(543,706):{'3_1':0.03},(543,704):{'3_1':0.0},(543,703):{'3_1':0.06,'4_1':0.0},(543,702):{'3_1':0.06,'4_1':0.0},(543,701):{'3_1':0.03},(543,700):{'3_1':0.06,'4_1':0.0,'7_1':0.0},(543,699):{'3_1':0.0,'5_1':0.0},(543,698):{'3_1':0.03},(543,697):{'3_1':0.03},(543,696):{'3_1':0.0},(543,695):{'3_1':0.0},(543,694):{'3_1':0.03,'4_1':0.0},(543,693):{'3_1':0.06},(543,692):{'3_1':0.03},(543,691):{'3_1':0.0},(543,690):{'3_1':0.03},(543,688):{'3_1':0.03},(543,687):{'3_1':0.03},(543,686):{'3_1':0.0,'4_1':0.0},(543,685):{'3_1':0.0},(543,682):{'3_1':0.03},(543,681):{'3_1':0.03,'5_1':0.0},(543,680):{'3_1':0.03},(543,679):{'3_1':0.0},(543,678):{'3_1':0.03},(543,677):{'5_1':0.0},(543,676):{'3_1':0.0},(543,675):{'3_1':0.0,'4_1':0.0},(543,674):{'3_1':0.0},(543,673):{'3_1':0.0},(543,671):{'3_1':0.0,'4_1':0.0},(543,670):{'3_1':0.0},(543,669):{'3_1':0.03},(543,668):{'3_1':0.0},(543,667):{'3_1':0.0},(543,666):{'3_1':0.0,'4_1':0.0},(543,665):{'3_1':0.03},(543,664):{'3_1':0.0},(543,663):{'3_1':0.0},(543,661):{'3_1':0.0},(543,660):{'3_1':0.0},(543,658):{'3_1':0.0},(543,657):{'3_1':0.0},(543,656):{'3_1':0.0},(543,654):{'3_1':0.0},(543,653):{'3_1':0.0},(543,651):{'3_1':0.0},(543,648):{'3_1':0.0},(543,647):{'3_1':0.0},(543,646):{'3_1':0.0},(543,644):{'3_1':0.03},(543,643):{'3_1':0.0},(543,642):{'3_1':0.0},(543,641):{'3_1':0.0},(543,640):{'3_1':0.0},(543,639):{'3_1':0.0},(543,638):{'3_1':0.0},(543,635):{'3_1':0.0},(543,634):{'3_1':0.0,'4_1':0.0},(543,633):{'3_1':0.0},(543,623):{'3_1':0.0},(543,599):{'3_1':0.0},(543,598):{'3_1':0.0},(543,595):{'3_1':0.0},(543,591):{'3_1':0.0},(544,727):{'3_1':0.0,'4_1':0.0},(544,725):{'3_1':0.03},(544,724):{'3_1':0.0},(544,722):{'3_1':0.0},(544,721):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(544,720):{'3_1':0.0,'4_1':0.0},(544,719):{'3_1':0.0},(544,718):{'3_1':0.0},(544,717):{'3_1':0.0},(544,716):{'4_1':0.0},(544,715):{'3_1':0.03},(544,714):{'3_1':0.0},(544,712):{'3_1':0.0},(544,709):{'3_1':0.03},(544,708):{'3_1':0.0,'4_1':0.0},(544,706):{'3_1':0.0},(544,705):{'3_1':0.0},(544,704):{'3_1':0.03},(544,703):{'3_1':0.03,'4_1':0.0},(544,702):{'3_1':0.0},(544,701):{'3_1':0.03},(544,700):{'3_1':0.06},(544,699):{'3_1':0.0},(544,698):{'3_1':0.03},(544,697):{'3_1':0.03,'4_1':0.0},(544,696):{'3_1':0.06},(544,695):{'3_1':0.03},(544,694):{'3_1':0.03},(544,693):{'3_1':0.0},(544,692):{'3_1':0.0,'4_1':0.0},(544,691):{'3_1':0.03},(544,690):{'3_1':0.06},(544,689):{'3_1':0.03},(544,688):{'3_1':0.0},(544,687):{'3_1':0.0},(544,686):{'3_1':0.0},(544,685):{'3_1':0.03},(544,683):{'3_1':0.0},(544,681):{'3_1':0.0},(544,680):{'3_1':0.03},(544,679):{'3_1':0.0},(544,678):{'3_1':0.0},(544,677):{'3_1':0.0},(544,676):{'3_1':0.0},(544,675):{'3_1':0.03},(544,674):{'3_1':0.0},(544,673):{'3_1':0.0},(544,671):{'3_1':0.0},(544,670):{'3_1':0.0},(544,669):{'3_1':0.0},(544,668):{'3_1':0.0},(544,667):{'3_1':0.0},(544,666):{'7_2':0.0},(544,665):{'3_1':0.0},(544,664):{'3_1':0.0},(544,663):{'3_1':0.0},(544,661):{'3_1':0.0},(544,658):{'3_1':0.0},(544,657):{'3_1':0.0},(544,656):{'3_1':0.0},(544,655):{'3_1':0.0},(544,653):{'3_1':0.03},(544,652):{'3_1':0.0},(544,651):{'3_1':0.0},(544,650):{'3_1':0.0},(544,649):{'3_1':0.0},(544,648):{'3_1':0.0},(544,647):{'3_1':0.0},(544,646):{'3_1':0.0},(544,645):{'3_1':0.0},(544,644):{'3_1':0.0},(544,643):{'3_1':0.0},(544,642):{'4_1':0.0},(544,641):{'3_1':0.0},(544,640):{'3_1':0.0},(544,633):{'7_2':0.0},(544,631):{'3_1':0.0},(544,629):{'5_1':0.0},(544,628):{'3_1':0.0},(544,626):{'3_1':0.0},(544,600):{'3_1':0.0},(544,599):{'3_1':0.0},(544,594):{'3_1':0.0},(544,593):{'3_1':0.0},(545,727):{'3_1':0.0},(545,726):{'3_1':0.0},(545,725):{'3_1':0.03},(545,724):{'3_1':0.0},(545,723):{'3_1':0.0},(545,722):{'3_1':0.0},(545,721):{'3_1':0.0},(545,720):{'3_1':0.0},(545,719):{'3_1':0.0},(545,718):{'3_1':0.0},(545,717):{'3_1':0.06},(545,716):{'3_1':0.0},(545,715):{'3_1':0.0,'4_1':0.0},(545,714):{'3_1':0.0},(545,713):{'3_1':0.0},(545,711):{'3_1':0.0},(545,710):{'3_1':0.0},(545,709):{'3_1':0.03},(545,708):{'3_1':0.03},(545,707):{'3_1':0.03},(545,706):{'3_1':0.0},(545,705):{'3_1':0.0},(545,704):{'3_1':0.0,'4_1':0.0},(545,703):{'3_1':0.06},(545,702):{'3_1':0.0},(545,701):{'3_1':0.06},(545,700):{'3_1':0.03},(545,699):{'3_1':0.06},(545,698):{'3_1':0.06},(545,697):{'3_1':0.0,'4_1':0.0},(545,696):{'3_1':0.0},(545,695):{'3_1':0.03},(545,694):{'3_1':0.06},(545,693):{'3_1':0.03},(545,692):{'3_1':0.03},(545,691):{'3_1':0.0},(545,690):{'3_1':0.0},(545,689):{'3_1':0.0},(545,688):{'3_1':0.0},(545,687):{'3_1':0.03},(545,686):{'3_1':0.03},(545,685):{'3_1':0.0},(545,684):{'3_1':0.0},(545,682):{'3_1':0.0},(545,681):{'3_1':0.0},(545,680):{'3_1':0.0},(545,679):{'3_1':0.0},(545,678):{'3_1':0.0},(545,677):{'3_1':0.0},(545,675):{'3_1':0.0},(545,674):{'3_1':0.0},(545,672):{'3_1':0.0},(545,671):{'4_1':0.0},(545,670):{'3_1':0.0},(545,669):{'3_1':0.0},(545,668):{'4_1':0.0},(545,665):{'3_1':0.0},(545,664):{'3_1':0.0},(545,663):{'3_1':0.0},(545,662):{'3_1':0.0},(545,661):{'3_1':0.0},(545,660):{'3_1':0.0},(545,659):{'3_1':0.0},(545,658):{'3_1':0.03},(545,657):{'3_1':0.0},(545,655):{'3_1':0.0},(545,654):{'3_1':0.0},(545,653):{'3_1':0.0},(545,651):{'3_1':0.03},(545,650):{'3_1':0.0},(545,649):{'3_1':0.0},(545,648):{'3_1':0.0},(545,647):{'3_1':0.0},(545,646):{'3_1':0.0},(545,645):{'3_1':0.0},(545,643):{'3_1':0.0},(545,641):{'3_1':0.0},(545,640):{'3_1':0.0},(545,639):{'3_1':0.0},(545,638):{'3_1':0.0},(545,637):{'3_1':0.0},(545,636):{'3_1':0.0},(545,634):{'3_1':0.0},(545,633):{'3_1':0.0},(545,632):{'3_1':0.0},(545,628):{'3_1':0.0},(545,601):{'3_1':0.0},(545,599):{'3_1':0.0},(545,596):{'3_1':0.0},(545,594):{'3_1':0.0},(545,593):{'3_1':0.0,'5_2':0.0},(545,592):{'3_1':0.0},(545,591):{'3_1':0.0},(546,727):{'3_1':0.0},(546,726):{'3_1':0.0},(546,725):{'3_1':0.0},(546,724):{'3_1':0.0},(546,723):{'3_1':0.0},(546,722):{'4_1':0.0},(546,721):{'3_1':0.0},(546,720):{'3_1':0.03},(546,719):{'3_1':0.0},(546,718):{'3_1':0.0},(546,717):{'3_1':0.0},(546,716):{'3_1':0.03},(546,714):{'3_1':0.0,'4_1':0.0},(546,713):{'4_1':0.0},(546,712):{'3_1':0.0},(546,711):{'3_1':0.0},(546,710):{'3_1':0.0},(546,709):{'3_1':0.0},(546,708):{'3_1':0.0},(546,707):{'3_1':0.0},(546,706):{'3_1':0.03},(546,705):{'3_1':0.03},(546,704):{'3_1':0.03},(546,703):{'3_1':0.03,'7_1':0.0},(546,702):{'3_1':0.0},(546,701):{'3_1':0.03},(546,700):{'3_1':0.03},(546,699):{'3_1':0.03},(546,698):{'3_1':0.03},(546,697):{'3_1':0.03},(546,696):{'3_1':0.0},(546,695):{'3_1':0.03},(546,694):{'3_1':0.06},(546,693):{'3_1':0.06},(546,692):{'3_1':0.06},(546,691):{'3_1':0.0},(546,690):{'3_1':0.03},(546,689):{'3_1':0.0},(546,688):{'3_1':0.03},(546,687):{'3_1':0.0},(546,686):{'3_1':0.06},(546,685):{'3_1':0.0},(546,684):{'3_1':0.0,'4_1':0.0},(546,683):{'3_1':0.0},(546,681):{'3_1':0.0},(546,680):{'3_1':0.0},(546,679):{'3_1':0.0},(546,678):{'3_1':0.0},(546,677):{'3_1':0.0},(546,675):{'3_1':0.0},(546,674):{'3_1':0.03},(546,673):{'3_1':0.0},(546,672):{'3_1':0.0},(546,671):{'3_1':0.0},(546,670):{'3_1':0.0},(546,669):{'3_1':0.0},(546,666):{'3_1':0.03},(546,665):{'3_1':0.0},(546,663):{'3_1':0.0},(546,662):{'3_1':0.0},(546,661):{'3_1':0.0,'4_1':0.0},(546,660):{'3_1':0.0},(546,658):{'3_1':0.0},(546,657):{'3_1':0.0},(546,655):{'3_1':0.0},(546,654):{'3_1':0.0},(546,653):{'3_1':0.0},(546,650):{'3_1':0.0},(546,649):{'3_1':0.0},(546,648):{'3_1':0.03},(546,647):{'3_1':0.0},(546,646):{'3_1':0.0},(546,644):{'3_1':0.0},(546,643):{'3_1':0.0},(546,629):{'4_1':0.0},(546,627):{'3_1':0.0},(547,727):{'3_1':0.0},(547,726):{'3_1':0.0},(547,725):{'3_1':0.03},(547,724):{'3_1':0.0},(547,723):{'3_1':0.0},(547,721):{'3_1':0.0},(547,720):{'3_1':0.06},(547,719):{'3_1':0.0},(547,718):{'3_1':0.0},(547,717):{'3_1':0.0},(547,716):{'3_1':0.0},(547,715):{'3_1':0.0},(547,714):{'3_1':0.0,'4_1':0.0},(547,713):{'3_1':0.0},(547,711):{'3_1':0.0},(547,710):{'3_1':0.0},(547,709):{'3_1':0.0,'5_1':0.0},(547,708):{'3_1':0.03},(547,707):{'3_1':0.0},(547,706):{'3_1':0.0,'5_1':0.0},(547,705):{'3_1':0.0},(547,704):{'3_1':0.03},(547,703):{'3_1':0.03,'7_1':0.0},(547,702):{'3_1':0.0},(547,701):{'3_1':0.0,'9_1':0.0},(547,700):{'3_1':0.0,'7_1':0.0},(547,699):{'3_1':0.03},(547,698):{'3_1':0.03,'4_1':0.0},(547,697):{'3_1':0.03},(547,696):{'3_1':0.03},(547,695):{'3_1':0.0},(547,694):{'3_1':0.0},(547,693):{'3_1':0.03},(547,692):{'3_1':0.0},(547,691):{'3_1':0.03},(547,690):{'3_1':0.03},(547,689):{'3_1':0.0},(547,688):{'3_1':0.0},(547,687):{'3_1':0.0},(547,686):{'3_1':0.0},(547,685):{'3_1':0.03},(547,684):{'3_1':0.0},(547,683):{'3_1':0.0},(547,681):{'3_1':0.0},(547,680):{'3_1':0.0},(547,679):{'3_1':0.0},(547,678):{'3_1':0.0},(547,677):{'3_1':0.0},(547,676):{'3_1':0.0},(547,673):{'3_1':0.0},(547,669):{'3_1':0.0},(547,667):{'3_1':0.0,'4_1':0.0},(547,665):{'3_1':0.0},(547,664):{'3_1':0.0},(547,663):{'3_1':0.0},(547,662):{'6_1':0.0},(547,661):{'3_1':0.0},(547,660):{'3_1':0.0},(547,659):{'3_1':0.0},(547,656):{'3_1':0.0},(547,655):{'3_1':0.0},(547,654):{'3_1':0.0},(547,652):{'3_1':0.0},(547,651):{'3_1':0.0},(547,650):{'3_1':0.0,'4_1':0.0},(547,649):{'3_1':0.0},(547,648):{'3_1':0.0},(547,647):{'3_1':0.0},(547,646):{'3_1':0.0},(547,644):{'3_1':0.0},(547,643):{'3_1':0.0},(547,641):{'3_1':0.0},(547,640):{'3_1':0.0},(547,639):{'3_1':0.0},(547,638):{'3_1':0.0},(547,636):{'3_1':0.0},(547,634):{'3_1':0.0},(547,631):{'3_1':0.0},(547,629):{'3_1':0.0},(547,623):{'3_1':0.0},(548,727):{'3_1':0.03},(548,726):{'3_1':0.0},(548,725):{'3_1':0.0},(548,724):{'3_1':0.0},(548,723):{'3_1':0.0,'5_1':0.0},(548,722):{'3_1':0.0},(548,720):{'3_1':0.03},(548,719):{'3_1':0.0},(548,718):{'3_1':0.0},(548,717):{'3_1':0.0},(548,716):{'3_1':0.0,'5_1':0.0},(548,714):{'3_1':0.03},(548,713):{'3_1':0.03},(548,712):{'3_1':0.0},(548,711):{'3_1':0.0},(548,710):{'3_1':0.0},(548,709):{'3_1':0.0},(548,708):{'3_1':0.0},(548,707):{'3_1':0.03,'5_1':0.0},(548,706):{'3_1':0.03,'5_1':0.0},(548,705):{'3_1':0.0},(548,704):{'3_1':0.03},(548,703):{'3_1':0.0},(548,702):{'3_1':0.0},(548,701):{'3_1':0.03},(548,700):{'3_1':0.03},(548,699):{'3_1':0.03},(548,698):{'3_1':0.0,'4_1':0.0},(548,697):{'3_1':0.03,'4_1':0.0},(548,696):{'3_1':0.0},(548,695):{'3_1':0.06},(548,694):{'3_1':0.0},(548,693):{'3_1':0.0},(548,692):{'3_1':0.0},(548,691):{'3_1':0.0,'4_1':0.0},(548,690):{'3_1':0.03},(548,689):{'3_1':0.0,'5_1':0.0},(548,688):{'3_1':0.0},(548,687):{'3_1':0.0},(548,686):{'3_1':0.0},(548,685):{'3_1':0.0},(548,684):{'3_1':0.03,'5_1':0.0},(548,683):{'3_1':0.0},(548,681):{'3_1':0.0},(548,680):{'3_1':0.0},(548,679):{'3_1':0.0},(548,678):{'3_1':0.0},(548,676):{'3_1':0.0,'5_1':0.0},(548,675):{'3_1':0.0},(548,674):{'3_1':0.0},(548,673):{'3_1':0.0},(548,672):{'3_1':0.0},(548,671):{'3_1':0.0},(548,669):{'3_1':0.0,'4_1':0.0},(548,667):{'3_1':0.0},(548,666):{'3_1':0.0},(548,665):{'4_1':0.0},(548,664):{'3_1':0.0,'4_1':0.0},(548,662):{'3_1':0.0},(548,660):{'3_1':0.0},(548,658):{'4_1':0.0,'3_1':0.0},(548,657):{'3_1':0.0},(548,655):{'3_1':0.0},(548,654):{'3_1':0.0},(548,653):{'3_1':0.0},(548,652):{'3_1':0.0},(548,650):{'3_1':0.0},(548,649):{'3_1':0.0},(548,648):{'3_1':0.03},(548,647):{'3_1':0.0},(548,646):{'3_1':0.0},(548,645):{'3_1':0.0},(548,644):{'3_1':0.0},(548,641):{'3_1':0.0},(548,640):{'3_1':0.0},(548,639):{'3_1':0.0},(548,638):{'3_1':0.0},(548,637):{'3_1':0.0},(548,635):{'3_1':0.0},(548,633):{'3_1':0.0},(549,727):{'3_1':0.03},(549,725):{'3_1':0.0},(549,724):{'3_1':0.0},(549,723):{'3_1':0.0},(549,722):{'3_1':0.0},(549,721):{'3_1':0.0,'4_1':0.0},(549,720):{'3_1':0.0},(549,719):{'3_1':0.0},(549,718):{'3_1':0.03},(549,716):{'3_1':0.0},(549,715):{'3_1':0.0},(549,714):{'3_1':0.0},(549,713):{'3_1':0.03,'6_1':0.0},(549,712):{'3_1':0.03,'4_1':0.0},(549,711):{'3_1':0.0},(549,710):{'3_1':0.0},(549,709):{'3_1':0.0},(549,708):{'3_1':0.03},(549,707):{'3_1':0.0},(549,706):{'3_1':0.03,'7_1':0.0},(549,705):{'3_1':0.0},(549,704):{'3_1':0.0},(549,703):{'3_1':0.0},(549,702):{'3_1':0.0},(549,701):{'3_1':0.03},(549,700):{'3_1':0.03},(549,699):{'3_1':0.0,'5_1':0.0},(549,698):{'3_1':0.03},(549,697):{'3_1':0.06},(549,696):{'3_1':0.0,'5_1':0.0},(549,695):{'3_1':0.06},(549,694):{'3_1':0.06},(549,693):{'3_1':0.06},(549,692):{'3_1':0.0,'5_1':0.0},(549,691):{'3_1':0.06},(549,690):{'3_1':0.0},(549,689):{'3_1':0.0},(549,688):{'3_1':0.0},(549,687):{'3_1':0.03,'4_1':0.0},(549,686):{'3_1':0.0,'4_1':0.0},(549,685):{'3_1':0.0},(549,684):{'3_1':0.0},(549,683):{'3_1':0.0,'4_1':0.0},(549,681):{'3_1':0.0},(549,680):{'3_1':0.0},(549,679):{'3_1':0.0},(549,678):{'3_1':0.0},(549,675):{'3_1':0.0},(549,674):{'3_1':0.0},(549,673):{'4_1':0.0},(549,672):{'3_1':0.0},(549,671):{'3_1':0.0},(549,669):{'3_1':0.0,'4_1':0.0},(549,667):{'3_1':0.0},(549,665):{'3_1':0.0},(549,664):{'3_1':0.0},(549,662):{'3_1':0.0},(549,661):{'3_1':0.0},(549,660):{'3_1':0.0},(549,656):{'3_1':0.0},(549,654):{'4_1':0.0},(549,653):{'3_1':0.0},(549,652):{'3_1':0.0},(549,651):{'3_1':0.0},(549,648):{'3_1':0.03},(549,647):{'3_1':0.03},(549,646):{'3_1':0.0},(549,645):{'3_1':0.0},(549,642):{'3_1':0.0},(549,641):{'3_1':0.0},(549,640):{'3_1':0.0},(549,639):{'3_1':0.0},(549,637):{'3_1':0.0},(549,635):{'3_1':0.0},(549,634):{'5_1':0.0},(549,630):{'3_1':0.0},(549,599):{'3_1':0.0},(549,598):{'7_2':0.0},(550,727):{'3_1':0.0},(550,726):{'3_1':0.0,'4_1':0.0},(550,724):{'3_1':0.0},(550,723):{'3_1':0.0,'5_1':0.0},(550,722):{'3_1':0.0},(550,721):{'3_1':0.0},(550,720):{'3_1':0.03},(550,719):{'3_1':0.0},(550,718):{'4_1':0.0},(550,717):{'3_1':0.0},(550,716):{'3_1':0.0},(550,715):{'3_1':0.0},(550,714):{'3_1':0.0},(550,713):{'3_1':0.0},(550,712):{'3_1':0.0},(550,711):{'3_1':0.0},(550,710):{'3_1':0.0},(550,709):{'3_1':0.03},(550,708):{'3_1':0.0},(550,707):{'3_1':0.03},(550,706):{'3_1':0.0,'4_1':0.0},(550,705):{'3_1':0.03,'5_1':0.0},(550,704):{'3_1':0.0},(550,703):{'3_1':0.0,'4_1':0.0},(550,702):{'3_1':0.09},(550,701):{'3_1':0.03},(550,700):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(550,699):{'3_1':0.0},(550,698):{'3_1':0.03},(550,697):{'3_1':0.0},(550,696):{'3_1':0.03},(550,695):{'3_1':0.0,'4_1':0.0},(550,694):{'3_1':0.0},(550,693):{'3_1':0.03},(550,692):{'3_1':0.0},(550,690):{'3_1':0.0},(550,689):{'3_1':0.0},(550,688):{'3_1':0.0},(550,687):{'3_1':0.0},(550,686):{'3_1':0.0},(550,685):{'3_1':0.0},(550,684):{'3_1':0.0},(550,683):{'3_1':0.0},(550,681):{'3_1':0.0},(550,680):{'3_1':0.0},(550,679):{'3_1':0.0},(550,678):{'3_1':0.0,'4_1':0.0},(550,677):{'3_1':0.0,'4_1':0.0},(550,676):{'4_1':0.0},(550,674):{'3_1':0.0},(550,673):{'3_1':0.0},(550,672):{'3_1':0.0},(550,669):{'3_1':0.0,'4_1':0.0},(550,667):{'3_1':0.0,'4_1':0.0},(550,666):{'3_1':0.0},(550,665):{'3_1':0.0},(550,664):{'3_1':0.0},(550,662):{'4_1':0.0},(550,661):{'3_1':0.0},(550,660):{'3_1':0.0},(550,657):{'3_1':0.0},(550,656):{'3_1':0.0},(550,655):{'3_1':0.0,'4_1':0.0},(550,652):{'3_1':0.0},(550,651):{'3_1':0.0},(550,649):{'3_1':0.0},(550,648):{'3_1':0.0},(550,646):{'3_1':0.0},(550,645):{'3_1':0.0},(550,644):{'3_1':0.0},(550,643):{'3_1':0.0},(550,641):{'3_1':0.0},(550,640):{'3_1':0.0},(550,639):{'3_1':0.0},(550,638):{'3_1':0.0},(550,637):{'3_1':0.0},(550,636):{'3_1':0.0},(550,633):{'3_1':0.0},(550,631):{'3_1':0.0},(550,630):{'3_1':0.0},(550,624):{'3_1':0.0},(551,727):{'3_1':0.0},(551,726):{'4_1':0.0,'3_1':0.0},(551,725):{'3_1':0.03},(551,724):{'3_1':0.0},(551,723):{'3_1':0.0,'4_1':0.0},(551,722):{'3_1':0.0},(551,721):{'3_1':0.0},(551,720):{'3_1':0.0},(551,718):{'3_1':0.0,'4_1':0.0},(551,717):{'3_1':0.0},(551,716):{'3_1':0.0},(551,715):{'3_1':0.0},(551,714):{'3_1':0.0},(551,713):{'3_1':0.0},(551,712):{'3_1':0.0},(551,711):{'3_1':0.0},(551,710):{'3_1':0.03,'5_1':0.0},(551,709):{'3_1':0.0},(551,708):{'3_1':0.0},(551,707):{'3_1':0.0,'5_1':0.0},(551,706):{'3_1':0.0},(551,705):{'3_1':0.06,'4_1':0.0},(551,704):{'3_1':0.0},(551,703):{'3_1':0.03},(551,702):{'3_1':0.0,'7_1':0.0},(551,701):{'3_1':0.03},(551,700):{'3_1':0.03},(551,699):{'3_1':0.0},(551,698):{'3_1':0.06},(551,697):{'3_1':0.0},(551,696):{'3_1':0.0},(551,695):{'3_1':0.03,'5_1':0.0},(551,693):{'3_1':0.0},(551,692):{'3_1':0.0},(551,691):{'3_1':0.0,'4_1':0.0},(551,690):{'3_1':0.0},(551,689):{'3_1':0.03},(551,688):{'3_1':0.0},(551,687):{'3_1':0.03},(551,686):{'3_1':0.0},(551,685):{'3_1':0.0},(551,684):{'3_1':0.0,'5_1':0.0},(551,683):{'3_1':0.0},(551,671):{'3_1':0.0},(551,669):{'3_1':0.0},(551,668):{'3_1':0.0},(551,667):{'3_1':0.0},(551,663):{'3_1':0.0},(551,662):{'3_1':0.0},(551,661):{'3_1':0.0},(551,659):{'3_1':0.0},(551,658):{'4_1':0.0},(551,657):{'3_1':0.0},(551,656):{'3_1':0.0,'4_1':0.0},(551,654):{'3_1':0.0},(551,652):{'3_1':0.0},(551,651):{'3_1':0.0},(551,650):{'3_1':0.0},(551,647):{'3_1':0.0},(551,646):{'3_1':0.0},(551,644):{'3_1':0.0},(551,642):{'3_1':0.0},(551,639):{'3_1':0.0},(551,637):{'3_1':0.0},(551,636):{'3_1':0.0},(551,633):{'4_1':0.0},(551,632):{'3_1':0.0},(551,627):{'3_1':0.0},(551,626):{'3_1':0.0},(551,625):{'3_1':0.0},(551,623):{'3_1':0.0},(551,605):{'3_1':0.0},(551,603):{'3_1':0.0},(551,602):{'3_1':0.0},(552,726):{'3_1':0.0,'4_1':0.0},(552,725):{'3_1':0.0},(552,724):{'3_1':0.0},(552,723):{'3_1':0.0},(552,722):{'3_1':0.03},(552,721):{'3_1':0.0,'4_1':0.0},(552,720):{'3_1':0.0},(552,719):{'3_1':0.0},(552,718):{'3_1':0.0},(552,717):{'3_1':0.0},(552,716):{'3_1':0.0},(552,715):{'3_1':0.03},(552,714):{'3_1':0.09},(552,713):{'3_1':0.03},(552,712):{'3_1':0.0},(552,711):{'3_1':0.03,'4_1':0.0},(552,710):{'3_1':0.03},(552,709):{'3_1':0.0},(552,708):{'3_1':0.0},(552,707):{'3_1':0.0},(552,706):{'5_1':0.0},(552,705):{'3_1':0.0},(552,704):{'3_1':0.03,'5_1':0.0},(552,703):{'3_1':0.03},(552,702):{'3_1':0.03,'5_1':0.0},(552,701):{'3_1':0.03,'4_1':0.0},(552,700):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(552,699):{'3_1':0.03},(552,698):{'3_1':0.0},(552,697):{'3_1':0.06,'4_1':0.0},(552,696):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(552,695):{'3_1':0.0},(552,694):{'3_1':0.03},(552,693):{'3_1':0.0},(552,692):{'3_1':0.0,'5_1':0.0},(552,691):{'3_1':0.0},(552,690):{'3_1':0.0},(552,689):{'3_1':0.0},(552,688):{'3_1':0.0},(552,687):{'3_1':0.03},(552,686):{'3_1':0.0,'4_1':0.0},(552,685):{'3_1':0.03},(552,684):{'3_1':0.0},(552,683):{'5_1':0.0},(552,682):{'3_1':0.03},(552,681):{'3_1':0.0},(552,678):{'3_1':0.0},(552,676):{'3_1':0.0},(552,675):{'4_1':0.0},(552,674):{'3_1':0.0},(552,673):{'3_1':0.03},(552,668):{'3_1':0.0},(552,667):{'3_1':0.0},(552,664):{'3_1':0.03},(552,662):{'3_1':0.0},(552,661):{'3_1':0.0},(552,660):{'3_1':0.0},(552,659):{'3_1':0.0},(552,657):{'3_1':0.0},(552,655):{'3_1':0.0},(552,654):{'3_1':0.0},(552,653):{'3_1':0.0},(552,651):{'3_1':0.0},(552,647):{'4_1':0.0},(552,646):{'3_1':0.0},(552,643):{'3_1':0.0},(552,642):{'3_1':0.0},(552,641):{'3_1':0.0},(552,636):{'3_1':0.0},(552,635):{'3_1':0.0},(552,633):{'4_1':0.0},(552,605):{'3_1':0.0},(552,604):{'3_1':0.0},(552,600):{'3_1':0.0},(552,583):{'3_1':0.0},(552,578):{'3_1':0.0},(553,727):{'3_1':0.0},(553,725):{'3_1':0.03,'5_1':0.0},(553,724):{'3_1':0.0},(553,723):{'3_1':0.03},(553,722):{'3_1':0.0},(553,721):{'3_1':0.03},(553,720):{'3_1':0.0},(553,719):{'3_1':0.0},(553,718):{'3_1':0.0},(553,717):{'3_1':0.0},(553,716):{'3_1':0.0},(553,715):{'3_1':0.0},(553,714):{'3_1':0.0},(553,713):{'3_1':0.03},(553,712):{'3_1':0.0},(553,711):{'3_1':0.0},(553,710):{'3_1':0.0},(553,709):{'3_1':0.0,'4_1':0.0},(553,708):{'3_1':0.0},(553,706):{'3_1':0.0},(553,705):{'3_1':0.0,'5_1':0.0},(553,704):{'3_1':0.0},(553,703):{'3_1':0.03},(553,702):{'3_1':0.03,'7_1':0.0},(553,701):{'7_1':0.0,'3_1':0.0,'5_1':0.0},(553,700):{'3_1':0.03},(553,699):{'3_1':0.0,'7_1':0.0},(553,698):{'3_1':0.03},(553,697):{'3_1':0.03,'5_1':0.0},(553,696):{'3_1':0.03},(553,695):{'3_1':0.0,'5_1':0.0},(553,694):{'3_1':0.0},(553,693):{'3_1':0.0},(553,692):{'3_1':0.0},(553,691):{'3_1':0.0,'4_1':0.0},(553,690):{'3_1':0.03},(553,688):{'3_1':0.0},(553,687):{'3_1':0.0},(553,686):{'3_1':0.0},(553,685):{'3_1':0.03},(553,683):{'3_1':0.0},(553,682):{'3_1':0.0},(553,681):{'3_1':0.0},(553,680):{'3_1':0.0},(553,679):{'3_1':0.0},(553,678):{'3_1':0.0},(553,676):{'3_1':0.0,'4_1':0.0},(553,674):{'3_1':0.0},(553,673):{'3_1':0.0,'4_1':0.0},(553,670):{'3_1':0.0},(553,667):{'3_1':0.0,'4_1':0.0},(553,666):{'3_1':0.0},(553,665):{'3_1':0.0},(553,663):{'3_1':0.0},(553,662):{'3_1':0.0,'4_1':0.0},(553,661):{'3_1':0.0},(553,660):{'3_1':0.0},(553,655):{'3_1':0.0},(553,654):{'3_1':0.0},(553,652):{'3_1':0.0},(553,650):{'3_1':0.03},(553,649):{'3_1':0.0,'4_1':0.0},(553,648):{'3_1':0.0},(553,647):{'3_1':0.0},(553,646):{'3_1':0.0},(553,645):{'3_1':0.0},(553,644):{'3_1':0.0},(553,643):{'3_1':0.0},(553,642):{'3_1':0.0},(553,639):{'3_1':0.0},(553,638):{'3_1':0.0},(553,633):{'3_1':0.0},(553,601):{'3_1':0.0},(553,598):{'3_1':0.0},(553,581):{'3_1':0.0},(554,727):{'3_1':0.0},(554,725):{'3_1':0.0},(554,724):{'3_1':0.0},(554,723):{'3_1':0.0},(554,722):{'3_1':0.03,'4_1':0.0},(554,721):{'3_1':0.0},(554,720):{'3_1':0.0,'4_1':0.0},(554,719):{'3_1':0.0},(554,718):{'3_1':0.0},(554,717):{'3_1':0.0},(554,716):{'3_1':0.0},(554,715):{'3_1':0.0},(554,714):{'3_1':0.0},(554,713):{'3_1':0.06},(554,712):{'5_2':0.0},(554,711):{'3_1':0.0,'4_1':0.0},(554,710):{'3_1':0.0},(554,709):{'3_1':0.0},(554,708):{'3_1':0.0},(554,707):{'3_1':0.0},(554,706):{'3_1':0.0,'5_1':0.0},(554,705):{'3_1':0.0},(554,704):{'3_1':0.03},(554,703):{'3_1':0.03,'4_1':0.0},(554,702):{'3_1':0.03},(554,701):{'3_1':0.03},(554,700):{'3_1':0.0,'5_1':0.0},(554,699):{'3_1':0.0},(554,698):{'3_1':0.03},(554,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(554,696):{'3_1':0.0},(554,695):{'3_1':0.03},(554,694):{'3_1':0.0},(554,693):{'3_1':0.0},(554,692):{'3_1':0.0},(554,691):{'3_1':0.0,'5_1':0.0},(554,690):{'3_1':0.0},(554,689):{'3_1':0.0},(554,687):{'3_1':0.0},(554,686):{'3_1':0.0,'4_1':0.0},(554,685):{'3_1':0.0},(554,684):{'3_1':0.0},(554,683):{'3_1':0.0},(554,681):{'3_1':0.0},(554,680):{'3_1':0.0},(554,678):{'3_1':0.0},(554,676):{'3_1':0.0},(554,675):{'3_1':0.0},(554,669):{'3_1':0.0},(554,667):{'3_1':0.0},(554,666):{'3_1':0.0},(554,663):{'3_1':0.0},(554,662):{'3_1':0.0,'4_1':0.0},(554,661):{'3_1':0.0},(554,659):{'3_1':0.0},(554,658):{'3_1':0.0},(554,655):{'3_1':0.0},(554,653):{'3_1':0.0,'4_1':0.0},(554,652):{'3_1':0.0},(554,651):{'3_1':0.0},(554,649):{'3_1':0.0},(554,648):{'3_1':0.0},(554,647):{'3_1':0.0},(554,646):{'3_1':0.0},(554,645):{'3_1':0.0,'4_1':0.0},(554,643):{'3_1':0.0},(554,642):{'3_1':0.0},(554,641):{'3_1':0.0},(554,640):{'4_1':0.0},(554,639):{'3_1':0.0},(554,638):{'3_1':0.0},(554,636):{'3_1':0.0},(554,635):{'3_1':0.0},(554,634):{'3_1':0.0},(554,626):{'3_1':0.0},(554,581):{'3_1':0.0},(554,579):{'3_1':0.0},(555,726):{'3_1':0.0},(555,725):{'3_1':0.0},(555,724):{'3_1':0.03},(555,722):{'3_1':0.0},(555,720):{'3_1':0.0},(555,719):{'3_1':0.03},(555,718):{'3_1':0.0},(555,717):{'3_1':0.0},(555,716):{'3_1':0.03},(555,715):{'3_1':0.0},(555,714):{'3_1':0.0},(555,713):{'3_1':0.0},(555,712):{'3_1':0.0},(555,711):{'3_1':0.0},(555,710):{'3_1':0.0},(555,709):{'3_1':0.06},(555,708):{'3_1':0.0},(555,707):{'3_1':0.0},(555,706):{'3_1':0.03},(555,705):{'3_1':0.03,'4_1':0.0},(555,704):{'3_1':0.03},(555,703):{'3_1':0.0,'5_1':0.0},(555,702):{'3_1':0.0},(555,701):{'3_1':0.03},(555,700):{'3_1':0.03,'7_1':0.0},(555,699):{'3_1':0.0,'5_1':0.0},(555,698):{'3_1':0.03},(555,697):{'3_1':0.03,'4_1':0.0},(555,696):{'3_1':0.0},(555,695):{'3_1':0.0},(555,694):{'3_1':0.0},(555,693):{'3_1':0.0,'8_3':0.0},(555,692):{'3_1':0.0},(555,691):{'3_1':0.0},(555,689):{'3_1':0.0},(555,688):{'3_1':0.0},(555,687):{'4_1':0.0},(555,686):{'3_1':0.0},(555,685):{'3_1':0.0},(555,683):{'3_1':0.0},(555,682):{'3_1':0.0},(555,679):{'3_1':0.0},(555,678):{'3_1':0.0,'4_1':0.0},(555,676):{'3_1':0.0},(555,675):{'3_1':0.0,'4_1':0.0},(555,673):{'3_1':0.0,'4_1':0.0},(555,672):{'3_1':0.0,'4_1':0.0},(555,671):{'3_1':0.0},(555,669):{'3_1':0.0},(555,666):{'3_1':0.0},(555,663):{'3_1':0.0},(555,662):{'4_1':0.0},(555,661):{'3_1':0.0},(555,654):{'3_1':0.0},(555,652):{'3_1':0.0},(555,648):{'3_1':0.0},(555,647):{'3_1':0.0},(555,641):{'3_1':0.0},(555,639):{'3_1':0.0},(555,638):{'3_1':0.0},(555,636):{'4_1':0.0},(555,635):{'3_1':0.0},(555,634):{'3_1':0.0},(555,628):{'3_1':0.0},(555,623):{'3_1':0.0},(555,586):{'3_1':0.0},(555,585):{'3_1':0.0},(556,726):{'3_1':0.03},(556,725):{'3_1':0.0},(556,723):{'3_1':0.0},(556,722):{'3_1':0.0},(556,720):{'3_1':0.0},(556,719):{'3_1':0.0},(556,718):{'3_1':0.06},(556,717):{'3_1':0.0},(556,715):{'3_1':0.0},(556,714):{'3_1':0.0},(556,713):{'3_1':0.03},(556,712):{'3_1':0.0},(556,711):{'3_1':0.03,'4_1':0.0},(556,710):{'3_1':0.03},(556,709):{'3_1':0.0},(556,708):{'3_1':0.0},(556,706):{'3_1':0.0,'5_1':0.0},(556,705):{'3_1':0.0},(556,704):{'3_1':0.0,'7_1':0.0},(556,703):{'3_1':0.0,'7_2':0.0},(556,702):{'3_1':0.0,'7_1':0.0,'9_1':0.0},(556,701):{'3_1':0.0},(556,700):{'3_1':0.0,'4_1':0.0},(556,699):{'3_1':0.0,'9_1':0.0},(556,698):{'3_1':0.03},(556,697):{'3_1':0.03},(556,696):{'3_1':0.0},(556,695):{'3_1':0.03},(556,694):{'3_1':0.03},(556,693):{'3_1':0.0},(556,692):{'3_1':0.0},(556,691):{'3_1':0.0},(556,690):{'3_1':0.0},(556,689):{'3_1':0.0},(556,688):{'4_1':0.0},(556,687):{'3_1':0.0},(556,686):{'3_1':0.0},(556,685):{'3_1':0.0},(556,683):{'3_1':0.0},(556,682):{'3_1':0.0},(556,681):{'4_1':0.0},(556,680):{'3_1':0.0},(556,678):{'3_1':0.0},(556,676):{'3_1':0.0},(556,674):{'3_1':0.0},(556,673):{'3_1':0.0},(556,672):{'3_1':0.0},(556,671):{'3_1':0.0,'4_1':0.0},(556,668):{'3_1':0.0},(556,666):{'3_1':0.0},(556,665):{'3_1':0.0},(556,664):{'3_1':0.0},(556,662):{'3_1':0.0},(556,661):{'3_1':0.0},(556,659):{'3_1':0.0,'4_1':0.0},(556,658):{'3_1':0.0,'4_1':0.0},(556,651):{'3_1':0.0},(556,650):{'3_1':0.0},(556,649):{'3_1':0.0},(556,648):{'3_1':0.0},(556,647):{'3_1':0.0},(556,644):{'3_1':0.0},(556,643):{'3_1':0.0},(556,642):{'3_1':0.0,'4_1':0.0},(556,641):{'3_1':0.0},(556,640):{'3_1':0.0},(556,639):{'3_1':0.0},(556,638):{'3_1':0.0,'4_1':0.0},(556,586):{'3_1':0.0},(556,582):{'7_2':0.0},(556,581):{'3_1':0.0},(556,580):{'3_1':0.0},(557,727):{'3_1':0.0},(557,726):{'3_1':0.0,'4_1':0.0},(557,725):{'3_1':0.0,'5_1':0.0},(557,724):{'3_1':0.0},(557,723):{'3_1':0.0},(557,721):{'3_1':0.0,'4_1':0.0},(557,720):{'3_1':0.0},(557,719):{'3_1':0.03},(557,717):{'3_1':0.0},(557,716):{'3_1':0.0},(557,715):{'3_1':0.0},(557,714):{'3_1':0.0,'5_1':0.0},(557,713):{'3_1':0.0,'4_1':0.0},(557,712):{'3_1':0.03},(557,711):{'3_1':0.0},(557,710):{'3_1':0.0},(557,709):{'3_1':0.0},(557,708):{'3_1':0.0},(557,707):{'4_1':0.0},(557,706):{'3_1':0.03},(557,705):{'3_1':0.06},(557,704):{'3_1':0.0},(557,703):{'3_1':0.03},(557,702):{'3_1':0.0},(557,701):{'3_1':0.03},(557,700):{'3_1':0.03,'5_1':0.0},(557,699):{'3_1':0.03,'7_1':0.0},(557,698):{'3_1':0.0},(557,697):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(557,694):{'3_1':0.0},(557,692):{'3_1':0.0},(557,691):{'3_1':0.0},(557,690):{'3_1':0.0},(557,689):{'3_1':0.0},(557,688):{'3_1':0.0},(557,686):{'3_1':0.0},(557,685):{'3_1':0.0},(557,684):{'3_1':0.0},(557,683):{'3_1':0.0},(557,682):{'3_1':0.0,'4_1':0.0},(557,681):{'3_1':0.0},(557,680):{'3_1':0.0},(557,679):{'4_1':0.0},(557,678):{'3_1':0.0},(557,676):{'3_1':0.0},(557,675):{'3_1':0.0,'4_1':0.0},(557,674):{'3_1':0.0},(557,673):{'3_1':0.0},(557,672):{'3_1':0.0},(557,671):{'3_1':0.0},(557,668):{'3_1':0.0},(557,665):{'3_1':0.0},(557,664):{'3_1':0.0},(557,663):{'3_1':0.0,'4_1':0.0},(557,662):{'3_1':0.0,'4_1':0.0},(557,661):{'3_1':0.0},(557,657):{'3_1':0.0},(557,655):{'5_2':0.0},(557,654):{'3_1':0.0},(557,651):{'3_1':0.0},(557,650):{'3_1':0.0},(557,649):{'3_1':0.0},(557,647):{'3_1':0.0},(557,645):{'3_1':0.0},(557,644):{'3_1':0.0},(557,643):{'3_1':0.0},(557,640):{'3_1':0.0},(557,638):{'3_1':0.0},(557,628):{'3_1':0.0},(557,624):{'3_1':0.0},(557,593):{'3_1':0.0},(557,590):{'3_1':0.0},(557,582):{'3_1':0.0},(558,727):{'3_1':0.0},(558,726):{'3_1':0.0},(558,724):{'3_1':0.0},(558,723):{'3_1':0.0},(558,722):{'3_1':0.0},(558,721):{'3_1':0.0,'4_1':0.0},(558,720):{'3_1':0.0},(558,719):{'3_1':0.0},(558,718):{'4_1':0.0},(558,717):{'3_1':0.03},(558,716):{'3_1':0.0},(558,715):{'3_1':0.03},(558,714):{'3_1':0.0},(558,713):{'3_1':0.0},(558,711):{'3_1':0.0,'5_2':0.0},(558,710):{'3_1':0.0,'5_2':0.0},(558,709):{'3_1':0.0},(558,708):{'3_1':0.0},(558,707):{'3_1':0.0},(558,706):{'3_1':0.03,'5_1':0.0},(558,705):{'3_1':0.03,'4_1':0.0},(558,704):{'3_1':0.0},(558,703):{'3_1':0.0,'5_1':0.0},(558,702):{'3_1':0.0,'9_1':0.0},(558,701):{'3_1':0.03,'5_1':0.0},(558,700):{'3_1':0.0},(558,699):{'3_1':0.0},(558,697):{'3_1':0.0,'5_1':0.0},(558,696):{'3_1':0.0},(558,695):{'3_1':0.0,'4_1':0.0},(558,694):{'3_1':0.0},(558,693):{'3_1':0.0},(558,692):{'3_1':0.03},(558,691):{'3_1':0.0},(558,689):{'3_1':0.03,'5_1':0.0},(558,688):{'3_1':0.0},(558,687):{'3_1':0.0},(558,686):{'5_1':0.0},(558,685):{'3_1':0.0},(558,680):{'3_1':0.0},(558,676):{'3_1':0.0},(558,675):{'3_1':0.0},(558,671):{'3_1':0.0},(558,668):{'3_1':0.0},(558,666):{'3_1':0.0},(558,661):{'3_1':0.0},(558,660):{'3_1':0.0},(558,653):{'3_1':0.0},(558,651):{'4_1':0.0},(558,648):{'3_1':0.0},(558,647):{'3_1':0.0},(558,646):{'3_1':0.0},(558,645):{'3_1':0.0},(558,642):{'3_1':0.0},(558,639):{'3_1':0.0},(558,638):{'3_1':0.0},(558,626):{'3_1':0.0},(558,622):{'6_1':0.0},(558,587):{'3_1':0.0},(558,583):{'3_1':0.0},(559,727):{'3_1':0.0},(559,726):{'3_1':0.0},(559,725):{'3_1':0.0},(559,724):{'3_1':0.03,'4_1':0.0},(559,723):{'3_1':0.03},(559,722):{'3_1':0.0},(559,721):{'3_1':0.0},(559,720):{'3_1':0.03},(559,719):{'3_1':0.0,'5_2':0.0},(559,718):{'3_1':0.0},(559,717):{'3_1':0.0},(559,716):{'3_1':0.03},(559,715):{'3_1':0.0},(559,714):{'3_1':0.0},(559,713):{'3_1':0.0},(559,712):{'3_1':0.0,'4_1':0.0},(559,711):{'3_1':0.0},(559,710):{'3_1':0.0,'4_1':0.0},(559,709):{'3_1':0.03},(559,708):{'3_1':0.0},(559,707):{'3_1':0.03},(559,706):{'3_1':0.03},(559,705):{'3_1':0.03},(559,704):{'3_1':0.0},(559,703):{'3_1':0.0,'5_1':0.0},(559,702):{'3_1':0.0},(559,701):{'3_1':0.03,'5_1':0.0},(559,700):{'3_1':0.0},(559,699):{'3_1':0.03},(559,698):{'3_1':0.03,'4_1':0.0},(559,697):{'3_1':0.03},(559,696):{'3_1':0.03,'4_1':0.0},(559,695):{'3_1':0.0},(559,694):{'3_1':0.0},(559,693):{'3_1':0.0},(559,692):{'3_1':0.0},(559,691):{'3_1':0.0,'4_1':0.0},(559,690):{'3_1':0.0},(559,689):{'3_1':0.0},(559,688):{'3_1':0.0},(559,687):{'3_1':0.0},(559,686):{'3_1':0.0},(559,685):{'3_1':0.0},(559,683):{'3_1':0.0},(559,682):{'3_1':0.0},(559,679):{'3_1':0.0},(559,678):{'3_1':0.0},(559,673):{'4_1':0.0},(559,671):{'3_1':0.0},(559,666):{'3_1':0.0},(559,664):{'3_1':0.0,'4_1':0.0},(559,662):{'3_1':0.0},(559,661):{'3_1':0.0},(559,660):{'3_1':0.0},(559,657):{'3_1':0.0,'4_1':0.0},(559,656):{'3_1':0.0},(559,655):{'3_1':0.0},(559,653):{'3_1':0.0},(559,651):{'3_1':0.0},(559,648):{'3_1':0.0},(559,646):{'3_1':0.0},(559,645):{'3_1':0.0},(559,644):{'3_1':0.0},(559,643):{'3_1':0.0},(559,642):{'3_1':0.0},(559,641):{'3_1':0.0},(559,640):{'3_1':0.0},(559,631):{'3_1':0.0},(559,594):{'3_1':0.0},(559,591):{'3_1':0.0},(559,586):{'3_1':0.0},(560,727):{'3_1':0.0},(560,726):{'3_1':0.0},(560,724):{'3_1':0.0},(560,723):{'3_1':0.0},(560,722):{'3_1':0.0},(560,721):{'3_1':0.0},(560,720):{'3_1':0.0},(560,719):{'3_1':0.03},(560,718):{'3_1':0.0},(560,717):{'3_1':0.0},(560,716):{'3_1':0.03,'4_1':0.0},(560,715):{'3_1':0.0},(560,714):{'3_1':0.03},(560,713):{'3_1':0.03},(560,712):{'3_1':0.03,'4_1':0.0},(560,710):{'3_1':0.0},(560,709):{'3_1':0.0},(560,708):{'3_1':0.0},(560,707):{'3_1':0.0,'4_1':0.0},(560,706):{'3_1':0.0},(560,705):{'3_1':0.03},(560,704):{'3_1':0.03,'4_1':0.0},(560,703):{'3_1':0.03,'7_1':0.0},(560,701):{'3_1':0.03,'5_1':0.0},(560,700):{'3_1':0.0},(560,699):{'3_1':0.0},(560,698):{'3_1':0.0},(560,697):{'3_1':0.03},(560,695):{'3_1':0.0,'4_1':0.0},(560,694):{'3_1':0.0},(560,693):{'3_1':0.0},(560,692):{'3_1':0.0},(560,691):{'3_1':0.03},(560,690):{'3_1':0.03},(560,689):{'3_1':0.03,'5_1':0.0},(560,688):{'3_1':0.0},(560,687):{'3_1':0.0},(560,686):{'3_1':0.0},(560,684):{'3_1':0.0},(560,680):{'3_1':0.0},(560,679):{'4_1':0.0},(560,678):{'4_1':0.0},(560,676):{'3_1':0.0},(560,675):{'3_1':0.0},(560,672):{'3_1':0.0},(560,671):{'3_1':0.0},(560,662):{'3_1':0.0,'4_1':0.0},(560,659):{'3_1':0.0},(560,658):{'3_1':0.0},(560,656):{'3_1':0.0},(560,654):{'4_1':0.0},(560,647):{'3_1':0.0},(560,643):{'3_1':0.0},(560,642):{'3_1':0.0},(560,641):{'3_1':0.0},(560,640):{'3_1':0.0},(560,639):{'3_1':0.0},(560,626):{'3_1':0.0},(560,622):{'3_1':0.0},(560,596):{'3_1':0.0},(560,595):{'3_1':0.0},(560,592):{'3_1':0.0},(560,589):{'3_1':0.0},(561,727):{'3_1':0.0},(561,726):{'3_1':0.0},(561,724):{'3_1':0.0},(561,723):{'3_1':0.0},(561,722):{'3_1':0.0,'4_1':0.0},(561,721):{'3_1':0.0},(561,720):{'3_1':0.0},(561,719):{'3_1':0.0},(561,718):{'3_1':0.09},(561,717):{'3_1':0.03},(561,716):{'3_1':0.0},(561,715):{'3_1':0.03},(561,713):{'3_1':0.03},(561,712):{'3_1':0.03,'5_1':0.0},(561,711):{'3_1':0.0},(561,710):{'3_1':0.0},(561,709):{'3_1':0.0},(561,708):{'3_1':0.03},(561,707):{'3_1':0.0,'4_1':0.0},(561,706):{'3_1':0.0},(561,705):{'3_1':0.0},(561,704):{'3_1':0.0},(561,703):{'3_1':0.03},(561,701):{'3_1':0.0},(561,700):{'3_1':0.0,'4_1':0.0},(561,699):{'3_1':0.0},(561,698):{'3_1':0.03},(561,697):{'3_1':0.0},(561,696):{'3_1':0.0},(561,695):{'3_1':0.0},(561,694):{'3_1':0.0},(561,693):{'3_1':0.0},(561,691):{'3_1':0.0},(561,690):{'4_1':0.0},(561,689):{'3_1':0.0},(561,688):{'3_1':0.0},(561,687):{'3_1':0.0},(561,686):{'3_1':0.0,'4_1':0.0},(561,685):{'5_1':0.0},(561,684):{'3_1':0.0,'5_1':0.0},(561,683):{'3_1':0.0},(561,682):{'3_1':0.0},(561,681):{'3_1':0.0},(561,664):{'3_1':0.0},(561,662):{'3_1':0.0},(561,661):{'3_1':0.0},(561,659):{'4_1':0.0},(561,657):{'3_1':0.0},(561,656):{'3_1':0.0},(561,655):{'3_1':0.0},(561,653):{'3_1':0.0},(561,647):{'3_1':0.0},(561,645):{'3_1':0.0},(561,644):{'3_1':0.0},(561,643):{'3_1':0.0},(561,629):{'3_1':0.0},(561,627):{'4_1':0.0},(561,624):{'3_1':0.0},(561,622):{'4_1':0.0},(561,596):{'3_1':0.0},(561,592):{'3_1':0.0},(561,588):{'3_1':0.0},(561,587):{'3_1':0.0},(561,586):{'3_1':0.0},(562,727):{'3_1':0.0},(562,726):{'3_1':0.03},(562,725):{'3_1':0.0},(562,724):{'3_1':0.0,'7_1':0.0},(562,723):{'3_1':0.0,'4_1':0.0},(562,722):{'3_1':0.0},(562,721):{'3_1':0.0},(562,720):{'3_1':0.0},(562,719):{'3_1':0.0},(562,718):{'3_1':0.0},(562,717):{'3_1':0.0},(562,716):{'3_1':0.0},(562,715):{'3_1':0.0},(562,714):{'3_1':0.03},(562,713):{'3_1':0.06},(562,712):{'3_1':0.0},(562,711):{'3_1':0.0},(562,710):{'3_1':0.03},(562,709):{'3_1':0.0},(562,708):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(562,707):{'3_1':0.0,'4_1':0.0},(562,706):{'3_1':0.0,'5_1':0.0},(562,705):{'3_1':0.0,'5_1':0.0},(562,704):{'3_1':0.0},(562,703):{'3_1':0.0},(562,702):{'3_1':0.0},(562,701):{'3_1':0.0},(562,700):{'3_1':0.0},(562,699):{'3_1':0.0},(562,698):{'3_1':0.03},(562,697):{'3_1':0.0},(562,696):{'3_1':0.03},(562,693):{'3_1':0.0,'4_1':0.0},(562,691):{'3_1':0.0},(562,690):{'3_1':0.0},(562,689):{'3_1':0.0},(562,687):{'3_1':0.0},(562,686):{'4_1':0.0},(562,685):{'3_1':0.0},(562,683):{'3_1':0.0},(562,682):{'3_1':0.0},(562,681):{'3_1':0.0},(562,679):{'4_1':0.0},(562,678):{'3_1':0.0},(562,674):{'3_1':0.0},(562,673):{'3_1':0.0},(562,670):{'3_1':0.0},(562,665):{'3_1':0.0},(562,659):{'3_1':0.0},(562,658):{'4_1':0.0},(562,656):{'3_1':0.0},(562,653):{'3_1':0.0},(562,650):{'3_1':0.0},(562,649):{'3_1':0.0},(562,648):{'3_1':0.0},(562,647):{'3_1':0.0},(562,646):{'3_1':0.0},(562,644):{'4_1':0.0},(562,643):{'3_1':0.0},(562,631):{'3_1':0.0},(562,630):{'3_1':0.0},(562,627):{'4_1':0.0},(562,596):{'3_1':0.0},(562,585):{'3_1':0.0},(562,579):{'5_2':0.0},(563,727):{'3_1':0.0},(563,725):{'3_1':0.0},(563,724):{'3_1':0.0,'5_1':0.0},(563,723):{'3_1':0.0},(563,722):{'3_1':0.0},(563,721):{'3_1':0.03},(563,720):{'3_1':0.0},(563,719):{'3_1':0.0},(563,718):{'3_1':0.0,'5_1':0.0},(563,717):{'3_1':0.0},(563,716):{'3_1':0.0},(563,714):{'3_1':0.03},(563,713):{'3_1':0.0},(563,712):{'3_1':0.03},(563,711):{'3_1':0.0},(563,710):{'3_1':0.0,'4_1':0.0},(563,709):{'3_1':0.0,'4_1':0.0},(563,708):{'3_1':0.03},(563,707):{'3_1':0.03},(563,705):{'3_1':0.0,'4_1':0.0},(563,704):{'3_1':0.0,'5_2':0.0,'9_1':0.0},(563,703):{'3_1':0.0,'5_1':0.0},(563,702):{'3_1':0.03,'4_1':0.0},(563,701):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(563,700):{'3_1':0.06},(563,699):{'3_1':0.0},(563,697):{'3_1':0.0},(563,696):{'3_1':0.0},(563,695):{'3_1':0.0},(563,694):{'3_1':0.0},(563,693):{'3_1':0.0,'5_1':0.0},(563,686):{'5_1':0.0},(563,685):{'3_1':0.0},(563,681):{'4_1':0.0},(563,679):{'3_1':0.0,'4_1':0.0},(563,678):{'3_1':0.0},(563,677):{'3_1':0.0,'4_1':0.0},(563,675):{'3_1':0.0},(563,671):{'3_1':0.0},(563,666):{'4_1':0.0},(563,662):{'4_1':0.0},(563,660):{'3_1':0.0},(563,659):{'4_1':0.0},(563,658):{'4_1':0.0},(563,656):{'3_1':0.0},(563,655):{'3_1':0.0},(563,653):{'4_1':0.0,'3_1':0.0},(563,650):{'3_1':0.0,'4_1':0.0},(563,649):{'3_1':0.0},(563,646):{'3_1':0.0},(563,645):{'3_1':0.0},(563,644):{'3_1':0.0},(563,631):{'3_1':0.0},(563,627):{'3_1':0.0},(563,599):{'3_1':0.0},(563,582):{'3_1':0.0},(564,727):{'3_1':0.0},(564,726):{'3_1':0.0,'5_1':0.0},(564,725):{'3_1':0.03},(564,724):{'3_1':0.0},(564,723):{'3_1':0.0},(564,722):{'3_1':0.0},(564,721):{'3_1':0.03},(564,720):{'3_1':0.0},(564,719):{'3_1':0.0},(564,718):{'3_1':0.03,'4_1':0.0},(564,717):{'3_1':0.0},(564,716):{'3_1':0.0},(564,715):{'3_1':0.0},(564,714):{'3_1':0.0},(564,713):{'3_1':0.0,'5_1':0.0},(564,712):{'3_1':0.03},(564,711):{'3_1':0.0},(564,710):{'3_1':0.03},(564,709):{'3_1':0.03},(564,708):{'3_1':0.03},(564,706):{'3_1':0.0},(564,705):{'3_1':0.0,'5_1':0.0},(564,704):{'3_1':0.03},(564,703):{'3_1':0.0,'5_1':0.0},(564,702):{'3_1':0.03,'7_1':0.0},(564,701):{'3_1':0.0},(564,700):{'3_1':0.0,'4_1':0.0},(564,699):{'3_1':0.0},(564,698):{'3_1':0.0},(564,697):{'3_1':0.0},(564,696):{'3_1':0.0},(564,694):{'3_1':0.0},(564,693):{'3_1':0.0},(564,691):{'3_1':0.0},(564,690):{'3_1':0.0},(564,688):{'3_1':0.0},(564,687):{'3_1':0.0},(564,684):{'3_1':0.0},(564,683):{'7_1':0.0},(564,682):{'3_1':0.0,'4_1':0.0},(564,681):{'3_1':0.0,'5_1':0.0},(564,680):{'3_1':0.0},(564,679):{'3_1':0.0,'5_1':0.0},(564,678):{'3_1':0.0},(564,677):{'3_1':0.0},(564,676):{'3_1':0.0},(564,675):{'3_1':0.0},(564,671):{'3_1':0.0},(564,670):{'3_1':0.0},(564,668):{'3_1':0.0},(564,667):{'3_1':0.0},(564,664):{'3_1':0.0},(564,662):{'3_1':0.0},(564,660):{'3_1':0.0},(564,657):{'3_1':0.0},(564,655):{'4_1':0.0},(564,647):{'3_1':0.0},(564,646):{'3_1':0.0},(564,644):{'3_1':0.0},(564,626):{'3_1':0.0},(564,625):{'3_1':0.0},(564,603):{'3_1':0.0},(564,591):{'3_1':0.0},(564,590):{'3_1':0.0},(564,586):{'3_1':0.0},(564,585):{'3_1':0.0},(564,583):{'3_1':0.0},(564,581):{'3_1':0.0},(564,579):{'3_1':0.0},(565,727):{'3_1':0.0},(565,726):{'3_1':0.0},(565,725):{'3_1':0.0},(565,724):{'3_1':0.0},(565,723):{'3_1':0.03},(565,722):{'3_1':0.0},(565,721):{'3_1':0.0},(565,720):{'3_1':0.0},(565,719):{'3_1':0.03},(565,718):{'3_1':0.0},(565,717):{'3_1':0.03},(565,716):{'3_1':0.03},(565,715):{'3_1':0.0},(565,713):{'3_1':0.0},(565,712):{'3_1':0.03},(565,711):{'3_1':0.0,'4_1':0.0},(565,710):{'3_1':0.0},(565,709):{'3_1':0.03},(565,708):{'3_1':0.0},(565,707):{'3_1':0.0},(565,706):{'3_1':0.0},(565,705):{'3_1':0.0},(565,704):{'3_1':0.0},(565,703):{'3_1':0.03,'5_1':0.0},(565,702):{'3_1':0.0,'7_1':0.0},(565,701):{'3_1':0.0},(565,700):{'3_1':0.03,'4_1':0.0},(565,699):{'3_1':0.03},(565,698):{'3_1':0.0,'5_1':0.0},(565,697):{'3_1':0.0},(565,696):{'3_1':0.0},(565,694):{'3_1':0.0,'6_2':0.0},(565,693):{'3_1':0.03},(565,691):{'5_1':0.0},(565,690):{'3_1':0.0},(565,689):{'3_1':0.0},(565,688):{'3_1':0.0,'5_1':0.0},(565,687):{'3_1':0.0},(565,686):{'3_1':0.0},(565,684):{'3_1':0.0},(565,681):{'7_1':0.0},(565,680):{'3_1':0.0},(565,679):{'3_1':0.0},(565,677):{'3_1':0.0},(565,675):{'3_1':0.0},(565,674):{'3_1':0.0},(565,673):{'4_1':0.0},(565,670):{'4_1':0.0},(565,667):{'3_1':0.0},(565,661):{'3_1':0.0},(565,658):{'4_1':0.0},(565,655):{'3_1':0.0},(565,654):{'3_1':0.0},(565,650):{'3_1':0.0},(565,647):{'3_1':0.0},(565,645):{'3_1':0.0},(565,644):{'3_1':0.0},(565,627):{'3_1':0.0},(565,618):{'3_1':0.0},(565,604):{'4_1':0.0},(565,589):{'3_1':0.0},(565,588):{'3_1':0.0},(565,585):{'3_1':0.0},(565,584):{'3_1':0.0},(565,580):{'3_1':0.0},(566,727):{'3_1':0.0},(566,726):{'3_1':0.0},(566,725):{'3_1':0.03},(566,724):{'3_1':0.0},(566,723):{'3_1':0.0},(566,722):{'3_1':0.0},(566,721):{'3_1':0.0},(566,720):{'3_1':0.0},(566,719):{'3_1':0.0,'5_1':0.0},(566,718):{'3_1':0.0},(566,717):{'3_1':0.0},(566,716):{'3_1':0.0},(566,715):{'3_1':0.0,'6_2':0.0},(566,714):{'3_1':0.03},(566,713):{'3_1':0.03},(566,712):{'3_1':0.03,'4_1':0.0},(566,711):{'3_1':0.03},(566,710):{'3_1':0.03},(566,709):{'3_1':0.0},(566,708):{'3_1':0.0},(566,706):{'5_1':0.0,'4_1':0.0},(566,705):{'3_1':0.0},(566,704):{'3_1':0.0,'4_1':0.0,'9_1':0.0},(566,703):{'3_1':0.03,'5_1':0.0},(566,702):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(566,701):{'3_1':0.0},(566,700):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(566,699):{'3_1':0.0},(566,697):{'3_1':0.0},(566,696):{'3_1':0.03},(566,695):{'3_1':0.0,'4_1':0.0},(566,693):{'3_1':0.0,'4_1':0.0},(566,681):{'3_1':0.0},(566,680):{'3_1':0.0},(566,679):{'3_1':0.0,'5_1':0.0},(566,678):{'3_1':0.0},(566,677):{'3_1':0.0},(566,676):{'3_1':0.0},(566,674):{'3_1':0.0},(566,667):{'3_1':0.0},(566,662):{'3_1':0.0},(566,661):{'3_1':0.0},(566,658):{'3_1':0.0},(566,649):{'3_1':0.0},(566,648):{'3_1':0.0},(566,647):{'3_1':0.0},(566,645):{'3_1':0.0},(566,643):{'3_1':0.0},(566,603):{'3_1':0.0},(566,602):{'3_1':0.0},(566,598):{'3_1':0.0},(566,586):{'3_1':0.0},(566,583):{'3_1':0.0},(566,582):{'3_1':0.0},(566,580):{'3_1':0.0},(567,727):{'3_1':0.0},(567,726):{'3_1':0.0},(567,725):{'3_1':0.0},(567,724):{'3_1':0.0,'5_1':0.0},(567,723):{'3_1':0.0},(567,722):{'3_1':0.03},(567,721):{'3_1':0.03},(567,720):{'3_1':0.0},(567,719):{'3_1':0.03},(567,718):{'3_1':0.0},(567,717):{'3_1':0.0},(567,716):{'3_1':0.0},(567,714):{'3_1':0.0},(567,713):{'3_1':0.0,'4_1':0.0},(567,712):{'3_1':0.0,'4_1':0.0},(567,711):{'3_1':0.0},(567,710):{'3_1':0.0,'4_1':0.0},(567,709):{'3_1':0.0},(567,708):{'3_1':0.0},(567,706):{'3_1':0.0},(567,705):{'3_1':0.0,'5_1':0.0},(567,704):{'3_1':0.0},(567,703):{'3_1':0.0,'4_1':0.0},(567,702):{'3_1':0.0},(567,701):{'3_1':0.0},(567,700):{'3_1':0.0},(567,699):{'5_1':0.0,'3_1':0.0},(567,698):{'3_1':0.0},(567,697):{'3_1':0.03},(567,696):{'3_1':0.0,'7_1':0.0},(567,690):{'3_1':0.0},(567,689):{'3_1':0.0,'4_1':0.0},(567,688):{'3_1':0.0},(567,685):{'3_1':0.0},(567,683):{'3_1':0.0},(567,680):{'4_1':0.0},(567,676):{'3_1':0.0},(567,671):{'3_1':0.0},(567,670):{'4_1':0.0},(567,668):{'3_1':0.0},(567,661):{'3_1':0.0,'4_1':0.0},(567,660):{'3_1':0.0},(567,655):{'4_1':0.0},(567,647):{'3_1':0.0},(567,646):{'3_1':0.0},(567,644):{'3_1':0.0},(567,618):{'3_1':0.0},(567,614):{'3_1':0.0},(567,611):{'3_1':0.0},(567,608):{'3_1':0.0},(567,586):{'3_1':0.0},(567,584):{'3_1':0.0},(567,583):{'3_1':0.0},(567,581):{'3_1':0.0},(568,727):{'3_1':0.0},(568,726):{'3_1':0.0},(568,725):{'3_1':0.0},(568,724):{'3_1':0.0},(568,723):{'3_1':0.0},(568,722):{'3_1':0.0,'4_1':0.0},(568,721):{'3_1':0.06,'4_1':0.0},(568,720):{'3_1':0.03},(568,718):{'3_1':0.0},(568,717):{'3_1':0.0},(568,716):{'3_1':0.0},(568,715):{'3_1':0.03},(568,714):{'3_1':0.0},(568,713):{'3_1':0.0},(568,712):{'3_1':0.03},(568,711):{'3_1':0.0},(568,710):{'3_1':0.0},(568,709):{'3_1':0.0},(568,707):{'3_1':0.03,'4_1':0.0},(568,706):{'3_1':0.0},(568,705):{'3_1':0.0,'5_1':0.0},(568,704):{'3_1':0.0},(568,703):{'3_1':0.0},(568,702):{'3_1':0.0,'5_1':0.0},(568,701):{'4_1':0.0},(568,700):{'3_1':0.03,'5_1':0.0},(568,699):{'3_1':0.0,'5_1':0.0},(568,698):{'3_1':0.0},(568,697):{'3_1':0.03},(568,696):{'3_1':0.0,'4_1':0.0},(568,693):{'3_1':0.0,'4_1':0.0},(568,690):{'3_1':0.0},(568,689):{'3_1':0.0},(568,688):{'3_1':0.0},(568,687):{'3_1':0.0},(568,684):{'5_1':0.0},(568,681):{'3_1':0.0},(568,678):{'3_1':0.0},(568,677):{'3_1':0.0},(568,676):{'3_1':0.0},(568,675):{'3_1':0.0},(568,666):{'4_1':0.0},(568,664):{'3_1':0.0},(568,663):{'3_1':0.0},(568,658):{'3_1':0.0},(568,657):{'3_1':0.0},(568,654):{'3_1':0.0},(568,653):{'3_1':0.0},(568,651):{'3_1':0.0},(568,649):{'3_1':0.0},(568,647):{'3_1':0.0},(568,645):{'3_1':0.0},(568,643):{'4_1':0.0},(568,620):{'3_1':0.0},(568,609):{'3_1':0.0},(568,586):{'3_1':0.0},(568,583):{'3_1':0.0},(568,582):{'3_1':0.0},(569,726):{'3_1':0.0},(569,725):{'3_1':0.0},(569,724):{'3_1':0.03},(569,723):{'3_1':0.0},(569,722):{'3_1':0.0},(569,721):{'3_1':0.03},(569,720):{'3_1':0.0},(569,718):{'3_1':0.0},(569,717):{'3_1':0.03},(569,716):{'3_1':0.03},(569,715):{'3_1':0.0},(569,714):{'3_1':0.0,'5_1':0.0},(569,713):{'3_1':0.03},(569,712):{'3_1':0.03},(569,711):{'3_1':0.03},(569,710):{'3_1':0.0},(569,709):{'3_1':0.0},(569,708):{'3_1':0.03},(569,707):{'3_1':0.0},(569,706):{'3_1':0.0,'5_1':0.0},(569,705):{'3_1':0.0},(569,704):{'3_1':0.0},(569,703):{'3_1':0.0},(569,702):{'3_1':0.0},(569,701):{'3_1':0.0},(569,700):{'3_1':0.0},(569,699):{'3_1':0.0},(569,698):{'3_1':0.0},(569,696):{'3_1':0.0},(569,693):{'3_1':0.0},(569,690):{'3_1':0.0},(569,689):{'3_1':0.0},(569,686):{'3_1':0.0},(569,683):{'3_1':0.0,'4_1':0.0},(569,680):{'3_1':0.0},(569,672):{'3_1':0.0},(569,671):{'3_1':0.0},(569,659):{'3_1':0.0},(569,654):{'3_1':0.0},(569,651):{'3_1':0.0},(569,650):{'3_1':0.0},(569,647):{'3_1':0.0},(569,627):{'3_1':0.0},(569,609):{'3_1':0.0},(569,585):{'3_1':0.0},(569,582):{'3_1':0.0},(569,581):{'3_1':0.0},(570,727):{'3_1':0.0},(570,726):{'3_1':0.0},(570,725):{'3_1':0.0},(570,724):{'3_1':0.03},(570,723):{'3_1':0.0},(570,722):{'3_1':0.0},(570,721):{'3_1':0.0},(570,720):{'3_1':0.0},(570,719):{'3_1':0.0},(570,718):{'3_1':0.03},(570,717):{'3_1':0.0},(570,716):{'3_1':0.0},(570,715):{'3_1':0.03},(570,714):{'3_1':0.0},(570,713):{'3_1':0.03,'5_1':0.0},(570,712):{'3_1':0.0},(570,711):{'3_1':0.0},(570,710):{'3_1':0.0},(570,709):{'3_1':0.03},(570,708):{'3_1':0.03},(570,707):{'3_1':0.0,'4_1':0.0},(570,706):{'3_1':0.0},(570,705):{'3_1':0.0},(570,704):{'3_1':0.0},(570,703):{'3_1':0.0,'5_1':0.0},(570,702):{'3_1':0.03},(570,700):{'5_1':0.0},(570,698):{'5_1':0.0},(570,697):{'5_1':0.0},(570,696):{'3_1':0.0},(570,691):{'4_1':0.0},(570,689):{'3_1':0.0},(570,688):{'5_1':0.0},(570,687):{'3_1':0.0},(570,686):{'3_1':0.0},(570,676):{'3_1':0.0},(570,674):{'3_1':0.0,'5_2':0.0},(570,670):{'3_1':0.0},(570,646):{'3_1':0.0},(570,643):{'3_1':0.0},(570,617):{'3_1':0.0},(570,612):{'3_1':0.0},(570,610):{'3_1':0.0},(570,599):{'3_1':0.0},(570,586):{'3_1':0.0},(570,581):{'3_1':0.0},(571,727):{'3_1':0.0},(571,726):{'3_1':0.03,'5_1':0.0},(571,725):{'4_1':0.0},(571,724):{'3_1':0.0},(571,723):{'3_1':0.0},(571,721):{'3_1':0.0,'7_1':0.0},(571,720):{'4_1':0.0},(571,719):{'3_1':0.0,'4_1':0.0},(571,718):{'3_1':0.0},(571,717):{'3_1':0.03},(571,716):{'3_1':0.03},(571,715):{'3_1':0.03,'5_1':0.0},(571,714):{'3_1':0.0},(571,713):{'3_1':0.0},(571,712):{'3_1':0.03},(571,711):{'3_1':0.03},(571,710):{'3_1':0.0},(571,709):{'3_1':0.0,'4_1':0.0},(571,708):{'3_1':0.0},(571,707):{'3_1':0.0},(571,706):{'3_1':0.0,'5_1':0.0},(571,705):{'3_1':0.0},(571,704):{'3_1':0.0},(571,702):{'3_1':0.0},(571,699):{'3_1':0.0,'5_1':0.0},(571,698):{'3_1':0.0},(571,697):{'3_1':0.0},(571,695):{'3_1':0.0},(571,693):{'3_1':0.0},(571,691):{'3_1':0.0},(571,689):{'3_1':0.0},(571,688):{'3_1':0.0},(571,687):{'3_1':0.0},(571,676):{'3_1':0.0},(571,674):{'5_2':0.0},(571,673):{'4_1':0.0},(571,672):{'4_1':0.0},(571,671):{'4_1':0.0},(571,664):{'3_1':0.0,'5_1':0.0},(571,662):{'3_1':0.0},(571,658):{'6_1':0.0},(571,657):{'3_1':0.0},(571,655):{'3_1':0.0},(571,627):{'4_1':0.0},(571,618):{'3_1':0.0},(571,617):{'3_1':0.0},(571,612):{'3_1':0.0},(571,608):{'3_1':0.0},(571,585):{'3_1':0.0},(571,584):{'3_1':0.0},(571,583):{'3_1':0.0},(571,582):{'3_1':0.0},(571,581):{'3_1':0.0},(572,727):{'3_1':0.0},(572,726):{'3_1':0.0},(572,725):{'3_1':0.0},(572,724):{'3_1':0.0},(572,723):{'3_1':0.0},(572,721):{'3_1':0.0,'7_1':0.0},(572,719):{'3_1':0.0},(572,718):{'3_1':0.06},(572,717):{'3_1':0.0},(572,716):{'3_1':0.0},(572,715):{'3_1':0.0},(572,714):{'3_1':0.0},(572,713):{'3_1':0.03,'5_1':0.0},(572,712):{'3_1':0.03},(572,711):{'3_1':0.0},(572,710):{'5_2':0.0},(572,709):{'3_1':0.0,'5_1':0.0},(572,708):{'3_1':0.0},(572,707):{'5_1':0.0},(572,706):{'3_1':0.0},(572,705):{'3_1':0.0},(572,704):{'3_1':0.0,'9_1':0.0},(572,703):{'3_1':0.0},(572,702):{'6_2':0.0},(572,701):{'3_1':0.0},(572,699):{'3_1':0.0},(572,698):{'5_1':0.0},(572,697):{'3_1':0.0},(572,696):{'3_1':0.0},(572,695):{'3_1':0.03},(572,692):{'3_1':0.0},(572,691):{'3_1':0.0},(572,690):{'3_1':0.0},(572,686):{'4_1':0.0},(572,682):{'3_1':0.0},(572,681):{'3_1':0.0},(572,679):{'3_1':0.0},(572,667):{'3_1':0.0},(572,664):{'3_1':0.0},(572,651):{'5_2':0.0},(572,647):{'3_1':0.0},(572,646):{'4_1':0.0},(572,643):{'3_1':0.0},(572,594):{'3_1':0.0},(572,588):{'3_1':0.0},(572,586):{'3_1':0.0},(572,583):{'3_1':0.0},(572,581):{'3_1':0.0},(573,727):{'3_1':0.0},(573,724):{'3_1':0.03},(573,723):{'3_1':0.0},(573,722):{'3_1':0.03},(573,721):{'3_1':0.0,'5_1':0.0},(573,720):{'3_1':0.03},(573,719):{'3_1':0.0},(573,717):{'3_1':0.0},(573,716):{'3_1':0.0},(573,715):{'3_1':0.03},(573,714):{'3_1':0.0},(573,713):{'3_1':0.0},(573,712):{'3_1':0.0},(573,711):{'3_1':0.0,'5_1':0.0},(573,710):{'3_1':0.0},(573,709):{'3_1':0.0,'5_1':0.0},(573,708):{'3_1':0.0},(573,707):{'3_1':0.0},(573,706):{'3_1':0.0},(573,705):{'3_1':0.0},(573,704):{'3_1':0.0},(573,703):{'3_1':0.0,'9_1':0.0},(573,701):{'3_1':0.0},(573,700):{'3_1':0.03},(573,699):{'3_1':0.0,'4_1':0.0},(573,698):{'3_1':0.0},(573,697):{'3_1':0.0},(573,694):{'3_1':0.0},(573,693):{'3_1':0.0},(573,690):{'3_1':0.0},(573,689):{'3_1':0.0},(573,687):{'5_2':0.0},(573,684):{'3_1':0.0},(573,681):{'3_1':0.0},(573,673):{'3_1':0.0},(573,672):{'3_1':0.0},(573,670):{'3_1':0.0},(573,668):{'3_1':0.0},(573,666):{'3_1':0.0},(573,664):{'3_1':0.0,'6_2':0.0},(573,663):{'3_1':0.0},(573,661):{'3_1':0.0},(573,660):{'3_1':0.0},(573,659):{'3_1':0.0},(573,657):{'3_1':0.0},(573,656):{'3_1':0.0},(573,654):{'3_1':0.0},(573,647):{'3_1':0.0},(573,646):{'3_1':0.0},(573,644):{'3_1':0.0},(573,624):{'3_1':0.0},(573,588):{'3_1':0.0},(573,584):{'3_1':0.0},(573,583):{'3_1':0.0},(573,582):{'3_1':0.0},(573,581):{'3_1':0.03},(573,580):{'3_1':0.0},(574,726):{'3_1':0.0,'7_1':0.0},(574,725):{'3_1':0.0},(574,724):{'3_1':0.03},(574,723):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(574,722):{'3_1':0.0},(574,721):{'3_1':0.0,'4_1':0.0},(574,720):{'3_1':0.0,'4_1':0.0},(574,719):{'3_1':0.0},(574,718):{'3_1':0.0},(574,717):{'3_1':0.0},(574,716):{'3_1':0.0},(574,715):{'3_1':0.0},(574,714):{'3_1':0.0},(574,713):{'3_1':0.09,'5_1':0.0},(574,712):{'3_1':0.03},(574,711):{'3_1':0.03},(574,710):{'3_1':0.0},(574,709):{'3_1':0.0,'4_1':0.0},(574,708):{'3_1':0.0},(574,706):{'3_1':0.0},(574,705):{'4_1':0.0},(574,704):{'3_1':0.0},(574,703):{'3_1':0.03,'5_1':0.0},(574,701):{'3_1':0.0},(574,700):{'3_1':0.0},(574,699):{'3_1':0.0},(574,698):{'3_1':0.0,'5_1':0.0},(574,697):{'3_1':0.0,'5_1':0.0},(574,696):{'3_1':0.0},(574,695):{'3_1':0.0,'5_1':0.0},(574,694):{'3_1':0.0},(574,692):{'3_1':0.0},(574,691):{'3_1':0.0},(574,690):{'3_1':0.0},(574,689):{'3_1':0.0},(574,688):{'3_1':0.0},(574,685):{'3_1':0.0},(574,680):{'3_1':0.0},(574,674):{'3_1':0.0},(574,671):{'3_1':0.0},(574,665):{'4_1':0.0},(574,658):{'5_1':0.0},(574,657):{'4_1':0.0},(574,649):{'3_1':0.0},(574,647):{'3_1':0.0},(574,646):{'3_1':0.0},(574,643):{'3_1':0.0},(574,618):{'3_1':0.0},(574,588):{'3_1':0.0},(574,583):{'3_1':0.0},(574,582):{'3_1':0.03},(574,581):{'3_1':0.0},(575,727):{'3_1':0.0},(575,726):{'3_1':0.0},(575,725):{'3_1':0.03},(575,724):{'3_1':0.0},(575,723):{'3_1':0.0},(575,721):{'3_1':0.0,'4_1':0.0},(575,719):{'3_1':0.03,'5_1':0.0},(575,718):{'3_1':0.0},(575,717):{'3_1':0.0},(575,716):{'3_1':0.03},(575,715):{'3_1':0.03},(575,714):{'3_1':0.03},(575,713):{'3_1':0.03},(575,712):{'3_1':0.03},(575,711):{'3_1':0.0},(575,710):{'3_1':0.0},(575,709):{'3_1':0.03},(575,708):{'3_1':0.0},(575,707):{'3_1':0.0,'5_1':0.0},(575,706):{'3_1':0.0},(575,705):{'3_1':0.0,'7_1':0.0},(575,703):{'3_1':0.0},(575,701):{'3_1':0.0},(575,700):{'3_1':0.0},(575,698):{'3_1':0.0},(575,697):{'3_1':0.0,'5_1':0.0},(575,695):{'3_1':0.0},(575,694):{'3_1':0.0},(575,691):{'3_1':0.0},(575,690):{'3_1':0.0},(575,688):{'6_1':0.0},(575,685):{'3_1':0.0,'5_1':0.0},(575,684):{'3_1':0.0},(575,683):{'3_1':0.0},(575,681):{'3_1':0.0},(575,680):{'4_1':0.0},(575,671):{'3_1':0.0},(575,669):{'3_1':0.0},(575,665):{'3_1':0.0},(575,663):{'3_1':0.0,'4_1':0.0},(575,661):{'3_1':0.0},(575,654):{'4_1':0.0},(575,650):{'3_1':0.0},(575,646):{'3_1':0.0},(575,620):{'3_1':0.0},(575,608):{'3_1':0.0},(575,596):{'5_2':0.0},(575,592):{'5_2':0.0},(575,591):{'3_1':0.0},(575,588):{'3_1':0.0},(575,584):{'3_1':0.0},(575,582):{'3_1':0.0},(576,727):{'3_1':0.0},(576,726):{'3_1':0.0},(576,725):{'3_1':0.03},(576,724):{'3_1':0.0},(576,723):{'3_1':0.0},(576,722):{'3_1':0.0},(576,721):{'3_1':0.03},(576,720):{'3_1':0.0},(576,719):{'3_1':0.0},(576,718):{'3_1':0.0},(576,717):{'3_1':0.03},(576,716):{'3_1':0.0},(576,715):{'3_1':0.03},(576,714):{'5_1':0.0},(576,713):{'3_1':0.03},(576,712):{'3_1':0.0},(576,711):{'3_1':0.03},(576,710):{'3_1':0.0},(576,709):{'3_1':0.0},(576,708):{'3_1':0.06},(576,707):{'3_1':0.0,'5_1':0.0},(576,706):{'3_1':0.0},(576,705):{'3_1':0.0},(576,704):{'3_1':0.0,'5_1':0.0},(576,703):{'5_1':0.0,'3_1':0.0},(576,702):{'3_1':0.0},(576,700):{'3_1':0.0},(576,697):{'3_1':0.0},(576,696):{'3_1':0.0},(576,694):{'3_1':0.0},(576,693):{'3_1':0.0},(576,692):{'3_1':0.0},(576,691):{'3_1':0.0},(576,687):{'4_1':0.0},(576,686):{'3_1':0.0},(576,685):{'3_1':0.03},(576,683):{'3_1':0.0},(576,682):{'3_1':0.0},(576,681):{'3_1':0.0},(576,679):{'3_1':0.0},(576,678):{'3_1':0.0},(576,676):{'3_1':0.0},(576,672):{'3_1':0.0},(576,671):{'3_1':0.0},(576,669):{'3_1':0.0},(576,667):{'3_1':0.0},(576,666):{'3_1':0.0},(576,665):{'3_1':0.0},(576,664):{'3_1':0.0},(576,656):{'4_1':0.0},(576,653):{'3_1':0.0,'5_2':0.0},(576,652):{'3_1':0.0},(576,651):{'3_1':0.0},(576,649):{'3_1':0.0},(576,647):{'3_1':0.0},(576,612):{'5_2':0.0},(576,609):{'3_1':0.0},(576,592):{'3_1':0.0},(576,590):{'3_1':0.0},(576,589):{'3_1':0.0},(576,584):{'3_1':0.0},(576,583):{'3_1':0.0},(576,582):{'3_1':0.0},(576,580):{'3_1':0.0},(577,726):{'3_1':0.0},(577,725):{'3_1':0.0},(577,724):{'3_1':0.0},(577,723):{'3_1':0.03},(577,722):{'3_1':0.0,'5_1':0.0},(577,721):{'3_1':0.03},(577,720):{'3_1':0.0},(577,719):{'3_1':0.03},(577,718):{'3_1':0.03},(577,717):{'3_1':0.03},(577,716):{'3_1':0.0},(577,715):{'3_1':0.03},(577,714):{'3_1':0.03},(577,713):{'3_1':0.0},(577,712):{'3_1':0.03},(577,711):{'3_1':0.03},(577,710):{'3_1':0.03},(577,709):{'3_1':0.03,'5_1':0.0},(577,708):{'3_1':0.0},(577,707):{'3_1':0.0},(577,706):{'3_1':0.03},(577,705):{'3_1':0.0},(577,704):{'3_1':0.0,'5_1':0.0},(577,702):{'3_1':0.0},(577,701):{'3_1':0.0},(577,700):{'3_1':0.0},(577,698):{'3_1':0.0},(577,697):{'3_1':0.0,'5_1':0.0},(577,695):{'3_1':0.0},(577,694):{'3_1':0.0},(577,693):{'3_1':0.0},(577,692):{'7_1':0.0},(577,691):{'3_1':0.0},(577,690):{'3_1':0.0,'7_1':0.0,'-3':0.0},(577,689):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(577,688):{'3_1':0.0,'5_1':0.0},(577,686):{'3_1':0.0,'5_1':0.0},(577,685):{'3_1':0.0},(577,682):{'3_1':0.0},(577,680):{'3_1':0.0},(577,678):{'3_1':0.0},(577,673):{'3_1':0.0},(577,670):{'3_1':0.0},(577,669):{'3_1':0.0,'4_1':0.0},(577,668):{'3_1':0.0},(577,667):{'3_1':0.0},(577,666):{'5_2':0.0},(577,665):{'3_1':0.0},(577,660):{'3_1':0.0},(577,657):{'3_1':0.0},(577,654):{'3_1':0.0},(577,651):{'3_1':0.0,'4_1':0.0},(577,647):{'3_1':0.0},(577,643):{'5_1':0.0},(577,611):{'3_1':0.0},(577,593):{'3_1':0.0},(577,591):{'3_1':0.0},(578,727):{'3_1':0.0,'4_1':0.0},(578,726):{'3_1':0.03},(578,724):{'3_1':0.0},(578,723):{'3_1':0.0},(578,722):{'3_1':0.0,'5_1':0.0},(578,721):{'3_1':0.03,'5_1':0.0},(578,720):{'3_1':0.03,'5_1':0.0},(578,719):{'3_1':0.03},(578,718):{'3_1':0.03,'4_1':0.0},(578,717):{'3_1':0.03,'4_1':0.0},(578,716):{'3_1':0.0},(578,715):{'3_1':0.0},(578,714):{'3_1':0.0},(578,713):{'3_1':0.06},(578,712):{'3_1':0.0},(578,711):{'3_1':0.0,'4_1':0.0},(578,710):{'3_1':0.0},(578,709):{'3_1':0.03},(578,708):{'3_1':0.03},(578,707):{'3_1':0.0},(578,706):{'3_1':0.03},(578,705):{'3_1':0.0,'5_1':0.0},(578,704):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(578,703):{'3_1':0.0},(578,702):{'3_1':0.0},(578,701):{'3_1':0.0},(578,700):{'3_1':0.0,'5_2':0.0},(578,699):{'3_1':0.0},(578,697):{'3_1':0.0,'5_1':0.0},(578,696):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(578,692):{'3_1':0.0},(578,691):{'3_1':0.0},(578,690):{'3_1':0.0},(578,689):{'3_1':0.0},(578,686):{'3_1':0.0},(578,684):{'3_1':0.03},(578,683):{'3_1':0.03},(578,682):{'3_1':0.0},(578,681):{'3_1':0.0},(578,680):{'3_1':0.0},(578,679):{'3_1':0.0},(578,678):{'3_1':0.0},(578,676):{'3_1':0.0},(578,674):{'3_1':0.0},(578,673):{'3_1':0.0},(578,669):{'3_1':0.0},(578,668):{'3_1':0.0,'5_2':0.0},(578,667):{'3_1':0.0},(578,665):{'3_1':0.0},(578,663):{'3_1':0.0},(578,655):{'3_1':0.0},(578,647):{'3_1':0.0},(578,646):{'3_1':0.0},(578,613):{'3_1':0.0},(579,727):{'3_1':0.03,'5_1':0.0},(579,726):{'3_1':0.0},(579,725):{'3_1':0.0,'5_1':0.0},(579,724):{'3_1':0.0},(579,723):{'3_1':0.03},(579,722):{'3_1':0.03},(579,721):{'3_1':0.06},(579,720):{'3_1':0.03},(579,719):{'3_1':0.0},(579,718):{'3_1':0.0},(579,717):{'3_1':0.03},(579,716):{'3_1':0.03,'5_1':0.0},(579,715):{'3_1':0.0},(579,714):{'3_1':0.0,'5_1':0.0},(579,713):{'3_1':0.03},(579,712):{'3_1':0.0,'5_1':0.0},(579,711):{'3_1':0.03},(579,710):{'3_1':0.03},(579,709):{'3_1':0.0},(579,708):{'3_1':0.03},(579,707):{'3_1':0.03},(579,706):{'3_1':0.03},(579,705):{'3_1':0.03,'5_1':0.0},(579,704):{'3_1':0.0},(579,703):{'3_1':0.0},(579,701):{'3_1':0.0},(579,699):{'3_1':0.0},(579,698):{'3_1':0.0},(579,696):{'3_1':0.0},(579,695):{'3_1':0.0},(579,694):{'5_1':0.0},(579,693):{'3_1':0.0,'5_1':0.0},(579,691):{'3_1':0.03},(579,690):{'3_1':0.0},(579,689):{'5_1':0.0},(579,686):{'3_1':0.0},(579,685):{'3_1':0.0,'5_1':0.0},(579,684):{'3_1':0.03},(579,683):{'3_1':0.0,'5_1':0.0},(579,682):{'3_1':0.0},(579,680):{'3_1':0.0},(579,678):{'3_1':0.0},(579,670):{'3_1':0.0},(579,668):{'4_1':0.0},(579,653):{'3_1':0.0},(579,650):{'3_1':0.0},(579,648):{'3_1':0.0},(579,646):{'3_1':0.0},(579,614):{'3_1':0.0},(580,727):{'3_1':0.0},(580,726):{'3_1':0.0},(580,725):{'3_1':0.0},(580,724):{'3_1':0.0,'5_1':0.0},(580,723):{'3_1':0.0,'6_2':0.0},(580,722):{'3_1':0.03,'5_1':0.0},(580,721):{'3_1':0.0},(580,720):{'3_1':0.03,'5_1':0.0},(580,719):{'3_1':0.0},(580,718):{'3_1':0.0},(580,717):{'3_1':0.03},(580,716):{'3_1':0.0,'5_1':0.0},(580,715):{'3_1':0.03},(580,714):{'3_1':0.0},(580,713):{'3_1':0.06},(580,712):{'3_1':0.03},(580,711):{'3_1':0.03,'5_1':0.0},(580,710):{'3_1':0.09,'5_1':0.0},(580,709):{'3_1':0.03,'5_1':0.0},(580,708):{'3_1':0.03},(580,707):{'3_1':0.0},(580,706):{'3_1':0.0,'7_1':0.0},(580,705):{'3_1':0.0,'5_1':0.0},(580,704):{'3_1':0.0,'5_1':0.0},(580,703):{'3_1':0.0},(580,702):{'7_1':0.0},(580,701):{'3_1':0.0},(580,700):{'3_1':0.0,'5_2':0.0},(580,699):{'7_1':0.0},(580,698):{'3_1':0.0,'7_1':0.0},(580,697):{'3_1':0.0,'5_1':0.0},(580,696):{'3_1':0.0},(580,695):{'3_1':0.0},(580,694):{'3_1':0.0},(580,692):{'3_1':0.0},(580,690):{'3_1':0.0,'7_1':0.0},(580,688):{'3_1':0.0},(580,685):{'3_1':0.0},(580,684):{'3_1':0.0},(580,682):{'3_1':0.0},(580,681):{'3_1':0.0},(580,679):{'3_1':0.0},(580,678):{'3_1':0.0},(580,671):{'3_1':0.0},(580,667):{'3_1':0.0},(580,664):{'3_1':0.0},(580,663):{'3_1':0.0},(580,658):{'3_1':0.0},(580,657):{'4_1':0.0},(580,655):{'5_1':0.0},(580,650):{'3_1':0.0},(580,648):{'3_1':0.0},(580,647):{'3_1':0.0},(580,646):{'3_1':0.0},(580,611):{'3_1':0.0},(581,727):{'3_1':0.0},(581,726):{'3_1':0.0,'4_1':0.0},(581,725):{'3_1':0.03},(581,724):{'3_1':0.03},(581,723):{'3_1':0.0,'5_1':0.0},(581,722):{'3_1':0.0,'4_1':0.0},(581,721):{'3_1':0.03},(581,720):{'3_1':0.03},(581,719):{'3_1':0.0},(581,718):{'3_1':0.06},(581,717):{'3_1':0.03},(581,716):{'3_1':0.0,'5_1':0.0},(581,715):{'3_1':0.06,'5_1':0.0},(581,714):{'3_1':0.03},(581,713):{'3_1':0.06,'5_1':0.0},(581,712):{'3_1':0.03,'5_1':0.0},(581,711):{'3_1':0.03},(581,709):{'3_1':0.03},(581,708):{'3_1':0.03},(581,707):{'3_1':0.03},(581,706):{'3_1':0.0},(581,705):{'3_1':0.0},(581,704):{'3_1':0.0},(581,703):{'3_1':0.0},(581,701):{'5_1':0.0},(581,699):{'3_1':0.0,'5_1':0.0},(581,698):{'3_1':0.0},(581,697):{'3_1':0.0},(581,694):{'3_1':0.0,'7_1':0.0},(581,693):{'3_1':0.0},(581,692):{'3_1':0.0},(581,691):{'3_1':0.0},(581,688):{'3_1':0.0,'5_1':0.0},(581,687):{'3_1':0.0},(581,686):{'3_1':0.0},(581,684):{'3_1':0.0},(581,682):{'3_1':0.0},(581,679):{'3_1':0.0},(581,660):{'3_1':0.0},(581,657):{'3_1':0.0},(581,656):{'3_1':0.0},(581,654):{'3_1':0.0},(581,651):{'3_1':0.0},(581,650):{'4_1':0.0},(581,648):{'3_1':0.0},(581,647):{'3_1':0.0,'5_1':0.0},(581,646):{'3_1':0.0},(582,727):{'3_1':0.0},(582,726):{'3_1':0.0},(582,725):{'3_1':0.0,'4_1':0.0},(582,724):{'3_1':0.03,'5_1':0.0},(582,723):{'3_1':0.0},(582,722):{'3_1':0.0},(582,721):{'3_1':0.03},(582,720):{'3_1':0.0},(582,719):{'3_1':0.0},(582,718):{'3_1':0.03},(582,716):{'3_1':0.03},(582,715):{'3_1':0.03},(582,714):{'3_1':0.0,'4_1':0.0},(582,713):{'3_1':0.03},(582,712):{'3_1':0.03,'5_1':0.0},(582,711):{'3_1':0.0,'5_1':0.0},(582,710):{'3_1':0.03},(582,709):{'3_1':0.0},(582,708):{'3_1':0.03},(582,707):{'3_1':0.0,'4_1':0.0},(582,706):{'3_1':0.03},(582,704):{'3_1':0.0},(582,703):{'3_1':0.0},(582,701):{'3_1':0.0},(582,700):{'3_1':0.03},(582,699):{'3_1':0.0},(582,698):{'3_1':0.0},(582,695):{'3_1':0.0},(582,694):{'3_1':0.0},(582,692):{'3_1':0.0},(582,691):{'3_1':0.0},(582,690):{'5_2':0.0},(582,689):{'3_1':0.0},(582,688):{'3_1':0.0},(582,685):{'3_1':0.0},(582,684):{'5_1':0.0},(582,683):{'5_1':0.0},(582,682):{'3_1':0.0},(582,681):{'3_1':0.0},(582,680):{'5_2':0.0},(582,679):{'3_1':0.0},(582,676):{'3_1':0.0},(582,672):{'3_1':0.0},(582,656):{'4_1':0.0},(582,654):{'3_1':0.0},(582,652):{'4_1':0.0},(582,651):{'3_1':0.0},(582,650):{'3_1':0.0},(582,648):{'3_1':0.0},(582,643):{'3_1':0.0},(583,726):{'3_1':0.0},(583,725):{'3_1':0.03},(583,724):{'3_1':0.03},(583,723):{'3_1':0.0},(583,722):{'3_1':0.0,'4_1':0.0},(583,721):{'3_1':0.03},(583,720):{'3_1':0.0},(583,719):{'3_1':0.03},(583,717):{'3_1':0.06,'4_1':0.0},(583,716):{'3_1':0.03},(583,715):{'3_1':0.03},(583,714):{'3_1':0.03,'5_1':0.0},(583,713):{'3_1':0.06},(583,712):{'3_1':0.0},(583,711):{'3_1':0.0},(583,710):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(583,709):{'3_1':0.03},(583,708):{'3_1':0.03},(583,707):{'3_1':0.0},(583,706):{'3_1':0.03},(583,704):{'3_1':0.0,'5_1':0.0},(583,701):{'3_1':0.0},(583,697):{'3_1':0.0},(583,696):{'3_1':0.0,'6_1':0.0},(583,695):{'3_1':0.0},(583,693):{'3_1':0.0},(583,692):{'3_1':0.0},(583,691):{'3_1':0.0},(583,689):{'3_1':0.0},(583,686):{'3_1':0.0},(583,677):{'3_1':0.0},(583,674):{'3_1':0.0},(583,673):{'3_1':0.0},(583,671):{'3_1':0.0},(583,668):{'3_1':0.0},(583,654):{'3_1':0.0},(583,651):{'3_1':0.0},(583,648):{'3_1':0.0},(583,646):{'3_1':0.0},(583,644):{'3_1':0.0},(584,727):{'3_1':0.0},(584,726):{'3_1':0.0},(584,725):{'3_1':0.0},(584,724):{'3_1':0.06,'5_1':0.0},(584,723):{'3_1':0.0,'5_1':0.0},(584,722):{'3_1':0.03},(584,721):{'3_1':0.0},(584,720):{'3_1':0.0},(584,719):{'3_1':0.06},(584,718):{'3_1':0.03},(584,717):{'3_1':0.03},(584,716):{'3_1':0.03},(584,715):{'3_1':0.03},(584,714):{'3_1':0.03},(584,713):{'3_1':0.03,'5_1':0.0},(584,712):{'3_1':0.03},(584,711):{'3_1':0.03},(584,710):{'3_1':0.03},(584,709):{'3_1':0.0},(584,708):{'3_1':0.0},(584,707):{'3_1':0.0},(584,706):{'5_1':0.0},(584,705):{'3_1':0.0},(584,704):{'3_1':0.0,'5_1':0.0},(584,701):{'3_1':0.0},(584,700):{'3_1':0.0},(584,699):{'3_1':0.0},(584,697):{'3_1':0.0},(584,694):{'3_1':0.0},(584,692):{'3_1':0.0},(584,691):{'3_1':0.0},(584,689):{'3_1':0.0},(584,688):{'3_1':0.0},(584,687):{'3_1':0.0,'5_2':0.0},(584,686):{'3_1':0.0},(584,685):{'3_1':0.0},(584,684):{'3_1':0.0},(584,683):{'3_1':0.0},(584,679):{'5_2':0.0},(584,678):{'3_1':0.0},(584,677):{'3_1':0.0},(584,669):{'4_1':0.0},(584,661):{'3_1':0.0},(584,660):{'4_1':0.0},(584,659):{'3_1':0.0},(584,658):{'3_1':0.0},(584,656):{'3_1':0.0},(584,655):{'3_1':0.0},(584,653):{'3_1':0.0,'4_1':0.0},(584,649):{'3_1':0.0,'5_1':0.0},(584,648):{'3_1':0.0},(584,647):{'3_1':0.0},(584,646):{'3_1':0.0},(584,645):{'3_1':0.0},(584,643):{'3_1':0.0},(584,613):{'3_1':0.0},(584,611):{'3_1':0.0},(585,727):{'3_1':0.0,'5_1':0.0},(585,726):{'3_1':0.0},(585,725):{'3_1':0.0},(585,724):{'3_1':0.0,'5_1':0.0},(585,723):{'3_1':0.0},(585,722):{'3_1':0.03},(585,721):{'3_1':0.03},(585,720):{'3_1':0.0},(585,719):{'3_1':0.0,'5_1':0.0},(585,718):{'3_1':0.03,'5_1':0.0},(585,717):{'3_1':0.0},(585,716):{'3_1':0.0,'4_1':0.0},(585,715):{'3_1':0.03},(585,714):{'3_1':0.03},(585,713):{'3_1':0.0},(585,712):{'3_1':0.0},(585,711):{'3_1':0.0},(585,710):{'3_1':0.03},(585,709):{'4_1':0.0},(585,708):{'3_1':0.0},(585,707):{'3_1':0.0},(585,705):{'3_1':0.0},(585,698):{'3_1':0.0},(585,696):{'3_1':0.0},(585,695):{'3_1':0.0},(585,694):{'3_1':0.0},(585,693):{'3_1':0.0},(585,691):{'3_1':0.0},(585,689):{'4_1':0.0},(585,686):{'3_1':0.0},(585,681):{'3_1':0.0},(585,680):{'3_1':0.0},(585,679):{'3_1':0.0},(585,677):{'3_1':0.0},(585,675):{'3_1':0.0},(585,673):{'3_1':0.0},(585,672):{'4_1':0.0},(585,671):{'3_1':0.0},(585,669):{'3_1':0.0},(585,659):{'3_1':0.0},(585,658):{'5_1':0.0},(585,654):{'3_1':0.0},(585,652):{'3_1':0.0},(585,646):{'3_1':0.0},(585,645):{'3_1':0.0},(585,644):{'3_1':0.0},(585,643):{'3_1':0.0},(585,615):{'3_1':0.0,'5_2':0.0},(585,614):{'3_1':0.0},(586,727):{'3_1':0.0},(586,726):{'3_1':0.0},(586,725):{'3_1':0.0},(586,724):{'3_1':0.0},(586,723):{'3_1':0.0},(586,722):{'4_1':0.0},(586,721):{'3_1':0.0},(586,720):{'3_1':0.0},(586,719):{'3_1':0.0},(586,718):{'3_1':0.0},(586,717):{'3_1':0.0},(586,716):{'3_1':0.03},(586,714):{'3_1':0.03},(586,713):{'3_1':0.03,'5_1':0.0},(586,712):{'3_1':0.0},(586,711):{'3_1':0.0,'5_1':0.0},(586,710):{'3_1':0.0},(586,709):{'3_1':0.0},(586,708):{'3_1':0.0},(586,707):{'3_1':0.03},(586,706):{'3_1':0.0},(586,705):{'3_1':0.0},(586,704):{'3_1':0.0},(586,703):{'3_1':0.0},(586,700):{'5_1':0.0},(586,699):{'3_1':0.0},(586,698):{'3_1':0.0},(586,697):{'3_1':0.0},(586,696):{'3_1':0.0},(586,694):{'3_1':0.0},(586,693):{'3_1':0.0},(586,680):{'5_1':0.0},(586,679):{'3_1':0.0},(586,677):{'3_1':0.0},(586,675):{'5_1':0.0},(586,674):{'3_1':0.0,'5_1':0.0},(586,673):{'3_1':0.0},(586,672):{'3_1':0.0},(586,669):{'3_1':0.0},(586,656):{'3_1':0.0},(586,655):{'3_1':0.0},(586,652):{'3_1':0.0},(586,651):{'3_1':0.0},(586,648):{'3_1':0.0},(586,612):{'3_1':0.0},(587,727):{'3_1':0.0},(587,726):{'3_1':0.0},(587,725):{'3_1':0.03},(587,724):{'3_1':0.0},(587,723):{'3_1':0.0,'4_1':0.0},(587,722):{'3_1':0.0},(587,721):{'3_1':0.0},(587,720):{'3_1':0.0,'5_1':0.0},(587,719):{'3_1':0.03},(587,718):{'3_1':0.03},(587,717):{'3_1':0.0},(587,716):{'3_1':0.0},(587,715):{'3_1':0.06},(587,714):{'3_1':0.03,'5_1':0.0,'6_1':0.0},(587,713):{'3_1':0.03},(587,712):{'3_1':0.0},(587,710):{'3_1':0.0,'4_1':0.0},(587,709):{'3_1':0.0},(587,708):{'3_1':0.0},(587,707):{'3_1':0.0},(587,706):{'3_1':0.0},(587,705):{'3_1':0.0},(587,704):{'3_1':0.0},(587,699):{'3_1':0.0},(587,698):{'3_1':0.0},(587,697):{'3_1':0.0},(587,696):{'3_1':0.0},(587,695):{'3_1':0.0},(587,693):{'3_1':0.0,'5_1':0.0},(587,684):{'4_1':0.0},(587,683):{'3_1':0.0},(587,680):{'3_1':0.0},(587,674):{'3_1':0.0},(587,659):{'5_1':0.0},(587,657):{'4_1':0.0},(587,615):{'4_1':0.0},(587,611):{'3_1':0.0},(587,608):{'3_1':0.0},(588,726):{'3_1':0.0},(588,725):{'3_1':0.0},(588,724):{'3_1':0.0,'4_1':0.0},(588,723):{'3_1':0.0,'4_1':0.0},(588,722):{'3_1':0.0},(588,721):{'3_1':0.0},(588,720):{'3_1':0.03},(588,719):{'3_1':0.0},(588,718):{'3_1':0.0},(588,717):{'3_1':0.0},(588,716):{'3_1':0.0},(588,715):{'3_1':0.03},(588,714):{'3_1':0.03},(588,713):{'3_1':0.0,'4_1':0.0},(588,712):{'3_1':0.0},(588,711):{'3_1':0.0,'4_1':0.0},(588,710):{'3_1':0.0},(588,709):{'3_1':0.0},(588,708):{'3_1':0.0},(588,707):{'3_1':0.0},(588,705):{'3_1':0.0},(588,704):{'3_1':0.0},(588,703):{'3_1':0.0},(588,699):{'3_1':0.0},(588,698):{'3_1':0.0},(588,696):{'3_1':0.0},(588,694):{'3_1':0.0},(588,681):{'3_1':0.0},(588,678):{'3_1':0.0},(588,677):{'3_1':0.0},(588,676):{'5_2':0.0},(588,675):{'3_1':0.0},(588,673):{'3_1':0.0},(588,672):{'3_1':0.0},(588,670):{'3_1':0.0},(588,668):{'3_1':0.0},(588,659):{'3_1':0.0},(588,658):{'3_1':0.0},(588,656):{'3_1':0.0},(588,652):{'3_1':0.0},(588,649):{'3_1':0.0},(588,648):{'3_1':0.0},(588,615):{'3_1':0.0},(588,614):{'3_1':0.0},(588,611):{'3_1':0.0},(589,727):{'3_1':0.0,'4_1':0.0},(589,726):{'3_1':0.0},(589,725):{'3_1':0.0},(589,724):{'3_1':0.0},(589,723):{'3_1':0.0},(589,722):{'3_1':0.0},(589,721):{'3_1':0.0},(589,720):{'3_1':0.0},(589,719):{'3_1':0.0},(589,718):{'3_1':0.0},(589,717):{'3_1':0.0},(589,716):{'3_1':0.0},(589,715):{'3_1':0.0},(589,714):{'3_1':0.0},(589,713):{'3_1':0.0},(589,712):{'3_1':0.03},(589,711):{'3_1':0.0},(589,709):{'3_1':0.0},(589,708):{'3_1':0.0},(589,707):{'3_1':0.0},(589,706):{'3_1':0.0},(589,703):{'3_1':0.0},(589,700):{'3_1':0.0},(589,699):{'3_1':0.0},(589,698):{'3_1':0.0},(589,696):{'3_1':0.0},(589,695):{'3_1':0.0,'5_1':0.0},(589,694):{'3_1':0.0},(589,684):{'3_1':0.0},(589,676):{'3_1':0.0},(589,674):{'6_1':0.0},(589,673):{'3_1':0.0},(589,669):{'3_1':0.0},(589,659):{'5_1':0.0},(589,657):{'3_1':0.0,'4_1':0.0},(589,654):{'3_1':0.0,'5_1':0.0},(589,651):{'3_1':0.0},(589,650):{'4_1':0.0},(589,649):{'3_1':0.0,'4_1':0.0},(589,648):{'3_1':0.0},(589,615):{'3_1':0.0},(589,611):{'3_1':0.0},(589,608):{'3_1':0.0},(590,727):{'3_1':0.0},(590,726):{'3_1':0.0},(590,725):{'3_1':0.0},(590,723):{'3_1':0.0},(590,722):{'3_1':0.03,'4_1':0.0},(590,721):{'3_1':0.0},(590,720):{'3_1':0.0},(590,719):{'3_1':0.0},(590,718):{'3_1':0.0,'6_1':0.0},(590,717):{'3_1':0.0},(590,716):{'3_1':0.0},(590,715):{'3_1':0.03},(590,714):{'3_1':0.03},(590,713):{'3_1':0.03,'5_1':0.0},(590,712):{'3_1':0.0},(590,711):{'3_1':0.0},(590,710):{'3_1':0.0},(590,709):{'3_1':0.03},(590,708):{'3_1':0.0,'5_1':0.0},(590,707):{'3_1':0.03},(590,706):{'3_1':0.0},(590,705):{'3_1':0.0,'6_1':0.0},(590,704):{'3_1':0.0,'4_1':0.0},(590,703):{'3_1':0.0},(590,700):{'3_1':0.0},(590,697):{'3_1':0.0},(590,696):{'3_1':0.0},(590,695):{'5_1':0.0},(590,693):{'3_1':0.0},(590,682):{'3_1':0.0},(590,680):{'3_1':0.0},(590,679):{'3_1':0.0},(590,678):{'3_1':0.0},(590,676):{'3_1':0.0},(590,671):{'3_1':0.0},(590,660):{'3_1':0.0},(590,658):{'3_1':0.0},(590,651):{'3_1':0.0},(590,649):{'3_1':0.0},(590,648):{'3_1':0.0},(590,614):{'3_1':0.0},(590,608):{'3_1':0.0},(591,727):{'3_1':0.0},(591,725):{'3_1':0.0},(591,724):{'3_1':0.0},(591,723):{'3_1':0.0},(591,722):{'3_1':0.0,'4_1':0.0},(591,721):{'3_1':0.03,'4_1':0.0},(591,720):{'3_1':0.0},(591,719):{'3_1':0.0},(591,718):{'3_1':0.0},(591,717):{'3_1':0.0},(591,716):{'3_1':0.0},(591,715):{'3_1':0.0},(591,714):{'3_1':0.0},(591,713):{'3_1':0.0},(591,711):{'3_1':0.03},(591,710):{'3_1':0.0},(591,709):{'3_1':0.0},(591,707):{'3_1':0.0},(591,706):{'3_1':0.0},(591,699):{'3_1':0.0},(591,698):{'3_1':0.0},(591,697):{'3_1':0.0},(591,693):{'3_1':0.0},(591,686):{'3_1':0.0},(591,685):{'3_1':0.0},(591,682):{'3_1':0.0},(591,681):{'3_1':0.0},(591,680):{'3_1':0.0},(591,660):{'3_1':0.0},(591,657):{'3_1':0.0},(591,652):{'3_1':0.0},(591,649):{'3_1':0.0},(591,648):{'3_1':0.0},(592,727):{'3_1':0.0},(592,724):{'3_1':0.0},(592,723):{'3_1':0.0},(592,722):{'3_1':0.0},(592,721):{'3_1':0.0},(592,720):{'3_1':0.0},(592,719):{'3_1':0.0},(592,718):{'3_1':0.0},(592,717):{'3_1':0.0},(592,715):{'3_1':0.0,'5_1':0.0},(592,714):{'3_1':0.0},(592,713):{'3_1':0.03,'5_1':0.0},(592,712):{'3_1':0.0},(592,711):{'3_1':0.0},(592,709):{'3_1':0.0},(592,708):{'3_1':0.0,'5_2':0.0},(592,707):{'3_1':0.0},(592,705):{'3_1':0.0},(592,703):{'3_1':0.0},(592,701):{'3_1':0.0},(592,699):{'3_1':0.0},(592,697):{'3_1':0.0},(592,696):{'3_1':0.0},(592,693):{'3_1':0.0},(592,692):{'3_1':0.0},(592,690):{'3_1':0.03},(592,689):{'3_1':0.0},(592,684):{'3_1':0.0},(592,682):{'3_1':0.0},(592,680):{'3_1':0.0},(592,679):{'3_1':0.0},(592,655):{'4_1':0.0},(592,651):{'3_1':0.0},(592,650):{'3_1':0.0},(593,727):{'3_1':0.0},(593,726):{'3_1':0.0},(593,725):{'3_1':0.0},(593,724):{'3_1':0.0,'5_1':0.0},(593,723):{'3_1':0.0},(593,721):{'3_1':0.03},(593,720):{'3_1':0.0},(593,719):{'3_1':0.03},(593,718):{'3_1':0.0},(593,717):{'3_1':0.0},(593,716):{'3_1':0.0},(593,715):{'3_1':0.03},(593,714):{'3_1':0.0},(593,712):{'3_1':0.0},(593,710):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(593,709):{'3_1':0.0},(593,708):{'5_1':0.0},(593,707):{'3_1':0.0},(593,706):{'3_1':0.0},(593,705):{'3_1':0.0},(593,703):{'3_1':0.0},(593,701):{'3_1':0.0},(593,697):{'3_1':0.0},(593,693):{'3_1':0.0},(593,691):{'3_1':0.0},(593,686):{'3_1':0.0},(593,679):{'3_1':0.0},(593,660):{'5_2':0.0},(593,659):{'3_1':0.0},(593,652):{'3_1':0.0},(593,651):{'3_1':0.0},(593,650):{'3_1':0.0},(594,727):{'3_1':0.0},(594,726):{'3_1':0.0,'5_1':0.0},(594,725):{'3_1':0.0},(594,724):{'3_1':0.03},(594,723):{'3_1':0.0},(594,722):{'3_1':0.0},(594,719):{'3_1':0.0},(594,717):{'3_1':0.0,'4_1':0.0},(594,716):{'3_1':0.0},(594,715):{'3_1':0.0},(594,714):{'3_1':0.0},(594,713):{'3_1':0.0},(594,709):{'3_1':0.0},(594,708):{'3_1':0.0},(594,707):{'3_1':0.0},(594,706):{'3_1':0.0},(594,705):{'3_1':0.0,'4_1':0.0},(594,700):{'3_1':0.0},(594,696):{'3_1':0.0},(594,695):{'3_1':0.0},(594,694):{'3_1':0.0},(594,693):{'3_1':0.0},(594,692):{'3_1':0.0},(594,690):{'3_1':0.0},(594,680):{'3_1':0.0},(594,679):{'3_1':0.0},(594,654):{'3_1':0.0},(595,727):{'3_1':0.0,'4_1':0.0},(595,724):{'3_1':0.0},(595,723):{'3_1':0.0},(595,722):{'3_1':0.0},(595,720):{'3_1':0.0},(595,719):{'3_1':0.0},(595,718):{'3_1':0.0},(595,717):{'6_1':0.0},(595,716):{'3_1':0.0,'4_1':0.0},(595,715):{'3_1':0.0,'4_1':0.0},(595,714):{'3_1':0.0},(595,713):{'3_1':0.03},(595,712):{'4_1':0.0},(595,711):{'3_1':0.0},(595,710):{'3_1':0.03},(595,709):{'3_1':0.03},(595,707):{'3_1':0.03},(595,701):{'3_1':0.0},(595,699):{'3_1':0.0},(595,693):{'3_1':0.0},(595,690):{'3_1':0.0},(595,686):{'3_1':0.0},(595,658):{'3_1':0.0},(595,650):{'3_1':0.0},(595,648):{'3_1':0.0},(596,727):{'3_1':0.0},(596,726):{'3_1':0.0,'5_1':0.0},(596,725):{'3_1':0.0},(596,724):{'3_1':0.0},(596,723):{'3_1':0.0},(596,722):{'3_1':0.0},(596,721):{'3_1':0.0},(596,720):{'3_1':0.0},(596,718):{'3_1':0.0},(596,717):{'3_1':0.0},(596,716):{'3_1':0.0},(596,712):{'3_1':0.0},(596,711):{'3_1':0.0},(596,710):{'3_1':0.0},(596,709):{'3_1':0.0},(596,708):{'3_1':0.0},(596,696):{'3_1':0.0},(596,694):{'3_1':0.0},(596,693):{'3_1':0.0},(596,692):{'3_1':0.0},(596,691):{'3_1':0.0},(596,690):{'3_1':0.0},(596,688):{'5_2':0.0},(596,661):{'4_1':0.0},(596,658):{'3_1':0.0},(596,657):{'4_1':0.0},(597,727):{'3_1':0.03},(597,726):{'3_1':0.0},(597,725):{'3_1':0.0},(597,724):{'3_1':0.0},(597,722):{'3_1':0.0},(597,720):{'3_1':0.0},(597,719):{'3_1':0.0},(597,718):{'3_1':0.0},(597,716):{'3_1':0.0},(597,714):{'3_1':0.0,'5_1':0.0},(597,713):{'3_1':0.0},(597,712):{'3_1':0.0},(597,711):{'3_1':0.0},(597,710):{'3_1':0.03},(597,706):{'3_1':0.0},(597,704):{'3_1':0.0,'4_1':0.0},(597,702):{'3_1':0.0},(597,694):{'3_1':0.0},(597,693):{'3_1':0.0},(597,692):{'3_1':0.0},(597,688):{'3_1':0.0},(597,659):{'3_1':0.0},(597,646):{'3_1':0.0},(597,644):{'3_1':0.0},(597,642):{'3_1':0.0},(598,727):{'3_1':0.03},(598,726):{'3_1':0.0},(598,725):{'3_1':0.0},(598,724):{'3_1':0.0},(598,723):{'3_1':0.0},(598,722):{'3_1':0.0},(598,721):{'3_1':0.0},(598,720):{'3_1':0.0},(598,718):{'3_1':0.0},(598,717):{'3_1':0.0},(598,716):{'3_1':0.0},(598,715):{'3_1':0.0},(598,714):{'3_1':0.0},(598,713):{'3_1':0.0},(598,712):{'3_1':0.0},(598,710):{'3_1':0.0},(598,708):{'3_1':0.0},(598,704):{'3_1':0.0},(598,702):{'3_1':0.0},(598,656):{'3_1':0.0},(598,653):{'3_1':0.0},(598,646):{'3_1':0.0},(598,643):{'3_1':0.0},(598,611):{'3_1':0.0},(598,607):{'3_1':0.0},(598,603):{'3_1':0.0},(599,726):{'3_1':0.0},(599,723):{'3_1':0.0},(599,722):{'3_1':0.0},(599,721):{'3_1':0.0},(599,720):{'3_1':0.0},(599,717):{'4_1':0.0},(599,716):{'3_1':0.03},(599,715):{'3_1':0.0},(599,714):{'3_1':0.0},(599,713):{'3_1':0.0},(599,711):{'3_1':0.0},(599,710):{'3_1':0.0},(599,709):{'3_1':0.0},(599,706):{'3_1':0.0},(599,705):{'3_1':0.0},(599,703):{'3_1':0.0},(599,696):{'3_1':0.0},(599,694):{'3_1':0.0},(599,693):{'3_1':0.0},(599,692):{'3_1':0.0},(599,691):{'3_1':0.0},(599,646):{'3_1':0.0},(599,642):{'3_1':0.0},(599,611):{'3_1':0.0},(599,610):{'3_1':0.0},(600,727):{'3_1':0.0},(600,724):{'3_1':0.0},(600,723):{'3_1':0.0},(600,722):{'3_1':0.0},(600,721):{'3_1':0.0},(600,719):{'3_1':0.0},(600,717):{'3_1':0.0},(600,716):{'3_1':0.0},(600,715):{'3_1':0.0},(600,714):{'3_1':0.0},(600,712):{'3_1':0.0},(600,711):{'3_1':0.0},(600,710):{'3_1':0.0},(600,709):{'3_1':0.0},(600,708):{'3_1':0.0,'4_1':0.0},(600,705):{'3_1':0.0},(600,704):{'3_1':0.0},(600,700):{'3_1':0.0},(600,693):{'3_1':0.0},(600,689):{'3_1':0.0},(600,659):{'4_1':0.0},(600,645):{'4_1':0.0},(600,643):{'3_1':0.0},(600,609):{'3_1':0.0},(600,608):{'3_1':0.0},(601,725):{'3_1':0.0},(601,724):{'3_1':0.0},(601,722):{'3_1':0.0},(601,719):{'3_1':0.0},(601,717):{'3_1':0.0},(601,715):{'3_1':0.0},(601,714):{'3_1':0.0},(601,712):{'3_1':0.0},(601,711):{'3_1':0.0},(601,708):{'3_1':0.0},(601,706):{'3_1':0.0},(601,702):{'3_1':0.0},(601,700):{'3_1':0.0},(601,657):{'3_1':0.0},(601,646):{'3_1':0.0},(601,645):{'3_1':0.0},(601,643):{'3_1':0.0},(601,642):{'3_1':0.0},(601,609):{'3_1':0.0},(601,608):{'3_1':0.0},(601,607):{'3_1':0.0},(602,724):{'3_1':0.0},(602,723):{'3_1':0.0},(602,722):{'3_1':0.0},(602,721):{'3_1':0.0},(602,719):{'3_1':0.0},(602,718):{'3_1':0.0},(602,716):{'3_1':0.0},(602,715):{'3_1':0.0},(602,714):{'3_1':0.0},(602,712):{'3_1':0.0},(602,710):{'3_1':0.0},(602,709):{'3_1':0.0},(602,705):{'3_1':0.0},(602,704):{'3_1':0.0},(602,703):{'3_1':0.0},(602,702):{'3_1':0.0},(602,695):{'3_1':0.0},(602,693):{'3_1':0.0},(602,686):{'3_1':0.0},(602,685):{'3_1':0.0},(602,684):{'3_1':0.0},(602,671):{'3_1':0.0},(602,666):{'4_1':0.0},(602,665):{'3_1':0.0},(602,655):{'3_1':0.0},(602,651):{'3_1':0.0},(602,650):{'3_1':0.0},(602,649):{'3_1':0.0},(602,647):{'3_1':0.0},(602,646):{'3_1':0.0},(602,641):{'3_1':0.0},(602,639):{'3_1':0.0},(602,638):{'3_1':0.0},(603,726):{'3_1':0.0},(603,724):{'3_1':0.0},(603,722):{'3_1':0.0},(603,721):{'3_1':0.0},(603,720):{'3_1':0.03},(603,718):{'3_1':0.0},(603,716):{'3_1':0.0},(603,715):{'3_1':0.0},(603,714):{'3_1':0.0},(603,713):{'6_1':0.0},(603,712):{'3_1':0.0},(603,711):{'3_1':0.0},(603,710):{'3_1':0.0},(603,709):{'3_1':0.0},(603,708):{'3_1':0.0},(603,706):{'3_1':0.0},(603,705):{'3_1':0.0},(603,700):{'3_1':0.0},(603,699):{'3_1':0.0},(603,692):{'6_1':0.0},(603,689):{'3_1':0.0},(603,688):{'3_1':0.0},(603,673):{'3_1':0.0},(603,672):{'3_1':0.0},(603,671):{'3_1':0.0},(603,661):{'5_2':0.0},(603,647):{'3_1':0.0},(603,639):{'3_1':0.0},(603,609):{'3_1':0.0},(604,724):{'3_1':0.0},(604,723):{'3_1':0.0},(604,722):{'4_1':0.0,'5_2':0.0},(604,719):{'3_1':0.0},(604,717):{'3_1':0.0},(604,715):{'3_1':0.0},(604,714):{'3_1':0.0},(604,712):{'3_1':0.0},(604,710):{'3_1':0.0},(604,709):{'3_1':0.0},(604,708):{'3_1':0.0},(604,706):{'3_1':0.0},(604,704):{'3_1':0.0},(604,703):{'3_1':0.0},(604,702):{'4_1':0.0},(604,701):{'3_1':0.0},(604,700):{'4_1':0.0},(604,692):{'4_1':0.0},(604,689):{'3_1':0.0},(604,688):{'6_1':0.0},(604,685):{'3_1':0.0},(604,674):{'3_1':0.0},(604,673):{'3_1':0.0},(604,672):{'3_1':0.0},(604,668):{'4_1':0.0},(604,655):{'3_1':0.0},(604,654):{'3_1':0.0},(604,653):{'6_1':0.0},(604,647):{'3_1':0.0},(604,641):{'3_1':0.0},(604,639):{'3_1':0.0},(604,638):{'3_1':0.0},(604,618):{'3_1':0.0},(604,611):{'3_1':0.0},(605,726):{'3_1':0.0},(605,722):{'3_1':0.0},(605,721):{'3_1':0.0},(605,719):{'3_1':0.0},(605,717):{'3_1':0.0},(605,716):{'3_1':0.0,'4_1':0.0},(605,715):{'3_1':0.0},(605,714):{'3_1':0.0},(605,712):{'3_1':0.0,'4_1':0.0},(605,711):{'3_1':0.0},(605,710):{'3_1':0.0},(605,708):{'3_1':0.0},(605,703):{'3_1':0.0},(605,702):{'3_1':0.0},(605,701):{'3_1':0.0},(605,700):{'3_1':0.0},(605,698):{'3_1':0.0},(605,696):{'3_1':0.0},(605,692):{'3_1':0.0},(605,675):{'4_1':0.0},(605,665):{'3_1':0.0},(605,658):{'3_1':0.0},(605,656):{'3_1':0.0},(605,653):{'3_1':0.0},(605,647):{'3_1':0.0},(605,646):{'3_1':0.0},(605,643):{'3_1':0.0},(605,641):{'3_1':0.0},(605,639):{'3_1':0.03},(605,616):{'3_1':0.0},(606,721):{'3_1':0.0},(606,717):{'5_1':0.0},(606,716):{'3_1':0.0},(606,714):{'3_1':0.0},(606,713):{'3_1':0.0},(606,693):{'3_1':0.0},(606,692):{'3_1':0.0},(606,687):{'3_1':0.0},(606,685):{'3_1':0.0},(606,674):{'3_1':0.0},(606,672):{'4_1':0.0},(606,666):{'4_1':0.0},(606,665):{'4_1':0.0},(606,662):{'4_1':0.0,'5_2':0.0},(606,661):{'3_1':0.0},(606,660):{'4_1':0.0},(606,659):{'3_1':0.0},(606,657):{'3_1':0.0},(606,655):{'3_1':0.0},(606,651):{'4_1':0.0},(606,647):{'3_1':0.0},(606,646):{'3_1':0.0},(606,617):{'3_1':0.0},(606,614):{'5_2':0.0},(607,726):{'3_1':0.0},(607,723):{'3_1':0.0},(607,721):{'3_1':0.0},(607,719):{'3_1':0.0},(607,718):{'3_1':0.0},(607,717):{'3_1':0.0},(607,716):{'3_1':0.0},(607,715):{'3_1':0.0},(607,714):{'3_1':0.0},(607,713):{'3_1':0.0},(607,705):{'3_1':0.0},(607,703):{'3_1':0.0},(607,702):{'4_1':0.0},(607,698):{'3_1':0.0},(607,693):{'4_1':0.0},(607,684):{'3_1':0.0},(607,683):{'3_1':0.0},(607,664):{'3_1':0.0},(607,647):{'4_1':0.0},(607,645):{'3_1':0.0},(607,641):{'3_1':0.0},(607,639):{'3_1':0.0},(607,638):{'7_2':0.0},(607,614):{'3_1':0.0},(608,726):{'3_1':0.0},(608,723):{'3_1':0.0},(608,722):{'3_1':0.0},(608,721):{'3_1':0.0},(608,719):{'3_1':0.0,'4_1':0.0},(608,718):{'3_1':0.0,'4_1':0.0},(608,717):{'3_1':0.0},(608,716):{'5_2':0.0},(608,715):{'3_1':0.0},(608,713):{'3_1':0.0,'5_1':0.0},(608,711):{'3_1':0.0},(608,708):{'3_1':0.0},(608,707):{'3_1':0.0},(608,705):{'3_1':0.0},(608,701):{'3_1':0.0},(608,696):{'3_1':0.0},(608,688):{'3_1':0.0},(608,687):{'3_1':0.0},(608,684):{'4_1':0.0},(608,675):{'4_1':0.0},(608,668):{'3_1':0.0},(608,659):{'4_1':0.0},(608,653):{'3_1':0.0,'4_1':0.0},(608,646):{'3_1':0.0,'6_1':0.0},(608,643):{'3_1':0.0},(608,640):{'3_1':0.0},(608,617):{'3_1':0.0},(608,615):{'3_1':0.0},(609,726):{'3_1':0.0},(609,719):{'3_1':0.0},(609,717):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(609,716):{'3_1':0.0},(609,715):{'3_1':0.0},(609,714):{'3_1':0.0,'4_1':0.0},(609,711):{'6_1':0.0},(609,704):{'4_1':0.0},(609,701):{'3_1':0.0,'4_1':0.0},(609,699):{'3_1':0.0},(609,698):{'3_1':0.0},(609,694):{'3_1':0.0},(609,691):{'3_1':0.0},(609,687):{'3_1':0.0},(609,670):{'4_1':0.0},(609,662):{'3_1':0.0},(609,655):{'4_1':0.0},(609,651):{'3_1':0.0},(609,650):{'3_1':0.0},(609,647):{'3_1':0.0},(609,644):{'5_2':0.0},(609,619):{'3_1':0.0},(609,617):{'3_1':0.0},(609,613):{'3_1':0.0},(610,723):{'3_1':0.0},(610,722):{'3_1':0.0},(610,717):{'3_1':0.0},(610,713):{'3_1':0.0},(610,711):{'3_1':0.0},(610,710):{'3_1':0.0},(610,709):{'3_1':0.0},(610,701):{'3_1':0.0},(610,700):{'3_1':0.0,'5_2':0.0},(610,699):{'3_1':0.0},(610,698):{'3_1':0.0},(610,696):{'3_1':0.0},(610,693):{'3_1':0.0},(610,692):{'3_1':0.0},(610,689):{'3_1':0.0},(610,685):{'3_1':0.0},(610,668):{'4_1':0.0},(610,666):{'4_1':0.0},(610,665):{'5_2':0.0},(610,664):{'4_1':0.0},(610,663):{'3_1':0.0},(610,660):{'3_1':0.0,'4_1':0.0},(610,655):{'4_1':0.0},(610,650):{'3_1':0.0},(610,642):{'3_1':0.0},(610,641):{'3_1':0.0},(610,640):{'3_1':0.0},(610,639):{'3_1':0.0},(610,638):{'3_1':0.0},(610,620):{'3_1':0.0},(610,616):{'3_1':0.0},(610,613):{'3_1':0.0},(611,725):{'3_1':0.0,'4_1':0.0},(611,723):{'3_1':0.0},(611,722):{'3_1':0.0},(611,719):{'3_1':0.0},(611,717):{'3_1':0.0},(611,713):{'3_1':0.0},(611,711):{'3_1':0.0},(611,707):{'3_1':0.0},(611,703):{'4_1':0.0},(611,702):{'3_1':0.0},(611,701):{'3_1':0.0,'4_1':0.0},(611,657):{'3_1':0.0},(611,655):{'3_1':0.0},(611,650):{'3_1':0.0},(611,648):{'3_1':0.0},(611,647):{'3_1':0.0},(611,645):{'3_1':0.0},(611,642):{'3_1':0.0},(611,641):{'3_1':0.0},(611,639):{'3_1':0.0},(612,727):{'3_1':0.0},(612,724):{'3_1':0.0},(612,721):{'4_1':0.0},(612,718):{'3_1':0.0},(612,717):{'3_1':0.0},(612,713):{'3_1':0.0},(612,711):{'3_1':0.0},(612,710):{'3_1':0.03},(612,708):{'3_1':0.0},(612,707):{'3_1':0.0},(612,705):{'3_1':0.0},(612,703):{'3_1':0.0},(612,701):{'3_1':0.0},(612,647):{'3_1':0.0},(612,624):{'3_1':0.0},(612,621):{'3_1':0.0},(613,725):{'3_1':0.0},(613,724):{'3_1':0.0},(613,723):{'3_1':0.0},(613,722):{'3_1':0.0},(613,721):{'3_1':0.0},(613,719):{'3_1':0.0},(613,713):{'3_1':0.0},(613,708):{'3_1':0.0},(613,701):{'3_1':0.0},(613,700):{'3_1':0.0},(613,660):{'4_1':0.0},(613,651):{'3_1':0.0},(613,650):{'3_1':0.0},(613,649):{'3_1':0.0},(613,648):{'5_2':0.0},(613,644):{'3_1':0.0},(613,642):{'3_1':0.0},(613,626):{'3_1':0.0},(613,623):{'3_1':0.0},(613,621):{'3_1':0.0},(613,619):{'3_1':0.0},(613,618):{'3_1':0.0},(614,726):{'3_1':0.0},(614,724):{'3_1':0.0},(614,722):{'3_1':0.0,'6_1':0.0},(614,721):{'3_1':0.0},(614,718):{'3_1':0.0,'5_2':0.0},(614,717):{'3_1':0.0},(614,713):{'3_1':0.0},(614,710):{'3_1':0.0},(614,708):{'3_1':0.0},(614,707):{'3_1':0.0},(614,701):{'3_1':0.0},(614,660):{'3_1':0.0},(614,658):{'3_1':0.0},(614,648):{'3_1':0.0},(614,645):{'3_1':0.0},(614,643):{'3_1':0.0},(614,642):{'3_1':0.0},(614,640):{'3_1':0.03},(614,639):{'3_1':0.03},(614,625):{'3_1':0.0},(614,623):{'3_1':0.0},(614,621):{'5_1':0.0},(614,618):{'3_1':0.0},(615,727):{'3_1':0.0},(615,725):{'3_1':0.0},(615,722):{'3_1':0.0},(615,720):{'3_1':0.0},(615,719):{'3_1':0.0},(615,717):{'4_1':0.0},(615,715):{'3_1':0.0},(615,710):{'3_1':0.0},(615,709):{'3_1':0.0},(615,708):{'3_1':0.0},(615,707):{'3_1':0.0},(615,705):{'3_1':0.0},(615,643):{'3_1':0.03},(615,640):{'3_1':0.0},(615,639):{'3_1':0.0},(616,727):{'3_1':0.0},(616,726):{'3_1':0.0},(616,725):{'4_1':0.0},(616,658):{'3_1':0.0},(616,657):{'3_1':0.0},(616,649):{'3_1':0.0},(616,647):{'3_1':0.0},(616,645):{'3_1':0.0},(616,644):{'3_1':0.0},(616,643):{'3_1':0.0},(616,642):{'3_1':0.0},(616,639):{'3_1':0.0},(616,638):{'3_1':0.0},(616,626):{'5_2':0.0},(616,624):{'3_1':0.0},(616,622):{'3_1':0.0},(616,620):{'3_1':0.0},(617,727):{'6_1':0.0},(617,725):{'4_1':0.0},(617,722):{'3_1':0.0},(617,713):{'3_1':0.0},(617,712):{'3_1':0.0},(617,709):{'3_1':0.0},(617,708):{'3_1':0.0,'4_1':0.0},(617,705):{'3_1':0.0},(617,701):{'3_1':0.0},(617,685):{'3_1':0.0},(617,683):{'3_1':0.0},(617,680):{'3_1':0.0},(617,679):{'3_1':0.0},(617,661):{'3_1':0.0},(617,660):{'4_1':0.0},(617,658):{'4_1':0.0},(617,656):{'4_1':0.0},(617,653):{'3_1':0.0},(617,647):{'3_1':0.0},(617,643):{'3_1':0.0},(617,642):{'3_1':0.0},(617,640):{'3_1':0.0,'5_2':0.0},(617,639):{'5_2':0.0},(617,638):{'3_1':0.0},(617,621):{'3_1':0.0},(618,724):{'3_1':0.0},(618,722):{'3_1':0.0},(618,720):{'3_1':0.0},(618,718):{'3_1':0.0},(618,716):{'3_1':0.0},(618,715):{'3_1':0.0},(618,712):{'3_1':0.0},(618,701):{'3_1':0.0},(618,699):{'3_1':0.0},(618,698):{'3_1':0.0},(618,686):{'3_1':0.0},(618,685):{'3_1':0.0},(618,684):{'3_1':0.0},(618,682):{'3_1':0.0},(618,680):{'4_1':0.0},(618,646):{'3_1':0.0},(618,643):{'3_1':0.0},(618,639):{'3_1':0.0},(618,623):{'3_1':0.0},(619,726):{'4_1':0.0},(619,725):{'4_1':0.0},(619,724):{'3_1':0.0,'4_1':0.0},(619,722):{'3_1':0.0},(619,718):{'3_1':0.03},(619,717):{'3_1':0.0},(619,713):{'3_1':0.0},(619,709):{'3_1':0.0},(619,708):{'3_1':0.0},(619,685):{'3_1':0.0},(619,684):{'3_1':0.0},(619,656):{'3_1':0.0},(619,649):{'3_1':0.0},(619,642):{'4_1':0.0},(619,638):{'3_1':0.03},(619,626):{'5_1':0.0},(619,623):{'3_1':0.0},(620,724):{'3_1':0.0},(620,722):{'3_1':0.0},(620,718):{'4_1':0.0},(620,717):{'3_1':0.0},(620,715):{'3_1':0.0,'4_1':0.0},(620,710):{'3_1':0.0},(620,709):{'3_1':0.0},(620,706):{'3_1':0.0},(620,705):{'3_1':0.0},(620,703):{'3_1':0.0},(620,702):{'3_1':0.0},(620,699):{'4_1':0.0},(620,686):{'3_1':0.0},(620,685):{'3_1':0.0,'4_1':0.0},(620,684):{'3_1':0.0,'4_1':0.0},(620,682):{'3_1':0.0},(620,678):{'3_1':0.0},(620,657):{'3_1':0.0},(620,654):{'3_1':0.0},(620,647):{'3_1':0.0},(620,643):{'3_1':0.0},(620,642):{'3_1':0.0},(620,639):{'3_1':0.0},(620,638):{'3_1':0.0},(620,624):{'3_1':0.0},(621,726):{'3_1':0.0},(621,722):{'4_1':0.0},(621,717):{'3_1':0.0},(621,712):{'3_1':0.0},(621,709):{'3_1':0.0},(621,706):{'3_1':0.0},(621,705):{'3_1':0.0},(621,702):{'3_1':0.0},(621,700):{'3_1':0.0},(621,698):{'3_1':0.0},(621,686):{'3_1':0.0},(621,683):{'3_1':0.0},(621,682):{'3_1':0.0},(621,681):{'4_1':0.0},(621,679):{'3_1':0.0},(621,647):{'3_1':0.0},(621,644):{'3_1':0.0},(621,643):{'3_1':0.0},(621,642):{'3_1':0.0},(621,640):{'3_1':0.0},(621,639):{'3_1':0.0},(621,638):{'3_1':0.0},(622,721):{'3_1':0.0},(622,720):{'3_1':0.0},(622,719):{'3_1':0.0},(622,715):{'3_1':0.0},(622,713):{'3_1':0.0},(622,710):{'3_1':0.0},(622,709):{'3_1':0.0},(622,707):{'3_1':0.0},(622,706):{'3_1':0.0},(622,702):{'3_1':0.0},(622,700):{'3_1':0.0},(622,699):{'3_1':0.0},(622,696):{'3_1':0.0},(622,694):{'3_1':0.0},(622,689):{'3_1':0.0,'4_1':0.0},(622,687):{'3_1':0.0},(622,686):{'3_1':0.0},(622,682):{'3_1':0.0},(622,679):{'3_1':0.0},(622,676):{'3_1':0.0},(622,674):{'3_1':0.0},(622,673):{'3_1':0.0},(622,671):{'3_1':0.0},(622,668):{'3_1':0.0},(622,651):{'5_2':0.0},(622,649):{'3_1':0.0},(622,647):{'3_1':0.0},(622,645):{'4_1':0.0},(622,641):{'3_1':0.0,'5_2':0.0},(622,640):{'3_1':0.0},(622,639):{'3_1':0.0},(623,724):{'4_1':0.0},(623,719):{'3_1':0.0},(623,717):{'3_1':0.0},(623,711):{'3_1':0.0},(623,710):{'3_1':0.0},(623,709):{'3_1':0.0},(623,708):{'3_1':0.0},(623,707):{'3_1':0.0},(623,703):{'3_1':0.0},(623,694):{'3_1':0.0},(623,690):{'3_1':0.0},(623,688):{'3_1':0.0},(623,686):{'3_1':0.0},(623,681):{'3_1':0.0,'4_1':0.0},(623,680):{'3_1':0.0},(623,678):{'3_1':0.0},(623,675):{'3_1':0.0},(623,674):{'3_1':0.0},(623,664):{'3_1':0.0},(623,645):{'3_1':0.0},(623,644):{'3_1':0.0},(623,641):{'3_1':0.0},(624,725):{'3_1':0.0},(624,721):{'3_1':0.0},(624,715):{'5_2':0.0},(624,713):{'3_1':0.0,'4_1':0.0},(624,712):{'3_1':0.0},(624,710):{'3_1':0.0},(624,709):{'3_1':0.0},(624,707):{'3_1':0.0},(624,704):{'3_1':0.0},(624,698):{'3_1':0.0},(624,695):{'3_1':0.0},(624,694):{'3_1':0.0},(624,693):{'3_1':0.0},(624,688):{'3_1':0.0},(624,686):{'3_1':0.0},(624,678):{'3_1':0.0},(624,677):{'3_1':0.0},(624,663):{'3_1':0.0},(624,642):{'3_1':0.0},(624,638):{'3_1':0.0},(625,725):{'3_1':0.0},(625,722):{'3_1':0.0},(625,712):{'3_1':0.0},(625,711):{'3_1':0.0},(625,710):{'3_1':0.0},(625,709):{'3_1':0.0},(625,708):{'3_1':0.0},(625,700):{'3_1':0.0,'4_1':0.0},(625,692):{'3_1':0.0},(625,690):{'3_1':0.0},(625,685):{'3_1':0.0},(625,681):{'3_1':0.0},(625,680):{'3_1':0.0},(625,677):{'3_1':0.0},(625,675):{'3_1':0.0},(625,667):{'3_1':0.0},(625,664):{'3_1':0.0},(625,638):{'3_1':0.0},(626,719):{'3_1':0.0},(626,718):{'3_1':0.0},(626,717):{'3_1':0.0},(626,714):{'3_1':0.0,'4_1':0.0},(626,713):{'3_1':0.0,'4_1':0.0},(626,711):{'3_1':0.0},(626,708):{'4_1':0.0},(626,707):{'3_1':0.0},(626,702):{'3_1':0.0},(626,699):{'3_1':0.0},(626,696):{'4_1':0.0},(626,694):{'3_1':0.0},(626,692):{'3_1':0.0},(626,690):{'3_1':0.0},(626,687):{'3_1':0.0},(626,685):{'3_1':0.0},(626,684):{'3_1':0.0},(626,683):{'3_1':0.0},(626,682):{'3_1':0.0},(626,680):{'3_1':0.0},(626,679):{'3_1':0.0},(626,678):{'3_1':0.0},(626,677):{'3_1':0.0},(626,670):{'3_1':0.0},(626,667):{'3_1':0.0},(626,664):{'3_1':0.0},(626,663):{'3_1':0.0},(627,720):{'3_1':0.0},(627,717):{'5_2':0.0},(627,712):{'3_1':0.0},(627,711):{'3_1':0.0},(627,707):{'3_1':0.0},(627,705):{'3_1':0.0},(627,704):{'3_1':0.0},(627,701):{'3_1':0.0},(627,698):{'3_1':0.0},(627,696):{'3_1':0.0},(627,693):{'3_1':0.0},(627,692):{'3_1':0.0},(627,691):{'3_1':0.0},(627,690):{'3_1':0.0},(627,688):{'3_1':0.0},(627,687):{'3_1':0.0},(627,686):{'3_1':0.0},(627,685):{'3_1':0.0},(627,684):{'3_1':0.0},(627,683):{'3_1':0.0},(627,682):{'3_1':0.0},(627,681):{'3_1':0.0},(627,679):{'3_1':0.0},(627,678):{'3_1':0.0},(627,676):{'3_1':0.0},(627,674):{'3_1':0.0},(627,669):{'3_1':0.0},(627,664):{'3_1':0.0,'5_2':0.0},(627,663):{'3_1':0.0},(628,721):{'3_1':0.0},(628,717):{'3_1':0.0},(628,712):{'4_1':0.0},(628,709):{'3_1':0.0},(628,708):{'3_1':0.0},(628,707):{'3_1':0.0},(628,706):{'3_1':0.0},(628,705):{'3_1':0.0},(628,701):{'3_1':0.0},(628,699):{'3_1':0.0},(628,698):{'3_1':0.0},(628,696):{'3_1':0.0},(628,694):{'3_1':0.0},(628,685):{'3_1':0.0},(628,682):{'3_1':0.0},(628,681):{'3_1':0.0},(628,679):{'3_1':0.0},(628,677):{'4_1':0.0},(628,675):{'3_1':0.0},(628,674):{'3_1':0.0},(628,663):{'3_1':0.0},(629,719):{'3_1':0.0},(629,713):{'3_1':0.0},(629,712):{'3_1':0.0},(629,709):{'4_1':0.0},(629,707):{'3_1':0.0},(629,706):{'3_1':0.0,'4_1':0.0},(629,705):{'3_1':0.0},(629,703):{'3_1':0.0},(629,702):{'3_1':0.0},(629,699):{'3_1':0.0},(629,696):{'3_1':0.0},(629,692):{'3_1':0.0},(629,691):{'3_1':0.0},(629,689):{'3_1':0.0},(629,685):{'3_1':0.0},(629,684):{'3_1':0.0},(629,682):{'3_1':0.0},(629,679):{'3_1':0.0},(629,678):{'3_1':0.0},(629,674):{'3_1':0.0},(629,664):{'3_1':0.0},(630,721):{'4_1':0.0},(630,720):{'4_1':0.0},(630,716):{'3_1':0.0},(630,715):{'3_1':0.0},(630,713):{'3_1':0.0,'4_1':0.0},(630,711):{'3_1':0.0},(630,708):{'3_1':0.0},(630,707):{'3_1':0.0},(630,705):{'3_1':0.0},(630,702):{'3_1':0.0},(630,699):{'3_1':0.0},(630,698):{'3_1':0.0},(630,694):{'3_1':0.0},(630,693):{'3_1':0.0},(630,691):{'3_1':0.0},(630,690):{'3_1':0.0},(630,688):{'3_1':0.0},(630,687):{'3_1':0.0},(630,684):{'3_1':0.0},(630,682):{'3_1':0.0},(630,680):{'3_1':0.0},(630,677):{'3_1':0.0},(630,676):{'3_1':0.0},(630,673):{'3_1':0.0},(630,664):{'5_2':0.0},(631,714):{'4_1':0.0,'5_2':0.0},(631,712):{'3_1':0.0},(631,710):{'3_1':0.0},(631,697):{'3_1':0.0},(631,692):{'3_1':0.0},(631,691):{'3_1':0.0},(631,690):{'3_1':0.0},(631,688):{'3_1':0.0},(631,687):{'3_1':0.0},(631,683):{'3_1':0.0},(631,682):{'3_1':0.0},(631,681):{'3_1':0.0},(631,680):{'3_1':0.0},(631,679):{'3_1':0.0},(631,678):{'3_1':0.0},(632,720):{'4_1':0.0},(632,719):{'3_1':0.0},(632,715):{'4_1':0.0,'5_1':0.0},(632,714):{'3_1':0.0},(632,709):{'3_1':0.0,'4_1':0.0},(632,708):{'3_1':0.0},(632,699):{'3_1':0.0},(632,697):{'3_1':0.0},(632,690):{'3_1':0.0},(632,689):{'3_1':0.0},(632,688):{'3_1':0.0},(632,687):{'3_1':0.0},(632,684):{'3_1':0.0},(632,683):{'3_1':0.0},(632,682):{'3_1':0.0},(632,681):{'3_1':0.0},(632,680):{'3_1':0.0},(633,719):{'3_1':0.0},(633,716):{'4_1':0.0},(633,715):{'3_1':0.0},(633,713):{'4_1':0.0},(633,712):{'3_1':0.0,'5_1':0.0},(633,710):{'3_1':0.0},(633,699):{'3_1':0.0},(633,697):{'3_1':0.0},(633,696):{'3_1':0.0},(633,694):{'3_1':0.0},(633,693):{'3_1':0.0},(633,692):{'3_1':0.0},(633,691):{'3_1':0.0},(633,687):{'3_1':0.0},(633,686):{'3_1':0.0},(633,685):{'3_1':0.0},(633,683):{'3_1':0.0},(633,679):{'3_1':0.0},(633,678):{'3_1':0.0},(633,667):{'3_1':0.0},(633,642):{'3_1':0.0},(634,720):{'3_1':0.0},(634,716):{'3_1':0.0},(634,715):{'3_1':0.0},(634,714):{'3_1':0.0},(634,713):{'3_1':0.0},(634,709):{'3_1':0.0},(634,699):{'3_1':0.0},(634,697):{'3_1':0.0,'5_2':0.0},(634,693):{'3_1':0.0},(634,692):{'3_1':0.0},(634,691):{'3_1':0.0},(634,689):{'3_1':0.0},(634,688):{'3_1':0.0},(634,687):{'3_1':0.0},(634,685):{'3_1':0.0},(634,684):{'3_1':0.0},(634,683):{'3_1':0.0},(634,681):{'3_1':0.0},(634,680):{'3_1':0.0},(634,679):{'3_1':0.0},(634,678):{'3_1':0.0},(634,671):{'3_1':0.0},(634,670):{'7_2':0.0},(634,663):{'3_1':0.0},(634,645):{'3_1':0.0},(635,717):{'4_1':0.0},(635,716):{'4_1':0.0},(635,713):{'3_1':0.0},(635,710):{'3_1':0.0},(635,700):{'3_1':0.0},(635,697):{'3_1':0.0},(635,696):{'3_1':0.0},(635,690):{'3_1':0.0},(635,686):{'3_1':0.0},(635,684):{'3_1':0.0},(635,681):{'3_1':0.0},(635,678):{'3_1':0.0},(635,666):{'3_1':0.0},(635,645):{'3_1':0.0},(636,718):{'4_1':0.0},(636,717):{'3_1':0.0},(636,715):{'4_1':0.0},(636,714):{'3_1':0.0},(636,713):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(636,712):{'5_1':0.0},(636,711):{'4_1':0.0},(636,710):{'3_1':0.0},(636,709):{'3_1':0.0},(636,699):{'3_1':0.0},(636,698):{'3_1':0.0},(636,697):{'3_1':0.0},(636,694):{'3_1':0.0},(636,692):{'3_1':0.0},(636,691):{'3_1':0.0},(636,689):{'3_1':0.0},(636,688):{'3_1':0.0},(636,687):{'3_1':0.0},(636,686):{'3_1':0.0},(636,683):{'3_1':0.0},(636,682):{'3_1':0.0},(636,680):{'3_1':0.0},(636,679):{'3_1':0.0},(636,671):{'3_1':0.0},(636,667):{'3_1':0.0},(636,664):{'4_1':0.0},(636,646):{'3_1':0.0},(636,642):{'3_1':0.0},(637,724):{'3_1':0.0},(637,721):{'3_1':0.0},(637,719):{'5_1':0.0},(637,718):{'3_1':0.0,'4_1':0.0},(637,717):{'3_1':0.0},(637,715):{'3_1':0.0},(637,712):{'4_1':0.0},(637,711):{'3_1':0.0},(637,710):{'3_1':0.0},(637,709):{'3_1':0.0},(637,706):{'3_1':0.0},(637,701):{'3_1':0.0},(637,700):{'3_1':0.0},(637,696):{'3_1':0.0},(637,693):{'3_1':0.0},(637,692):{'5_1':0.0},(637,690):{'3_1':0.0},(637,688):{'3_1':0.03},(637,686):{'3_1':0.0},(637,684):{'3_1':0.0},(637,681):{'3_1':0.0},(637,674):{'3_1':0.0},(637,666):{'3_1':0.0},(637,658):{'3_1':0.0},(638,721):{'3_1':0.0},(638,715):{'3_1':0.0,'4_1':0.0},(638,714):{'3_1':0.0},(638,710):{'3_1':0.0},(638,707):{'3_1':0.0},(638,706):{'3_1':0.0},(638,701):{'3_1':0.0},(638,700):{'3_1':0.0},(638,699):{'3_1':0.0},(638,697):{'3_1':0.0},(638,694):{'3_1':0.0,'5_2':0.0},(638,692):{'3_1':0.0},(638,691):{'3_1':0.0},(638,689):{'3_1':0.0},(638,685):{'3_1':0.0},(638,684):{'3_1':0.0},(638,683):{'3_1':0.0},(638,682):{'4_1':0.0},(638,681):{'3_1':0.0},(638,680):{'3_1':0.0},(638,677):{'4_1':0.0},(638,675):{'3_1':0.0},(638,673):{'3_1':0.0},(638,669):{'3_1':0.0},(638,661):{'5_2':0.0},(638,660):{'3_1':0.0},(638,653):{'3_1':0.0},(638,643):{'3_1':0.0},(638,642):{'3_1':0.0},(639,726):{'3_1':0.0},(639,719):{'3_1':0.0},(639,718):{'3_1':0.0},(639,715):{'4_1':0.0},(639,714):{'3_1':0.0},(639,707):{'3_1':0.0},(639,704):{'3_1':0.0},(639,700):{'3_1':0.0},(639,698):{'3_1':0.0},(639,696):{'3_1':0.0},(639,693):{'3_1':0.0},(639,692):{'3_1':0.0},(639,689):{'5_1':0.0},(639,687):{'3_1':0.0},(639,685):{'3_1':0.0,'4_1':0.0},(639,684):{'3_1':0.0},(639,683):{'3_1':0.0},(639,677):{'3_1':0.0},(639,655):{'3_1':0.0},(639,644):{'3_1':0.0},(639,643):{'3_1':0.0},(640,725):{'3_1':0.0},(640,723):{'3_1':0.0},(640,720):{'3_1':0.0},(640,719):{'3_1':0.0},(640,717):{'3_1':0.0,'4_1':0.0},(640,716):{'3_1':0.0},(640,715):{'3_1':0.0},(640,714):{'5_1':0.0,'3_1':0.0},(640,713):{'3_1':0.0,'6_1':0.0},(640,712):{'3_1':0.0},(640,711):{'3_1':0.03},(640,708):{'3_1':0.0},(640,705):{'3_1':0.0},(640,704):{'3_1':0.0},(640,703):{'3_1':0.03},(640,700):{'3_1':0.0},(640,697):{'3_1':0.0},(640,696):{'3_1':0.0},(640,693):{'3_1':0.0},(640,691):{'3_1':0.0},(640,690):{'3_1':0.03},(640,689):{'3_1':0.0},(640,686):{'3_1':0.0},(640,681):{'3_1':0.0},(640,668):{'3_1':0.0},(640,654):{'4_1':0.0},(640,649):{'3_1':0.0},(640,648):{'3_1':0.0},(640,644):{'3_1':0.0},(640,643):{'3_1':0.0},(641,727):{'3_1':0.0},(641,723):{'3_1':0.0},(641,716):{'3_1':0.0},(641,715):{'3_1':0.0,'4_1':0.0},(641,712):{'3_1':0.0},(641,710):{'3_1':0.0},(641,709):{'3_1':0.0},(641,707):{'3_1':0.0},(641,704):{'3_1':0.0},(641,700):{'3_1':0.0},(641,699):{'3_1':0.0},(641,697):{'3_1':0.0},(641,696):{'3_1':0.0},(641,692):{'3_1':0.0},(641,690):{'3_1':0.0},(641,689):{'3_1':0.0},(641,687):{'3_1':0.0},(641,685):{'3_1':0.0,'4_1':0.0},(641,683):{'3_1':0.0},(641,679):{'3_1':0.0},(641,678):{'3_1':0.0},(641,677):{'3_1':0.0},(641,657):{'3_1':0.0},(641,654):{'3_1':0.0},(641,650):{'3_1':0.0},(641,647):{'3_1':0.0},(642,723):{'3_1':0.0,'4_1':0.0},(642,721):{'3_1':0.0},(642,719):{'3_1':0.0},(642,716):{'3_1':0.0},(642,714):{'4_1':0.0},(642,713):{'4_1':0.0},(642,712):{'3_1':0.0},(642,711):{'3_1':0.0},(642,710):{'3_1':0.0},(642,709):{'3_1':0.0},(642,706):{'3_1':0.0},(642,700):{'3_1':0.0},(642,699):{'3_1':0.0},(642,697):{'3_1':0.0},(642,696):{'3_1':0.0},(642,695):{'3_1':0.0},(642,692):{'3_1':0.0},(642,687):{'3_1':0.0},(642,686):{'3_1':0.0},(642,685):{'3_1':0.0},(642,683):{'3_1':0.0},(642,681):{'4_1':0.0},(642,657):{'3_1':0.0},(642,647):{'3_1':0.0},(642,646):{'3_1':0.0},(643,725):{'3_1':0.0},(643,722):{'3_1':0.0},(643,713):{'3_1':0.0},(643,711):{'3_1':0.0},(643,710):{'3_1':0.0},(643,708):{'3_1':0.0},(643,706):{'3_1':0.0},(643,705):{'3_1':0.0},(643,704):{'3_1':0.0},(643,700):{'3_1':0.0},(643,699):{'3_1':0.0},(643,694):{'3_1':0.0},(643,692):{'3_1':0.0},(643,690):{'3_1':0.0},(643,686):{'3_1':0.0},(643,677):{'3_1':0.0},(643,675):{'4_1':0.0},(643,661):{'3_1':0.0},(643,657):{'3_1':0.0},(643,649):{'3_1':0.0},(644,727):{'3_1':0.0},(644,722):{'3_1':0.0},(644,716):{'3_1':0.0},(644,715):{'4_1':0.0},(644,713):{'3_1':0.0},(644,712):{'3_1':0.0},(644,711):{'3_1':0.0},(644,710):{'3_1':0.0},(644,709):{'3_1':0.0},(644,705):{'5_1':0.0},(644,704):{'3_1':0.0},(644,703):{'3_1':0.0},(644,700):{'3_1':0.0},(644,699):{'3_1':0.0},(644,698):{'3_1':0.0},(644,697):{'3_1':0.0,'4_1':0.0},(644,696):{'3_1':0.0},(644,695):{'3_1':0.0},(644,694):{'4_1':0.0},(644,693):{'3_1':0.0},(644,692):{'3_1':0.0},(644,691):{'3_1':0.0},(644,681):{'3_1':0.0},(644,649):{'3_1':0.0},(645,724):{'3_1':0.0},(645,721):{'3_1':0.0},(645,720):{'3_1':0.0},(645,718):{'3_1':0.0},(645,715):{'3_1':0.0},(645,712):{'3_1':0.0},(645,710):{'3_1':0.0},(645,709):{'3_1':0.0},(645,706):{'3_1':0.0},(645,705):{'3_1':0.0},(645,700):{'3_1':0.0},(645,699):{'3_1':0.0},(645,697):{'3_1':0.0},(645,696):{'3_1':0.0},(645,692):{'6_1':0.0},(645,689):{'4_1':0.0},(645,683):{'3_1':0.0},(645,676):{'4_1':0.0},(645,650):{'3_1':0.0},(646,723):{'3_1':0.0},(646,722):{'3_1':0.0},(646,721):{'3_1':0.0},(646,720):{'3_1':0.0},(646,715):{'3_1':0.0,'5_1':0.0},(646,714):{'3_1':0.0},(646,712):{'3_1':0.03,'5_1':0.0},(646,711):{'3_1':0.0},(646,710):{'3_1':0.03},(646,707):{'3_1':0.0},(646,705):{'3_1':0.0},(646,704):{'3_1':0.0},(646,703):{'4_1':0.0},(646,693):{'3_1':0.0},(646,692):{'3_1':0.0},(646,688):{'3_1':0.0},(647,727):{'3_1':0.0},(647,724):{'3_1':0.0},(647,722):{'3_1':0.0},(647,721):{'3_1':0.0},(647,716):{'3_1':0.0},(647,715):{'3_1':0.03},(647,714):{'3_1':0.0},(647,713):{'3_1':0.03},(647,712):{'3_1':0.0},(647,710):{'3_1':0.0},(647,708):{'3_1':0.0},(647,707):{'3_1':0.0},(647,706):{'3_1':0.0},(647,705):{'4_1':0.0},(647,702):{'3_1':0.0},(647,699):{'3_1':0.0},(647,698):{'3_1':0.0},(647,696):{'3_1':0.0},(647,695):{'3_1':0.0},(647,670):{'3_1':0.0},(648,725):{'3_1':0.0},(648,724):{'3_1':0.0},(648,723):{'3_1':0.0},(648,722):{'3_1':0.0},(648,720):{'3_1':0.0},(648,718):{'3_1':0.0},(648,716):{'3_1':0.0},(648,715):{'3_1':0.0},(648,714):{'3_1':0.0},(648,713):{'3_1':0.0},(648,711):{'3_1':0.0},(648,710):{'3_1':0.0,'4_1':0.0},(648,709):{'3_1':0.0},(648,708):{'5_1':0.0},(648,706):{'3_1':0.0},(648,705):{'3_1':0.0},(648,702):{'3_1':0.0},(648,700):{'3_1':0.0},(648,699):{'3_1':0.0},(648,696):{'3_1':0.0},(648,693):{'3_1':0.0},(648,690):{'3_1':0.0},(648,689):{'3_1':0.0},(648,688):{'5_1':0.0},(649,726):{'3_1':0.0},(649,725):{'3_1':0.0},(649,724):{'3_1':0.0},(649,721):{'3_1':0.0},(649,718):{'3_1':0.0},(649,716):{'3_1':0.0},(649,715):{'3_1':0.0},(649,714):{'3_1':0.0},(649,713):{'3_1':0.03,'5_1':0.0},(649,711):{'3_1':0.0},(649,710):{'3_1':0.0},(649,706):{'3_1':0.0},(649,702):{'3_1':0.0},(649,701):{'3_1':0.0},(649,696):{'3_1':0.0},(649,694):{'3_1':0.0},(649,670):{'3_1':0.0},(650,727):{'3_1':0.0},(650,726):{'3_1':0.0},(650,724):{'3_1':0.0},(650,723):{'3_1':0.0},(650,722):{'3_1':0.0},(650,719):{'3_1':0.0},(650,715):{'3_1':0.0},(650,713):{'3_1':0.0},(650,712):{'3_1':0.0},(650,708):{'3_1':0.0},(650,706):{'3_1':0.0},(650,705):{'3_1':0.0},(650,696):{'6_1':0.0},(650,674):{'3_1':0.0},(651,727):{'3_1':0.0},(651,726):{'3_1':0.0},(651,725):{'3_1':0.0},(651,724):{'3_1':0.0},(651,722):{'3_1':0.0},(651,718):{'3_1':0.0},(651,705):{'5_1':0.0},(651,703):{'3_1':0.0},(651,702):{'3_1':0.0},(651,693):{'3_1':0.0},(651,692):{'3_1':0.0},(651,688):{'3_1':0.0},(651,672):{'3_1':0.0},(652,726):{'3_1':0.0},(652,725):{'3_1':0.0},(652,723):{'3_1':0.0},(652,721):{'3_1':0.0,'4_1':0.0},(652,720):{'3_1':0.0},(652,719):{'5_1':0.0},(652,718):{'3_1':0.0},(652,716):{'3_1':0.0},(652,714):{'3_1':0.0},(652,705):{'3_1':0.0},(652,703):{'3_1':0.0},(652,702):{'3_1':0.0},(652,699):{'3_1':0.0},(652,698):{'3_1':0.0},(652,696):{'3_1':0.0},(652,683):{'5_1':0.0},(652,681):{'3_1':0.0},(653,727):{'3_1':0.0},(653,720):{'3_1':0.0},(653,718):{'3_1':0.0},(653,706):{'3_1':0.0},(653,700):{'3_1':0.0},(653,699):{'3_1':0.0},(653,698):{'3_1':0.0},(653,696):{'3_1':0.0},(653,695):{'3_1':0.0},(653,693):{'3_1':0.0},(653,690):{'3_1':0.0},(653,689):{'3_1':0.0,'5_1':0.0},(653,688):{'3_1':0.0},(653,678):{'4_1':0.0},(654,725):{'3_1':0.0},(654,724):{'3_1':0.0,'5_1':0.0},(654,713):{'3_1':0.0,'5_1':0.0},(654,704):{'3_1':0.0},(654,699):{'3_1':0.0},(654,696):{'3_1':0.0,'5_1':0.0},(654,694):{'7_4':0.0},(654,690):{'3_1':0.0},(654,689):{'3_1':0.0},(654,686):{'3_1':0.0},(655,727):{'3_1':0.0},(655,726):{'5_1':0.0},(655,724):{'3_1':0.0},(655,723):{'3_1':0.0},(655,721):{'3_1':0.0},(655,719):{'3_1':0.0},(655,718):{'3_1':0.0},(655,717):{'3_1':0.0},(655,716):{'3_1':0.0},(655,714):{'3_1':0.0},(655,704):{'3_1':0.0},(655,700):{'3_1':0.0},(655,698):{'3_1':0.0},(655,693):{'3_1':0.0},(655,688):{'3_1':0.0},(655,684):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(655,682):{'5_2':0.0},(655,678):{'3_1':0.0},(655,676):{'3_1':0.0},(655,670):{'5_2':0.0},(655,669):{'3_1':0.0},(656,720):{'3_1':0.0},(656,717):{'3_1':0.0},(656,715):{'3_1':0.0},(656,714):{'3_1':0.0},(656,706):{'3_1':0.0},(656,702):{'3_1':0.0},(656,701):{'3_1':0.0},(656,698):{'3_1':0.0},(656,696):{'3_1':0.0},(656,693):{'7_2':0.0},(656,692):{'6_1':0.0},(656,691):{'3_1':0.0},(656,688):{'3_1':0.0},(656,686):{'3_1':0.0,'5_1':0.0},(656,685):{'3_1':0.0},(656,684):{'3_1':0.0},(656,682):{'3_1':0.0},(657,720):{'3_1':0.0},(657,718):{'4_1':0.0},(657,714):{'3_1':0.0},(657,703):{'3_1':0.0},(657,702):{'3_1':0.0},(657,699):{'3_1':0.0},(657,696):{'3_1':0.0},(657,693):{'3_1':0.0},(657,689):{'3_1':0.0},(657,684):{'3_1':0.0},(657,682):{'5_2':0.0},(657,681):{'3_1':0.0,'4_1':0.0},(658,721):{'3_1':0.0},(658,719):{'3_1':0.0},(658,718):{'4_1':0.0},(658,716):{'3_1':0.0},(658,713):{'3_1':0.0},(658,706):{'3_1':0.0},(658,701):{'3_1':0.0},(658,686):{'3_1':0.0},(659,700):{'3_1':0.0},(659,691):{'3_1':0.0},(659,686):{'3_1':0.0},(659,685):{'3_1':0.0},(659,683):{'5_2':0.0},(659,680):{'3_1':0.0},(660,713):{'3_1':0.0,'4_1':0.0},(660,701):{'3_1':0.0},(660,691):{'3_1':0.0},(660,689):{'3_1':0.0,'6_1':0.0},(660,686):{'3_1':0.0},(660,685):{'5_1':0.0},(660,683):{'3_1':0.0},(660,681):{'3_1':0.0},(660,678):{'3_1':0.0},(661,705):{'3_1':0.0},(661,702):{'3_1':0.0},(662,700):{'3_1':0.0},(663,701):{'3_1':0.0},(664,700):{'3_1':0.0},(664,699):{'4_1':0.0},(664,689):{'3_1':0.0},(665,688):{'4_1':0.0},(666,692):{'3_1':0.0},(667,674):{'3_1':0.0},(668,695):{'3_1':0.0},(668,681):{'4_1':0.0},(668,675):{'3_1':0.0},(669,691):{'5_2':0.0},(669,689):{'3_1':0.0},(669,680):{'3_1':0.0},(670,677):{'3_1':0.0},(671,681):{'4_1':0.0},(671,677):{'3_1':0.0},(671,676):{'3_1':0.0},(671,675):{'3_1':0.0},(672,686):{'5_1':0.0},(672,684):{'3_1':0.0},(672,682):{'3_1':0.0},(672,680):{'3_1':0.0},(672,677):{'3_1':0.0},(672,676):{'3_1':0.0},(673,683):{'3_1':0.0},(673,677):{'3_1':0.0},(676,684):{'3_1':0.0},(676,683):{'3_1':0.0},(676,682):{'3_1':0.0},(677,713):{'3_1':0.0},(677,700):{'3_1':0.0},(677,683):{'3_1':0.0},(677,682):{'3_1':0.0},(677,681):{'3_1':0.0},(678,720):{'3_1':0.0},(678,696):{'3_1':0.0},(678,686):{'3_1':0.0,'5_2':0.0},(678,685):{'3_1':0.0},(678,683):{'3_1':0.0},(678,682):{'3_1':0.0},(680,718):{'3_1':0.0},(680,699):{'3_1':0.0},(680,694):{'3_1':0.0},(680,684):{'3_1':0.0},(681,717):{'3_1':0.0},(681,697):{'3_1':0.0},(682,696):{'3_1':0.0},(683,718):{'3_1':0.0},(683,699):{'5_1':0.0},(684,720):{'4_1':0.0},(684,718):{'4_1':0.0},(684,695):{'3_1':0.0},(684,693):{'3_1':0.0},(685,695):{'3_1':0.0},(686,717):{'3_1':0.0},(686,713):{'3_1':0.0},(686,697):{'3_1':0.0},(686,694):{'3_1':0.0},(687,714):{'3_1':0.0},(687,702):{'5_2':0.0},(687,700):{'3_1':0.0},(687,699):{'3_1':0.0},(687,697):{'3_1':0.0},(687,696):{'3_1':0.0},(687,693):{'3_1':0.0},(688,713):{'3_1':0.0},(689,701):{'3_1':0.0},(689,693):{'3_1':0.0},(690,698):{'3_1':0.0},(690,697):{'3_1':0.0},(690,693):{'3_1':0.0},(691,703):{'3_1':0.0},(691,702):{'3_1':0.0},(692,703):{'3_1':0.0},(693,704):{'3_1':0.0},(693,703):{'3_1':0.0},(693,702):{'3_1':0.0},(693,701):{'3_1':0.0,'5_1':0.0},(693,698):{'3_1':0.0},(694,705):{'3_1':0.0},(694,699):{'3_1':0.0},(694,698):{'3_1':0.0},(695,704):{'3_1':0.0},(713,726):{'5_2':0.0},(713,719):{'3_1':0.0},(713,718):{'3_1':0.0},(714,726):{'3_1':0.0},(714,723):{'3_1':0.0},(715,725):{'3_1':0.0},(715,723):{'3_1':0.0},(715,720):{'3_1':0.0},(715,719):{'3_1':0.03},(716,722):{'3_1':0.0},(716,721):{'3_1':0.0},(716,720):{'3_1':0.0},(717,727):{'3_1':0.0},(717,726):{'3_1':0.0},(717,724):{'3_1':0.0},(717,722):{'3_1':0.0},(718,726):{'3_1':0.0},(718,723):{'3_1':0.0},(718,722):{'3_1':0.0},(719,726):{'3_1':0.0},(719,723):{'3_1':0.0},(720,726):{'3_1':0.0},(720,725):{'3_1':0.0},(721,727):{'3_1':0.0},(721,726):{'3_1':0.0},(721,725):{'3_1':0.03},(722,727):{'3_1':0.0},(722,726):{'3_1':0.0},(723,727):{'3_1':0.0},(724,727):{'3_1':0.0},}