{(1,236):{'5_2':0.57,'3_1':0.06,'7_3':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'6_1':0.0,'8_3':0.0},(1,235):{'5_2':0.51,'3_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'8_11':0.0},(1,234):{'5_2':0.45,'3_1':0.09,'7_2':0.0,'7_3':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(1,233):{'5_2':0.36,'3_1':0.15,'5_1':0.06,'4_1':0.0,'7_3':0.0,'6_1':0.0,'7_2':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(1,232):{'5_2':0.36,'3_1':0.21,'4_1':0.0,'6_1':0.0,'5_1':0.0,'7_5':0.0},(1,231):{'3_1':0.36,'5_2':0.24,'4_1':0.03,'7_3':0.0,'7_6':0.0,'5_1':0.0,'6_1':0.0},(1,230):{'3_1':0.39,'5_2':0.24,'7_2':0.0,'-3':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(1,229):{'3_1':0.45,'5_2':0.15,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(1,228):{'3_1':0.36,'5_2':0.21,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_1':0.0,'7_5':0.0},(1,227):{'3_1':0.57,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(1,226):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_3':0.0},(1,225):{'3_1':0.57,'5_2':0.06,'6_2':0.0,'-3':0.0,'5_1':0.0,'7_3':0.0,'7_6':0.0},(1,224):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'7_2':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0},(1,223):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'6_3':0.0,'7_3':0.0},(1,222):{'3_1':0.57,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(1,221):{'3_1':0.57,'5_1':0.03,'5_2':0.03,'4_1':0.03,'7_3':0.0,'7_1':0.0},(1,220):{'3_1':0.57,'5_2':0.03,'6_3':0.03,'4_1':0.0,'7_6':0.0},(1,219):{'3_1':0.66,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_3':0.0},(1,218):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(1,217):{'3_1':0.57,'5_2':0.03,'5_1':0.0,'4_1':0.0},(1,216):{'3_1':0.6,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(1,215):{'3_1':0.51,'5_2':0.09,'5_1':0.06,'6_3':0.0,'7_3':0.0},(1,214):{'3_1':0.45,'5_2':0.03,'5_1':0.03,'4_1':0.0},(1,213):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'7_3':0.0},(1,212):{'3_1':0.42,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_2':0.0},(1,211):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(1,210):{'3_1':0.42,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_5':0.0},(1,209):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'7_3':0.0},(1,208):{'3_1':0.51,'5_2':0.06,'5_1':0.03},(1,207):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0},(1,206):{'3_1':0.45,'5_2':0.03,'5_1':0.03,'4_1':0.0},(1,205):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(1,204):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'7_3':0.0},(1,203):{'3_1':0.45,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'8_21|3_1#4_1':0.0},(1,202):{'3_1':0.42,'5_2':0.15,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(1,201):{'3_1':0.51,'5_2':0.09,'5_1':0.0,'7_3':0.0,'7_6':0.0},(1,200):{'3_1':0.48,'5_2':0.09,'5_1':0.06,'4_1':0.0},(1,199):{'3_1':0.45,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0},(1,198):{'3_1':0.48,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(1,197):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(1,196):{'3_1':0.6,'5_1':0.03,'5_2':0.03,'7_3':0.0,'7_6':0.0},(1,195):{'3_1':0.51,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(1,194):{'3_1':0.54,'5_1':0.06,'5_2':0.0},(1,193):{'3_1':0.51,'5_1':0.06,'5_2':0.03,'4_1':0.0},(1,192):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'6_2':0.0,'4_1':0.0},(1,191):{'3_1':0.51,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_3':0.0},(1,190):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(1,189):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'7_1':0.0,'7_3':0.0},(1,188):{'3_1':0.39,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0},(1,187):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(1,186):{'3_1':0.3,'5_2':0.03,'5_1':0.0},(1,185):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_2':0.0,'5_2':0.0},(1,184):{'3_1':0.36,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_5':0.0},(1,183):{'3_1':0.39,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(1,182):{'3_1':0.33,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_1':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(1,181):{'3_1':0.42,'5_1':0.06,'4_1':0.0,'6_2':0.0,'6_3':0.0},(1,180):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'6_2':0.0,'4_1':0.0,'7_1':0.0},(1,179):{'3_1':0.39,'5_2':0.09,'5_1':0.06,'4_1':0.03,'7_5':0.0},(1,178):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'6_2':0.0,'7_5':0.0},(1,177):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'7_3':0.0},(1,176):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'6_2':0.0,'6_3':0.0,'7_1':0.0},(1,175):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(1,174):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0,'8_14':0.0},(1,173):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'4_1':0.0},(1,172):{'3_1':0.54,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_5':0.0,'7_6':0.0},(1,171):{'3_1':0.42,'5_2':0.06,'5_1':0.06,'4_1':0.0},(1,170):{'3_1':0.42,'5_2':0.03,'5_1':0.03,'6_3':0.0},(1,169):{'3_1':0.36,'5_1':0.03,'5_2':0.0},(1,168):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_5':0.0,'7_1':0.0},(1,167):{'3_1':0.27,'5_1':0.03},(1,166):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(1,165):{'3_1':0.24,'5_1':0.06,'4_1':0.0},(1,164):{'3_1':0.15,'5_1':0.03},(1,163):{'3_1':0.18,'5_1':0.0},(1,162):{'3_1':0.21,'5_2':0.0},(1,161):{'3_1':0.21,'5_1':0.0},(1,160):{'3_1':0.21,'5_1':0.0,'4_1':0.0},(1,159):{'3_1':0.06},(1,158):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'-3':0.0},(1,157):{'3_1':0.09,'4_1':0.0},(1,156):{'3_1':0.06,'4_1':0.0},(1,155):{'3_1':0.06,'4_1':0.0},(1,154):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(1,153):{'3_1':0.03,'5_1':0.0},(1,152):{'3_1':0.03,'4_1':0.0},(1,151):{'3_1':0.03},(1,150):{'3_1':0.06},(1,149):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(1,148):{'3_1':0.06,'4_1':0.0},(1,147):{'3_1':0.06},(1,146):{'3_1':0.03},(1,145):{'3_1':0.06},(1,144):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(1,143):{'3_1':0.03,'4_1':0.0},(1,142):{'3_1':0.03,'4_1':0.0},(1,141):{'3_1':0.0,'4_1':0.0},(1,140):{'3_1':0.03,'4_1':0.0},(1,139):{'3_1':0.03,'4_1':0.0},(1,138):{'3_1':0.03,'4_1':0.0},(1,137):{'3_1':0.06,'5_2':0.0},(1,136):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(1,135):{'3_1':0.03},(1,134):{'3_1':0.0},(1,133):{'3_1':0.06},(1,132):{'3_1':0.06},(1,131):{'3_1':0.0,'4_1':0.0},(1,130):{'3_1':0.03,'5_2':0.0},(1,129):{'3_1':0.0},(1,128):{'3_1':0.06},(1,127):{'3_1':0.03},(1,126):{'3_1':0.03},(1,125):{'3_1':0.03},(1,124):{'3_1':0.0,'4_1':0.0},(1,123):{'3_1':0.0,'7_2':0.0},(1,122):{'3_1':0.03},(1,121):{'3_1':0.0,'5_2':0.0},(1,120):{'3_1':0.0,'4_1':0.0},(1,119):{'3_1':0.0},(1,118):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,117):{'3_1':0.0},(1,116):{'3_1':0.0},(1,115):{'3_1':0.03,'4_1':0.0},(1,114):{'3_1':0.0,'4_1':0.0},(1,113):{'3_1':0.03},(1,112):{'3_1':0.0,'5_2':0.0},(1,111):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(1,110):{'3_1':0.0},(1,109):{'3_1':0.03},(1,108):{'3_1':0.0},(1,107):{'3_1':0.03,'6_1':0.0},(1,106):{'3_1':0.0},(1,105):{'3_1':0.03},(1,104):{'3_1':0.03},(1,102):{'3_1':0.0},(1,101):{'3_1':0.0,'4_1':0.0},(1,100):{'3_1':0.0,'4_1':0.0},(1,99):{'3_1':0.03},(1,98):{'3_1':0.0,'4_1':0.0},(1,97):{'3_1':0.06},(1,96):{'3_1':0.03},(1,95):{'3_1':0.03},(1,94):{'3_1':0.03},(1,93):{'3_1':0.0},(1,92):{'3_1':0.03},(1,91):{'3_1':0.06},(1,90):{'3_1':0.03},(1,89):{'3_1':0.0},(1,88):{'3_1':0.03},(1,87):{'3_1':0.03},(1,86):{'3_1':0.03},(1,85):{'3_1':0.0},(1,84):{'3_1':0.0},(1,83):{'3_1':0.0},(1,82):{'3_1':0.0},(1,81):{'3_1':0.0},(1,80):{'3_1':0.0},(1,79):{'3_1':0.0},(1,77):{'3_1':0.0},(1,73):{'4_1':0.0},(1,68):{'3_1':0.0,'4_1':0.0},(1,65):{'3_1':0.0},(1,63):{'3_1':0.0},(1,60):{'3_1':0.0},(1,58):{'3_1':0.0},(1,57):{'3_1':0.0},(1,51):{'3_1':0.0},(1,45):{'3_1':0.0},(1,42):{'3_1':0.0},(1,38):{'3_1':0.0},(1,34):{'3_1':0.0},(1,24):{'3_1':0.0},(1,22):{'3_1':0.0},(1,17):{'3_1':0.0},(2,236):{'5_2':0.6,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,235):{'5_2':0.57,'7_3':0.03,'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_4':0.0,'-3':0.0},(2,234):{'5_2':0.39,'3_1':0.15,'7_3':0.03,'7_2':0.03,'4_1':0.0,'6_1':0.0,'5_1':0.0,'8_3':0.0,'-3':0.0},(2,233):{'5_2':0.42,'3_1':0.15,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0},(2,232):{'5_2':0.27,'3_1':0.18,'6_1':0.03,'4_1':0.03,'5_1':0.0,'7_3':0.0,'8_11':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,231):{'3_1':0.36,'5_2':0.3,'5_1':0.03,'4_1':0.0,'6_1':0.0,'7_3':0.0},(2,230):{'3_1':0.42,'5_2':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0,'-3':0.0},(2,229):{'3_1':0.39,'5_2':0.15,'5_1':0.0,'7_3':0.0,'7_4':0.0},(2,228):{'3_1':0.39,'5_2':0.12,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(2,227):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'7_2':0.0,'7_3':0.0,'8_13':0.0},(2,226):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0},(2,225):{'3_1':0.54,'5_2':0.03,'4_1':0.0,'6_3':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(2,224):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'7_4':0.0},(2,223):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'6_2':0.0},(2,222):{'3_1':0.57,'5_2':0.03,'5_1':0.03,'7_1':0.03,'4_1':0.0,'6_3':0.0},(2,221):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(2,220):{'3_1':0.66,'6_3':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_14':0.0},(2,219):{'3_1':0.57,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(2,218):{'3_1':0.51,'5_2':0.06,'5_1':0.0},(2,217):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_3':0.0},(2,216):{'3_1':0.63,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_5':0.0},(2,215):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'8_8':0.0},(2,214):{'3_1':0.57,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_1':0.0},(2,213):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'8_18':0.0},(2,212):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'6_3':0.0,'7_3':0.0},(2,211):{'3_1':0.54,'5_2':0.06,'5_1':0.0,'7_5':0.0,'4_1':0.0,'6_1':0.0},(2,210):{'3_1':0.48,'5_2':0.03,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0},(2,209):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_5':0.0},(2,208):{'3_1':0.54,'5_2':0.06,'5_1':0.03,'7_2':0.0},(2,207):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(2,206):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_18':0.0},(2,205):{'3_1':0.6,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(2,204):{'3_1':0.48,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0},(2,203):{'3_1':0.42,'5_2':0.15,'5_1':0.0},(2,202):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'7_3':0.0},(2,201):{'3_1':0.54,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(2,200):{'3_1':0.57,'5_2':0.12,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(2,199):{'3_1':0.45,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_6':0.0},(2,198):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'4_1':0.0},(2,197):{'3_1':0.51,'5_2':0.09,'5_1':0.03,'6_2':0.0},(2,196):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'4_1':0.0},(2,195):{'3_1':0.57,'5_2':0.06,'5_1':0.0,'6_2':0.0},(2,194):{'3_1':0.45,'5_2':0.06,'5_1':0.0,'7_3':0.0},(2,193):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(2,192):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0},(2,191):{'3_1':0.42,'5_2':0.06,'5_1':0.0,'4_1':0.0},(2,190):{'3_1':0.42,'5_1':0.03,'5_2':0.0,'4_1':0.0},(2,189):{'3_1':0.36,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,188):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(2,187):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_3':0.0},(2,186):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,185):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'6_2':0.0},(2,184):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(2,183):{'3_1':0.36,'5_1':0.09,'4_1':0.0,'6_2':0.0},(2,182):{'3_1':0.3,'5_1':0.12,'5_2':0.0,'7_5':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(2,181):{'3_1':0.33,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0},(2,180):{'3_1':0.39,'5_1':0.06,'4_1':0.03,'5_2':0.0,'6_3':0.0,'7_1':0.0},(2,179):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0},(2,178):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'4_1':0.0},(2,177):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0},(2,176):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0},(2,175):{'3_1':0.57,'5_1':0.09,'5_2':0.03,'7_1':0.0,'7_2':0.0},(2,174):{'3_1':0.48,'5_1':0.03,'5_2':0.03,'7_3':0.0},(2,173):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0},(2,172):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.0},(2,171):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(2,170):{'3_1':0.48,'5_1':0.03,'4_1':0.0,'5_2':0.0},(2,169):{'3_1':0.36,'5_1':0.03},(2,168):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(2,167):{'3_1':0.36,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,166):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(2,165):{'3_1':0.33},(2,164):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'7_1':0.0,'5_2':0.0},(2,163):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(2,162):{'3_1':0.18,'5_1':0.0},(2,161):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(2,160):{'3_1':0.15,'5_1':0.0},(2,159):{'3_1':0.09,'4_1':0.0},(2,158):{'3_1':0.03,'4_1':0.0},(2,157):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(2,156):{'3_1':0.06},(2,155):{'3_1':0.0},(2,154):{'3_1':0.09,'4_1':0.0},(2,153):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(2,152):{'3_1':0.09},(2,151):{'3_1':0.06},(2,150):{'3_1':0.06,'4_1':0.0},(2,149):{'3_1':0.03},(2,148):{'3_1':0.06},(2,147):{'3_1':0.03,'4_1':0.0},(2,146):{'3_1':0.0},(2,145):{'3_1':0.06},(2,144):{'3_1':0.0},(2,143):{'3_1':0.0},(2,142):{'3_1':0.06},(2,141):{'3_1':0.06},(2,140):{'3_1':0.0,'5_2':0.0},(2,139):{'3_1':0.0},(2,138):{'3_1':0.0},(2,137):{'3_1':0.03,'4_1':0.0},(2,136):{'3_1':0.0},(2,135):{'3_1':0.03},(2,134):{'3_1':0.06},(2,133):{'3_1':0.0},(2,132):{'3_1':0.03},(2,131):{'3_1':0.06,'4_1':0.0},(2,130):{'3_1':0.03},(2,129):{'3_1':0.06},(2,128):{'3_1':0.03,'5_2':0.0},(2,127):{'3_1':0.03,'5_2':0.0},(2,126):{'3_1':0.0},(2,125):{'3_1':0.03},(2,124):{'3_1':0.03},(2,123):{'3_1':0.03},(2,122):{'3_1':0.0,'5_2':0.0},(2,121):{'3_1':0.0},(2,120):{'3_1':0.0},(2,119):{'3_1':0.0},(2,118):{'3_1':0.0},(2,117):{'3_1':0.0},(2,116):{'3_1':0.03,'4_1':0.0},(2,115):{'3_1':0.03},(2,114):{'3_1':0.03},(2,113):{'3_1':0.03,'4_1':0.0},(2,112):{'4_1':0.0},(2,111):{'3_1':0.0},(2,110):{'3_1':0.03},(2,109):{'3_1':0.0},(2,108):{'3_1':0.0},(2,107):{'3_1':0.0},(2,106):{'3_1':0.0},(2,105):{'3_1':0.0},(2,104):{'3_1':0.0},(2,103):{'3_1':0.0},(2,102):{'3_1':0.03},(2,101):{'3_1':0.0},(2,100):{'3_1':0.0},(2,98):{'3_1':0.0,'4_1':0.0},(2,97):{'3_1':0.0},(2,96):{'3_1':0.0,'4_1':0.0},(2,95):{'3_1':0.0},(2,94):{'3_1':0.0},(2,93):{'3_1':0.03},(2,92):{'3_1':0.06},(2,91):{'3_1':0.0},(2,90):{'3_1':0.0,'4_1':0.0},(2,89):{'3_1':0.03},(2,88):{'3_1':0.03},(2,87):{'3_1':0.03},(2,86):{'3_1':0.0},(2,85):{'3_1':0.0},(2,84):{'3_1':0.0},(2,83):{'3_1':0.03,'4_1':0.0},(2,82):{'3_1':0.0},(2,80):{'3_1':0.03},(2,79):{'3_1':0.0},(2,78):{'3_1':0.0},(2,77):{'3_1':0.0},(2,75):{'3_1':0.0},(2,73):{'3_1':0.0},(2,72):{'3_1':0.0},(2,71):{'3_1':0.0},(2,67):{'3_1':0.0},(2,66):{'3_1':0.0},(2,65):{'3_1':0.0},(2,63):{'3_1':0.0},(2,62):{'3_1':0.0},(2,58):{'3_1':0.0},(2,57):{'3_1':0.0},(2,43):{'3_1':0.0},(2,42):{'3_1':0.0},(2,40):{'3_1':0.0},(2,32):{'3_1':0.0},(3,236):{'5_2':0.57,'3_1':0.06,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_6':0.0,'7_5':0.0,'-3':0.0},(3,235):{'5_2':0.45,'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(3,234):{'5_2':0.33,'3_1':0.15,'7_2':0.06,'6_1':0.0,'4_1':0.0,'-3':0.0,'5_1':0.0,'8_1':0.0},(3,233):{'5_2':0.39,'3_1':0.18,'4_1':0.03,'5_1':0.03,'7_2':0.0,'7_6':0.0},(3,232):{'5_2':0.33,'3_1':0.27,'6_1':0.0,'7_5':0.0,'7_3':0.0},(3,231):{'5_2':0.3,'3_1':0.27,'6_1':0.03,'4_1':0.0},(3,230):{'3_1':0.27,'5_2':0.24,'4_1':0.06,'6_1':0.0,'7_4':0.0,'-3':0.0},(3,229):{'3_1':0.39,'5_2':0.21,'4_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_8':0.0,'8_21|3_1#4_1':0.0},(3,228):{'3_1':0.45,'5_2':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0},(3,227):{'3_1':0.54,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0},(3,226):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(3,225):{'3_1':0.51,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(3,224):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(3,223):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_1':0.0,'7_3':0.0},(3,222):{'3_1':0.6,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_3':0.0,'9_1':0.0},(3,221):{'3_1':0.57,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(3,220):{'3_1':0.57,'5_2':0.06,'5_1':0.03,'6_3':0.0},(3,219):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'6_3':0.0},(3,218):{'3_1':0.6,'5_1':0.0,'5_2':0.0,'6_3':0.0,'4_1':0.0,'7_5':0.0},(3,217):{'3_1':0.48,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0},(3,216):{'3_1':0.57,'4_1':0.03,'5_2':0.0,'5_1':0.0,'8_14':0.0},(3,215):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(3,214):{'3_1':0.57,'5_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(3,213):{'3_1':0.57,'5_2':0.0,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(3,212):{'3_1':0.57,'5_2':0.03,'5_1':0.0,'8_18':0.0},(3,211):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_3':0.0},(3,210):{'3_1':0.54,'5_1':0.03,'5_2':0.03,'4_1':0.0},(3,209):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'7_1':0.0},(3,208):{'3_1':0.48,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_2':0.0},(3,207):{'3_1':0.45,'5_2':0.06,'5_1':0.0},(3,206):{'3_1':0.39,'5_2':0.06,'4_1':0.0,'5_1':0.0},(3,205):{'3_1':0.45,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(3,204):{'3_1':0.51,'5_2':0.03,'5_1':0.0},(3,203):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'6_1':0.0},(3,202):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'6_1':0.0,'4_1':0.0},(3,201):{'3_1':0.48,'5_2':0.06,'4_1':0.03,'5_1':0.0},(3,200):{'3_1':0.51,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0,'-3':0.0},(3,199):{'3_1':0.39,'5_2':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(3,198):{'3_1':0.51,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(3,197):{'3_1':0.57,'5_2':0.06,'5_1':0.0,'7_2':0.0},(3,196):{'3_1':0.54,'5_2':0.03,'4_1':0.0,'5_1':0.0},(3,195):{'3_1':0.48,'5_2':0.03,'6_2':0.0,'5_1':0.0},(3,194):{'3_1':0.45,'5_2':0.0,'5_1':0.0},(3,193):{'3_1':0.54,'5_2':0.06,'5_1':0.0},(3,192):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0},(3,191):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'4_1':0.0},(3,190):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_5':0.0},(3,189):{'3_1':0.39,'4_1':0.0,'5_2':0.0,'5_1':0.0},(3,188):{'3_1':0.42,'5_1':0.03,'4_1':0.0,'5_2':0.0},(3,187):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(3,186):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(3,185):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,184):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'4_1':0.0},(3,183):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0},(3,182):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(3,181):{'3_1':0.33,'5_2':0.03,'5_1':0.03,'4_1':0.0},(3,180):{'3_1':0.36,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(3,179):{'3_1':0.42,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(3,178):{'3_1':0.36,'5_2':0.09,'5_1':0.03,'4_1':0.0},(3,177):{'3_1':0.45,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_1':0.0},(3,176):{'3_1':0.6,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0},(3,175):{'3_1':0.54,'5_1':0.03,'5_2':0.0,'4_1':0.0},(3,174):{'3_1':0.51,'5_1':0.03,'5_2':0.03,'7_1':0.0},(3,173):{'3_1':0.48,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0},(3,172):{'3_1':0.42,'5_1':0.09,'5_2':0.03,'4_1':0.0},(3,171):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'4_1':0.0},(3,170):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.0},(3,169):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(3,168):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'4_1':0.0},(3,167):{'3_1':0.36,'4_1':0.0,'5_1':0.0},(3,166):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0},(3,165):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(3,164):{'3_1':0.18,'5_1':0.0},(3,163):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(3,162):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(3,161):{'3_1':0.18,'5_1':0.0},(3,160):{'3_1':0.09,'4_1':0.03},(3,159):{'3_1':0.09,'6_1':0.0},(3,158):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(3,157):{'3_1':0.09},(3,156):{'3_1':0.06,'4_1':0.0},(3,155):{'3_1':0.03,'4_1':0.0},(3,154):{'3_1':0.03},(3,153):{'3_1':0.03},(3,152):{'3_1':0.09},(3,151):{'3_1':0.09,'4_1':0.0},(3,150):{'3_1':0.03,'8_20|3_1#3_1':0.0},(3,149):{'3_1':0.0,'4_1':0.0},(3,148):{'3_1':0.03},(3,147):{'3_1':0.09},(3,146):{'3_1':0.03},(3,145):{'3_1':0.03,'5_2':0.0},(3,144):{'3_1':0.03},(3,143):{'3_1':0.03},(3,142):{'3_1':0.03,'5_2':0.0},(3,141):{'3_1':0.06},(3,140):{'3_1':0.03},(3,139):{'3_1':0.0,'5_2':0.0},(3,138):{'3_1':0.06},(3,137):{'3_1':0.03,'4_1':0.0},(3,136):{'3_1':0.0},(3,135):{'3_1':0.0,'4_1':0.0},(3,134):{'3_1':0.0},(3,133):{'3_1':0.0},(3,132):{'3_1':0.0},(3,131):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(3,130):{'3_1':0.03},(3,129):{'3_1':0.09,'4_1':0.0},(3,128):{'3_1':0.0,'5_2':0.0},(3,127):{'3_1':0.0},(3,126):{'3_1':0.03},(3,125):{'3_1':0.0,'8_20|3_1#3_1':0.0},(3,124):{'3_1':0.03},(3,123):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(3,122):{'3_1':0.0,'4_1':0.0},(3,121):{'3_1':0.0},(3,120):{'3_1':0.0,'5_2':0.0},(3,119):{'3_1':0.0},(3,118):{'5_2':0.0},(3,117):{'3_1':0.03},(3,116):{'3_1':0.03},(3,115):{'3_1':0.0},(3,114):{'3_1':0.0},(3,113):{'3_1':0.0},(3,112):{'3_1':0.0,'4_1':0.0},(3,111):{'3_1':0.0},(3,110):{'3_1':0.0},(3,109):{'3_1':0.0},(3,108):{'3_1':0.03},(3,107):{'3_1':0.0},(3,106):{'3_1':0.0,'4_1':0.0},(3,105):{'3_1':0.06},(3,104):{'3_1':0.0},(3,103):{'3_1':0.0},(3,102):{'3_1':0.0},(3,101):{'3_1':0.0},(3,100):{'3_1':0.03,'4_1':0.0},(3,98):{'3_1':0.0},(3,97):{'3_1':0.0},(3,96):{'3_1':0.0},(3,94):{'3_1':0.0},(3,93):{'3_1':0.03},(3,92):{'3_1':0.0},(3,91):{'3_1':0.0},(3,90):{'3_1':0.03},(3,89):{'3_1':0.0},(3,88):{'3_1':0.03},(3,87):{'3_1':0.03},(3,86):{'3_1':0.03},(3,85):{'3_1':0.0},(3,84):{'3_1':0.0},(3,83):{'3_1':0.0},(3,82):{'3_1':0.03},(3,81):{'3_1':0.0},(3,79):{'3_1':0.0},(3,78):{'3_1':0.0},(3,77):{'3_1':0.0},(3,74):{'3_1':0.0},(3,73):{'3_1':0.0},(3,71):{'3_1':0.0,'4_1':0.0},(3,68):{'3_1':0.0},(3,66):{'3_1':0.0},(3,61):{'3_1':0.0},(3,56):{'3_1':0.0},(3,55):{'3_1':0.0},(3,52):{'3_1':0.0},(3,46):{'3_1':0.0},(3,43):{'3_1':0.0},(3,40):{'3_1':0.0},(3,38):{'3_1':0.0},(3,37):{'3_1':0.0},(3,35):{'3_1':0.0},(3,34):{'3_1':0.0},(3,13):{'3_1':0.0},(4,236):{'5_2':0.48,'3_1':0.12,'7_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_4':0.0},(4,235):{'5_2':0.45,'3_1':0.06,'7_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_7':0.0,'8_1':0.0},(4,234):{'5_2':0.39,'3_1':0.15,'7_2':0.0,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0,'-3':0.0},(4,233):{'5_2':0.48,'3_1':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0,'7_5':0.0,'7_6':0.0},(4,232):{'5_2':0.39,'3_1':0.18,'4_1':0.03,'6_1':0.0,'7_2':0.0,'-3':0.0},(4,231):{'5_2':0.3,'3_1':0.27,'4_1':0.03,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,230):{'5_2':0.33,'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_5':0.0},(4,229):{'3_1':0.33,'5_2':0.18,'7_3':0.03,'6_1':0.0,'7_4':0.0,'7_5':0.0},(4,228):{'3_1':0.45,'5_2':0.21,'7_3':0.0,'4_1':0.0,'6_1':0.0,'7_5':0.0},(4,227):{'3_1':0.42,'5_2':0.15,'4_1':0.0,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(4,226):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_6':0.0},(4,225):{'3_1':0.42,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(4,224):{'3_1':0.54,'5_2':0.06,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(4,223):{'3_1':0.48,'5_2':0.09,'5_1':0.0,'7_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(4,222):{'3_1':0.36,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_1':0.0},(4,221):{'3_1':0.6,'5_2':0.06,'4_1':0.03,'5_1':0.0},(4,220):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(4,219):{'3_1':0.57,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0},(4,218):{'3_1':0.51,'5_2':0.06,'5_1':0.0},(4,217):{'3_1':0.54,'5_2':0.03,'5_1':0.0,'7_5':0.0},(4,216):{'3_1':0.39,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(4,215):{'3_1':0.48,'5_2':0.03,'5_1':0.0,'7_3':0.0},(4,214):{'3_1':0.48,'5_1':0.0,'7_2':0.0,'5_2':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(4,213):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_3':0.0},(4,212):{'3_1':0.54,'5_2':0.06,'4_1':0.0,'7_6':0.0},(4,211):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'4_1':0.0},(4,210):{'3_1':0.51,'5_2':0.06,'4_1':0.0,'8_21|3_1#4_1':0.0},(4,209):{'3_1':0.54,'5_2':0.06,'5_1':0.0,'8_18':0.0,'8_20|3_1#3_1':0.0},(4,208):{'3_1':0.39,'5_2':0.06,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(4,207):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'4_1':0.0},(4,206):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(4,205):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_6':0.0,'7_2':0.0},(4,204):{'3_1':0.45,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_6':0.0},(4,203):{'3_1':0.42,'5_2':0.15,'4_1':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(4,202):{'3_1':0.45,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_6':0.0},(4,201):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.0},(4,200):{'3_1':0.42,'5_2':0.03,'4_1':0.0},(4,199):{'3_1':0.57,'5_2':0.06,'4_1':0.0,'5_1':0.0},(4,198):{'3_1':0.42,'5_2':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(4,197):{'3_1':0.42,'5_2':0.06,'5_1':0.0,'7_2':0.0},(4,196):{'3_1':0.48,'5_2':0.03,'8_21|3_1#4_1':0.0},(4,195):{'3_1':0.48,'5_2':0.03,'5_1':0.0},(4,194):{'3_1':0.51,'5_2':0.03,'5_1':0.03,'6_2':0.0},(4,193):{'3_1':0.45,'5_1':0.03,'5_2':0.03,'4_1':0.0},(4,192):{'3_1':0.51,'5_1':0.03,'5_2':0.0},(4,191):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(4,190):{'3_1':0.39,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(4,189):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0},(4,188):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_5':0.0},(4,187):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(4,186):{'3_1':0.3,'4_1':0.0,'5_1':0.0},(4,185):{'3_1':0.3,'4_1':0.03,'5_1':0.0,'5_2':0.0},(4,184):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(4,183):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0},(4,182):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(4,181):{'3_1':0.27,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_5':0.0},(4,180):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(4,179):{'3_1':0.45,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(4,178):{'3_1':0.42,'5_1':0.03,'5_2':0.0,'4_1':0.0},(4,177):{'3_1':0.42,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(4,176):{'3_1':0.48,'5_2':0.03,'5_1':0.0,'4_1':0.0},(4,175):{'3_1':0.45,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_5':0.0},(4,174):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0},(4,173):{'3_1':0.48,'5_2':0.06,'5_1':0.03,'4_1':0.0},(4,172):{'3_1':0.42,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_11':0.0},(4,171):{'3_1':0.36,'5_1':0.09,'5_2':0.06,'4_1':0.0,'6_2':0.0},(4,170):{'3_1':0.36,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(4,169):{'3_1':0.39,'5_1':0.0,'6_3':0.0},(4,168):{'3_1':0.36,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(4,167):{'3_1':0.3,'5_1':0.03,'4_1':0.0},(4,166):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0},(4,165):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(4,164):{'3_1':0.18,'5_1':0.0},(4,163):{'3_1':0.24,'5_1':0.0},(4,162):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(4,161):{'3_1':0.12,'5_2':0.0},(4,160):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(4,159):{'3_1':0.06,'4_1':0.0},(4,158):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(4,157):{'3_1':0.09,'4_1':0.0},(4,156):{'3_1':0.09,'4_1':0.0},(4,155):{'3_1':0.06,'4_1':0.03},(4,154):{'3_1':0.06},(4,153):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(4,152):{'3_1':0.03,'5_2':0.0},(4,151):{'3_1':0.06},(4,150):{'3_1':0.03,'4_1':0.0},(4,149):{'3_1':0.06},(4,148):{'3_1':0.0},(4,147):{'3_1':0.0,'5_2':0.0},(4,146):{'3_1':0.03},(4,145):{'3_1':0.0,'5_1':0.0},(4,144):{'3_1':0.0},(4,143):{'3_1':0.0},(4,142):{'3_1':0.0},(4,141):{'3_1':0.0},(4,140):{'3_1':0.0},(4,139):{'3_1':0.03},(4,138):{'4_1':0.0},(4,137):{'3_1':0.03},(4,136):{'3_1':0.03,'5_2':0.0},(4,135):{'3_1':0.0},(4,134):{'3_1':0.0},(4,133):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,132):{'3_1':0.0,'5_2':0.0},(4,131):{'3_1':0.03,'5_2':0.0},(4,130):{'3_1':0.0,'4_1':0.0},(4,129):{'3_1':0.0},(4,128):{'3_1':0.03},(4,127):{'3_1':0.03},(4,126):{'3_1':0.09},(4,125):{'3_1':0.03},(4,124):{'3_1':0.0},(4,123):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(4,122):{'3_1':0.0},(4,121):{'3_1':0.0},(4,120):{'3_1':0.0},(4,119):{'3_1':0.0},(4,118):{'3_1':0.0},(4,117):{'3_1':0.0},(4,115):{'3_1':0.0},(4,114):{'5_2':0.0},(4,113):{'3_1':0.0},(4,112):{'3_1':0.03},(4,111):{'3_1':0.0},(4,110):{'3_1':0.0},(4,109):{'4_1':0.0},(4,108):{'3_1':0.03,'4_1':0.0},(4,107):{'3_1':0.0,'4_1':0.0},(4,106):{'3_1':0.0},(4,105):{'3_1':0.03},(4,103):{'3_1':0.0},(4,101):{'3_1':0.0},(4,100):{'3_1':0.0},(4,99):{'3_1':0.03},(4,98):{'3_1':0.03},(4,97):{'3_1':0.0},(4,96):{'3_1':0.0},(4,95):{'3_1':0.0},(4,94):{'3_1':0.0},(4,93):{'3_1':0.03,'4_1':0.0},(4,92):{'3_1':0.0,'4_1':0.0},(4,91):{'3_1':0.03,'4_1':0.0},(4,90):{'3_1':0.0},(4,89):{'3_1':0.03},(4,88):{'3_1':0.0,'4_1':0.0},(4,87):{'3_1':0.03},(4,86):{'3_1':0.03},(4,85):{'3_1':0.03},(4,84):{'3_1':0.0},(4,83):{'3_1':0.0,'4_1':0.0},(4,82):{'3_1':0.0},(4,81):{'3_1':0.0},(4,79):{'3_1':0.0},(4,77):{'3_1':0.0},(4,75):{'3_1':0.0,'4_1':0.0},(4,73):{'4_1':0.0},(4,72):{'3_1':0.0},(4,71):{'4_1':0.0},(4,70):{'3_1':0.0},(4,66):{'4_1':0.0},(4,65):{'3_1':0.0},(4,62):{'3_1':0.0},(4,60):{'3_1':0.0},(4,58):{'3_1':0.0},(4,51):{'3_1':0.0},(4,47):{'3_1':0.0},(4,41):{'3_1':0.0},(4,38):{'3_1':0.0},(4,36):{'3_1':0.0},(4,35):{'3_1':0.0},(4,34):{'3_1':0.0},(4,25):{'3_1':0.0},(4,21):{'3_1':0.0},(5,236):{'5_2':0.57,'3_1':0.06,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_5':0.0,'8_3':0.0},(5,235):{'5_2':0.39,'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0,'8_4':0.0,'8_21|3_1#4_1':0.0},(5,234):{'5_2':0.51,'3_1':0.09,'6_1':0.03,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'8_1':0.0,'3_1#5_2':0.0,'-3':0.0},(5,233):{'5_2':0.39,'3_1':0.18,'7_2':0.0,'7_5':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(5,232):{'3_1':0.3,'5_2':0.27,'6_1':0.0,'4_1':0.0,'5_1':0.0,'7_5':0.0,'-3':0.0},(5,231):{'3_1':0.3,'5_2':0.21,'5_1':0.03,'4_1':0.03,'6_1':0.0,'7_5':0.0},(5,230):{'5_2':0.27,'3_1':0.24,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'7_6':0.0},(5,229):{'3_1':0.33,'5_2':0.15,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(5,228):{'3_1':0.42,'5_2':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0},(5,227):{'3_1':0.39,'5_2':0.06,'6_1':0.0,'4_1':0.0,'6_3':0.0,'7_3':0.0},(5,226):{'3_1':0.39,'5_2':0.09,'4_1':0.06,'7_6':0.0,'5_1':0.0,'6_3':0.0},(5,225):{'3_1':0.39,'5_2':0.09,'4_1':0.0,'6_2':0.0,'7_4':0.0},(5,224):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'6_3':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(5,223):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'6_3':0.0,'7_1':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(5,222):{'3_1':0.48,'5_2':0.06,'4_1':0.03,'7_5':0.0,'5_1':0.0},(5,221):{'3_1':0.48,'5_2':0.06,'7_1':0.0,'5_1':0.0,'7_2':0.0},(5,220):{'3_1':0.54,'5_1':0.0,'5_2':0.0,'6_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,219):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_14':0.0},(5,218):{'3_1':0.51,'5_2':0.03,'5_1':0.0},(5,217):{'3_1':0.54,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,216):{'3_1':0.48,'5_2':0.03,'4_1':0.0},(5,215):{'3_1':0.39,'5_2':0.09,'4_1':0.0,'5_1':0.0},(5,214):{'3_1':0.54,'5_2':0.0,'5_1':0.0},(5,213):{'3_1':0.51,'5_2':0.0,'5_1':0.0,'6_2':0.0,'6_3':0.0},(5,212):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(5,211):{'3_1':0.42,'5_2':0.06,'4_1':0.0,'5_1':0.0,'-3':0.0},(5,210):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'6_2':0.0},(5,209):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'-3':0.0},(5,208):{'3_1':0.36,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_2':0.0},(5,207):{'3_1':0.45,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(5,206):{'3_1':0.54,'5_2':0.03,'4_1':0.0,'5_1':0.0},(5,205):{'3_1':0.45,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_8':0.0},(5,204):{'3_1':0.51,'5_2':0.03,'5_1':0.0},(5,203):{'3_1':0.54,'5_2':0.09,'6_1':0.0,'4_1':0.0,'5_1':0.0},(5,202):{'3_1':0.42,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0,'-3':0.0},(5,201):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_7':0.0},(5,200):{'3_1':0.45,'5_2':0.12,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(5,199):{'3_1':0.45,'5_2':0.09,'4_1':0.0},(5,198):{'3_1':0.51,'5_2':0.03,'7_4':0.0,'5_1':0.0,'7_3':0.0},(5,197):{'3_1':0.48,'5_2':0.03,'4_1':0.0,'5_1':0.0},(5,196):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'5_1':0.0},(5,195):{'3_1':0.51,'5_2':0.03,'5_1':0.0},(5,194):{'3_1':0.51,'5_1':0.03,'5_2':0.0,'4_1':0.0,'-3':0.0},(5,193):{'3_1':0.45,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(5,192):{'3_1':0.42,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(5,191):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(5,190):{'3_1':0.33,'4_1':0.03,'5_1':0.0,'5_2':0.0},(5,189):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(5,188):{'3_1':0.36,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(5,187):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(5,186):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(5,185):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0},(5,184):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_1':0.0},(5,183):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.03},(5,182):{'3_1':0.33,'5_1':0.06,'4_1':0.0},(5,181):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(5,180):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'5_2':0.0},(5,179):{'3_1':0.39,'5_1':0.03,'4_1':0.0,'5_2':0.0},(5,178):{'3_1':0.42,'5_1':0.03,'5_2':0.0},(5,177):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'4_1':0.0},(5,176):{'3_1':0.45,'5_2':0.06,'4_1':0.0,'5_1':0.0},(5,175):{'3_1':0.42,'5_2':0.03,'5_1':0.03,'4_1':0.0},(5,174):{'3_1':0.39,'5_2':0.03,'5_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(5,173):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'5_1':0.0},(5,172):{'3_1':0.54,'5_2':0.03,'4_1':0.0},(5,171):{'3_1':0.39,'5_1':0.03,'5_2':0.0,'4_1':0.0},(5,170):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0},(5,169):{'3_1':0.42,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(5,168):{'3_1':0.39,'5_1':0.03,'5_2':0.0,'4_1':0.0},(5,167):{'3_1':0.3,'5_1':0.0,'4_1':0.0},(5,166):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0},(5,165):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(5,164):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(5,163):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(5,162):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(5,161):{'3_1':0.15,'4_1':0.0},(5,160):{'3_1':0.12},(5,159):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(5,158):{'3_1':0.06,'4_1':0.0},(5,157):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(5,156):{'3_1':0.03,'5_1':0.0},(5,155):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(5,154):{'3_1':0.06,'5_1':0.0},(5,153):{'3_1':0.03,'5_1':0.0},(5,152):{'3_1':0.06},(5,151):{'3_1':0.06},(5,150):{'3_1':0.0,'5_2':0.0},(5,149):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(5,148):{'3_1':0.0,'5_2':0.0},(5,147):{'3_1':0.0,'5_2':0.0},(5,146):{'3_1':0.0,'5_2':0.0},(5,145):{'3_1':0.03},(5,144):{'3_1':0.0,'5_2':0.0},(5,143):{'3_1':0.0},(5,142):{'3_1':0.03},(5,141):{'3_1':0.0},(5,140):{'4_1':0.0},(5,139):{'3_1':0.03,'4_1':0.0},(5,138):{'3_1':0.0,'4_1':0.0},(5,137):{'3_1':0.0},(5,136):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,135):{'3_1':0.0},(5,134):{'3_1':0.0},(5,133):{'3_1':0.0},(5,132):{'3_1':0.03},(5,131):{'3_1':0.03,'5_2':0.0},(5,130):{'3_1':0.03},(5,129):{'3_1':0.03,'4_1':0.0},(5,128):{'3_1':0.06},(5,127):{'3_1':0.0},(5,126):{'3_1':0.0,'5_2':0.0,'7_6':0.0},(5,125):{'3_1':0.03},(5,124):{'4_1':0.0},(5,123):{'3_1':0.0,'4_1':0.0},(5,122):{'3_1':0.0,'5_2':0.0},(5,121):{'3_1':0.03},(5,120):{'3_1':0.0,'5_2':0.0},(5,119):{'3_1':0.0},(5,118):{'3_1':0.0},(5,117):{'3_1':0.0},(5,116):{'3_1':0.03},(5,115):{'3_1':0.0,'4_1':0.0},(5,114):{'3_1':0.0},(5,113):{'3_1':0.0},(5,112):{'3_1':0.0},(5,111):{'3_1':0.0,'4_1':0.0},(5,110):{'3_1':0.0},(5,109):{'3_1':0.0,'6_1':0.0},(5,108):{'4_1':0.0},(5,107):{'3_1':0.0,'4_1':0.0},(5,106):{'3_1':0.0},(5,105):{'3_1':0.03,'5_2':0.0},(5,104):{'3_1':0.0,'4_1':0.0},(5,103):{'3_1':0.03},(5,102):{'4_1':0.0,'3_1':0.0,'6_1':0.0},(5,101):{'3_1':0.0},(5,100):{'3_1':0.03,'4_1':0.0},(5,99):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0},(5,98):{'3_1':0.0,'5_2':0.0},(5,97):{'3_1':0.03,'4_1':0.0},(5,96):{'3_1':0.0,'4_1':0.0},(5,95):{'3_1':0.03,'5_2':0.0},(5,94):{'3_1':0.03},(5,93):{'3_1':0.03},(5,92):{'3_1':0.0},(5,91):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(5,90):{'3_1':0.0},(5,89):{'3_1':0.0},(5,88):{'3_1':0.03},(5,87):{'3_1':0.0},(5,86):{'3_1':0.03},(5,85):{'3_1':0.0},(5,84):{'3_1':0.0},(5,83):{'3_1':0.0},(5,82):{'3_1':0.0,'4_1':0.0},(5,81):{'3_1':0.0},(5,80):{'3_1':0.0,'4_1':0.0},(5,79):{'3_1':0.0},(5,78):{'3_1':0.0},(5,76):{'3_1':0.0},(5,75):{'4_1':0.0},(5,74):{'4_1':0.0},(5,73):{'3_1':0.0},(5,72):{'3_1':0.0},(5,71):{'3_1':0.0},(5,69):{'3_1':0.0},(5,68):{'4_1':0.0},(5,65):{'3_1':0.0,'4_1':0.0},(5,63):{'3_1':0.0},(5,61):{'3_1':0.0},(5,60):{'3_1':0.0},(5,59):{'3_1':0.0},(5,53):{'3_1':0.0},(5,51):{'3_1':0.0},(5,38):{'3_1':0.0},(5,37):{'3_1':0.0},(5,32):{'3_1':0.0},(6,236):{'5_2':0.39,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'4_1':0.0,'7_4':0.0,'8_1':0.0},(6,235):{'5_2':0.45,'3_1':0.09,'4_1':0.03,'7_2':0.03,'7_3':0.0,'7_5':0.0,'-3':0.0},(6,234):{'5_2':0.39,'3_1':0.03,'6_1':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0,'-3':0.0},(6,233):{'5_2':0.39,'3_1':0.12,'4_1':0.03,'5_1':0.0,'-3':0.0,'7_3':0.0},(6,232):{'5_2':0.3,'3_1':0.18,'5_1':0.0,'7_5':0.0,'4_1':0.0,'7_2':0.0,'8_5':0.0,'8_11':0.0,'-3':0.0},(6,231):{'3_1':0.27,'5_2':0.24,'4_1':0.03,'6_1':0.0,'5_1':0.0,'-3':0.0},(6,230):{'3_1':0.3,'5_2':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0,'7_5':0.0,'8_11':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,229):{'3_1':0.33,'5_2':0.15,'4_1':0.03,'7_5':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0,'3_1#5_2':0.0},(6,228):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(6,227):{'3_1':0.39,'5_2':0.06,'4_1':0.03,'6_3':0.0,'6_2':0.0},(6,226):{'3_1':0.42,'5_2':0.06,'7_6':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(6,225):{'3_1':0.36,'5_2':0.09,'7_3':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(6,224):{'3_1':0.48,'5_2':0.09,'5_1':0.0,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,223):{'3_1':0.51,'5_2':0.03,'4_1':0.0,'6_3':0.0,'6_1':0.0},(6,222):{'3_1':0.45,'5_2':0.09,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(6,221):{'3_1':0.6,'5_2':0.03,'5_1':0.0},(6,220):{'3_1':0.45,'5_2':0.09,'6_3':0.0,'4_1':0.0},(6,219):{'3_1':0.51,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_6':0.0},(6,218):{'3_1':0.42,'5_2':0.06,'5_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,217):{'3_1':0.48,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0,'7_6':0.0},(6,216):{'3_1':0.42,'5_2':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(6,215):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(6,214):{'3_1':0.48,'5_2':0.03,'5_1':0.0,'6_3':0.0},(6,213):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_21|3_1#4_1':0.0},(6,212):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(6,211):{'3_1':0.36,'5_2':0.06,'5_1':0.0},(6,210):{'3_1':0.45,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(6,209):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'5_1':0.0},(6,208):{'3_1':0.42,'5_2':0.09,'4_1':0.0,'5_1':0.0},(6,207):{'3_1':0.36,'5_2':0.09,'5_1':0.0,'6_3':0.0,'7_2':0.0},(6,206):{'3_1':0.51,'5_2':0.03,'5_1':0.0,'6_2':0.0},(6,205):{'3_1':0.42,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(6,204):{'3_1':0.51,'5_2':0.06,'5_1':0.03,'4_1':0.0},(6,203):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'6_2':0.0},(6,202):{'3_1':0.45,'5_2':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_6':0.0,'-3':0.0},(6,201):{'3_1':0.42,'5_2':0.09,'5_1':0.03,'7_5':0.0},(6,200):{'3_1':0.36,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(6,199):{'3_1':0.48,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_5':0.0},(6,198):{'3_1':0.42,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_5':0.0},(6,197):{'3_1':0.48,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(6,196):{'3_1':0.42,'5_2':0.06,'5_1':0.06},(6,195):{'3_1':0.39,'4_1':0.0,'5_1':0.0,'7_3':0.0,'7_7':0.0},(6,194):{'3_1':0.45,'5_2':0.03,'6_1':0.0},(6,193):{'3_1':0.39,'5_2':0.03,'5_1':0.0},(6,192):{'3_1':0.45,'5_2':0.03,'5_1':0.0,'4_1':0.0},(6,191):{'3_1':0.39,'5_2':0.0,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(6,190):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0},(6,189):{'3_1':0.36,'5_2':0.0,'6_2':0.0},(6,188):{'3_1':0.36,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(6,187):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0},(6,186):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(6,185):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_16':0.0},(6,184):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0},(6,183):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(6,182):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(6,181):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'4_1':0.0},(6,180):{'3_1':0.42,'5_1':0.0,'5_2':0.0,'6_3':0.0,'6_2':0.0},(6,179):{'3_1':0.39,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(6,178):{'3_1':0.42,'5_2':0.06,'5_1':0.0,'6_2':0.0},(6,177):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'7_1':0.0,'7_6':0.0},(6,176):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_4':0.0},(6,175):{'3_1':0.42,'5_2':0.06,'4_1':0.0,'6_2':0.0,'7_2':0.0},(6,174):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_2':0.0,'7_4':0.0},(6,173):{'3_1':0.45,'5_1':0.06,'5_2':0.06,'4_1':0.0},(6,172):{'3_1':0.42,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(6,171):{'3_1':0.45,'5_1':0.06,'5_2':0.0,'8_13':0.0},(6,170):{'3_1':0.36,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0},(6,169):{'3_1':0.39,'5_2':0.0,'5_1':0.0,'6_3':0.0},(6,168):{'3_1':0.33,'5_1':0.03,'4_1':0.0,'5_2':0.0},(6,167):{'3_1':0.36,'4_1':0.0,'5_2':0.0},(6,166):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(6,165):{'3_1':0.24,'5_1':0.0},(6,164):{'3_1':0.15,'5_1':0.0},(6,163):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(6,162):{'3_1':0.18,'5_1':0.0},(6,161):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(6,160):{'3_1':0.06,'5_2':0.0},(6,159):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(6,158):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(6,157):{'3_1':0.03,'5_2':0.0},(6,156):{'3_1':0.0,'4_1':0.0},(6,155):{'3_1':0.09,'4_1':0.0},(6,154):{'3_1':0.03},(6,153):{'3_1':0.03},(6,152):{'3_1':0.03},(6,151):{'3_1':0.0,'5_2':0.0},(6,150):{'3_1':0.0},(6,149):{'3_1':0.0,'4_1':0.0},(6,148):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,147):{'3_1':0.03,'5_2':0.0},(6,146):{'3_1':0.03},(6,145):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,144):{'3_1':0.0},(6,143):{'3_1':0.03,'4_1':0.0},(6,142):{'3_1':0.06,'5_2':0.0},(6,141):{'3_1':0.0},(6,140):{'3_1':0.0},(6,139):{'3_1':0.03},(6,138):{'3_1':0.03,'5_2':0.0},(6,137):{'3_1':0.03,'4_1':0.0},(6,136):{'3_1':0.06},(6,135):{'3_1':0.03,'4_1':0.0},(6,134):{'3_1':0.03,'4_1':0.0},(6,133):{'3_1':0.0,'4_1':0.0},(6,132):{'3_1':0.03},(6,131):{'3_1':0.06,'5_2':0.0},(6,130):{'3_1':0.03,'4_1':0.0},(6,129):{'3_1':0.03,'4_1':0.0},(6,128):{'3_1':0.06,'5_2':0.0},(6,127):{'3_1':0.03,'5_2':0.0},(6,126):{'3_1':0.06},(6,125):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(6,124):{'3_1':0.03,'4_1':0.0},(6,123):{'3_1':0.0,'5_2':0.0},(6,122):{'3_1':0.0},(6,121):{'3_1':0.0},(6,120):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,119):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,118):{'3_1':0.0,'5_2':0.0},(6,117):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(6,116):{'3_1':0.0,'5_2':0.0},(6,115):{'3_1':0.03},(6,114):{'7_2':0.0},(6,113):{'3_1':0.03},(6,112):{'3_1':0.03},(6,111):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(6,110):{'3_1':0.0,'8_1':0.0},(6,109):{'3_1':0.0},(6,108):{'3_1':0.0},(6,107):{'3_1':0.0,'4_1':0.0},(6,106):{'3_1':0.0},(6,105):{'4_1':0.0},(6,104):{'3_1':0.03,'4_1':0.0},(6,103):{'4_1':0.0},(6,102):{'3_1':0.0,'4_1':0.0},(6,101):{'3_1':0.0},(6,100):{'3_1':0.0},(6,99):{'3_1':0.0,'4_1':0.0},(6,98):{'3_1':0.03},(6,97):{'3_1':0.0},(6,96):{'3_1':0.0,'4_1':0.0},(6,95):{'3_1':0.06,'4_1':0.0},(6,94):{'3_1':0.03,'5_2':0.0},(6,93):{'3_1':0.03,'4_1':0.0},(6,92):{'3_1':0.0,'4_1':0.0},(6,91):{'3_1':0.0,'4_1':0.0},(6,90):{'3_1':0.03,'4_1':0.0},(6,89):{'3_1':0.03,'4_1':0.0},(6,88):{'3_1':0.06},(6,87):{'3_1':0.0},(6,86):{'3_1':0.0},(6,85):{'3_1':0.0},(6,84):{'3_1':0.0},(6,83):{'3_1':0.03},(6,82):{'3_1':0.0},(6,81):{'3_1':0.0},(6,80):{'3_1':0.0,'4_1':0.0},(6,79):{'3_1':0.0,'4_1':0.0},(6,78):{'3_1':0.0},(6,77):{'3_1':0.0},(6,76):{'3_1':0.0},(6,75):{'4_1':0.0},(6,73):{'3_1':0.0},(6,71):{'3_1':0.0},(6,69):{'3_1':0.0},(6,66):{'3_1':0.0},(6,63):{'3_1':0.0},(6,62):{'3_1':0.0},(6,58):{'3_1':0.0},(6,54):{'3_1':0.0},(6,53):{'3_1':0.0},(6,47):{'3_1':0.0},(6,41):{'3_1':0.0},(7,236):{'5_2':0.39,'3_1':0.09,'5_1':0.03,'4_1':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0},(7,235):{'5_2':0.42,'3_1':0.06,'5_1':0.0,'6_2':0.0,'7_2':0.0,'6_1':0.0,'7_3':0.0,'8_2':0.0,'8_19':0.0},(7,234):{'5_2':0.36,'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'-3':0.0},(7,233):{'5_2':0.45,'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(7,232):{'5_2':0.27,'3_1':0.18,'4_1':0.0,'8_20|3_1#3_1':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_5':0.0},(7,231):{'5_2':0.33,'3_1':0.21,'4_1':0.06,'5_1':0.0,'6_2':0.0,'8_11':0.0,'7_3':0.0},(7,230):{'3_1':0.27,'5_2':0.27,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(7,229):{'3_1':0.3,'5_2':0.21,'6_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(7,228):{'3_1':0.3,'5_2':0.12,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(7,227):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'6_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,226):{'3_1':0.36,'5_2':0.09,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_3':0.0},(7,225):{'3_1':0.51,'4_1':0.03,'5_2':0.0,'7_3':0.0,'5_1':0.0,'6_3':0.0},(7,224):{'3_1':0.39,'5_2':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_3':0.0,'-3':0.0},(7,223):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0},(7,222):{'3_1':0.45,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(7,221):{'3_1':0.39,'5_2':0.06,'7_3':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,220):{'3_1':0.45,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0},(7,219):{'3_1':0.48,'5_2':0.06,'5_1':0.0,'6_2':0.0,'6_3':0.0},(7,218):{'3_1':0.51,'5_2':0.03,'5_1':0.0},(7,217):{'3_1':0.48,'5_2':0.0},(7,216):{'3_1':0.45,'5_2':0.03,'5_1':0.03,'7_3':0.0,'8_20|3_1#3_1':0.0},(7,215):{'3_1':0.42,'5_2':0.03,'4_1':0.0,'5_1':0.0},(7,214):{'3_1':0.51,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0},(7,213):{'3_1':0.39,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(7,212):{'3_1':0.36,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_4':0.0},(7,211):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(7,210):{'3_1':0.39,'5_2':0.06,'5_1':0.0,'7_6':0.0},(7,209):{'3_1':0.36,'5_1':0.06,'5_2':0.0},(7,208):{'3_1':0.48,'5_1':0.06,'5_2':0.03,'4_1':0.0},(7,207):{'3_1':0.48,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,206):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'8_19':0.0},(7,205):{'3_1':0.42,'5_2':0.06,'6_2':0.0,'5_1':0.0,'7_6':0.0,'-3':0.0},(7,204):{'3_1':0.36,'5_2':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(7,203):{'3_1':0.3,'5_2':0.12,'6_2':0.0,'7_2':0.0},(7,202):{'3_1':0.36,'5_2':0.15,'5_1':0.0,'4_1':0.0,'7_3':0.0,'7_6':0.0,'-3':0.0},(7,201):{'3_1':0.42,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_2':0.0},(7,200):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(7,199):{'3_1':0.39,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(7,198):{'3_1':0.45,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,197):{'3_1':0.45,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0,'6_3':0.0},(7,196):{'3_1':0.48,'5_2':0.03,'5_1':0.0},(7,195):{'3_1':0.51,'5_2':0.06,'5_1':0.0,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0},(7,194):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'6_2':0.0},(7,193):{'3_1':0.36,'5_2':0.03,'4_1':0.0,'7_4':0.0},(7,192):{'3_1':0.42,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(7,191):{'3_1':0.39,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_6':0.0},(7,190):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.0},(7,189):{'3_1':0.33,'6_2':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(7,188):{'3_1':0.36,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_3':0.0},(7,187):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(7,186):{'3_1':0.27,'6_2':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(7,185):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(7,184):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'6_3':0.0,'8_19':0.0},(7,183):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(7,182):{'3_1':0.27,'5_1':0.03,'6_2':0.0,'7_2':0.0},(7,181):{'3_1':0.36,'5_1':0.06,'6_2':0.0,'8_20|3_1#3_1':0.0,'5_2':0.0},(7,180):{'3_1':0.3,'5_1':0.03,'6_2':0.0,'5_2':0.0},(7,179):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(7,178):{'3_1':0.39,'5_2':0.03,'5_1':0.0},(7,177):{'3_1':0.3,'5_1':0.03,'6_2':0.03,'5_2':0.0},(7,176):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'6_2':0.0,'4_1':0.0},(7,175):{'3_1':0.48,'5_2':0.0,'7_6':0.0},(7,174):{'3_1':0.45,'5_1':0.0,'5_2':0.0,'6_2':0.0,'4_1':0.0},(7,173):{'3_1':0.39,'5_1':0.03,'8_11':0.0},(7,172):{'3_1':0.48,'5_2':0.0,'4_1':0.0,'6_2':0.0},(7,171):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'6_3':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0},(7,170):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(7,169):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'6_2':0.0},(7,168):{'3_1':0.24,'5_1':0.03,'6_2':0.0,'5_2':0.0},(7,167):{'3_1':0.27,'5_1':0.03},(7,166):{'3_1':0.27,'5_1':0.0},(7,165):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(7,164):{'3_1':0.15,'5_1':0.0},(7,163):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(7,162):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(7,161):{'3_1':0.12,'5_2':0.0},(7,160):{'3_1':0.12,'5_1':0.0},(7,159):{'3_1':0.06,'5_1':0.0},(7,158):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(7,157):{'3_1':0.12},(7,156):{'3_1':0.06,'4_1':0.0},(7,155):{'3_1':0.06},(7,154):{'3_1':0.06,'5_1':0.0},(7,153):{'3_1':0.03,'5_1':0.0},(7,152):{'3_1':0.06,'5_2':0.0},(7,151):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(7,150):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,149):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(7,148):{'3_1':0.03,'5_2':0.0},(7,147):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(7,146):{'3_1':0.03,'5_2':0.0},(7,145):{'3_1':0.03,'5_2':0.0},(7,144):{'3_1':0.03},(7,143):{'3_1':0.03,'4_1':0.0},(7,142):{'3_1':0.0,'4_1':0.0},(7,141):{'3_1':0.03,'5_2':0.0},(7,140):{'3_1':0.0,'4_1':0.0},(7,139):{'3_1':0.03,'4_1':0.0},(7,137):{'3_1':0.0,'4_1':0.0},(7,136):{'3_1':0.0},(7,135):{'3_1':0.0},(7,134):{'3_1':0.03,'4_1':0.0},(7,133):{'3_1':0.03},(7,132):{'3_1':0.0,'4_1':0.0},(7,131):{'3_1':0.0},(7,130):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(7,129):{'3_1':0.03,'4_1':0.0},(7,128):{'3_1':0.0},(7,127):{'3_1':0.0,'4_1':0.0},(7,126):{'3_1':0.0,'4_1':0.0,'6_3':0.0,'8_20|3_1#3_1':0.0},(7,125):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(7,124):{'3_1':0.06},(7,123):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(7,122):{'3_1':0.03,'4_1':0.0},(7,121):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(7,120):{'3_1':0.03},(7,119):{'3_1':0.03,'4_1':0.0},(7,118):{'3_1':0.03,'4_1':0.0},(7,117):{'3_1':0.0,'4_1':0.0},(7,116):{'3_1':0.0,'4_1':0.0},(7,115):{'3_1':0.0},(7,114):{'3_1':0.0},(7,112):{'3_1':0.03},(7,111):{'3_1':0.0},(7,110):{'3_1':0.03,'4_1':0.0},(7,109):{'3_1':0.03},(7,108):{'3_1':0.03,'4_1':0.0},(7,107):{'3_1':0.03,'4_1':0.0},(7,106):{'3_1':0.0,'4_1':0.0},(7,105):{'3_1':0.0},(7,104):{'3_1':0.0,'4_1':0.0},(7,103):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(7,102):{'3_1':0.0,'4_1':0.0},(7,101):{'3_1':0.0},(7,100):{'3_1':0.03},(7,99):{'3_1':0.03,'4_1':0.0},(7,98):{'3_1':0.0},(7,97):{'3_1':0.0,'4_1':0.0},(7,96):{'3_1':0.0,'6_1':0.0},(7,95):{'3_1':0.03,'4_1':0.0},(7,94):{'3_1':0.0,'4_1':0.0},(7,93):{'3_1':0.03,'4_1':0.0},(7,92):{'3_1':0.03,'4_1':0.0},(7,91):{'3_1':0.0,'4_1':0.0},(7,90):{'4_1':0.0},(7,89):{'3_1':0.0,'4_1':0.0},(7,88):{'3_1':0.0},(7,87):{'3_1':0.0},(7,86):{'3_1':0.03},(7,85):{'3_1':0.0},(7,84):{'3_1':0.0},(7,83):{'3_1':0.0},(7,82):{'3_1':0.03},(7,81):{'3_1':0.0},(7,80):{'3_1':0.0},(7,79):{'3_1':0.0},(7,78):{'3_1':0.0},(7,77):{'4_1':0.0},(7,74):{'3_1':0.0,'4_1':0.0},(7,69):{'3_1':0.0,'4_1':0.0},(7,65):{'3_1':0.0,'4_1':0.0},(7,64):{'3_1':0.0},(7,63):{'4_1':0.0},(7,61):{'3_1':0.0,'4_1':0.0},(7,60):{'3_1':0.0},(7,56):{'3_1':0.0},(7,49):{'3_1':0.0},(7,44):{'3_1':0.0},(7,41):{'3_1':0.0},(7,39):{'3_1':0.0},(7,29):{'3_1':0.0},(7,26):{'7_2':0.0},(7,17):{'3_1':0.0},(8,236):{'5_2':0.33,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_2':0.0,'7_4':0.0,'7_3':0.0,'5_1':0.0},(8,235):{'5_2':0.27,'7_3':0.03,'3_1':0.03,'6_1':0.0,'4_1':0.0,'7_4':0.0,'7_6':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0,'-3':0.0},(8,234):{'5_2':0.21,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_3':0.03,'7_2':0.0,'8_1':0.0,'6_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,233):{'5_2':0.3,'3_1':0.09,'7_3':0.03,'6_1':0.0,'4_1':0.0,'7_2':0.0,'5_1':0.0,'7_4':0.0,'-3':0.0},(8,232):{'5_2':0.24,'3_1':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'7_5':0.0,'-3':0.0},(8,231):{'3_1':0.21,'5_2':0.18,'4_1':0.09,'5_1':0.06,'6_1':0.0,'7_3':0.0,'7_2':0.0,'6_3':0.0,'7_1':0.0,'8_21|3_1#4_1':0.0},(8,230):{'3_1':0.27,'5_2':0.15,'4_1':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(8,229):{'3_1':0.27,'5_2':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'8_7':0.0},(8,228):{'3_1':0.3,'5_2':0.06,'5_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_5':0.0,'-3':0.0},(8,227):{'3_1':0.3,'5_2':0.09,'4_1':0.03,'7_2':0.0,'5_1':0.0,'7_3':0.0},(8,226):{'3_1':0.39,'5_2':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(8,225):{'3_1':0.42,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_2':0.0,'7_6':0.0,'-3':0.0},(8,224):{'3_1':0.39,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'-3':0.0,'7_3':0.0},(8,223):{'3_1':0.27,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0,'6_3':0.0,'7_6':0.0,'8_20|3_1#3_1':0.0},(8,222):{'3_1':0.48,'4_1':0.03,'5_2':0.03,'5_1':0.03,'6_3':0.0,'7_3':0.0},(8,221):{'3_1':0.33,'5_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_3':0.0},(8,220):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_19':0.0},(8,219):{'3_1':0.39,'5_2':0.03,'5_1':0.0,'7_1':0.0},(8,218):{'3_1':0.36,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0},(8,217):{'3_1':0.33,'5_2':0.0,'5_1':0.0,'6_3':0.0},(8,216):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(8,215):{'3_1':0.36,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_6':0.0},(8,214):{'3_1':0.42,'5_1':0.06,'5_2':0.03,'4_1':0.0},(8,213):{'3_1':0.3,'5_2':0.06,'5_1':0.03},(8,212):{'3_1':0.3,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(8,211):{'3_1':0.36,'5_2':0.06,'5_1':0.0,'4_1':0.0,'8_19':0.0},(8,210):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0},(8,209):{'3_1':0.3,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(8,208):{'3_1':0.36,'5_2':0.06,'5_1':0.0},(8,207):{'3_1':0.3,'5_1':0.06,'5_2':0.06},(8,206):{'3_1':0.39,'5_2':0.06,'5_1':0.03,'4_1':0.0},(8,205):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'4_1':0.0,'6_2':0.0,'8_19':0.0},(8,204):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(8,203):{'3_1':0.33,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(8,202):{'3_1':0.3,'5_2':0.09,'5_1':0.03,'7_3':0.0,'7_2':0.0},(8,201):{'3_1':0.36,'5_2':0.03,'5_1':0.03,'7_3':0.0,'4_1':0.0},(8,200):{'3_1':0.3,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(8,199):{'3_1':0.27,'5_2':0.12,'5_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0},(8,198):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(8,197):{'3_1':0.39,'5_2':0.03,'5_1':0.03,'6_1':0.0},(8,196):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'4_1':0.0,'7_5':0.0},(8,195):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'4_1':0.0},(8,194):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(8,193):{'3_1':0.27,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_5':0.0},(8,192):{'3_1':0.33,'5_1':0.03,'6_2':0.03,'5_2':0.0,'4_1':0.0},(8,191):{'3_1':0.33,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(8,190):{'3_1':0.3,'5_1':0.06,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(8,189):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0},(8,188):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(8,187):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(8,186):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(8,185):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(8,184):{'3_1':0.24,'5_1':0.03,'7_5':0.0},(8,183):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(8,182):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'8_19':0.0},(8,181):{'3_1':0.27,'5_1':0.03,'8_19':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(8,180):{'3_1':0.3,'5_1':0.09,'4_1':0.0,'5_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(8,179):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(8,178):{'3_1':0.3,'5_1':0.06,'5_2':0.06,'4_1':0.0,'7_3':0.0},(8,177):{'3_1':0.36,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(8,176):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_6':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(8,175):{'3_1':0.36,'5_2':0.03,'5_1':0.0,'6_2':0.0},(8,174):{'3_1':0.39,'5_1':0.09,'5_2':0.0,'6_2':0.0},(8,173):{'3_1':0.39,'5_2':0.0,'5_1':0.0,'8_19':0.0,'8_11':0.0},(8,172):{'3_1':0.39,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_2':0.0},(8,171):{'3_1':0.36,'5_1':0.09,'5_2':0.0,'6_2':0.0},(8,170):{'3_1':0.3,'5_1':0.03,'4_1':0.0,'7_3':0.0},(8,169):{'3_1':0.33,'5_1':0.03},(8,168):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(8,167):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_2':0.0},(8,166):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'7_3':0.0},(8,165):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(8,164):{'3_1':0.18,'5_1':0.03},(8,163):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(8,162):{'3_1':0.15},(8,161):{'3_1':0.15,'5_1':0.0},(8,160):{'3_1':0.06,'5_1':0.0},(8,159):{'3_1':0.09,'4_1':0.0},(8,158):{'3_1':0.09,'5_1':0.0},(8,157):{'3_1':0.09,'5_1':0.0},(8,156):{'3_1':0.06,'4_1':0.0},(8,155):{'3_1':0.09},(8,154):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(8,153):{'3_1':0.06,'5_2':0.0},(8,152):{'3_1':0.12,'8_3':0.0},(8,151):{'3_1':0.06,'7_3':0.0},(8,150):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(8,149):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(8,148):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,147):{'3_1':0.06,'5_2':0.0},(8,146):{'3_1':0.03,'6_1':0.0},(8,145):{'3_1':0.06,'5_2':0.0},(8,144):{'3_1':0.03,'4_1':0.0},(8,143):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,142):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(8,141):{'3_1':0.06},(8,140):{'3_1':0.03,'4_1':0.0},(8,139):{'3_1':0.06,'5_2':0.0},(8,138):{'3_1':0.06},(8,137):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(8,136):{'3_1':0.0,'4_1':0.0},(8,135):{'3_1':0.03,'4_1':0.0},(8,134):{'3_1':0.0},(8,133):{'3_1':0.06,'5_2':0.0},(8,132):{'3_1':0.03},(8,131):{'3_1':0.03,'5_2':0.0},(8,130):{'3_1':0.03,'5_1':0.0},(8,129):{'3_1':0.03},(8,128):{'3_1':0.09,'5_2':0.0,'7_2':0.0},(8,127):{'3_1':0.09,'5_2':0.0},(8,126):{'3_1':0.12,'5_2':0.0,'7_6':0.0},(8,125):{'3_1':0.09,'6_3':0.0},(8,124):{'3_1':0.06,'5_2':0.0},(8,123):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(8,122):{'3_1':0.03},(8,121):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(8,120):{'3_1':0.03,'6_1':0.0},(8,119):{'3_1':0.03},(8,118):{'3_1':0.09,'5_2':0.0},(8,117):{'3_1':0.06},(8,116):{'3_1':0.03},(8,115):{'3_1':0.06,'4_1':0.0},(8,114):{'3_1':0.03,'4_1':0.0},(8,113):{'3_1':0.03,'4_1':0.0},(8,112):{'3_1':0.06},(8,111):{'3_1':0.0,'4_1':0.0},(8,110):{'3_1':0.03},(8,109):{'3_1':0.06},(8,108):{'3_1':0.0,'4_1':0.0},(8,107):{'3_1':0.03},(8,106):{'3_1':0.0},(8,105):{'3_1':0.03},(8,104):{'3_1':0.0,'4_1':0.0},(8,103):{'3_1':0.0},(8,102):{'3_1':0.06},(8,101):{'3_1':0.06,'4_1':0.0},(8,100):{'3_1':0.03,'4_1':0.0},(8,99):{'3_1':0.06},(8,98):{'3_1':0.03},(8,97):{'3_1':0.06},(8,96):{'3_1':0.03,'4_1':0.0},(8,95):{'3_1':0.03},(8,94):{'3_1':0.03},(8,93):{'3_1':0.03,'4_1':0.03},(8,92):{'3_1':0.03,'4_1':0.0},(8,91):{'3_1':0.03},(8,90):{'3_1':0.03},(8,89):{'3_1':0.0,'4_1':0.0},(8,88):{'3_1':0.06},(8,87):{'3_1':0.0},(8,86):{'3_1':0.0},(8,84):{'3_1':0.0},(8,83):{'3_1':0.0},(8,82):{'3_1':0.0},(8,81):{'3_1':0.0},(8,80):{'3_1':0.0,'4_1':0.0},(8,79):{'3_1':0.03},(8,78):{'3_1':0.0,'4_1':0.0},(8,77):{'4_1':0.0},(8,76):{'3_1':0.0},(8,75):{'3_1':0.0},(8,71):{'3_1':0.0},(8,67):{'3_1':0.0,'4_1':0.0},(8,66):{'3_1':0.0,'4_1':0.0},(8,60):{'3_1':0.0},(8,58):{'3_1':0.0},(8,53):{'3_1':0.0},(8,52):{'3_1':0.0},(8,44):{'3_1':0.0},(8,41):{'3_1':0.0},(8,40):{'3_1':0.0},(8,39):{'3_1':0.0},(8,38):{'3_1':0.0},(8,37):{'3_1':0.0},(8,35):{'3_1':0.0},(8,27):{'5_2':0.0},(8,26):{'3_1':0.0},(8,19):{'3_1':0.0},(9,236):{'5_2':0.33,'3_1':0.03,'6_1':0.03,'4_1':0.03,'7_3':0.0,'8_1':0.0},(9,235):{'5_2':0.27,'3_1':0.09,'4_1':0.03,'6_1':0.03,'7_3':0.0,'6_2':0.0,'7_4':0.0,'8_1':0.0,'8_20|3_1#3_1':0.0},(9,234):{'5_2':0.33,'3_1':0.06,'4_1':0.03,'7_2':0.03,'6_1':0.0,'6_2':0.0},(9,233):{'5_2':0.27,'3_1':0.12,'4_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(9,232):{'5_2':0.18,'3_1':0.18,'4_1':0.06,'5_1':0.03,'6_1':0.0,'6_2':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,231):{'3_1':0.18,'5_2':0.09,'4_1':0.09,'5_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0},(9,230):{'3_1':0.21,'5_2':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0,'6_1':0.0,'7_3':0.0},(9,229):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(9,228):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(9,227):{'3_1':0.27,'5_2':0.09,'4_1':0.03,'6_2':0.0,'7_3':0.0},(9,226):{'3_1':0.3,'5_2':0.06,'4_1':0.03,'5_1':0.0},(9,225):{'3_1':0.33,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0,'-3':0.0},(9,224):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_1':0.0,'8_7':0.0},(9,223):{'3_1':0.33,'5_2':0.03,'4_1':0.03,'5_1':0.03,'6_3':0.0},(9,222):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_2':0.0,'-3':0.0},(9,221):{'3_1':0.36,'4_1':0.03,'5_2':0.03,'5_1':0.03,'7_1':0.0},(9,220):{'3_1':0.33,'5_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0},(9,219):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0},(9,218):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0},(9,217):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(9,216):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'7_3':0.0},(9,215):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_3':0.0},(9,214):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0},(9,213):{'3_1':0.24,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(9,212):{'3_1':0.36,'5_2':0.03,'5_1':0.0},(9,211):{'3_1':0.33,'5_2':0.03,'5_1':0.0},(9,210):{'3_1':0.3,'5_2':0.03,'5_1':0.03,'4_1':0.0},(9,209):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'7_3':0.0},(9,208):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(9,207):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'4_1':0.0,'7_3':0.0},(9,206):{'3_1':0.39,'5_1':0.03,'5_2':0.03,'7_2':0.0,'7_3':0.0},(9,205):{'3_1':0.27,'5_2':0.06,'5_1':0.0,'7_2':0.0,'4_1':0.0},(9,204):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(9,203):{'3_1':0.3,'5_2':0.09,'5_1':0.0,'7_3':0.0,'6_2':0.0},(9,202):{'3_1':0.24,'5_2':0.09,'5_1':0.0,'7_2':0.0},(9,201):{'3_1':0.33,'5_2':0.03,'5_1':0.03,'4_1':0.0,'6_1':0.0},(9,200):{'3_1':0.3,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(9,199):{'3_1':0.45,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(9,198):{'3_1':0.36,'5_1':0.03,'5_2':0.03,'4_1':0.0},(9,197):{'3_1':0.39,'5_2':0.03,'4_1':0.0,'5_1':0.0},(9,196):{'3_1':0.33,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(9,195):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'6_2':0.0},(9,194):{'3_1':0.36,'5_2':0.03,'7_3':0.0,'4_1':0.0,'5_1':0.0},(9,193):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0},(9,192):{'3_1':0.45,'5_1':0.03,'5_2':0.0},(9,191):{'3_1':0.27,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0},(9,190):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(9,189):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_15':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(9,188):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(9,187):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,186):{'3_1':0.18,'5_1':0.03},(9,185):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(9,184):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(9,183):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0},(9,182):{'3_1':0.39,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(9,181):{'3_1':0.15,'5_1':0.06,'5_2':0.0,'6_2':0.0},(9,180):{'3_1':0.27,'5_1':0.03,'4_1':0.0,'8_8':0.0},(9,179):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0},(9,178):{'3_1':0.36,'5_1':0.0,'4_1':0.0,'5_2':0.0},(9,177):{'3_1':0.33,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0,'8_19':0.0},(9,176):{'3_1':0.27,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(9,175):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'7_2':0.0},(9,174):{'3_1':0.24,'5_1':0.06,'5_2':0.03,'4_1':0.0},(9,173):{'3_1':0.36,'5_1':0.06,'5_2':0.0,'7_2':0.0,'8_19':0.0},(9,172):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'4_1':0.0},(9,171):{'3_1':0.33,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(9,170):{'3_1':0.3,'5_1':0.0,'5_2':0.0},(9,169):{'3_1':0.3,'5_1':0.03,'5_2':0.0},(9,168):{'3_1':0.21,'5_1':0.03},(9,167):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(9,166):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(9,165):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(9,164):{'3_1':0.09,'5_1':0.0},(9,163):{'3_1':0.09,'5_1':0.0,'7_2':0.0},(9,162):{'3_1':0.06,'5_1':0.0},(9,161):{'3_1':0.09},(9,160):{'3_1':0.09},(9,159):{'3_1':0.06},(9,158):{'3_1':0.03,'4_1':0.0},(9,157):{'3_1':0.09},(9,156):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(9,155):{'3_1':0.12,'4_1':0.0},(9,154):{'3_1':0.12,'4_1':0.0},(9,153):{'3_1':0.09},(9,152):{'3_1':0.09},(9,151):{'3_1':0.09},(9,150):{'3_1':0.06,'5_2':0.0},(9,149):{'3_1':0.06,'4_1':0.0},(9,148):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(9,147):{'3_1':0.06,'5_2':0.0},(9,146):{'3_1':0.06},(9,145):{'3_1':0.03},(9,144):{'3_1':0.0,'5_2':0.0},(9,143):{'3_1':0.03},(9,142):{'3_1':0.0},(9,141):{'3_1':0.03,'4_1':0.0},(9,140):{'3_1':0.0},(9,139):{'3_1':0.06},(9,138):{'3_1':0.03},(9,137):{'3_1':0.03,'4_1':0.0},(9,136):{'3_1':0.03,'5_2':0.0},(9,135):{'3_1':0.0},(9,134):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(9,133):{'3_1':0.03,'4_1':0.0,'7_2':0.0},(9,132):{'3_1':0.03},(9,131):{'3_1':0.03},(9,130):{'3_1':0.03},(9,129):{'3_1':0.06,'4_1':0.0},(9,128):{'3_1':0.03},(9,127):{'3_1':0.03,'5_2':0.0},(9,126):{'3_1':0.03,'5_2':0.0},(9,125):{'3_1':0.0,'5_1':0.0},(9,124):{'3_1':0.06,'5_2':0.0},(9,123):{'3_1':0.06},(9,122):{'3_1':0.0},(9,121):{'3_1':0.0,'5_2':0.0},(9,120):{'3_1':0.03},(9,119):{'3_1':0.03},(9,118):{'3_1':0.0},(9,117):{'3_1':0.03},(9,116):{'3_1':0.0},(9,115):{'3_1':0.03},(9,114):{'3_1':0.03},(9,113):{'3_1':0.0,'4_1':0.0},(9,112):{'3_1':0.0},(9,111):{'3_1':0.0,'4_1':0.0},(9,110):{'3_1':0.03,'5_2':0.0},(9,109):{'3_1':0.0,'4_1':0.0},(9,108):{'3_1':0.0,'4_1':0.0},(9,107):{'3_1':0.03,'6_1':0.0},(9,106):{'3_1':0.03},(9,105):{'3_1':0.03},(9,104):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(9,103):{'3_1':0.03},(9,102):{'3_1':0.03},(9,101):{'3_1':0.03,'4_1':0.0},(9,100):{'3_1':0.03},(9,99):{'3_1':0.0},(9,98):{'3_1':0.0},(9,97):{'3_1':0.0},(9,96):{'3_1':0.0},(9,95):{'3_1':0.06},(9,94):{'3_1':0.03},(9,93):{'3_1':0.06},(9,92):{'3_1':0.0},(9,91):{'3_1':0.09},(9,90):{'3_1':0.0},(9,89):{'3_1':0.0},(9,88):{'3_1':0.03},(9,87):{'3_1':0.0},(9,86):{'3_1':0.0},(9,85):{'3_1':0.0},(9,84):{'3_1':0.03},(9,83):{'3_1':0.0},(9,82):{'3_1':0.0},(9,81):{'3_1':0.0},(9,80):{'3_1':0.0},(9,79):{'3_1':0.0},(9,78):{'4_1':0.0},(9,77):{'3_1':0.0},(9,75):{'4_1':0.0},(9,74):{'4_1':0.0},(9,73):{'3_1':0.0},(9,72):{'3_1':0.0,'4_1':0.0},(9,69):{'3_1':0.0},(9,68):{'3_1':0.0},(9,66):{'4_1':0.0},(9,65):{'3_1':0.0},(9,63):{'3_1':0.0},(9,60):{'3_1':0.0},(9,59):{'3_1':0.0},(9,58):{'3_1':0.0},(9,56):{'3_1':0.0},(9,55):{'3_1':0.0},(9,43):{'3_1':0.0},(9,36):{'3_1':0.0},(9,35):{'3_1':0.0},(9,34):{'3_1':0.0},(9,32):{'3_1':0.0},(9,25):{'3_1':0.0},(10,236):{'5_2':0.27,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_4':0.0,'8_3':0.0,'-3':0.0,'7_3':0.0},(10,235):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'8_1':0.0,'8_3':0.0,'8_20|3_1#3_1':0.0},(10,234):{'5_2':0.21,'3_1':0.09,'4_1':0.06,'6_1':0.06,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(10,233):{'5_2':0.21,'3_1':0.15,'4_1':0.0,'7_2':0.0,'6_2':0.0,'7_3':0.0,'8_1':0.0},(10,232):{'5_2':0.21,'3_1':0.15,'4_1':0.06,'6_2':0.0,'7_2':0.0,'7_4':0.0,'3_1#5_1':0.0},(10,231):{'3_1':0.21,'4_1':0.15,'5_2':0.12,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(10,230):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(10,229):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0},(10,228):{'3_1':0.21,'5_2':0.09,'4_1':0.03,'6_1':0.0,'7_3':0.0,'7_2':0.0},(10,227):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'6_2':0.0,'5_1':0.0,'7_4':0.0},(10,226):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(10,225):{'3_1':0.3,'5_2':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0,'-3':0.0},(10,224):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0},(10,223):{'3_1':0.27,'5_1':0.03,'6_1':0.0,'4_1':0.0,'5_2':0.0,'7_1':0.0,'7_3':0.0},(10,222):{'3_1':0.24,'5_2':0.06,'4_1':0.03,'5_1':0.0,'7_3':0.0,'6_3':0.0,'7_5':0.0,'-3':0.0},(10,221):{'3_1':0.27,'4_1':0.03,'5_2':0.0,'7_2':0.0},(10,220):{'3_1':0.3,'5_2':0.03,'6_3':0.0,'7_2':0.0},(10,219):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(10,218):{'3_1':0.3,'5_1':0.03,'5_2':0.03,'4_1':0.0},(10,217):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(10,216):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(10,215):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'7_4':0.0},(10,214):{'3_1':0.33,'5_2':0.03,'5_1':0.0},(10,213):{'3_1':0.3,'5_2':0.0,'6_3':0.0,'7_2':0.0},(10,212):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_3':0.0,'7_6':0.0},(10,211):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(10,210):{'3_1':0.36,'5_2':0.03,'4_1':0.0,'5_1':0.0},(10,209):{'3_1':0.36,'5_2':0.0,'7_2':0.0},(10,208):{'3_1':0.24,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0},(10,207):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_5':0.0},(10,206):{'3_1':0.3,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_2':0.0},(10,205):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_3':0.0},(10,204):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(10,203):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'4_1':0.0,'7_2':0.0},(10,202):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0},(10,201):{'3_1':0.3,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_6':0.0},(10,200):{'3_1':0.27,'5_2':0.03,'4_1':0.03,'5_1':0.03},(10,199):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'6_1':0.0},(10,198):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'7_4':0.0,'5_1':0.0,'6_1':0.0},(10,197):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(10,196):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(10,195):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(10,194):{'3_1':0.3,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(10,193):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(10,192):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(10,191):{'3_1':0.27,'5_2':0.0},(10,190):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0},(10,189):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(10,188):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(10,187):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(10,186):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(10,185):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(10,184):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(10,183):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(10,182):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(10,181):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0},(10,180):{'3_1':0.12,'5_1':0.06,'5_2':0.0,'7_2':0.0,'8_19':0.0},(10,179):{'3_1':0.3,'5_1':0.03,'5_2':0.0},(10,178):{'3_1':0.33,'5_2':0.0},(10,177):{'3_1':0.27,'4_1':0.0,'5_1':0.0},(10,176):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'7_2':0.0},(10,175):{'3_1':0.36,'5_1':0.06,'5_2':0.03},(10,174):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(10,173):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0},(10,172):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(10,171):{'3_1':0.3,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(10,170):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(10,169):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_19':0.0},(10,168):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(10,167):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(10,166):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(10,165):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(10,164):{'3_1':0.09},(10,163):{'3_1':0.12,'5_2':0.0},(10,162):{'3_1':0.09,'6_3':0.0},(10,161):{'3_1':0.06,'5_2':0.0},(10,160):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(10,159):{'3_1':0.03,'4_1':0.0},(10,158):{'3_1':0.06},(10,157):{'3_1':0.09,'5_1':0.0},(10,156):{'3_1':0.06,'5_1':0.0},(10,155):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(10,154):{'3_1':0.03},(10,153):{'3_1':0.06,'5_2':0.0},(10,152):{'3_1':0.03},(10,151):{'3_1':0.06,'5_2':0.0},(10,150):{'3_1':0.03,'8_1':0.0},(10,149):{'3_1':0.06,'5_1':0.0},(10,148):{'3_1':0.03,'4_1':0.0},(10,147):{'3_1':0.0},(10,146):{'3_1':0.0},(10,145):{'3_1':0.06,'5_2':0.0},(10,144):{'3_1':0.0,'4_1':0.0},(10,143):{'3_1':0.0,'5_2':0.0},(10,142):{'3_1':0.03,'4_1':0.0},(10,141):{'3_1':0.0,'6_1':0.0},(10,140):{'3_1':0.0},(10,139):{'3_1':0.0,'5_1':0.0},(10,138):{'3_1':0.06},(10,137):{'3_1':0.03},(10,136):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(10,135):{'3_1':0.0},(10,134):{'3_1':0.03},(10,133):{'3_1':0.03},(10,132):{'3_1':0.0},(10,131):{'3_1':0.0,'5_2':0.0,'6_1':0.0},(10,130):{'3_1':0.0},(10,129):{'3_1':0.03,'5_2':0.0},(10,128):{'3_1':0.03,'5_2':0.0},(10,127):{'3_1':0.03,'5_2':0.0,'7_4':0.0},(10,126):{'3_1':0.0},(10,125):{'3_1':0.0,'5_2':0.0},(10,124):{'3_1':0.03,'4_1':0.0},(10,123):{'3_1':0.0},(10,122):{'3_1':0.03},(10,121):{'3_1':0.03},(10,120):{'3_1':0.0,'5_2':0.0},(10,119):{'3_1':0.0,'5_2':0.0},(10,118):{'3_1':0.0,'6_1':0.0},(10,117):{'3_1':0.03,'5_2':0.0},(10,116):{'3_1':0.03,'4_1':0.0},(10,115):{'3_1':0.0},(10,114):{'4_1':0.0},(10,113):{'3_1':0.0,'4_1':0.0},(10,112):{'3_1':0.03,'4_1':0.0},(10,111):{'3_1':0.0,'4_1':0.0},(10,110):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(10,109):{'3_1':0.0,'6_1':0.0},(10,108):{'3_1':0.03,'4_1':0.0},(10,107):{'3_1':0.03,'4_1':0.0},(10,106):{'3_1':0.03},(10,105):{'3_1':0.06},(10,102):{'3_1':0.0},(10,101):{'3_1':0.0},(10,100):{'3_1':0.0,'6_1':0.0},(10,99):{'3_1':0.03},(10,98):{'3_1':0.0},(10,97):{'3_1':0.0,'4_1':0.0},(10,96):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(10,95):{'3_1':0.03},(10,94):{'3_1':0.03},(10,93):{'3_1':0.0},(10,92):{'3_1':0.0},(10,91):{'3_1':0.03},(10,90):{'3_1':0.0},(10,89):{'3_1':0.0},(10,88):{'3_1':0.0},(10,87):{'3_1':0.0},(10,86):{'3_1':0.03},(10,85):{'3_1':0.0},(10,84):{'3_1':0.0},(10,83):{'3_1':0.0},(10,82):{'3_1':0.0},(10,81):{'3_1':0.0},(10,79):{'3_1':0.0},(10,77):{'3_1':0.0},(10,76):{'3_1':0.0},(10,75):{'3_1':0.0},(10,74):{'4_1':0.0},(10,72):{'4_1':0.0},(10,71):{'3_1':0.0,'4_1':0.0},(10,69):{'4_1':0.0},(10,67):{'3_1':0.0},(10,66):{'3_1':0.0},(10,64):{'3_1':0.0},(10,61):{'3_1':0.0},(10,59):{'3_1':0.0},(10,58):{'3_1':0.0},(10,56):{'3_1':0.0},(10,52):{'3_1':0.0},(10,44):{'3_1':0.0},(10,37):{'3_1':0.0},(10,32):{'3_1':0.0},(10,25):{'3_1':0.0},(11,236):{'5_2':0.21,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_4':0.0,'8_12':0.0,'-3':0.0},(11,235):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'8_1':0.0,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(11,234):{'5_2':0.18,'3_1':0.12,'4_1':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0,'7_2':0.0},(11,233):{'5_2':0.21,'3_1':0.12,'4_1':0.06,'7_3':0.0,'6_1':0.0,'7_4':0.0},(11,232):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'6_1':0.03,'6_2':0.0,'7_2':0.0,'7_4':0.0,'5_1':0.0},(11,231):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'6_2':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0},(11,230):{'3_1':0.15,'4_1':0.09,'5_2':0.09,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(11,229):{'3_1':0.24,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(11,228):{'3_1':0.24,'5_2':0.09,'4_1':0.0,'5_1':0.0,'7_3':0.0,'6_1':0.0,'6_2':0.0},(11,227):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(11,226):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0,'7_4':0.0},(11,225):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(11,224):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(11,223):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'7_3':0.0},(11,222):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(11,221):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_1':0.0,'7_3':0.0},(11,220):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'8_2':0.0},(11,219):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_19':0.0},(11,218):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(11,217):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(11,216):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(11,215):{'3_1':0.24,'4_1':0.03,'5_2':0.03},(11,214):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0},(11,213):{'3_1':0.27,'4_1':0.0,'5_2':0.0,'5_1':0.0},(11,212):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,211):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(11,210):{'3_1':0.3,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0,'8_19':0.0},(11,209):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(11,208):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(11,207):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'4_1':0.0},(11,206):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(11,205):{'3_1':0.18,'5_1':0.06,'5_2':0.0},(11,204):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(11,203):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(11,202):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(11,201):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0},(11,200):{'3_1':0.27,'5_2':0.0,'5_1':0.0,'4_1':0.0,'6_2':0.0},(11,199):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0},(11,198):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(11,197):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(11,196):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_2':0.0},(11,195):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'6_1':0.0},(11,194):{'3_1':0.27,'5_2':0.03,'5_1':0.03,'7_3':0.0,'7_4':0.0},(11,193):{'3_1':0.3,'5_1':0.03,'4_1':0.0},(11,192):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(11,191):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(11,190):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'8_19':0.0},(11,189):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(11,188):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(11,187):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(11,186):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_19':0.0},(11,185):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(11,184):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,183):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(11,182):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(11,181):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(11,180):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'7_2':0.0},(11,179):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(11,178):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(11,177):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(11,176):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_2':0.0},(11,175):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(11,174):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'4_1':0.0},(11,173):{'3_1':0.24,'5_1':0.03,'7_3':0.0},(11,172):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(11,171):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0},(11,170):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(11,169):{'3_1':0.15,'4_1':0.0},(11,168):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(11,167):{'3_1':0.15,'5_1':0.0},(11,166):{'3_1':0.21},(11,165):{'3_1':0.09,'5_1':0.0},(11,164):{'3_1':0.12,'5_1':0.0},(11,163):{'3_1':0.09,'5_1':0.0},(11,162):{'3_1':0.06,'5_1':0.0},(11,161):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'7_1':0.0},(11,160):{'3_1':0.06},(11,159):{'3_1':0.03,'4_1':0.0},(11,158):{'3_1':0.03},(11,157):{'3_1':0.06,'5_1':0.0},(11,156):{'3_1':0.03},(11,155):{'3_1':0.06,'4_1':0.0},(11,154):{'3_1':0.06,'4_1':0.0},(11,153):{'3_1':0.09,'5_1':0.0},(11,152):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(11,151):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(11,150):{'3_1':0.09,'5_2':0.0},(11,149):{'3_1':0.06,'4_1':0.0},(11,148):{'3_1':0.06},(11,147):{'3_1':0.03},(11,146):{'3_1':0.03,'6_1':0.0},(11,145):{'4_1':0.0,'3_1':0.0},(11,144):{'3_1':0.03},(11,143):{'3_1':0.03,'4_1':0.0},(11,142):{'3_1':0.03,'4_1':0.0},(11,141):{'3_1':0.0},(11,140):{'3_1':0.0},(11,139):{'3_1':0.0},(11,138):{'3_1':0.03,'4_1':0.0},(11,137):{'3_1':0.0},(11,136):{'3_1':0.0},(11,135):{'3_1':0.03,'5_2':0.0},(11,134):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,133):{'3_1':0.06},(11,132):{'3_1':0.0,'5_2':0.0},(11,131):{'3_1':0.09},(11,130):{'3_1':0.03,'4_1':0.0},(11,129):{'3_1':0.0},(11,128):{'3_1':0.03},(11,127):{'3_1':0.03},(11,126):{'3_1':0.0,'5_2':0.0},(11,125):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(11,124):{'3_1':0.03},(11,123):{'3_1':0.03,'5_2':0.0},(11,122):{'3_1':0.03},(11,121):{'3_1':0.0},(11,120):{'3_1':0.03},(11,119):{'3_1':0.0,'4_1':0.0},(11,118):{'3_1':0.0,'4_1':0.0},(11,117):{'3_1':0.03},(11,116):{'3_1':0.03},(11,115):{'3_1':0.0,'4_1':0.0},(11,114):{'3_1':0.0},(11,113):{'3_1':0.03},(11,112):{'3_1':0.03,'4_1':0.0},(11,111):{'3_1':0.0,'4_1':0.0},(11,110):{'3_1':0.03,'4_1':0.0},(11,109):{'3_1':0.03,'4_1':0.0},(11,108):{'3_1':0.06},(11,107):{'3_1':0.0},(11,106):{'3_1':0.0},(11,105):{'3_1':0.09,'4_1':0.0},(11,104):{'3_1':0.03},(11,103):{'3_1':0.03},(11,101):{'3_1':0.06},(11,100):{'3_1':0.0},(11,99):{'3_1':0.0},(11,98):{'3_1':0.03},(11,97):{'3_1':0.0,'4_1':0.0},(11,96):{'3_1':0.03,'4_1':0.0},(11,95):{'3_1':0.0},(11,94):{'3_1':0.0,'4_1':0.0},(11,93):{'3_1':0.06,'4_1':0.0},(11,92):{'3_1':0.03},(11,91):{'3_1':0.03},(11,90):{'3_1':0.03},(11,89):{'3_1':0.0},(11,88):{'3_1':0.0},(11,87):{'3_1':0.0},(11,86):{'3_1':0.0},(11,85):{'3_1':0.0},(11,84):{'3_1':0.0},(11,82):{'3_1':0.0},(11,80):{'3_1':0.0},(11,78):{'3_1':0.0},(11,77):{'3_1':0.0},(11,76):{'3_1':0.0,'4_1':0.0},(11,74):{'3_1':0.0,'4_1':0.0},(11,71):{'4_1':0.0},(11,69):{'3_1':0.0},(11,67):{'3_1':0.0},(11,65):{'3_1':0.0},(11,62):{'3_1':0.0},(11,61):{'3_1':0.0},(11,57):{'3_1':0.0},(11,54):{'3_1':0.0},(11,53):{'3_1':0.0},(11,52):{'3_1':0.0},(11,44):{'3_1':0.0},(11,39):{'3_1':0.0},(11,36):{'3_1':0.0},(11,35):{'3_1':0.0},(11,34):{'3_1':0.0},(11,31):{'3_1':0.0},(11,26):{'5_2':0.0},(11,20):{'3_1':0.0,'4_1':0.0},(12,236):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.03,'8_1':0.0,'7_2':0.0,'7_4':0.0,'8_4':0.0},(12,235):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.03,'5_1':0.0,'8_1':0.0,'8_6':0.0},(12,234):{'5_2':0.18,'6_1':0.09,'4_1':0.06,'3_1':0.03,'8_1':0.0,'8_3':0.0,'8_4':0.0,'8_11':0.0},(12,233):{'5_2':0.18,'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0,'7_4':0.0},(12,232):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'6_1':0.0,'5_1':0.0},(12,231):{'5_2':0.15,'3_1':0.12,'4_1':0.09,'6_1':0.03,'6_2':0.0},(12,230):{'3_1':0.12,'5_2':0.12,'4_1':0.06,'6_1':0.0,'6_2':0.0},(12,229):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'6_1':0.0,'6_3':0.0,'7_4':0.0,'7_5':0.0},(12,228):{'3_1':0.18,'4_1':0.09,'5_2':0.03,'6_2':0.0},(12,227):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'6_2':0.0,'6_1':0.0},(12,226):{'3_1':0.18,'4_1':0.09,'5_2':0.0},(12,225):{'3_1':0.15,'5_2':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(12,224):{'3_1':0.3,'5_2':0.06,'4_1':0.03},(12,223):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(12,222):{'3_1':0.21,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'6_1':0.0,'7_1':0.0,'7_3':0.0},(12,221):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(12,220):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'6_3':0.0,'5_1':0.0},(12,219):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(12,218):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(12,217):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(12,216):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(12,215):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(12,214):{'3_1':0.36,'5_2':0.0},(12,213):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(12,212):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_3':0.0},(12,211):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(12,210):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_1':0.0},(12,209):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(12,208):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(12,207):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(12,206):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(12,205):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(12,204):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_1':0.0,'7_3':0.0},(12,203):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(12,202):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_4':0.0},(12,201):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'6_1':0.0,'5_1':0.0,'7_4':0.0},(12,200):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(12,199):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0},(12,198):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0},(12,197):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'6_1':0.0},(12,196):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(12,195):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(12,194):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(12,193):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(12,192):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(12,191):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(12,190):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_19':0.0},(12,189):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(12,188):{'3_1':0.15},(12,187):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(12,186):{'3_1':0.12,'5_2':0.0},(12,185):{'3_1':0.18,'5_2':0.0},(12,184):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(12,183):{'3_1':0.15},(12,182):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(12,181):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(12,180):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_19':0.0},(12,179):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(12,178):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(12,177):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(12,176):{'3_1':0.24,'5_2':0.0,'5_1':0.0,'4_1':0.0},(12,175):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(12,174):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(12,173):{'3_1':0.36,'5_1':0.0,'5_2':0.0},(12,172):{'3_1':0.27,'5_2':0.03,'5_1':0.0,'4_1':0.0},(12,171):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(12,170):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(12,169):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(12,168):{'3_1':0.15},(12,167):{'3_1':0.12,'5_1':0.0},(12,166):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(12,165):{'3_1':0.09,'5_2':0.0},(12,164):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(12,163):{'3_1':0.06},(12,162):{'3_1':0.09,'5_1':0.0},(12,161):{'3_1':0.0},(12,160):{'3_1':0.03,'4_1':0.0},(12,159):{'3_1':0.03},(12,158):{'3_1':0.03},(12,157):{'3_1':0.0},(12,156):{'3_1':0.03},(12,155):{'3_1':0.03},(12,154):{'3_1':0.03},(12,153):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(12,152):{'3_1':0.03},(12,151):{'5_1':0.0},(12,150):{'3_1':0.03,'5_1':0.0},(12,149):{'3_1':0.03},(12,148):{'3_1':0.03},(12,147):{'3_1':0.03,'5_1':0.0},(12,146):{'3_1':0.03},(12,145):{'3_1':0.03},(12,144):{'3_1':0.0},(12,142):{'3_1':0.0},(12,140):{'3_1':0.0},(12,139):{'3_1':0.03},(12,138):{'3_1':0.0},(12,137):{'3_1':0.0,'4_1':0.0},(12,136):{'3_1':0.06,'4_1':0.0},(12,135):{'3_1':0.0,'4_1':0.0},(12,134):{'3_1':0.0},(12,133):{'3_1':0.03,'5_1':0.0},(12,132):{'4_1':0.0},(12,131):{'3_1':0.0},(12,130):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(12,127):{'3_1':0.03},(12,126):{'3_1':0.0,'5_2':0.0},(12,125):{'3_1':0.0},(12,124):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(12,123):{'3_1':0.0},(12,122):{'3_1':0.0},(12,121):{'3_1':0.0},(12,120):{'3_1':0.0,'4_1':0.0},(12,119):{'3_1':0.0,'4_1':0.0},(12,118):{'3_1':0.0,'4_1':0.0},(12,117):{'3_1':0.0},(12,115):{'3_1':0.0,'4_1':0.0},(12,114):{'3_1':0.03,'4_1':0.0},(12,113):{'3_1':0.0},(12,112):{'3_1':0.0},(12,111):{'3_1':0.0},(12,110):{'3_1':0.0,'4_1':0.0},(12,109):{'3_1':0.0},(12,108):{'3_1':0.0},(12,107):{'3_1':0.0},(12,106):{'4_1':0.0,'3_1':0.0},(12,105):{'3_1':0.0},(12,104):{'3_1':0.0},(12,103):{'3_1':0.0},(12,102):{'3_1':0.0},(12,101):{'3_1':0.0},(12,99):{'3_1':0.03},(12,97):{'3_1':0.0},(12,95):{'3_1':0.0},(12,94):{'3_1':0.0},(12,93):{'3_1':0.0},(12,91):{'3_1':0.0},(12,90):{'3_1':0.0},(12,88):{'3_1':0.03},(12,87):{'3_1':0.0},(12,86):{'3_1':0.0},(12,85):{'3_1':0.0},(12,84):{'3_1':0.0},(12,83):{'3_1':0.03},(12,79):{'3_1':0.0},(12,77):{'3_1':0.0},(12,76):{'3_1':0.0},(12,74):{'4_1':0.0},(12,72):{'3_1':0.0,'4_1':0.0},(12,71):{'3_1':0.0},(12,68):{'3_1':0.0},(12,67):{'3_1':0.0},(12,63):{'3_1':0.0},(12,62):{'3_1':0.0},(12,61):{'3_1':0.0},(12,59):{'3_1':0.0},(12,57):{'3_1':0.0},(12,56):{'3_1':0.0},(12,53):{'3_1':0.0},(12,50):{'3_1':0.0},(12,47):{'3_1':0.0},(12,38):{'3_1':0.0},(12,37):{'3_1':0.0},(12,26):{'3_1':0.0},(13,236):{'5_2':0.15,'3_1':0.06,'6_1':0.03,'4_1':0.0,'8_11':0.0,'6_2':0.0},(13,235):{'5_2':0.18,'4_1':0.06,'3_1':0.03,'6_1':0.0,'6_2':0.0,'5_1':0.0,'7_2':0.0,'-3':0.0},(13,234):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.03,'8_1':0.0,'6_2':0.0,'7_4':0.0,'8_3':0.0},(13,233):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'6_1':0.0,'5_1':0.0},(13,232):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'6_2':0.03,'7_4':0.0},(13,231):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.03,'7_4':0.0,'6_2':0.0},(13,230):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_4':0.0},(13,229):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0,'5_1':0.0,'7_3':0.0},(13,228):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0},(13,227):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'6_2':0.0},(13,226):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(13,225):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(13,224):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'7_3':0.0},(13,223):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(13,222):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(13,221):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'7_5':0.0},(13,220):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(13,219):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(13,218):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_2':0.0},(13,217):{'3_1':0.24,'5_2':0.0,'6_2':0.0,'7_4':0.0},(13,216):{'3_1':0.21,'5_2':0.0},(13,215):{'3_1':0.15,'4_1':0.03},(13,214):{'3_1':0.12,'5_2':0.0},(13,213):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(13,212):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'7_4':0.0},(13,211):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(13,210):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(13,209):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(13,208):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(13,207):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0,'7_4':0.0},(13,206):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(13,205):{'3_1':0.18,'5_2':0.0,'8_2':0.0},(13,204):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,203):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(13,202):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'7_4':0.0},(13,201):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_3':0.0},(13,200):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(13,199):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(13,198):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(13,197):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0},(13,196):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(13,195):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(13,194):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(13,193):{'3_1':0.12},(13,192):{'3_1':0.15,'5_2':0.0},(13,191):{'3_1':0.21,'4_1':0.0},(13,190):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0},(13,189):{'3_1':0.15},(13,188):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,187):{'3_1':0.12,'5_1':0.0},(13,186):{'3_1':0.12,'8_20|3_1#3_1':0.0},(13,185):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(13,184):{'3_1':0.09,'5_1':0.0},(13,183):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0},(13,182):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(13,181):{'3_1':0.3,'5_1':0.0,'4_1':0.0,'6_3':0.0},(13,180):{'3_1':0.12,'5_1':0.0},(13,179):{'3_1':0.12,'5_1':0.0},(13,178):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(13,177):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(13,176):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(13,175):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(13,174):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(13,173):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(13,172):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(13,171):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0},(13,170):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(13,169):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(13,168):{'3_1':0.12,'5_1':0.0},(13,167):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(13,166):{'3_1':0.09,'4_1':0.0},(13,165):{'3_1':0.06,'5_1':0.0},(13,164):{'3_1':0.03},(13,163):{'3_1':0.06},(13,162):{'3_1':0.03,'4_1':0.0},(13,161):{'3_1':0.03,'5_1':0.0},(13,160):{'3_1':0.03},(13,159):{'3_1':0.03},(13,158):{'3_1':0.03},(13,157):{'3_1':0.0,'5_1':0.0},(13,156):{'3_1':0.03},(13,155):{'3_1':0.0},(13,154):{'3_1':0.0},(13,153):{'3_1':0.09,'5_1':0.0},(13,152):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(13,151):{'3_1':0.0,'5_2':0.0},(13,150):{'3_1':0.0,'5_1':0.0},(13,149):{'6_2':0.0},(13,148):{'3_1':0.0},(13,147):{'3_1':0.0},(13,146):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,145):{'3_1':0.03,'4_1':0.0},(13,144):{'3_1':0.0},(13,143):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(13,142):{'3_1':0.0,'4_1':0.0},(13,141):{'3_1':0.0},(13,140):{'4_1':0.0,'3_1':0.0},(13,139):{'3_1':0.0},(13,138):{'4_1':0.0},(13,137):{'3_1':0.0},(13,136):{'3_1':0.0},(13,135):{'3_1':0.0},(13,134):{'3_1':0.0},(13,133):{'3_1':0.03},(13,132):{'3_1':0.0},(13,131):{'3_1':0.0,'4_1':0.0},(13,130):{'3_1':0.0,'4_1':0.0},(13,129):{'3_1':0.0,'4_1':0.0},(13,128):{'4_1':0.0,'3_1':0.0},(13,127):{'3_1':0.0},(13,126):{'3_1':0.03},(13,125):{'3_1':0.0,'6_1':0.0},(13,124):{'3_1':0.0},(13,122):{'3_1':0.0},(13,121):{'3_1':0.0},(13,120):{'4_1':0.0},(13,119):{'3_1':0.0},(13,118):{'3_1':0.0,'4_1':0.0},(13,116):{'3_1':0.0,'4_1':0.0},(13,115):{'4_1':0.0},(13,114):{'4_1':0.0},(13,113):{'3_1':0.0,'4_1':0.0},(13,112):{'3_1':0.0},(13,111):{'3_1':0.0},(13,110):{'3_1':0.0},(13,109):{'3_1':0.0,'4_1':0.0},(13,107):{'3_1':0.0},(13,106):{'3_1':0.0},(13,105):{'3_1':0.0,'4_1':0.0},(13,104):{'3_1':0.0},(13,101):{'3_1':0.0},(13,100):{'3_1':0.0},(13,99):{'3_1':0.0},(13,97):{'3_1':0.03},(13,96):{'3_1':0.0},(13,94):{'3_1':0.0},(13,93):{'3_1':0.0},(13,92):{'3_1':0.0},(13,91):{'3_1':0.0,'4_1':0.0},(13,90):{'3_1':0.0},(13,89):{'3_1':0.0},(13,88):{'3_1':0.0},(13,87):{'3_1':0.0},(13,86):{'3_1':0.0},(13,85):{'3_1':0.03},(13,83):{'3_1':0.0},(13,81):{'3_1':0.0},(13,80):{'3_1':0.0},(13,78):{'3_1':0.0},(13,73):{'3_1':0.0},(13,70):{'3_1':0.0},(13,68):{'3_1':0.0},(13,66):{'3_1':0.0},(13,65):{'3_1':0.0,'4_1':0.0},(13,59):{'3_1':0.0},(13,57):{'3_1':0.0},(13,56):{'3_1':0.0},(13,55):{'3_1':0.0},(13,54):{'5_2':0.0},(13,53):{'3_1':0.0},(13,52):{'3_1':0.0},(13,51):{'3_1':0.0},(13,50):{'3_1':0.0},(13,49):{'3_1':0.0},(13,43):{'3_1':0.0},(13,40):{'3_1':0.0},(13,38):{'3_1':0.0},(13,37):{'3_1':0.03},(13,34):{'3_1':0.0},(13,21):{'3_1':0.0},(13,18):{'3_1':0.0},(14,236):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.06,'7_2':0.0,'8_1':0.0,'8_3':0.0},(14,235):{'5_2':0.12,'3_1':0.03,'4_1':0.03,'6_1':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(14,234):{'4_1':0.12,'5_2':0.09,'3_1':0.03,'6_1':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0,'8_4':0.0},(14,233):{'4_1':0.12,'5_2':0.06,'3_1':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(14,232):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_4':0.0},(14,231):{'3_1':0.18,'4_1':0.12,'5_2':0.06,'6_2':0.0,'7_3':0.0,'7_5':0.0},(14,230):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(14,229):{'4_1':0.18,'3_1':0.12,'5_2':0.03},(14,228):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_2':0.0,'7_2':0.0},(14,227):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(14,226):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(14,225):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(14,224):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(14,223):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(14,222):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(14,221):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0,'5_1':0.0,'7_5':0.0},(14,220):{'3_1':0.18,'5_2':0.0,'6_3':0.0,'4_1':0.0,'5_1':0.0},(14,219):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(14,218):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'6_3':0.0},(14,217):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(14,216):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(14,215):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(14,214):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(14,213):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(14,212):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(14,211):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(14,210):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(14,209):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(14,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(14,207):{'3_1':0.18,'4_1':0.0,'7_4':0.0},(14,206):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_4':0.0},(14,205):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(14,204):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(14,203):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(14,202):{'3_1':0.21,'5_2':0.03,'4_1':0.03},(14,201):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_4':0.0},(14,200):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(14,199):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(14,198):{'3_1':0.15,'5_2':0.06},(14,197):{'3_1':0.21,'5_2':0.0,'4_1':0.0},(14,196):{'3_1':0.21,'4_1':0.0},(14,195):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(14,194):{'3_1':0.24,'5_2':0.0},(14,193):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(14,192):{'3_1':0.12,'5_2':0.0},(14,191):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_1':0.0},(14,190):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(14,189):{'3_1':0.12,'5_2':0.0},(14,188):{'3_1':0.12,'4_1':0.0,'8_20|3_1#3_1':0.0},(14,187):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(14,186):{'3_1':0.15,'5_2':0.0},(14,185):{'3_1':0.09,'5_1':0.0},(14,184):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(14,183):{'3_1':0.12,'5_2':0.0},(14,182):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0,'8_21|3_1#4_1':0.0},(14,181):{'3_1':0.15,'5_1':0.0},(14,180):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(14,179):{'3_1':0.21,'5_1':0.0},(14,178):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(14,177):{'3_1':0.18},(14,176):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(14,175):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(14,174):{'3_1':0.21,'5_2':0.0},(14,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(14,172):{'3_1':0.09},(14,171):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(14,170):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(14,169):{'3_1':0.21},(14,168):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(14,167):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(14,166):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(14,165):{'3_1':0.03,'5_1':0.0},(14,164):{'3_1':0.06},(14,163):{'3_1':0.06,'4_1':0.0},(14,162):{'3_1':0.03,'5_1':0.0},(14,161):{'3_1':0.03},(14,160):{'3_1':0.03,'5_1':0.0},(14,159):{'3_1':0.0},(14,158):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(14,157):{'3_1':0.03,'5_1':0.0},(14,156):{'3_1':0.03},(14,155):{'3_1':0.03},(14,154):{'3_1':0.03},(14,153):{'3_1':0.0},(14,152):{'3_1':0.03},(14,151):{'3_1':0.0},(14,150):{'3_1':0.0,'4_1':0.0},(14,149):{'3_1':0.0},(14,148):{'3_1':0.0},(14,147):{'3_1':0.0,'4_1':0.0},(14,146):{'3_1':0.0,'4_1':0.0},(14,145):{'3_1':0.03,'4_1':0.0},(14,143):{'3_1':0.0,'4_1':0.0},(14,142):{'4_1':0.0},(14,141):{'3_1':0.0},(14,140):{'3_1':0.0},(14,139):{'3_1':0.0},(14,138):{'3_1':0.03},(14,137):{'3_1':0.0},(14,135):{'3_1':0.0},(14,134):{'3_1':0.0,'4_1':0.0},(14,133):{'3_1':0.0},(14,132):{'3_1':0.03},(14,131):{'3_1':0.03},(14,130):{'3_1':0.0},(14,128):{'3_1':0.0,'4_1':0.0},(14,127):{'3_1':0.0},(14,126):{'3_1':0.0},(14,125):{'3_1':0.03,'4_1':0.0},(14,124):{'3_1':0.0},(14,123):{'3_1':0.0,'4_1':0.0},(14,122):{'3_1':0.0},(14,121):{'3_1':0.0},(14,120):{'3_1':0.0},(14,118):{'4_1':0.0,'3_1':0.0},(14,117):{'3_1':0.0,'4_1':0.0},(14,116):{'3_1':0.0,'4_1':0.0},(14,115):{'4_1':0.0},(14,114):{'3_1':0.0},(14,113):{'3_1':0.0},(14,111):{'3_1':0.0},(14,110):{'3_1':0.0,'4_1':0.0},(14,109):{'3_1':0.0,'4_1':0.0},(14,108):{'4_1':0.0},(14,106):{'3_1':0.0},(14,105):{'3_1':0.0},(14,104):{'3_1':0.0},(14,103):{'3_1':0.0},(14,101):{'3_1':0.0},(14,100):{'3_1':0.0},(14,99):{'3_1':0.0,'4_1':0.0},(14,98):{'3_1':0.0},(14,97):{'3_1':0.0},(14,95):{'7_2':0.0},(14,94):{'3_1':0.0},(14,93):{'3_1':0.0},(14,92):{'3_1':0.0},(14,91):{'3_1':0.0},(14,90):{'3_1':0.0},(14,88):{'3_1':0.0},(14,87):{'3_1':0.0},(14,85):{'3_1':0.0},(14,83):{'3_1':0.0},(14,82):{'3_1':0.03},(14,80):{'3_1':0.0},(14,78):{'3_1':0.0},(14,76):{'3_1':0.0},(14,73):{'3_1':0.0},(14,72):{'3_1':0.0},(14,70):{'3_1':0.0},(14,68):{'3_1':0.0},(14,66):{'3_1':0.0},(14,65):{'3_1':0.0},(14,63):{'3_1':0.0},(14,61):{'3_1':0.0},(14,60):{'3_1':0.0},(14,59):{'4_1':0.0},(14,56):{'3_1':0.0,'4_1':0.0},(14,55):{'3_1':0.0},(14,44):{'3_1':0.0},(14,35):{'3_1':0.0},(14,33):{'3_1':0.0},(14,32):{'3_1':0.0},(14,31):{'3_1':0.0},(14,30):{'3_1':0.0},(14,23):{'3_1':0.0},(14,22):{'3_1':0.0},(14,19):{'3_1':0.0},(14,18):{'3_1':0.03},(15,236):{'5_2':0.18,'6_1':0.06,'4_1':0.03,'3_1':0.0,'8_3':0.0,'5_1':0.0},(15,235):{'5_2':0.18,'4_1':0.06,'6_1':0.03,'3_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(15,234):{'5_2':0.12,'4_1':0.06,'6_1':0.03,'3_1':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'8_1':0.0,'-3':0.0},(15,233):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'6_1':0.03,'7_3':0.0},(15,232):{'5_2':0.12,'3_1':0.12,'4_1':0.0,'7_4':0.0},(15,231):{'3_1':0.12,'5_2':0.09,'4_1':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0},(15,230):{'4_1':0.09,'3_1':0.09,'5_2':0.09},(15,229):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_3':0.0},(15,228):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_3':0.0},(15,227):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(15,226):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(15,225):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(15,224):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0,'5_1':0.0},(15,223):{'3_1':0.21,'4_1':0.0},(15,222):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(15,221):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_3':0.0},(15,220):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(15,219):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(15,218):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_3':0.0},(15,217):{'3_1':0.18,'5_1':0.0},(15,216):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(15,215):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0},(15,214):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(15,213):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(15,212):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(15,211):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(15,210):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(15,209):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(15,208):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(15,207):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(15,206):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(15,205):{'3_1':0.18},(15,204):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(15,203):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'8_19':0.0},(15,202):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(15,201):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0},(15,200):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(15,199):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(15,198):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,197):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(15,196):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(15,195):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(15,194):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'6_3':0.0},(15,193):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(15,192):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(15,191):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(15,190):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(15,189):{'3_1':0.15,'8_13':0.0},(15,188):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(15,187):{'3_1':0.09,'5_1':0.0},(15,186):{'3_1':0.03},(15,185):{'3_1':0.03},(15,184):{'3_1':0.12},(15,183):{'3_1':0.15,'5_2':0.0,'6_3':0.0},(15,182):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(15,181):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(15,180):{'3_1':0.12,'4_1':0.0},(15,179):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(15,178):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(15,177):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(15,176):{'3_1':0.15,'5_1':0.0},(15,175):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(15,174):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(15,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(15,172):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(15,171):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(15,170):{'3_1':0.09,'5_1':0.0},(15,169):{'3_1':0.12,'5_1':0.0},(15,168):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(15,167):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(15,166):{'3_1':0.18,'5_2':0.0},(15,165):{'3_1':0.12,'5_1':0.0},(15,164):{'3_1':0.09},(15,163):{'3_1':0.09},(15,162):{'3_1':0.06},(15,161):{'3_1':0.0,'5_1':0.0},(15,160):{'5_1':0.0,'3_1':0.0,'4_1':0.0},(15,159):{'3_1':0.0},(15,158):{'3_1':0.0},(15,157):{'3_1':0.0,'5_1':0.0},(15,156):{'3_1':0.03},(15,155):{'3_1':0.03},(15,154):{'3_1':0.03,'4_1':0.0},(15,153):{'3_1':0.03},(15,152):{'3_1':0.03,'4_1':0.0},(15,151):{'3_1':0.0,'4_1':0.0},(15,150):{'4_1':0.0},(15,149):{'3_1':0.0},(15,148):{'3_1':0.0},(15,147):{'3_1':0.06,'5_1':0.0},(15,146):{'3_1':0.0},(15,145):{'3_1':0.0,'4_1':0.0},(15,144):{'3_1':0.0},(15,143):{'3_1':0.0},(15,141):{'3_1':0.0,'4_1':0.0},(15,140):{'3_1':0.0},(15,139):{'3_1':0.0},(15,138):{'3_1':0.03,'4_1':0.0},(15,137):{'3_1':0.0},(15,135):{'3_1':0.0,'4_1':0.0},(15,132):{'3_1':0.0},(15,131):{'3_1':0.03,'4_1':0.0},(15,130):{'3_1':0.0},(15,129):{'3_1':0.0},(15,128):{'3_1':0.03},(15,127):{'3_1':0.03},(15,126):{'3_1':0.03,'5_2':0.0},(15,124):{'4_1':0.0},(15,123):{'3_1':0.0},(15,122):{'3_1':0.0},(15,121):{'4_1':0.0},(15,120):{'3_1':0.0},(15,119):{'3_1':0.0},(15,118):{'3_1':0.0,'4_1':0.0},(15,116):{'3_1':0.0,'4_1':0.0},(15,115):{'4_1':0.0},(15,113):{'3_1':0.0},(15,112):{'3_1':0.0,'4_1':0.0},(15,111):{'4_1':0.0},(15,110):{'3_1':0.0,'6_1':0.0},(15,109):{'4_1':0.0,'7_2':0.0},(15,107):{'4_1':0.0},(15,106):{'3_1':0.0},(15,105):{'3_1':0.0},(15,104):{'3_1':0.0},(15,103):{'3_1':0.0},(15,101):{'3_1':0.0},(15,100):{'3_1':0.0},(15,99):{'3_1':0.0},(15,97):{'3_1':0.0},(15,96):{'3_1':0.0,'4_1':0.0},(15,95):{'3_1':0.0},(15,94):{'3_1':0.0},(15,93):{'3_1':0.0},(15,92):{'3_1':0.0},(15,91):{'3_1':0.0},(15,90):{'3_1':0.06},(15,89):{'3_1':0.0},(15,88):{'3_1':0.0},(15,85):{'3_1':0.0},(15,84):{'3_1':0.0},(15,83):{'3_1':0.0,'5_2':0.0},(15,82):{'3_1':0.0},(15,81):{'3_1':0.0},(15,80):{'3_1':0.0},(15,78):{'3_1':0.0},(15,75):{'3_1':0.0},(15,68):{'3_1':0.0},(15,67):{'3_1':0.0},(15,65):{'3_1':0.0},(15,63):{'3_1':0.0},(15,62):{'3_1':0.0},(15,61):{'3_1':0.0},(15,60):{'3_1':0.0},(15,59):{'3_1':0.0},(15,58):{'3_1':0.0},(15,57):{'3_1':0.0},(15,56):{'3_1':0.0},(15,54):{'3_1':0.0,'4_1':0.0},(15,45):{'3_1':0.0},(15,41):{'3_1':0.0},(15,38):{'3_1':0.0},(15,36):{'3_1':0.0},(15,34):{'3_1':0.0},(15,33):{'3_1':0.0},(15,32):{'3_1':0.0},(15,31):{'3_1':0.0},(15,29):{'3_1':0.0},(15,25):{'3_1':0.0},(15,20):{'3_1':0.0},(15,19):{'3_1':0.0},(16,236):{'5_2':0.15,'6_1':0.03,'3_1':0.0,'4_1':0.0,'7_2':0.0,'8_3':0.0},(16,235):{'5_2':0.09,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0,'8_4':0.0},(16,234):{'5_2':0.15,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0},(16,233):{'4_1':0.15,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0,'7_4':0.0},(16,232):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'7_3':0.0,'5_1':0.0},(16,231):{'4_1':0.18,'3_1':0.09,'5_2':0.03},(16,230):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'7_3':0.0},(16,229):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'6_3':0.0},(16,228):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_4':0.0},(16,227):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(16,226):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.0},(16,225):{'3_1':0.21,'4_1':0.09,'5_2':0.0,'5_1':0.0},(16,224):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'7_5':0.0},(16,223):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(16,222):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(16,221):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,220):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(16,219):{'3_1':0.21,'4_1':0.0},(16,218):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0},(16,217):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(16,216):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(16,215):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(16,214):{'3_1':0.18},(16,213):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(16,212):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(16,211):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_3':0.0},(16,210):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(16,209):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(16,208):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_3':0.0},(16,207):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(16,206):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(16,205):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(16,204):{'3_1':0.15,'5_2':0.0,'8_19':0.0},(16,203):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(16,202):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(16,201):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(16,200):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(16,199):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(16,198):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(16,197):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'8_19':0.0},(16,196):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(16,195):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0,'8_19':0.0},(16,194):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(16,193):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(16,192):{'3_1':0.06,'5_1':0.0},(16,191):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(16,190):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(16,189):{'3_1':0.09},(16,188):{'3_1':0.15,'5_1':0.0},(16,187):{'3_1':0.09,'5_1':0.0},(16,186):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(16,185):{'3_1':0.15,'5_1':0.0},(16,184):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(16,183):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(16,182):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(16,181):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(16,180):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(16,179):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(16,178):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(16,177):{'3_1':0.21,'5_2':0.0},(16,176):{'3_1':0.18,'5_1':0.0},(16,175):{'3_1':0.18,'5_1':0.0},(16,174):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(16,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(16,172):{'3_1':0.21,'5_2':0.0},(16,171):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(16,170):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(16,169):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(16,168):{'3_1':0.12},(16,167):{'3_1':0.12,'5_1':0.0},(16,166):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(16,165):{'3_1':0.09},(16,164):{'3_1':0.06,'5_1':0.0},(16,163):{'3_1':0.09},(16,162):{'3_1':0.0,'5_1':0.0},(16,161):{'3_1':0.0,'5_1':0.0},(16,160):{'3_1':0.0,'5_1':0.0},(16,159):{'3_1':0.06},(16,158):{'3_1':0.06},(16,157):{'3_1':0.03,'5_1':0.0},(16,156):{'3_1':0.06,'5_2':0.0},(16,155):{'3_1':0.03},(16,154):{'3_1':0.03},(16,153):{'3_1':0.03},(16,152):{'3_1':0.03},(16,151):{'3_1':0.03},(16,150):{'3_1':0.0},(16,149):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(16,148):{'3_1':0.0,'5_1':0.0},(16,147):{'3_1':0.0},(16,146):{'3_1':0.0},(16,145):{'3_1':0.03,'4_1':0.0},(16,144):{'3_1':0.0,'4_1':0.0},(16,143):{'3_1':0.03},(16,142):{'3_1':0.0,'4_1':0.0},(16,141):{'3_1':0.0},(16,140):{'3_1':0.03},(16,139):{'3_1':0.0},(16,138):{'3_1':0.0},(16,137):{'3_1':0.0},(16,136):{'4_1':0.0},(16,135):{'4_1':0.0,'5_2':0.0},(16,134):{'3_1':0.0,'5_2':0.0},(16,133):{'3_1':0.03,'4_1':0.0},(16,131):{'3_1':0.03,'5_2':0.0},(16,130):{'3_1':0.0,'4_1':0.0,'7_2':0.0},(16,129):{'3_1':0.0},(16,128):{'5_2':0.0},(16,127):{'3_1':0.0},(16,126):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(16,125):{'3_1':0.0},(16,124):{'3_1':0.03,'5_2':0.0},(16,123):{'3_1':0.0},(16,122):{'3_1':0.0,'5_2':0.0},(16,121):{'3_1':0.0},(16,119):{'3_1':0.0},(16,118):{'3_1':0.0,'4_1':0.0},(16,117):{'3_1':0.0},(16,115):{'3_1':0.0},(16,114):{'3_1':0.0},(16,113):{'3_1':0.03,'4_1':0.0},(16,112):{'3_1':0.03,'4_1':0.0},(16,111):{'4_1':0.0,'3_1':0.0},(16,110):{'4_1':0.0},(16,109):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(16,108):{'3_1':0.03,'4_1':0.0},(16,107):{'3_1':0.03},(16,106):{'3_1':0.0},(16,104):{'3_1':0.0},(16,103):{'4_1':0.0},(16,101):{'3_1':0.03,'5_2':0.0},(16,100):{'3_1':0.0},(16,99):{'3_1':0.0},(16,98):{'3_1':0.0},(16,97):{'3_1':0.0},(16,96):{'3_1':0.0},(16,95):{'4_1':0.0},(16,94):{'3_1':0.0},(16,93):{'3_1':0.0},(16,92):{'3_1':0.0},(16,91):{'3_1':0.0},(16,90):{'3_1':0.0},(16,89):{'3_1':0.0},(16,88):{'3_1':0.0},(16,87):{'3_1':0.0},(16,86):{'3_1':0.0},(16,83):{'3_1':0.0,'4_1':0.0},(16,81):{'3_1':0.0},(16,80):{'3_1':0.0},(16,79):{'3_1':0.0},(16,76):{'3_1':0.0},(16,75):{'3_1':0.0},(16,72):{'3_1':0.0},(16,68):{'3_1':0.0},(16,64):{'3_1':0.0},(16,60):{'3_1':0.0},(16,59):{'3_1':0.0},(16,58):{'3_1':0.03,'4_1':0.0},(16,56):{'3_1':0.0,'4_1':0.0},(16,55):{'3_1':0.03},(16,54):{'3_1':0.0,'4_1':0.0},(16,53):{'3_1':0.0},(16,52):{'4_1':0.0},(16,43):{'4_1':0.0},(16,41):{'3_1':0.0},(16,39):{'3_1':0.0},(16,38):{'3_1':0.0},(16,37):{'3_1':0.0},(16,36):{'3_1':0.0},(16,35):{'3_1':0.0},(16,30):{'3_1':0.0},(16,24):{'3_1':0.0},(16,20):{'3_1':0.0},(17,236):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0,'8_3':0.0,'8_11':0.0},(17,235):{'5_2':0.15,'4_1':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.0,'7_2':0.0,'7_5':0.0,'8_1':0.0},(17,234):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.0,'5_1':0.0,'7_3':0.0,'8_3':0.0},(17,233):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0},(17,232):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'7_3':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0,'8_4':0.0,'8_20|3_1#3_1':0.0},(17,231):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(17,230):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_1':0.0},(17,229):{'3_1':0.18,'4_1':0.09,'5_2':0.0},(17,228):{'3_1':0.18,'4_1':0.12,'5_2':0.03},(17,227):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0},(17,226):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(17,225):{'3_1':0.15,'4_1':0.06,'5_2':0.06,'5_1':0.0},(17,224):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(17,223):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(17,222):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(17,221):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'6_3':0.0},(17,220):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_7':0.0},(17,219):{'3_1':0.24,'5_1':0.03,'5_2':0.0},(17,218):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(17,217):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(17,216):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_7':0.0},(17,215):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_3':0.0},(17,214):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(17,213):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(17,212):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(17,211):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0},(17,210):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,209):{'3_1':0.15,'5_2':0.0},(17,208):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(17,207):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'8_19':0.0},(17,206):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(17,205):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(17,204):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(17,203):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0},(17,202):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(17,201):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'8_19':0.0},(17,200):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,199):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(17,198):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(17,197):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,196):{'3_1':0.21,'5_1':0.0},(17,195):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_3':0.0},(17,194):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(17,193):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(17,192):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(17,191):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(17,190):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(17,189):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(17,188):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0},(17,187):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(17,186):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(17,185):{'3_1':0.09,'5_2':0.0,'7_4':0.0},(17,184):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(17,183):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(17,182):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(17,181):{'3_1':0.12,'5_1':0.0},(17,180):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0},(17,179):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(17,178):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_4':0.0},(17,177):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(17,176):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'7_4':0.0},(17,175):{'3_1':0.12,'5_1':0.03,'5_2':0.03},(17,174):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(17,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(17,172):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(17,171):{'3_1':0.15,'5_1':0.0},(17,170):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(17,169):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(17,168):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(17,167):{'3_1':0.12,'5_1':0.0},(17,166):{'3_1':0.09,'5_1':0.0},(17,165):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(17,164):{'3_1':0.12},(17,163):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(17,162):{'3_1':0.0},(17,161):{'3_1':0.03,'5_2':0.0},(17,160):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(17,159):{'3_1':0.0},(17,158):{'3_1':0.03,'7_1':0.0},(17,157):{'3_1':0.03},(17,156):{'3_1':0.03,'4_1':0.0},(17,155):{'3_1':0.0},(17,154):{'3_1':0.03,'5_1':0.0},(17,153):{'3_1':0.03},(17,152):{'3_1':0.09},(17,151):{'3_1':0.03},(17,150):{'3_1':0.0,'4_1':0.0},(17,149):{'3_1':0.0},(17,148):{'3_1':0.09,'4_1':0.0},(17,147):{'3_1':0.0,'4_1':0.0},(17,146):{'3_1':0.03},(17,145):{'3_1':0.0},(17,144):{'3_1':0.0},(17,143):{'3_1':0.0,'6_1':0.0},(17,142):{'3_1':0.0},(17,141):{'3_1':0.03,'4_1':0.0},(17,140):{'3_1':0.0},(17,139):{'3_1':0.03,'4_1':0.0},(17,138):{'3_1':0.03,'5_1':0.0},(17,137):{'3_1':0.0},(17,136):{'4_1':0.0},(17,135):{'3_1':0.03,'5_2':0.0},(17,134):{'3_1':0.0,'4_1':0.0},(17,133):{'3_1':0.0,'5_2':0.0},(17,132):{'3_1':0.0,'4_1':0.0},(17,131):{'3_1':0.0},(17,130):{'3_1':0.06},(17,129):{'3_1':0.06},(17,128):{'3_1':0.03,'5_2':0.0},(17,127):{'3_1':0.0},(17,126):{'3_1':0.0,'5_2':0.0},(17,125):{'3_1':0.0},(17,124):{'3_1':0.03},(17,123):{'3_1':0.03},(17,122):{'3_1':0.03},(17,121):{'3_1':0.03},(17,120):{'3_1':0.0},(17,119):{'3_1':0.0,'5_2':0.0},(17,118):{'3_1':0.0},(17,117):{'3_1':0.0},(17,116):{'3_1':0.0,'4_1':0.0},(17,114):{'3_1':0.0},(17,113):{'3_1':0.0},(17,112):{'3_1':0.0},(17,111):{'3_1':0.0},(17,109):{'3_1':0.0},(17,107):{'4_1':0.0},(17,106):{'3_1':0.0},(17,105):{'3_1':0.03},(17,104):{'3_1':0.0,'4_1':0.0},(17,103):{'3_1':0.0},(17,102):{'3_1':0.03},(17,101):{'3_1':0.0},(17,100):{'3_1':0.03},(17,99):{'3_1':0.03},(17,97):{'3_1':0.0},(17,95):{'3_1':0.0},(17,91):{'3_1':0.0},(17,90):{'3_1':0.06},(17,89):{'3_1':0.0,'4_1':0.0},(17,87):{'3_1':0.0},(17,86):{'3_1':0.03},(17,85):{'3_1':0.0},(17,84):{'3_1':0.0,'6_3':0.0},(17,82):{'3_1':0.0},(17,81):{'3_1':0.0},(17,80):{'3_1':0.0},(17,79):{'3_1':0.0},(17,77):{'3_1':0.0},(17,76):{'3_1':0.0,'4_1':0.0},(17,73):{'3_1':0.0},(17,72):{'3_1':0.0,'4_1':0.0},(17,71):{'4_1':0.0},(17,69):{'3_1':0.0},(17,68):{'3_1':0.0},(17,66):{'3_1':0.0},(17,64):{'3_1':0.0},(17,62):{'3_1':0.0},(17,59):{'3_1':0.0},(17,58):{'3_1':0.0},(17,56):{'3_1':0.0},(17,55):{'3_1':0.0},(17,51):{'3_1':0.0},(17,38):{'3_1':0.0},(17,35):{'3_1':0.0},(17,34):{'3_1':0.0},(17,29):{'3_1':0.0},(17,28):{'3_1':0.0},(17,27):{'3_1':0.0},(18,236):{'5_2':0.24,'6_1':0.06,'3_1':0.06,'4_1':0.0,'8_1':0.0,'5_1':0.0,'7_2':0.0},(18,235):{'5_2':0.21,'3_1':0.12,'4_1':0.09,'6_1':0.03,'8_4':0.0},(18,234):{'5_2':0.18,'4_1':0.12,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0},(18,233):{'5_2':0.18,'3_1':0.12,'4_1':0.09,'5_1':0.0,'6_1':0.0,'7_3':0.0},(18,232):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_3':0.0},(18,231):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(18,230):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'6_1':0.0,'7_4':0.0},(18,229):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'6_1':0.0,'7_3':0.0,'7_5':0.0},(18,228):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(18,227):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(18,226):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_3':0.0},(18,225):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(18,224):{'3_1':0.24,'4_1':0.06,'5_2':0.03,'5_1':0.03,'6_3':0.0},(18,223):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(18,222):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0},(18,221):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,220):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'6_3':0.0},(18,219):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(18,218):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_3':0.0},(18,217):{'3_1':0.24,'5_2':0.0,'7_5':0.0},(18,216):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,215):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(18,214):{'3_1':0.18,'5_1':0.0},(18,213):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(18,212):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(18,211):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(18,210):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(18,209):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(18,208):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'7_3':0.0},(18,207):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(18,206):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(18,205):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,204):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(18,203):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(18,202):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'7_2':0.0},(18,201):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(18,200):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(18,199):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(18,198):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(18,197):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(18,196):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(18,195):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'6_2':0.0,'8_19':0.0},(18,194):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(18,193):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(18,192):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(18,191):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(18,190):{'3_1':0.21,'5_1':0.0},(18,189):{'3_1':0.12,'5_1':0.0},(18,188):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(18,187):{'3_1':0.12,'5_1':0.0},(18,186):{'3_1':0.12},(18,185):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_5':0.0},(18,184):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(18,183):{'3_1':0.18,'5_1':0.0},(18,182):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(18,181):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(18,180):{'3_1':0.15,'5_1':0.03,'8_19':0.0},(18,179):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(18,178):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(18,177):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(18,176):{'3_1':0.15,'5_2':0.0},(18,175):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(18,174):{'3_1':0.21,'5_1':0.0},(18,173):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(18,172):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(18,171):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(18,170):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(18,169):{'3_1':0.18,'5_1':0.0},(18,168):{'3_1':0.15,'5_1':0.0},(18,167):{'3_1':0.12,'5_1':0.0},(18,166):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(18,165):{'3_1':0.09},(18,164):{'3_1':0.06,'5_2':0.0},(18,163):{'3_1':0.09,'5_1':0.0},(18,162):{'3_1':0.06,'5_1':0.0},(18,161):{'3_1':0.09,'7_1':0.0},(18,160):{'3_1':0.06},(18,159):{'3_1':0.06,'5_1':0.0},(18,158):{'3_1':0.03,'5_2':0.0},(18,157):{'3_1':0.03},(18,156):{'3_1':0.0},(18,155):{'3_1':0.09,'6_2':0.0},(18,154):{'3_1':0.03},(18,153):{'3_1':0.03,'5_1':0.0},(18,152):{'3_1':0.09},(18,151):{'3_1':0.06},(18,150):{'3_1':0.03},(18,149):{'3_1':0.03},(18,148):{'3_1':0.03,'4_1':0.0},(18,147):{'3_1':0.06,'4_1':0.0},(18,146):{'3_1':0.0,'4_1':0.0},(18,145):{'3_1':0.0,'4_1':0.0},(18,144):{'3_1':0.0,'4_1':0.0},(18,143):{'3_1':0.0,'4_1':0.0},(18,142):{'3_1':0.0,'4_1':0.0},(18,141):{'3_1':0.03},(18,140):{'3_1':0.0},(18,139):{'3_1':0.03},(18,138):{'3_1':0.06},(18,137):{'3_1':0.0,'4_1':0.0},(18,136):{'3_1':0.0},(18,135):{'3_1':0.0},(18,134):{'4_1':0.0,'3_1':0.0},(18,133):{'3_1':0.03},(18,132):{'3_1':0.06},(18,131):{'3_1':0.0,'4_1':0.0},(18,130):{'3_1':0.0,'4_1':0.0},(18,129):{'3_1':0.03,'4_1':0.0},(18,128):{'3_1':0.0,'5_2':0.0},(18,127):{'3_1':0.0},(18,126):{'3_1':0.03},(18,125):{'3_1':0.0,'4_1':0.0},(18,124):{'3_1':0.0},(18,123):{'3_1':0.0},(18,122):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(18,121):{'3_1':0.0},(18,120):{'3_1':0.0},(18,119):{'3_1':0.03,'4_1':0.0},(18,118):{'3_1':0.0},(18,117):{'3_1':0.0},(18,116):{'3_1':0.03},(18,115):{'3_1':0.0},(18,114):{'3_1':0.0},(18,113):{'3_1':0.0},(18,112):{'3_1':0.0},(18,111):{'3_1':0.0},(18,110):{'3_1':0.0},(18,109):{'3_1':0.0},(18,108):{'3_1':0.0},(18,107):{'3_1':0.03},(18,106):{'3_1':0.0},(18,105):{'3_1':0.03},(18,104):{'3_1':0.0},(18,103):{'3_1':0.0},(18,102):{'3_1':0.0},(18,101):{'3_1':0.0},(18,100):{'3_1':0.0},(18,99):{'3_1':0.0},(18,98):{'3_1':0.0},(18,97):{'3_1':0.0,'4_1':0.0},(18,96):{'3_1':0.03},(18,95):{'3_1':0.06},(18,94):{'3_1':0.0},(18,93):{'3_1':0.0},(18,92):{'3_1':0.0},(18,90):{'3_1':0.03},(18,89):{'3_1':0.0},(18,88):{'3_1':0.0},(18,87):{'3_1':0.0},(18,86):{'3_1':0.0},(18,85):{'3_1':0.0},(18,84):{'3_1':0.0},(18,83):{'3_1':0.03,'5_2':0.0},(18,81):{'3_1':0.0},(18,80):{'3_1':0.0},(18,78):{'3_1':0.0},(18,77):{'3_1':0.0},(18,76):{'3_1':0.0},(18,75):{'3_1':0.0},(18,72):{'3_1':0.0},(18,71):{'3_1':0.0},(18,68):{'3_1':0.0},(18,67):{'3_1':0.0},(18,64):{'3_1':0.0,'4_1':0.0},(18,62):{'3_1':0.0},(18,61):{'3_1':0.0},(18,60):{'3_1':0.0},(18,58):{'3_1':0.0},(18,57):{'3_1':0.0},(18,56):{'3_1':0.0},(18,55):{'3_1':0.0},(18,53):{'3_1':0.0},(18,45):{'3_1':0.0},(18,40):{'3_1':0.0},(18,39):{'3_1':0.0},(18,37):{'3_1':0.0},(18,35):{'3_1':0.0},(18,33):{'3_1':0.0},(18,31):{'3_1':0.0},(18,26):{'3_1':0.0},(18,22):{'3_1':0.0},(19,236):{'5_2':0.15,'6_1':0.06,'3_1':0.03,'4_1':0.0,'8_1':0.0,'5_1':0.0,'8_11':0.0},(19,235):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'8_4':0.0,'5_1':0.0,'7_2':0.0,'8_1':0.0},(19,234):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'8_3':0.0,'7_2':0.0,'7_3':0.0,'8_1':0.0},(19,233):{'4_1':0.12,'3_1':0.09,'5_2':0.09,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(19,232):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'5_1':0.0,'6_2':0.0},(19,231):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'6_1':0.0,'7_3':0.0},(19,230):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'6_3':0.0},(19,229):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0,'5_1':0.0,'6_1':0.0},(19,228):{'3_1':0.09,'4_1':0.03,'5_2':0.03,'6_1':0.0,'7_3':0.0},(19,227):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'7_3':0.0},(19,226):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(19,225):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'5_1':0.0,'8_7':0.0},(19,224):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'6_3':0.0},(19,223):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(19,222):{'3_1':0.24,'4_1':0.06,'5_1':0.0},(19,221):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_5':0.0},(19,220):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(19,219):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,218):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(19,217):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(19,216):{'3_1':0.24,'5_2':0.0,'4_1':0.0},(19,215):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(19,214):{'3_1':0.21,'5_2':0.0},(19,213):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(19,212):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(19,211):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(19,210):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(19,209):{'3_1':0.18,'5_2':0.0},(19,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(19,207):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(19,206):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(19,205):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(19,204):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(19,203):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(19,202):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(19,201):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(19,200):{'3_1':0.12,'5_1':0.06,'4_1':0.0},(19,199):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(19,198):{'3_1':0.21,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(19,197):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(19,196):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_19':0.0},(19,195):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(19,194):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(19,193):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(19,192):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(19,191):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(19,190):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(19,189):{'3_1':0.15,'5_2':0.0,'8_19':0.0},(19,188):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(19,187):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,186):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(19,185):{'3_1':0.12,'5_1':0.0},(19,184):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(19,183):{'3_1':0.15,'5_1':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(19,182):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(19,181):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(19,180):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(19,179):{'3_1':0.15},(19,178):{'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(19,177):{'3_1':0.24},(19,176):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(19,175):{'3_1':0.15,'5_1':0.06,'5_2':0.0},(19,174):{'3_1':0.24,'5_1':0.0},(19,173):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(19,172):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(19,171):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(19,170):{'3_1':0.09,'5_2':0.06,'5_1':0.0},(19,169):{'3_1':0.15,'5_1':0.0},(19,168):{'3_1':0.21,'5_2':0.0,'7_4':0.0},(19,167):{'3_1':0.12},(19,166):{'3_1':0.12},(19,165):{'3_1':0.03,'5_2':0.0},(19,164):{'3_1':0.06},(19,163):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(19,162):{'3_1':0.06,'5_1':0.0},(19,161):{'3_1':0.0},(19,160):{'3_1':0.03},(19,159):{'3_1':0.0,'4_1':0.0},(19,158):{'3_1':0.03},(19,157):{'3_1':0.03,'5_1':0.0},(19,156):{'3_1':0.03,'4_1':0.0},(19,155):{'3_1':0.06,'5_2':0.0},(19,154):{'3_1':0.03},(19,153):{'3_1':0.03},(19,152):{'3_1':0.06,'4_1':0.0},(19,151):{'3_1':0.03,'5_1':0.0},(19,150):{'4_1':0.0},(19,149):{'3_1':0.03,'5_2':0.0},(19,148):{'3_1':0.03,'5_1':0.0},(19,147):{'3_1':0.03},(19,146):{'3_1':0.06},(19,145):{'4_1':0.0,'3_1':0.0},(19,144):{'3_1':0.0,'4_1':0.0},(19,143):{'3_1':0.0,'5_1':0.0},(19,142):{'3_1':0.0},(19,141):{'3_1':0.03,'4_1':0.0},(19,139):{'3_1':0.0},(19,138):{'3_1':0.0,'4_1':0.0},(19,137):{'3_1':0.0},(19,136):{'3_1':0.0},(19,135):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(19,134):{'3_1':0.03},(19,133):{'3_1':0.0},(19,132):{'3_1':0.03},(19,131):{'3_1':0.0,'4_1':0.0},(19,130):{'3_1':0.0},(19,128):{'3_1':0.03,'4_1':0.0},(19,127):{'3_1':0.0,'4_1':0.0},(19,126):{'3_1':0.03,'5_2':0.0},(19,125):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(19,124):{'3_1':0.0,'4_1':0.0},(19,123):{'3_1':0.0},(19,122):{'3_1':0.0,'4_1':0.0},(19,121):{'3_1':0.0},(19,120):{'4_1':0.0,'5_2':0.0},(19,119):{'3_1':0.0,'5_2':0.0},(19,117):{'3_1':0.0},(19,116):{'3_1':0.0},(19,115):{'3_1':0.0,'4_1':0.0},(19,114):{'4_1':0.0},(19,112):{'3_1':0.03,'4_1':0.0},(19,111):{'3_1':0.03,'4_1':0.0},(19,110):{'3_1':0.0,'4_1':0.0},(19,109):{'3_1':0.0,'4_1':0.0},(19,108):{'3_1':0.03},(19,107):{'3_1':0.0,'4_1':0.0},(19,106):{'4_1':0.0},(19,105):{'3_1':0.0},(19,104):{'3_1':0.0},(19,103):{'3_1':0.0},(19,102):{'3_1':0.0},(19,101):{'3_1':0.03},(19,100):{'3_1':0.0,'4_1':0.0},(19,99):{'3_1':0.03},(19,98):{'3_1':0.0},(19,97):{'3_1':0.0},(19,96):{'3_1':0.0},(19,95):{'3_1':0.03},(19,94):{'3_1':0.03},(19,93):{'3_1':0.03},(19,91):{'3_1':0.0},(19,90):{'3_1':0.03},(19,89):{'3_1':0.0},(19,88):{'3_1':0.0},(19,86):{'3_1':0.0},(19,85):{'3_1':0.0},(19,84):{'3_1':0.0},(19,83):{'3_1':0.0},(19,82):{'3_1':0.0},(19,81):{'3_1':0.0},(19,79):{'3_1':0.03},(19,78):{'3_1':0.0},(19,76):{'3_1':0.0},(19,75):{'4_1':0.0},(19,71):{'4_1':0.0},(19,70):{'3_1':0.0},(19,69):{'3_1':0.0},(19,68):{'4_1':0.0},(19,66):{'3_1':0.0},(19,65):{'3_1':0.0},(19,64):{'3_1':0.0},(19,63):{'3_1':0.0},(19,62):{'3_1':0.0},(19,60):{'3_1':0.0},(19,59):{'3_1':0.0},(19,58):{'3_1':0.0},(19,57):{'3_1':0.0},(19,56):{'3_1':0.0},(19,54):{'3_1':0.03},(19,51):{'3_1':0.0},(19,37):{'3_1':0.0},(19,36):{'3_1':0.0},(19,35):{'3_1':0.0},(19,33):{'3_1':0.0},(19,31):{'3_1':0.0},(19,23):{'3_1':0.0},(20,236):{'5_2':0.12,'6_1':0.09,'3_1':0.03,'4_1':0.03,'7_2':0.03,'7_3':0.0},(20,235):{'5_2':0.15,'4_1':0.06,'6_1':0.03,'3_1':0.03,'7_3':0.0},(20,234):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0},(20,233):{'5_2':0.21,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0,'8_11':0.0},(20,232):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'7_3':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0},(20,231):{'4_1':0.24,'3_1':0.09,'5_2':0.06,'5_1':0.03,'7_3':0.0},(20,230):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0,'6_2':0.0},(20,229):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.03,'7_2':0.0,'8_13':0.0,'8_20|3_1#3_1':0.0},(20,228):{'3_1':0.12,'5_2':0.06,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0},(20,227):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'8_20|3_1#3_1':0.0},(20,226):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(20,225):{'3_1':0.18,'4_1':0.06,'5_2':0.06},(20,224):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_3':0.0},(20,223):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(20,222):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(20,221):{'3_1':0.21,'4_1':0.03,'5_1':0.03,'5_2':0.0,'6_3':0.0},(20,220):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'6_3':0.0},(20,219):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.03,'7_3':0.0},(20,218):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(20,217):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_3':0.0},(20,216):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(20,215):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(20,214):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,213):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'7_4':0.0},(20,212):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0},(20,211):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(20,210):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(20,209):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,208):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(20,207):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,206):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,205):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(20,204):{'3_1':0.06,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(20,203):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(20,202):{'3_1':0.24,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,201):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0,'8_19':0.0},(20,200):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(20,199):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(20,198):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(20,197):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(20,196):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(20,195):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(20,194):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'8_2':0.0,'8_20|3_1#3_1':0.0},(20,193):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(20,192):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(20,191):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(20,190):{'3_1':0.15,'5_1':0.03},(20,189):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(20,188):{'3_1':0.09,'5_1':0.0},(20,187):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_3':0.0},(20,186):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(20,185):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(20,184):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(20,183):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(20,182):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'8_19':0.0},(20,181):{'3_1':0.18,'5_1':0.0},(20,180):{'3_1':0.15,'5_1':0.0},(20,179):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(20,178):{'3_1':0.12,'5_2':0.0},(20,177):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(20,176):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(20,175):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(20,174):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(20,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(20,172):{'3_1':0.12,'5_2':0.0},(20,171):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'7_3':0.0},(20,170):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(20,169):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(20,168):{'3_1':0.18,'5_1':0.0},(20,167):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_2':0.0},(20,166):{'3_1':0.15,'5_1':0.0},(20,165):{'3_1':0.09,'5_1':0.0},(20,164):{'3_1':0.06,'5_1':0.0},(20,163):{'3_1':0.06,'5_1':0.0},(20,162):{'3_1':0.12,'5_1':0.0},(20,161):{'3_1':0.03,'5_1':0.0},(20,160):{'3_1':0.06},(20,159):{'3_1':0.06,'5_1':0.0},(20,158):{'3_1':0.03},(20,157):{'3_1':0.03,'5_1':0.0},(20,156):{'3_1':0.0,'5_1':0.0},(20,155):{'3_1':0.03,'4_1':0.0},(20,154):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(20,153):{'3_1':0.09,'4_1':0.0},(20,152):{'3_1':0.09},(20,151):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(20,150):{'3_1':0.06},(20,149):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(20,148):{'3_1':0.06},(20,147):{'3_1':0.06,'4_1':0.0},(20,146):{'3_1':0.03},(20,145):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,144):{'3_1':0.0},(20,143):{'3_1':0.0,'4_1':0.0},(20,142):{'3_1':0.06,'4_1':0.0},(20,141):{'3_1':0.06},(20,140):{'3_1':0.03,'4_1':0.0},(20,139):{'3_1':0.0},(20,138):{'3_1':0.0,'5_2':0.0},(20,137):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(20,136):{'3_1':0.0},(20,135):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,134):{'3_1':0.0},(20,133):{'3_1':0.03},(20,132):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(20,131):{'3_1':0.03},(20,130):{'5_2':0.0,'3_1':0.0},(20,129):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(20,128):{'3_1':0.09,'5_2':0.0},(20,127):{'3_1':0.03,'7_2':0.0},(20,126):{'3_1':0.03,'5_2':0.0},(20,125):{'3_1':0.03,'7_2':0.0},(20,124):{'3_1':0.03,'5_2':0.0},(20,123):{'3_1':0.03},(20,122):{'3_1':0.03},(20,121):{'3_1':0.03},(20,120):{'3_1':0.03},(20,119):{'3_1':0.0},(20,118):{'3_1':0.0},(20,117):{'3_1':0.03},(20,116):{'3_1':0.0},(20,115):{'3_1':0.03,'4_1':0.0},(20,114):{'3_1':0.03,'4_1':0.0},(20,113):{'3_1':0.0,'4_1':0.0},(20,112):{'3_1':0.03},(20,111):{'3_1':0.03,'4_1':0.0},(20,110):{'3_1':0.0,'4_1':0.0},(20,109):{'3_1':0.0,'4_1':0.0},(20,108):{'3_1':0.03},(20,107):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(20,106):{'3_1':0.03},(20,105):{'3_1':0.03},(20,104):{'3_1':0.0},(20,103):{'3_1':0.03},(20,102):{'3_1':0.0},(20,101):{'3_1':0.0,'5_2':0.0},(20,100):{'3_1':0.0},(20,99):{'3_1':0.06},(20,98):{'3_1':0.03},(20,97):{'3_1':0.03},(20,95):{'3_1':0.0},(20,94):{'3_1':0.03},(20,93):{'3_1':0.0},(20,92):{'3_1':0.0},(20,91):{'3_1':0.0},(20,90):{'3_1':0.0},(20,88):{'3_1':0.0},(20,86):{'3_1':0.0},(20,85):{'3_1':0.0},(20,83):{'3_1':0.0},(20,82):{'3_1':0.0},(20,81):{'3_1':0.0},(20,80):{'3_1':0.0},(20,79):{'4_1':0.0},(20,78):{'3_1':0.0},(20,69):{'3_1':0.0},(20,67):{'3_1':0.0},(20,65):{'3_1':0.0},(20,64):{'3_1':0.0},(20,61):{'3_1':0.0},(20,60):{'3_1':0.0},(20,59):{'3_1':0.0},(20,58):{'3_1':0.0},(20,57):{'3_1':0.0},(20,56):{'3_1':0.0},(20,55):{'3_1':0.0},(20,52):{'3_1':0.0},(20,49):{'3_1':0.0},(20,44):{'3_1':0.0},(20,38):{'3_1':0.0},(20,35):{'3_1':0.0},(20,34):{'3_1':0.0,'5_1':0.0},(20,33):{'3_1':0.0,'5_1':0.0},(20,32):{'3_1':0.0},(20,31):{'3_1':0.0},(20,25):{'3_1':0.0},(21,236):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_2':0.0,'7_3':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0},(21,235):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'6_1':0.03,'7_3':0.0,'5_1':0.0,'7_2':0.0,'7_4':0.0,'-3':0.0},(21,234):{'5_2':0.18,'3_1':0.09,'6_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0,'8_1':0.0,'-3':0.0},(21,233):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'7_3':0.03,'5_1':0.03,'6_1':0.0},(21,232):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.03,'5_1':0.0,'7_2':0.0,'6_1':0.0},(21,231):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_4':0.0},(21,230):{'3_1':0.15,'5_2':0.09,'4_1':0.09,'6_1':0.0,'5_1':0.0,'7_2':0.0},(21,229):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_2':0.0},(21,228):{'3_1':0.18,'5_2':0.09,'4_1':0.03,'5_1':0.0,'7_3':0.0},(21,227):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(21,226):{'3_1':0.24,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(21,225):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(21,224):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0},(21,223):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(21,222):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(21,221):{'3_1':0.15,'5_1':0.03,'4_1':0.03,'5_2':0.03,'7_3':0.0,'-3':0.0},(21,220):{'3_1':0.24,'5_1':0.06,'5_2':0.06,'4_1':0.0,'6_3':0.0},(21,219):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(21,218):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_6':0.0},(21,217):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_3':0.0},(21,216):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_7':0.0},(21,215):{'3_1':0.3,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0},(21,214):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(21,213):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(21,212):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(21,211):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(21,210):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0,'7_6':0.0,'8_19':0.0},(21,209):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_3':0.0},(21,208):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'6_2':0.0,'8_19':0.0},(21,207):{'3_1':0.21,'5_2':0.06,'5_1':0.03},(21,206):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0},(21,205):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'7_4':0.0},(21,204):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(21,203):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_4':0.0},(21,202):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(21,201):{'3_1':0.15,'5_1':0.03,'5_2':0.03},(21,200):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(21,199):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'5_1':0.0},(21,198):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(21,197):{'3_1':0.21,'5_2':0.0,'5_1':0.0},(21,196):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(21,195):{'3_1':0.24,'5_2':0.0},(21,194):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(21,193):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0},(21,192):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_19':0.0},(21,191):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(21,190):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_19':0.0},(21,189):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(21,188):{'3_1':0.27,'4_1':0.0,'5_2':0.0},(21,187):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_13':0.0},(21,186):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(21,185):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(21,184):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(21,183):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(21,182):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_19':0.0},(21,181):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(21,180):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(21,179):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(21,178):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(21,177):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(21,176):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,175):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(21,174):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(21,173):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'7_3':0.0},(21,172):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(21,171):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'7_3':0.0,'8_19':0.0},(21,170):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(21,169):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(21,168):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(21,167):{'3_1':0.15,'5_1':0.06,'5_2':0.0},(21,166):{'3_1':0.09,'5_2':0.03,'5_1':0.0},(21,165):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(21,164):{'3_1':0.12,'5_1':0.0},(21,163):{'3_1':0.12,'5_1':0.0},(21,162):{'3_1':0.03,'4_1':0.0},(21,161):{'3_1':0.0,'5_1':0.0},(21,160):{'3_1':0.0,'5_1':0.0},(21,159):{'3_1':0.06},(21,158):{'3_1':0.06,'4_1':0.0},(21,157):{'3_1':0.06,'5_1':0.0},(21,156):{'3_1':0.06,'5_1':0.0},(21,155):{'3_1':0.06,'5_1':0.0},(21,154):{'3_1':0.09,'5_2':0.0},(21,153):{'3_1':0.09},(21,152):{'3_1':0.06},(21,151):{'3_1':0.06},(21,150):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(21,149):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(21,148):{'3_1':0.06,'5_1':0.0},(21,147):{'3_1':0.09},(21,146):{'3_1':0.06,'4_1':0.0},(21,145):{'3_1':0.09,'5_2':0.0},(21,144):{'3_1':0.09},(21,143):{'3_1':0.03,'5_2':0.0},(21,142):{'3_1':0.03},(21,141):{'3_1':0.03,'4_1':0.0},(21,140):{'3_1':0.03,'4_1':0.0},(21,139):{'3_1':0.03,'4_1':0.0},(21,138):{'3_1':0.03,'6_1':0.0},(21,137):{'3_1':0.03,'4_1':0.0},(21,136):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(21,135):{'3_1':0.03,'5_2':0.0},(21,134):{'3_1':0.03,'4_1':0.0},(21,133):{'3_1':0.03},(21,132):{'3_1':0.06,'4_1':0.0},(21,131):{'3_1':0.06,'5_2':0.0},(21,130):{'3_1':0.06},(21,129):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(21,128):{'3_1':0.0,'5_2':0.0},(21,127):{'3_1':0.03,'5_2':0.0},(21,126):{'3_1':0.03,'5_2':0.0},(21,125):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(21,124):{'3_1':0.03},(21,123):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(21,122):{'3_1':0.03,'4_1':0.0},(21,121):{'3_1':0.06,'5_2':0.0},(21,120):{'3_1':0.03,'5_2':0.0},(21,119):{'3_1':0.03},(21,118):{'3_1':0.06,'4_1':0.0},(21,117):{'3_1':0.06},(21,116):{'3_1':0.06},(21,115):{'3_1':0.06},(21,114):{'3_1':0.06},(21,113):{'3_1':0.03,'4_1':0.0},(21,112):{'3_1':0.06,'4_1':0.0},(21,111):{'3_1':0.03,'4_1':0.0},(21,110):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(21,109):{'3_1':0.06},(21,108):{'3_1':0.06},(21,107):{'3_1':0.03},(21,106):{'3_1':0.06},(21,105):{'3_1':0.03,'4_1':0.0},(21,104):{'3_1':0.03},(21,103):{'3_1':0.0},(21,102):{'3_1':0.06,'4_1':0.0},(21,101):{'3_1':0.06},(21,100):{'3_1':0.06},(21,99):{'3_1':0.06},(21,98):{'3_1':0.0},(21,97):{'3_1':0.0},(21,96):{'3_1':0.0},(21,95):{'3_1':0.0},(21,94):{'3_1':0.03},(21,93):{'3_1':0.0},(21,92):{'3_1':0.0},(21,91):{'3_1':0.0},(21,90):{'3_1':0.0},(21,89):{'3_1':0.0},(21,88):{'3_1':0.03},(21,87):{'3_1':0.03},(21,86):{'3_1':0.03},(21,85):{'3_1':0.0},(21,84):{'3_1':0.03},(21,83):{'3_1':0.0},(21,82):{'3_1':0.0},(21,80):{'3_1':0.0},(21,79):{'3_1':0.0,'4_1':0.0},(21,77):{'3_1':0.0,'4_1':0.0},(21,76):{'3_1':0.0},(21,75):{'3_1':0.0},(21,73):{'3_1':0.0},(21,69):{'3_1':0.0},(21,67):{'3_1':0.0},(21,66):{'3_1':0.0},(21,65):{'3_1':0.0},(21,63):{'3_1':0.0},(21,62):{'3_1':0.0},(21,60):{'3_1':0.0},(21,57):{'3_1':0.0},(21,55):{'3_1':0.0},(21,54):{'3_1':0.0},(21,35):{'3_1':0.0,'4_1':0.0},(21,34):{'3_1':0.0},(21,33):{'3_1':0.0},(21,26):{'3_1':0.0},(21,25):{'3_1':0.0},(22,236):{'5_2':0.21,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_2':0.03,'5_1':0.0,'7_3':0.0,'6_2':0.0,'8_1':0.0},(22,235):{'5_2':0.18,'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0,'7_2':0.0,'5_1':0.0,'8_1':0.0},(22,234):{'5_2':0.18,'4_1':0.09,'6_1':0.06,'3_1':0.03,'7_3':0.03,'6_2':0.0},(22,233):{'5_2':0.12,'3_1':0.09,'4_1':0.06,'7_3':0.03,'5_1':0.0,'6_2':0.0},(22,232):{'3_1':0.12,'4_1':0.12,'5_2':0.12,'5_1':0.0,'6_1':0.0,'7_3':0.0},(22,231):{'4_1':0.15,'5_2':0.09,'3_1':0.09,'6_1':0.0,'7_3':0.0},(22,230):{'3_1':0.27,'4_1':0.06,'5_2':0.06,'5_1':0.0},(22,229):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'5_1':0.03,'7_2':0.0,'7_3':0.0},(22,228):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(22,227):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0,'7_4':0.0},(22,226):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'6_3':0.0},(22,225):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(22,224):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(22,223):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(22,222):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0},(22,221):{'3_1':0.18,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(22,220):{'3_1':0.27,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_7':0.0},(22,219):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0},(22,218):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(22,217):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(22,216):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(22,215):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(22,214):{'3_1':0.21,'5_1':0.03,'8_19':0.0},(22,213):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'6_3':0.0},(22,212):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(22,211):{'3_1':0.24,'5_1':0.03,'7_3':0.0},(22,210):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0},(22,209):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_3':0.0},(22,208):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'7_3':0.0,'4_1':0.0},(22,207):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(22,206):{'3_1':0.24,'5_1':0.03,'4_1':0.0,'5_2':0.0,'-3':0.0},(22,205):{'3_1':0.21,'5_2':0.03,'4_1':0.03,'5_1':0.0},(22,204):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'4_1':0.0},(22,203):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_3':0.0},(22,202):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0},(22,201):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,200):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(22,199):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(22,198):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(22,197):{'3_1':0.18,'5_2':0.06,'5_1':0.03,'4_1':0.0,'7_3':0.0},(22,196):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(22,195):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(22,194):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(22,193):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0},(22,192):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(22,191):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_19':0.0},(22,190):{'3_1':0.06,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_1':0.0},(22,189):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(22,188):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(22,187):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(22,186):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(22,185):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(22,184):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(22,183):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(22,182):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(22,181):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0},(22,180):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(22,179):{'3_1':0.3,'5_1':0.03,'5_2':0.0},(22,178):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'7_4':0.0},(22,177):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(22,176):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0},(22,175):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(22,174):{'3_1':0.24,'5_1':0.03,'7_3':0.0},(22,173):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0,'8_19':0.0},(22,172):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_3':0.0},(22,171):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(22,170):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(22,169):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_3':0.0},(22,168):{'3_1':0.18,'5_1':0.03,'7_3':0.0},(22,167):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(22,166):{'3_1':0.12,'5_2':0.0},(22,165):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(22,164):{'3_1':0.09,'5_1':0.0},(22,163):{'3_1':0.12},(22,162):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(22,161):{'3_1':0.03,'7_1':0.0},(22,160):{'3_1':0.06},(22,159):{'3_1':0.03,'5_1':0.0},(22,158):{'3_1':0.06},(22,157):{'3_1':0.12},(22,156):{'3_1':0.09},(22,155):{'3_1':0.03},(22,154):{'3_1':0.06},(22,153):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,152):{'3_1':0.06,'4_1':0.0},(22,151):{'3_1':0.03,'4_1':0.0},(22,150):{'3_1':0.03},(22,149):{'3_1':0.12,'5_1':0.0},(22,148):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(22,147):{'3_1':0.06},(22,146):{'3_1':0.03,'4_1':0.0},(22,145):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,144):{'3_1':0.06},(22,143):{'3_1':0.06,'5_2':0.0},(22,142):{'3_1':0.06},(22,141):{'4_1':0.0,'3_1':0.0},(22,140):{'3_1':0.03,'4_1':0.0},(22,139):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(22,138):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(22,137):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,136):{'3_1':0.03,'5_2':0.0},(22,135):{'3_1':0.0,'4_1':0.0},(22,134):{'3_1':0.06,'5_2':0.0},(22,133):{'3_1':0.03,'4_1':0.0},(22,132):{'3_1':0.06},(22,131):{'3_1':0.06,'4_1':0.0},(22,130):{'3_1':0.06,'4_1':0.0},(22,129):{'3_1':0.03,'4_1':0.0},(22,128):{'3_1':0.06},(22,127):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(22,126):{'3_1':0.03,'5_1':0.0},(22,125):{'5_2':0.0,'3_1':0.0},(22,124):{'3_1':0.03},(22,123):{'3_1':0.03},(22,122):{'3_1':0.06},(22,121):{'3_1':0.03},(22,120):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(22,119):{'3_1':0.06},(22,118):{'3_1':0.03,'4_1':0.0},(22,117):{'3_1':0.06},(22,116):{'3_1':0.06},(22,115):{'3_1':0.0},(22,114):{'3_1':0.0},(22,113):{'3_1':0.03},(22,112):{'3_1':0.09,'4_1':0.0},(22,111):{'3_1':0.09,'4_1':0.0},(22,110):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(22,109):{'3_1':0.03},(22,108):{'3_1':0.0},(22,107):{'3_1':0.03,'4_1':0.0},(22,106):{'3_1':0.03},(22,105):{'3_1':0.06},(22,104):{'3_1':0.03},(22,103):{'3_1':0.06},(22,102):{'3_1':0.0},(22,101):{'3_1':0.03},(22,100):{'3_1':0.0},(22,99):{'3_1':0.09},(22,98):{'3_1':0.03},(22,97):{'3_1':0.0},(22,96):{'3_1':0.0},(22,95):{'3_1':0.03},(22,94):{'3_1':0.03},(22,93):{'3_1':0.03},(22,92):{'3_1':0.03},(22,91):{'3_1':0.06},(22,90):{'3_1':0.06},(22,89):{'3_1':0.0},(22,88):{'3_1':0.0},(22,87):{'3_1':0.0},(22,85):{'3_1':0.0},(22,82):{'3_1':0.0},(22,81):{'3_1':0.0},(22,79):{'3_1':0.0},(22,78):{'3_1':0.0},(22,77):{'3_1':0.0},(22,75):{'4_1':0.0},(22,74):{'3_1':0.0},(22,73):{'4_1':0.0},(22,71):{'3_1':0.0,'4_1':0.0},(22,69):{'4_1':0.0},(22,66):{'3_1':0.0},(22,65):{'3_1':0.0},(22,61):{'3_1':0.0},(22,60):{'3_1':0.03},(22,57):{'3_1':0.03},(22,55):{'3_1':0.0},(22,52):{'3_1':0.0},(22,51):{'3_1':0.0},(22,50):{'3_1':0.0},(22,48):{'3_1':0.0},(22,40):{'3_1':0.0},(22,28):{'3_1':0.0},(22,27):{'3_1':0.0},(22,25):{'3_1':0.0},(23,236):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'7_3':0.03,'6_1':0.0,'7_2':0.0,'7_1':0.0,'8_3':0.0},(23,235):{'5_2':0.18,'3_1':0.06,'4_1':0.06,'6_1':0.03,'7_3':0.03,'5_1':0.0,'7_2':0.0,'8_11':0.0},(23,234):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'7_6':0.0},(23,233):{'4_1':0.12,'3_1':0.12,'5_2':0.06,'6_1':0.03,'5_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(23,232):{'3_1':0.18,'4_1':0.09,'5_2':0.09,'6_1':0.0,'5_1':0.0,'7_2':0.0,'7_3':0.0},(23,231):{'4_1':0.15,'5_2':0.12,'3_1':0.09,'5_1':0.0,'7_3':0.0},(23,230):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(23,229):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.03},(23,228):{'3_1':0.12,'4_1':0.06,'5_2':0.06,'7_4':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(23,227):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0},(23,226):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(23,225):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'7_3':0.0},(23,224):{'3_1':0.15,'4_1':0.09,'5_2':0.06,'5_1':0.0},(23,223):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(23,222):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0},(23,221):{'3_1':0.18,'5_1':0.06,'4_1':0.06,'5_2':0.0},(23,220):{'3_1':0.24,'4_1':0.0,'5_2':0.0,'5_1':0.0},(23,219):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(23,218):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(23,217):{'3_1':0.15,'5_1':0.0,'7_3':0.0},(23,216):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(23,215):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0},(23,214):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'6_3':0.0},(23,213):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0},(23,212):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(23,211):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(23,210):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(23,209):{'3_1':0.09,'5_1':0.03,'7_3':0.0},(23,208):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(23,207):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_19':0.0},(23,206):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(23,205):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0},(23,204):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,203):{'3_1':0.15,'5_2':0.06,'5_1':0.0,'4_1':0.0},(23,202):{'3_1':0.15,'4_1':0.03,'5_1':0.03,'5_2':0.0,'7_3':0.0},(23,201):{'3_1':0.15,'5_1':0.03,'5_2':0.03},(23,200):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(23,199):{'3_1':0.24,'4_1':0.03,'5_1':0.0,'5_2':0.0,'8_19':0.0},(23,198):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(23,197):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(23,196):{'3_1':0.18,'5_1':0.06,'5_2':0.0},(23,195):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(23,194):{'3_1':0.12,'5_1':0.0,'8_19':0.0},(23,193):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(23,192):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(23,191):{'3_1':0.15,'5_1':0.03,'7_3':0.0},(23,190):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,189):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'8_19':0.0},(23,188):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,187):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'7_2':0.0},(23,186):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(23,185):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,184):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(23,183):{'3_1':0.15},(23,182):{'3_1':0.21,'5_2':0.0},(23,181):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(23,180):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(23,179):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(23,178):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(23,177):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_3':0.0},(23,176):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(23,175):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0},(23,174):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(23,173):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(23,172):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(23,171):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(23,170):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(23,169):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(23,168):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_19':0.0},(23,167):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(23,166):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(23,165):{'3_1':0.12,'5_1':0.0},(23,164):{'3_1':0.09,'5_1':0.0},(23,163):{'3_1':0.03,'5_1':0.0},(23,162):{'3_1':0.09,'5_1':0.0},(23,161):{'3_1':0.0},(23,160):{'3_1':0.06,'5_1':0.0},(23,159):{'3_1':0.06,'5_1':0.0},(23,158):{'3_1':0.06},(23,157):{'3_1':0.0,'5_1':0.0},(23,156):{'3_1':0.06,'5_1':0.0},(23,155):{'3_1':0.06},(23,154):{'3_1':0.06,'4_1':0.0},(23,153):{'3_1':0.03},(23,152):{'3_1':0.03,'4_1':0.0},(23,151):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(23,150):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,149):{'3_1':0.03,'4_1':0.0},(23,148):{'3_1':0.06,'4_1':0.0},(23,147):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(23,146):{'3_1':0.03},(23,145):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,144):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(23,143):{'3_1':0.06,'4_1':0.0},(23,142):{'3_1':0.03,'4_1':0.0},(23,141):{'3_1':0.03,'4_1':0.0},(23,140):{'3_1':0.03,'4_1':0.0},(23,139):{'3_1':0.06,'4_1':0.0},(23,138):{'3_1':0.06},(23,137):{'3_1':0.0,'5_2':0.0},(23,136):{'3_1':0.03},(23,135):{'3_1':0.0,'5_1':0.0},(23,134):{'3_1':0.03,'5_2':0.0},(23,133):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(23,132):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,131):{'3_1':0.06,'5_1':0.0},(23,130):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(23,129):{'3_1':0.03},(23,128):{'3_1':0.0},(23,127):{'3_1':0.03,'5_2':0.0},(23,126):{'3_1':0.12,'5_2':0.0},(23,125):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,124):{'3_1':0.03,'6_1':0.0},(23,123):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(23,122):{'3_1':0.06},(23,121):{'3_1':0.03},(23,120):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(23,119):{'3_1':0.03},(23,118):{'3_1':0.0},(23,117):{'3_1':0.06},(23,116):{'3_1':0.03,'4_1':0.0},(23,115):{'3_1':0.06,'4_1':0.0},(23,114):{'3_1':0.0},(23,113):{'3_1':0.0},(23,112):{'3_1':0.09,'4_1':0.0},(23,111):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(23,110):{'3_1':0.06,'4_1':0.0},(23,109):{'3_1':0.03,'4_1':0.0},(23,108):{'3_1':0.03},(23,107):{'3_1':0.03,'4_1':0.0},(23,106):{'3_1':0.03},(23,105):{'3_1':0.03},(23,104):{'3_1':0.03},(23,103):{'3_1':0.06},(23,102):{'3_1':0.0},(23,101):{'3_1':0.03},(23,100):{'3_1':0.0},(23,99):{'3_1':0.0},(23,98):{'3_1':0.03},(23,97):{'3_1':0.0},(23,96):{'3_1':0.03},(23,95):{'3_1':0.0},(23,94):{'3_1':0.0},(23,93):{'3_1':0.03},(23,92):{'3_1':0.0},(23,91):{'3_1':0.0},(23,90):{'3_1':0.0},(23,89):{'3_1':0.0},(23,88):{'3_1':0.03},(23,87):{'3_1':0.03},(23,86):{'3_1':0.0},(23,85):{'3_1':0.0},(23,84):{'3_1':0.0},(23,83):{'3_1':0.0},(23,82):{'3_1':0.0},(23,81):{'3_1':0.0},(23,80):{'3_1':0.0},(23,78):{'4_1':0.0},(23,77):{'4_1':0.0},(23,74):{'4_1':0.0},(23,71):{'3_1':0.0},(23,70):{'3_1':0.0},(23,69):{'3_1':0.0,'4_1':0.0},(23,68):{'3_1':0.0},(23,60):{'3_1':0.0},(23,59):{'3_1':0.0},(23,58):{'3_1':0.0},(23,56):{'3_1':0.0},(23,54):{'3_1':0.0},(23,52):{'3_1':0.0},(23,48):{'3_1':0.0},(23,35):{'3_1':0.0},(23,34):{'3_1':0.0},(23,32):{'3_1':0.0},(24,236):{'5_2':0.12,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0,'6_2':0.0,'-3':0.0},(24,235):{'4_1':0.09,'5_2':0.06,'7_3':0.06,'3_1':0.03,'6_1':0.03,'7_2':0.0,'-3':0.0,'8_3':0.0},(24,234):{'5_2':0.09,'7_3':0.06,'3_1':0.06,'4_1':0.03,'6_1':0.03},(24,233):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(24,232):{'5_2':0.15,'3_1':0.03,'4_1':0.03,'5_1':0.03,'7_3':0.03,'7_2':0.0,'-3':0.0},(24,231):{'3_1':0.12,'4_1':0.09,'5_2':0.09,'5_1':0.0,'6_1':0.0,'7_2':0.0,'-3':0.0},(24,230):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_3':0.0,'8_11':0.0,'-3':0.0},(24,229):{'3_1':0.12,'4_1':0.09,'5_1':0.06,'5_2':0.06,'7_2':0.0,'7_4':0.0},(24,228):{'3_1':0.09,'4_1':0.06,'5_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(24,227):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(24,226):{'3_1':0.12,'4_1':0.09,'5_1':0.06,'5_2':0.03},(24,225):{'3_1':0.15,'4_1':0.09,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(24,224):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(24,223):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(24,222):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_1':0.0,'7_2':0.0},(24,221):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(24,220):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(24,219):{'3_1':0.27,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(24,218):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'6_3':0.0,'8_20|3_1#3_1':0.0},(24,217):{'3_1':0.24,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'1':-0.03},(24,216):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(24,215):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(24,214):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(24,213):{'3_1':0.27,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,212):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'7_4':0.0},(24,211):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_3':0.0},(24,210):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(24,209):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(24,208):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(24,207):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(24,206):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(24,205):{'3_1':0.15,'5_1':0.03,'5_2':0.03,'4_1':0.0},(24,204):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(24,203):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.0,'7_3':0.0},(24,202):{'3_1':0.15,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(24,201):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(24,200):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(24,199):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_4':0.0},(24,198):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0},(24,197):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(24,196):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_4':0.0,'8_19':0.0},(24,195):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0,'8_19':0.0},(24,194):{'3_1':0.15,'5_1':0.0,'8_19':0.0},(24,193):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_19':0.0},(24,192):{'3_1':0.18,'5_1':0.03,'5_2':0.03},(24,191):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(24,190):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'7_4':0.0,'8_19':0.0},(24,189):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_19':0.0},(24,188):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(24,187):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'8_19':0.0},(24,186):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(24,185):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(24,184):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,183):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(24,182):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'8_19':0.0},(24,181):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(24,180):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0},(24,179):{'3_1':0.15,'5_1':0.03,'5_2':0.03},(24,178):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(24,177):{'3_1':0.18,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_21|3_1#4_1':0.0},(24,176):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(24,175):{'3_1':0.18,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_3':0.0},(24,174):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'8_19':0.0},(24,173):{'3_1':0.21,'5_2':0.06,'5_1':0.03,'4_1':0.0},(24,172):{'3_1':0.15,'5_1':0.03,'8_19':0.0},(24,171):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(24,170):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_2':0.0},(24,169):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(24,168):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(24,167):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(24,166):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(24,165):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(24,164):{'3_1':0.06,'5_2':0.0},(24,163):{'3_1':0.18,'5_1':0.0},(24,162):{'3_1':0.15},(24,161):{'3_1':0.06,'5_1':0.0},(24,160):{'3_1':0.03,'5_1':0.0},(24,159):{'3_1':0.03,'4_1':0.0,'8_1':0.0},(24,158):{'3_1':0.09,'5_1':0.0},(24,157):{'3_1':0.03,'5_1':0.0},(24,156):{'3_1':0.09,'5_2':0.0},(24,155):{'3_1':0.03},(24,154):{'3_1':0.03,'5_1':0.0},(24,153):{'3_1':0.09,'4_1':0.0},(24,152):{'3_1':0.06},(24,151):{'3_1':0.06},(24,150):{'3_1':0.06,'4_1':0.0},(24,149):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(24,148):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(24,147):{'3_1':0.06},(24,146):{'3_1':0.06},(24,145):{'3_1':0.06,'5_2':0.0},(24,144):{'3_1':0.03},(24,143):{'3_1':0.09,'6_1':0.0},(24,142):{'3_1':0.09,'5_2':0.0},(24,141):{'3_1':0.03},(24,140):{'3_1':0.06,'4_1':0.0},(24,139):{'3_1':0.06,'4_1':0.0},(24,138):{'3_1':0.03,'4_1':0.0},(24,137):{'3_1':0.09,'5_2':0.0},(24,136):{'3_1':0.06,'4_1':0.0},(24,135):{'3_1':0.0},(24,134):{'3_1':0.03,'5_2':0.0},(24,133):{'3_1':0.06,'5_2':0.0},(24,132):{'3_1':0.0},(24,131):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,130):{'3_1':0.03,'5_2':0.0},(24,129):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(24,128):{'3_1':0.06,'5_2':0.03},(24,127):{'3_1':0.0},(24,126):{'3_1':0.09,'4_1':0.0},(24,125):{'3_1':0.03,'5_2':0.0},(24,124):{'3_1':0.03,'5_2':0.0},(24,123):{'3_1':0.06,'5_2':0.0},(24,122):{'3_1':0.0,'5_2':0.0},(24,121):{'3_1':0.03},(24,120):{'3_1':0.06,'4_1':0.0},(24,119):{'3_1':0.06},(24,118):{'3_1':0.06},(24,117):{'3_1':0.06},(24,116):{'3_1':0.03},(24,115):{'3_1':0.03,'4_1':0.0},(24,114):{'3_1':0.09},(24,113):{'3_1':0.09,'4_1':0.0},(24,112):{'3_1':0.09,'4_1':0.0},(24,111):{'3_1':0.03,'4_1':0.0},(24,110):{'3_1':0.03,'4_1':0.0},(24,109):{'3_1':0.03},(24,108):{'3_1':0.06,'4_1':0.0},(24,107):{'3_1':0.03,'4_1':0.0},(24,106):{'3_1':0.06,'4_1':0.0},(24,105):{'3_1':0.06},(24,104):{'3_1':0.03},(24,103):{'3_1':0.03},(24,102):{'3_1':0.0},(24,101):{'3_1':0.0},(24,100):{'3_1':0.06},(24,99):{'3_1':0.03},(24,98):{'3_1':0.06},(24,97):{'3_1':0.0},(24,96):{'3_1':0.06},(24,95):{'3_1':0.03},(24,94):{'3_1':0.03},(24,92):{'3_1':0.0},(24,91):{'3_1':0.0},(24,90):{'3_1':0.03},(24,89):{'3_1':0.0},(24,88):{'3_1':0.0},(24,86):{'3_1':0.0},(24,85):{'3_1':0.0},(24,84):{'3_1':0.0},(24,83):{'3_1':0.0},(24,82):{'3_1':0.0},(24,81):{'3_1':0.0},(24,79):{'3_1':0.0},(24,78):{'3_1':0.0},(24,77):{'3_1':0.0},(24,73):{'3_1':0.0},(24,72):{'3_1':0.0},(24,70):{'4_1':0.0},(24,69):{'3_1':0.0,'4_1':0.0},(24,68):{'3_1':0.0},(24,67):{'3_1':0.0},(24,66):{'3_1':0.0,'4_1':0.0},(24,65):{'3_1':0.0},(24,62):{'3_1':0.0},(24,61):{'3_1':0.0},(24,59):{'3_1':0.0},(24,57):{'3_1':0.0},(24,56):{'3_1':0.0},(24,55):{'3_1':0.0},(24,53):{'3_1':0.0},(24,49):{'3_1':0.0},(24,47):{'3_1':0.0},(24,43):{'3_1':0.0},(24,36):{'3_1':0.0},(24,31):{'3_1':0.0},(25,236):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'4_1':0.03,'7_3':0.0,'5_1':0.0,'7_1':0.0,'7_2':0.0,'7_4':0.0},(25,235):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.06,'7_3':0.03,'5_1':0.0,'7_2':0.0,'7_4':0.0,'7_6':0.0},(25,234):{'5_2':0.18,'3_1':0.09,'4_1':0.06,'6_1':0.03,'5_1':0.03,'7_2':0.0,'7_3':0.0,'7_4':0.0,'6_2':0.0},(25,233):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'7_3':0.03,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_4':0.0,'7_6':0.0},(25,232):{'3_1':0.12,'5_2':0.09,'4_1':0.03,'7_3':0.03,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(25,231):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'5_1':0.0,'7_4':0.0,'6_1':0.0,'6_3':0.0,'7_2':0.0,'7_3':0.0},(25,230):{'3_1':0.21,'5_2':0.09,'4_1':0.06,'6_2':0.0,'5_1':0.0,'7_3':0.0},(25,229):{'3_1':0.12,'5_2':0.12,'4_1':0.09,'5_1':0.0,'7_3':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(25,228):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(25,227):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(25,226):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0,'7_3':0.0,'7_4':0.0},(25,225):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0,'7_4':0.0},(25,224):{'3_1':0.33,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0},(25,223):{'3_1':0.21,'5_1':0.06,'4_1':0.03,'5_2':0.03},(25,222):{'3_1':0.18,'5_2':0.06,'4_1':0.03,'5_1':0.03,'7_3':0.0},(25,221):{'3_1':0.24,'5_1':0.06,'4_1':0.03,'7_3':0.0},(25,220):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.03},(25,219):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0},(25,218):{'3_1':0.21,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(25,217):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(25,216):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0,'7_3':0.0},(25,215):{'3_1':0.21,'5_1':0.03,'4_1':0.03,'5_2':0.0,'7_2':0.0},(25,214):{'3_1':0.15,'5_1':0.06,'5_2':0.06,'7_3':0.0},(25,213):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(25,212):{'3_1':0.15,'5_1':0.06},(25,211):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'7_6':0.0},(25,210):{'3_1':0.09,'5_1':0.06,'5_2':0.0,'4_1':0.0,'-3':0.0},(25,209):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'7_2':0.0,'8_19':0.0},(25,208):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_3':0.0},(25,207):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'6_3':0.0,'7_3':0.0},(25,206):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(25,205):{'3_1':0.09,'5_1':0.09,'5_2':0.03,'7_3':0.0,'4_1':0.0},(25,204):{'3_1':0.24,'5_2':0.03,'5_1':0.0},(25,203):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_2':0.0,'-3':0.0},(25,202):{'3_1':0.24,'5_2':0.06,'5_1':0.03,'4_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0,'7_4':0.0},(25,201):{'3_1':0.21,'5_2':0.03,'5_1':0.03,'7_2':0.0,'-3':0.0},(25,200):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'7_4':0.0},(25,199):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0,'8_21|3_1#4_1':0.0},(25,198):{'3_1':0.15,'5_2':0.03,'5_1':0.03,'4_1':0.0,'7_3':0.0},(25,197):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(25,196):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_2':0.0,'8_19':0.0,'8_20|3_1#3_1':0.0},(25,195):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'7_2':0.0},(25,194):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(25,193):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(25,192):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(25,191):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0},(25,190):{'3_1':0.21,'5_2':0.03,'5_1':0.0,'4_1':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(25,189):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_19':0.0},(25,188):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(25,187):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(25,186):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(25,185):{'3_1':0.12,'5_1':0.03,'6_2':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,184):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(25,183):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(25,182):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_19':0.0},(25,181):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_19':0.0},(25,180):{'3_1':0.27,'5_1':0.03,'4_1':0.0},(25,179):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0},(25,178):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'7_2':0.0,'8_19':0.0},(25,177):{'3_1':0.21,'5_1':0.06,'5_2':0.03,'6_2':0.0,'7_2':0.0},(25,176):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_19':0.0},(25,175):{'3_1':0.24,'5_2':0.03,'8_20|3_1#3_1':0.0},(25,174):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(25,173):{'3_1':0.27,'5_1':0.06,'5_2':0.0,'8_19':0.0,'4_1':0.0,'6_2':0.0},(25,172):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0},(25,171):{'3_1':0.12,'5_1':0.06,'5_2':0.03,'7_2':0.0,'7_3':0.0},(25,170):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'7_3':0.0,'8_19':0.0},(25,169):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'4_1':0.0,'6_2':0.0,'7_4':0.0,'8_19':0.0},(25,168):{'3_1':0.15,'5_2':0.0,'8_19':0.0,'5_1':0.0},(25,167):{'3_1':0.24,'5_1':0.0},(25,166):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(25,165):{'3_1':0.09,'5_1':0.0},(25,164):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(25,163):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(25,162):{'3_1':0.09,'5_1':0.0},(25,161):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(25,160):{'3_1':0.09,'5_1':0.0},(25,159):{'3_1':0.09,'5_1':0.0},(25,158):{'3_1':0.06,'5_1':0.0},(25,157):{'3_1':0.12,'5_1':0.0},(25,156):{'3_1':0.18,'4_1':0.0},(25,155):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(25,154):{'3_1':0.0,'5_2':0.0},(25,153):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(25,152):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(25,151):{'3_1':0.03},(25,150):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(25,149):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(25,148):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(25,147):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(25,146):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(25,145):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(25,144):{'3_1':0.03,'4_1':0.0},(25,143):{'3_1':0.0,'4_1':0.0},(25,142):{'3_1':0.06},(25,141):{'3_1':0.06,'4_1':0.0},(25,140):{'3_1':0.06,'5_1':0.0},(25,139):{'3_1':0.03},(25,138):{'3_1':0.06},(25,137):{'3_1':0.06},(25,136):{'3_1':0.03},(25,135):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(25,134):{'3_1':0.03,'4_1':0.0},(25,133):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(25,132):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,131):{'3_1':0.12,'5_2':0.0},(25,130):{'3_1':0.09},(25,129):{'3_1':0.03,'5_2':0.0},(25,128):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0,'7_2':0.0},(25,127):{'3_1':0.03,'4_1':0.0},(25,126):{'3_1':0.12,'5_2':0.0},(25,125):{'3_1':0.03,'4_1':0.0},(25,124):{'3_1':0.03,'5_2':0.0},(25,123):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,122):{'3_1':0.06,'5_2':0.0},(25,121):{'3_1':0.06},(25,120):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(25,119):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(25,118):{'3_1':0.06,'4_1':0.0},(25,117):{'3_1':0.03},(25,116):{'3_1':0.03},(25,115):{'3_1':0.06,'5_2':0.0},(25,114):{'3_1':0.09},(25,113):{'3_1':0.06},(25,112):{'3_1':0.03},(25,111):{'3_1':0.03,'4_1':0.0},(25,110):{'3_1':0.06,'4_1':0.0},(25,109):{'3_1':0.12,'4_1':0.0},(25,108):{'3_1':0.03,'4_1':0.0},(25,107):{'3_1':0.06,'4_1':0.0},(25,106):{'3_1':0.09},(25,105):{'3_1':0.06,'4_1':0.0},(25,104):{'3_1':0.09},(25,103):{'3_1':0.03},(25,102):{'3_1':0.06},(25,101):{'3_1':0.12},(25,100):{'3_1':0.03,'4_1':0.0},(25,99):{'3_1':0.03,'5_2':0.0},(25,98):{'3_1':0.03},(25,97):{'3_1':0.06},(25,96):{'3_1':0.06},(25,95):{'3_1':0.0},(25,94):{'3_1':0.0},(25,93):{'3_1':0.06},(25,92):{'3_1':0.03},(25,91):{'3_1':0.0},(25,90):{'3_1':0.03},(25,89):{'3_1':0.03},(25,88):{'3_1':0.0},(25,87):{'3_1':0.0},(25,86):{'3_1':0.0},(25,85):{'3_1':0.0},(25,84):{'3_1':0.0},(25,83):{'3_1':0.0},(25,82):{'3_1':0.0},(25,81):{'3_1':0.0},(25,80):{'3_1':0.0},(25,79):{'4_1':0.0,'5_2':0.0},(25,78):{'3_1':0.0},(25,76):{'4_1':0.0},(25,72):{'3_1':0.0},(25,70):{'4_1':0.0,'3_1':0.0},(25,69):{'3_1':0.0},(25,68):{'3_1':0.0},(25,66):{'3_1':0.0},(25,62):{'3_1':0.0},(25,61):{'3_1':0.0},(25,60):{'3_1':0.0},(25,59):{'3_1':0.0},(25,54):{'3_1':0.0},(25,52):{'3_1':0.0},(26,236):{'5_2':0.15,'4_1':0.06,'6_1':0.06,'7_3':0.03,'3_1':0.0,'7_2':0.0,'7_6':0.0},(26,235):{'5_2':0.18,'3_1':0.06,'6_1':0.03,'4_1':0.03,'7_3':0.0,'7_2':0.0,'7_4':0.0},(26,234):{'5_2':0.09,'3_1':0.09,'4_1':0.06,'6_1':0.03,'7_3':0.0,'7_2':0.0,'6_2':0.0,'7_4':0.0,'7_6':0.0,'8_11':0.0},(26,233):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_3':0.0,'5_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(26,232):{'4_1':0.15,'5_2':0.15,'3_1':0.06,'7_3':0.0,'7_2':0.0},(26,231):{'3_1':0.15,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0,'-3':0.0},(26,230):{'3_1':0.12,'4_1':0.12,'5_2':0.06,'5_1':0.03,'6_1':0.0,'7_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(26,229):{'3_1':0.18,'5_2':0.09,'4_1':0.06,'5_1':0.0,'6_2':0.0,'7_3':0.0},(26,228):{'3_1':0.12,'4_1':0.09,'5_2':0.06,'5_1':0.03,'7_4':0.0},(26,227):{'3_1':0.3,'4_1':0.06,'5_2':0.06,'5_1':0.0,'7_2':0.0,'7_3':0.0},(26,226):{'3_1':0.18,'4_1':0.03,'5_2':0.03,'5_1':0.0,'7_3':0.0,'6_1':0.0},(26,225):{'3_1':0.18,'4_1':0.06,'5_2':0.03,'5_1':0.0},(26,224):{'3_1':0.18,'5_1':0.06,'4_1':0.03,'5_2':0.0,'7_2':0.0,'7_4':0.0,'8_7':0.0},(26,223):{'3_1':0.24,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_1':0.0,'7_3':0.0,'8_8':0.0},(26,222):{'3_1':0.18,'4_1':0.06,'5_1':0.06,'5_2':0.0,'7_5':0.0},(26,221):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_4':0.0},(26,220):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0,'8_8':0.0,'-3':0.0},(26,219):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0},(26,218):{'3_1':0.27,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(26,217):{'3_1':0.3,'5_2':0.0,'5_1':0.0,'7_2':0.0,'8_20|3_1#3_1':0.0},(26,216):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(26,215):{'3_1':0.21,'5_1':0.03,'4_1':0.0},(26,214):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(26,213):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0},(26,212):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(26,211):{'3_1':0.15,'5_2':0.03,'5_1':0.0,'8_19':0.0},(26,210):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_4':0.0},(26,209):{'3_1':0.21,'5_2':0.06,'5_1':0.0,'4_1':0.0},(26,208):{'3_1':0.24,'5_1':0.0,'6_3':0.0,'5_2':0.0},(26,207):{'3_1':0.24,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0},(26,206):{'3_1':0.21,'5_2':0.03,'5_1':0.0},(26,205):{'3_1':0.18,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_3':0.0},(26,204):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'7_3':0.0},(26,203):{'3_1':0.27,'5_2':0.0,'4_1':0.0,'5_1':0.0},(26,202):{'3_1':0.06,'4_1':0.03,'5_1':0.03,'5_2':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(26,201):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(26,200):{'3_1':0.24,'5_2':0.03,'5_1':0.03,'4_1':0.0},(26,199):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'5_1':0.0,'7_3':0.0},(26,198):{'3_1':0.27,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0},(26,197):{'3_1':0.15,'5_1':0.06,'5_2':0.03,'4_1':0.03},(26,196):{'3_1':0.21,'5_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0,'7_3':0.0,'7_6':0.0},(26,195):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(26,194):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0,'6_3':0.0},(26,193):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(26,192):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_2':0.0},(26,191):{'3_1':0.15,'5_1':0.03,'7_3':0.0},(26,190):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'6_3':0.0,'5_2':0.0,'7_2':0.0},(26,189):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'7_3':0.0},(26,188):{'3_1':0.18,'5_2':0.06,'5_1':0.0,'4_1':0.0,'7_3':0.0},(26,187):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(26,186):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'8_19':0.0},(26,185):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(26,184):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(26,183):{'3_1':0.21,'5_1':0.03,'5_2':0.0},(26,182):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_2':0.0,'8_19':0.0,'8_21|3_1#4_1':0.0},(26,181):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_2':0.0},(26,180):{'3_1':0.09,'5_1':0.03,'5_2':0.0},(26,179):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(26,178):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(26,177):{'3_1':0.18,'5_1':0.03,'5_2':0.0,'6_3':0.0,'7_3':0.0},(26,176):{'3_1':0.27,'5_1':0.03,'5_2':0.0},(26,175):{'3_1':0.27,'5_1':0.03,'5_2':0.0,'7_3':0.0},(26,174):{'3_1':0.21,'5_1':0.03,'5_2':0.03,'4_1':0.0,'6_2':0.0},(26,173):{'3_1':0.18,'5_1':0.06,'5_2':0.03,'8_19':0.0},(26,172):{'3_1':0.3,'5_2':0.0,'4_1':0.0,'5_1':0.0},(26,171):{'3_1':0.18,'5_2':0.0,'7_3':0.0},(26,170):{'3_1':0.21,'5_2':0.0},(26,169):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(26,168):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(26,167):{'3_1':0.15,'5_2':0.0,'7_2':0.0},(26,166):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(26,165):{'3_1':0.09},(26,164):{'3_1':0.06,'5_1':0.0},(26,163):{'3_1':0.09,'5_1':0.0},(26,162):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(26,161):{'3_1':0.06,'5_1':0.0,'7_1':0.0},(26,160):{'3_1':0.09,'5_1':0.0},(26,159):{'3_1':0.06},(26,158):{'3_1':0.09},(26,157):{'3_1':0.06,'5_1':0.0},(26,156):{'3_1':0.09},(26,155):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(26,154):{'3_1':0.03},(26,153):{'3_1':0.12},(26,152):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(26,151):{'3_1':0.09,'5_1':0.0},(26,150):{'3_1':0.03},(26,149):{'3_1':0.06},(26,148):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(26,147):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,146):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(26,145):{'3_1':0.15,'4_1':0.0},(26,144):{'3_1':0.06,'4_1':0.0},(26,143):{'3_1':0.06},(26,142):{'3_1':0.03,'4_1':0.0},(26,141):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(26,140):{'3_1':0.06},(26,139):{'3_1':0.03,'4_1':0.0},(26,138):{'3_1':0.06,'8_1':0.0},(26,137):{'3_1':0.06,'4_1':0.0},(26,136):{'3_1':0.06},(26,135):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(26,134):{'3_1':0.06},(26,133):{'3_1':0.06,'4_1':0.0},(26,132):{'3_1':0.06},(26,131):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(26,130):{'5_2':0.0,'4_1':0.0,'3_1':0.0,'5_1':0.0},(26,129):{'3_1':0.03},(26,128):{'3_1':0.06},(26,127):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(26,126):{'3_1':0.03,'5_2':0.0},(26,125):{'3_1':0.03},(26,124):{'3_1':0.09},(26,123):{'3_1':0.06},(26,122):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(26,121):{'3_1':0.06},(26,120):{'3_1':0.06},(26,119):{'3_1':0.03},(26,118):{'3_1':0.06,'5_2':0.0},(26,117):{'3_1':0.03},(26,116):{'3_1':0.03},(26,115):{'3_1':0.03,'5_2':0.0},(26,114):{'3_1':0.03,'4_1':0.0},(26,113):{'3_1':0.06,'4_1':0.0},(26,112):{'3_1':0.03},(26,111):{'3_1':0.03,'4_1':0.0},(26,110):{'3_1':0.03,'4_1':0.0},(26,109):{'3_1':0.03,'4_1':0.0},(26,108):{'3_1':0.06},(26,107):{'3_1':0.09},(26,106):{'3_1':0.03},(26,105):{'3_1':0.06},(26,104):{'3_1':0.03},(26,103):{'3_1':0.0},(26,102):{'3_1':0.06},(26,101):{'3_1':0.03},(26,100):{'3_1':0.03},(26,99):{'3_1':0.0},(26,98):{'3_1':0.03},(26,97):{'3_1':0.03},(26,96):{'3_1':0.03},(26,95):{'3_1':0.0},(26,94):{'3_1':0.03},(26,93):{'3_1':0.06},(26,92):{'3_1':0.03},(26,91):{'3_1':0.03},(26,90):{'3_1':0.03},(26,89):{'3_1':0.0},(26,88):{'3_1':0.0},(26,87):{'3_1':0.0},(26,86):{'3_1':0.0},(26,85):{'3_1':0.0},(26,84):{'3_1':0.0},(26,82):{'3_1':0.0},(26,81):{'3_1':0.0},(26,80):{'3_1':0.0},(26,79):{'3_1':0.0},(26,77):{'3_1':0.0},(26,76):{'3_1':0.0,'4_1':0.0},(26,75):{'4_1':0.0},(26,72):{'4_1':0.0},(26,70):{'3_1':0.0},(26,68):{'3_1':0.0},(26,65):{'3_1':0.0},(26,62):{'3_1':0.0},(26,61):{'3_1':0.0},(26,60):{'3_1':0.0},(26,59):{'3_1':0.0},(26,58):{'3_1':0.0},(26,57):{'3_1':0.0},(26,53):{'3_1':0.0},(27,236):{'5_2':0.15,'3_1':0.06,'6_1':0.06,'4_1':0.03,'5_1':0.0,'7_2':0.0,'7_3':0.0},(27,235):{'4_1':0.12,'5_2':0.12,'3_1':0.06,'6_1':0.03,'7_3':0.0,'7_2':0.0,'6_2':0.0,'-3':0.0},(27,234):{'3_1':0.09,'5_2':0.09,'4_1':0.09,'6_1':0.03,'7_3':0.03,'7_2':0.0},(27,233):{'5_2':0.15,'3_1':0.09,'4_1':0.06,'7_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0,'8_20|3_1#3_1':0.0},(27,232):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.03,'6_1':0.0,'6_2':0.0},(27,231):{'3_1':0.15,'4_1':0.12,'5_2':0.09,'5_1':0.03,'7_3':0.0},(27,230):{'3_1':0.12,'5_2':0.09,'4_1':0.06,'6_1':0.0,'7_2':0.0,'7_3':0.0},(27,229):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'5_1':0.0,'6_2':0.0},(27,228):{'3_1':0.21,'4_1':0.09,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(27,227):{'3_1':0.12,'5_1':0.09,'4_1':0.06,'5_2':0.0,'7_3':0.0},(27,226):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0},(27,225):{'3_1':0.15,'4_1':0.09,'5_2':0.03},(27,224):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_3':0.0,'8_7':0.0},(27,223):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(27,222):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(27,221):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.0},(27,220):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,219):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0},(27,218):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(27,217):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0},(27,216):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,215):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(27,214):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,213):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'7_3':0.0,'-3':0.0},(27,212):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.0,'7_6':0.0},(27,211):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0,'8_19':0.0},(27,210):{'3_1':0.18,'5_2':0.0,'5_1':0.0,'7_3':0.0},(27,209):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(27,208):{'3_1':0.18,'5_2':0.03,'5_1':0.03,'4_1':0.0,'8_19':0.0},(27,207):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,206):{'3_1':0.21,'5_1':0.03,'5_2':0.0,'4_1':0.0,'7_3':0.0},(27,205):{'3_1':0.21,'5_1':0.03,'4_1':0.0,'5_2':0.0},(27,204):{'3_1':0.24,'5_2':0.03,'4_1':0.0,'5_1':0.0},(27,203):{'3_1':0.18,'5_2':0.06,'4_1':0.0,'5_1':0.0},(27,202):{'3_1':0.18,'4_1':0.03,'5_1':0.03,'5_2':0.03},(27,201):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'8_21|3_1#4_1':0.0},(27,200):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'5_1':0.0},(27,199):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_2':0.0},(27,198):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(27,197):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_19':0.0},(27,196):{'3_1':0.24,'5_1':0.0,'8_19':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(27,195):{'3_1':0.27,'5_1':0.0,'5_2':0.0,'4_1':0.0},(27,194):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(27,193):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(27,192):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(27,191):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(27,190):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(27,189):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(27,188):{'3_1':0.15,'5_2':0.0,'8_10':0.0},(27,187):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(27,186):{'3_1':0.15,'5_1':0.03,'5_2':0.0,'4_1':0.0},(27,185):{'3_1':0.12,'5_1':0.0},(27,184):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(27,183):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'4_1':0.0},(27,182):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(27,181):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(27,180):{'3_1':0.15,'5_1':0.0},(27,179):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(27,178):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'8_19':0.0},(27,177):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'7_3':0.0},(27,176):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(27,175):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(27,174):{'3_1':0.27,'5_1':0.0,'5_2':0.0},(27,173):{'3_1':0.24,'5_1':0.03,'5_2':0.0,'7_2':0.0},(27,172):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(27,171):{'3_1':0.12,'5_1':0.03,'5_2':0.0,'8_19':0.0},(27,170):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_21|3_1#4_1':0.0},(27,169):{'3_1':0.12,'5_2':0.03,'5_1':0.0},(27,168):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(27,167):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(27,166):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(27,165):{'3_1':0.09,'5_2':0.0},(27,164):{'3_1':0.09,'5_2':0.0},(27,163):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(27,162):{'3_1':0.06},(27,161):{'3_1':0.0,'5_1':0.0},(27,160):{'3_1':0.06},(27,159):{'3_1':0.06,'5_1':0.0},(27,158):{'3_1':0.06,'5_1':0.0},(27,157):{'3_1':0.06,'5_1':0.0},(27,156):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,155):{'3_1':0.03,'5_1':0.0},(27,154):{'3_1':0.0,'4_1':0.0},(27,153):{'3_1':0.03},(27,152):{'3_1':0.03},(27,151):{'3_1':0.09,'4_1':0.0},(27,150):{'3_1':0.0},(27,149):{'3_1':0.06,'4_1':0.0},(27,148):{'3_1':0.0,'5_1':0.0},(27,147):{'3_1':0.03,'4_1':0.0},(27,146):{'3_1':0.03,'4_1':0.0},(27,145):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,144):{'3_1':0.03},(27,143):{'3_1':0.06,'5_2':0.0},(27,142):{'3_1':0.03,'4_1':0.0},(27,141):{'3_1':0.06,'5_1':0.0},(27,140):{'3_1':0.06,'4_1':0.0},(27,139):{'3_1':0.03,'5_2':0.0},(27,138):{'3_1':0.03,'4_1':0.0},(27,137):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(27,136):{'3_1':0.0},(27,135):{'3_1':0.0,'4_1':0.0},(27,134):{'3_1':0.0},(27,133):{'3_1':0.03,'5_2':0.0},(27,132):{'3_1':0.03,'5_1':0.0},(27,131):{'3_1':0.03},(27,130):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(27,129):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(27,128):{'3_1':0.06,'4_1':0.0},(27,127):{'3_1':0.0,'4_1':0.0},(27,126):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_2':0.0},(27,125):{'3_1':0.06,'5_2':0.0},(27,124):{'3_1':0.03},(27,123):{'3_1':0.03,'5_1':0.0},(27,122):{'3_1':0.03,'4_1':0.0},(27,121):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(27,120):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(27,119):{'3_1':0.03,'4_1':0.0},(27,118):{'3_1':0.0},(27,117):{'3_1':0.03,'4_1':0.0},(27,116):{'3_1':0.06},(27,115):{'3_1':0.0},(27,114):{'3_1':0.0,'4_1':0.0},(27,113):{'3_1':0.0,'4_1':0.0},(27,112):{'3_1':0.0},(27,111):{'3_1':0.0},(27,110):{'3_1':0.03},(27,109):{'3_1':0.03},(27,108):{'3_1':0.03},(27,107):{'3_1':0.03},(27,106):{'3_1':0.0,'4_1':0.0},(27,105):{'3_1':0.03},(27,104):{'3_1':0.03},(27,103):{'3_1':0.0},(27,102):{'3_1':0.03},(27,101):{'3_1':0.0},(27,100):{'3_1':0.0},(27,99):{'3_1':0.03},(27,98):{'3_1':0.03},(27,97):{'3_1':0.03},(27,96):{'3_1':0.03},(27,95):{'3_1':0.0},(27,94):{'3_1':0.03},(27,93):{'3_1':0.0},(27,91):{'3_1':0.03},(27,90):{'3_1':0.0},(27,89):{'3_1':0.0},(27,87):{'3_1':0.0},(27,86):{'3_1':0.03},(27,85):{'3_1':0.03},(27,84):{'3_1':0.03},(27,83):{'3_1':0.0},(27,82):{'3_1':0.0},(27,80):{'3_1':0.0},(27,79):{'3_1':0.0,'4_1':0.0},(27,78):{'3_1':0.0,'4_1':0.0},(27,77):{'3_1':0.0},(27,76):{'3_1':0.0},(27,71):{'3_1':0.0},(27,70):{'3_1':0.0},(27,68):{'3_1':0.0},(27,64):{'3_1':0.0},(27,63):{'3_1':0.0},(27,62):{'3_1':0.0},(27,60):{'3_1':0.0},(27,58):{'3_1':0.0},(27,56):{'3_1':0.0},(27,55):{'3_1':0.0},(27,53):{'3_1':0.0},(27,52):{'3_1':0.0},(27,51):{'3_1':0.0},(28,236):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.03,'6_2':0.0,'7_1':0.0,'7_3':0.0,'7_4':0.0,'7_6':0.0},(28,235):{'5_2':0.18,'4_1':0.06,'6_1':0.06,'3_1':0.0,'7_3':0.0,'6_2':0.0,'7_2':0.0},(28,234):{'5_2':0.12,'6_1':0.06,'3_1':0.03,'4_1':0.03,'6_2':0.0,'6_3':0.0,'7_2':0.0},(28,233):{'4_1':0.18,'5_2':0.06,'3_1':0.03,'7_3':0.0,'6_2':0.0,'7_2':0.0},(28,232):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'7_3':0.0},(28,231):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_1':0.0,'6_2':0.0},(28,230):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'5_1':0.0,'6_2':0.0},(28,229):{'4_1':0.18,'3_1':0.12,'5_2':0.03,'7_3':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(28,228):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_2':0.0,'7_4':0.0},(28,227):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'5_1':0.0,'7_3':0.0},(28,226):{'3_1':0.15,'4_1':0.06,'5_2':0.03,'5_1':0.0,'6_3':0.0,'7_3':0.0},(28,225):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0},(28,224):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_3':0.0},(28,223):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(28,222):{'3_1':0.12,'5_1':0.03,'5_2':0.03,'4_1':0.03,'6_3':0.0},(28,221):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(28,220):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(28,219):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(28,218):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(28,217):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,216):{'3_1':0.15,'5_1':0.03,'5_2':0.0},(28,215):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0,'7_3':0.0},(28,214):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_4':0.0},(28,213):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(28,212):{'5_2':0.06,'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,211):{'3_1':0.12,'7_3':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0,'7_2':0.0},(28,210):{'3_1':0.12,'5_1':0.0,'7_2':0.0,'-3':0.0},(28,209):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(28,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(28,207):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(28,206):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,205):{'3_1':0.15,'7_2':0.0,'4_1':0.0,'6_2':0.0},(28,204):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'5_1':0.0},(28,203):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(28,202):{'3_1':0.06,'4_1':0.06,'5_2':0.03},(28,201):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(28,200):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0,'7_3':0.0,'8_21|3_1#4_1':0.0},(28,199):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(28,198):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(28,197):{'3_1':0.18,'5_2':0.0},(28,196):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(28,195):{'3_1':0.15,'5_1':0.0},(28,194):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_6':0.0},(28,193):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(28,192):{'3_1':0.15,'5_1':0.0,'7_3':0.0,'8_19':0.0},(28,191):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(28,190):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(28,189):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(28,188):{'3_1':0.12,'5_1':0.0},(28,187):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'6_3':0.0},(28,186):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(28,185):{'3_1':0.03,'5_2':0.0},(28,184):{'3_1':0.09,'5_1':0.0},(28,183):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(28,182):{'3_1':0.27,'5_2':0.0},(28,181):{'3_1':0.15,'5_2':0.0,'8_20|3_1#3_1':0.0},(28,180):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(28,179):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(28,178):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(28,177):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(28,176):{'3_1':0.18,'5_1':0.03,'5_2':0.0},(28,175):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(28,174):{'3_1':0.18,'5_1':0.03,'4_1':0.0},(28,173):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(28,172):{'3_1':0.15,'5_2':0.0,'7_3':0.0},(28,171):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(28,170):{'3_1':0.09,'5_2':0.0},(28,169):{'3_1':0.12,'5_1':0.0},(28,168):{'3_1':0.12},(28,167):{'3_1':0.09,'5_1':0.0},(28,166):{'3_1':0.06,'5_1':0.0},(28,165):{'3_1':0.09,'5_2':0.0},(28,164):{'3_1':0.03},(28,163):{'3_1':0.12,'5_1':0.0},(28,162):{'3_1':0.0},(28,161):{'3_1':0.0},(28,160):{'3_1':0.0},(28,159):{'3_1':0.06,'5_1':0.0},(28,158):{'3_1':0.09},(28,157):{'3_1':0.09},(28,156):{'3_1':0.03},(28,155):{'3_1':0.03},(28,154):{'3_1':0.06,'5_1':0.0},(28,153):{'3_1':0.03},(28,152):{'3_1':0.06},(28,151):{'3_1':0.0},(28,150):{'3_1':0.03},(28,149):{'3_1':0.03},(28,148):{'3_1':0.03},(28,147):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(28,146):{'3_1':0.03},(28,145):{'3_1':0.0,'5_2':0.0},(28,144):{'3_1':0.03,'4_1':0.0},(28,143):{'3_1':0.03,'5_1':0.0},(28,142):{'3_1':0.03,'4_1':0.0},(28,141):{'3_1':0.03},(28,140):{'3_1':0.03,'4_1':0.0},(28,139):{'3_1':0.0,'5_2':0.0},(28,138):{'3_1':0.03,'4_1':0.0},(28,137):{'3_1':0.03,'5_1':0.0},(28,136):{'3_1':0.03},(28,135):{'3_1':0.0},(28,134):{'3_1':0.03},(28,133):{'3_1':0.0},(28,132):{'3_1':0.03},(28,131):{'3_1':0.0,'5_2':0.0},(28,130):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(28,129):{'3_1':0.06},(28,128):{'3_1':0.03},(28,127):{'3_1':0.0,'5_2':0.0,'5_1':0.0},(28,126):{'3_1':0.06,'4_1':0.0},(28,125):{'3_1':0.03,'5_2':0.0},(28,124):{'3_1':0.03},(28,123):{'3_1':0.0,'4_1':0.0},(28,122):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(28,121):{'5_2':0.0},(28,120):{'3_1':0.03},(28,119):{'3_1':0.03,'4_1':0.0},(28,118):{'4_1':0.0},(28,117):{'3_1':0.0},(28,116):{'3_1':0.0},(28,115):{'3_1':0.06,'4_1':0.0},(28,114):{'3_1':0.03},(28,113):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(28,112):{'3_1':0.03},(28,111):{'3_1':0.0},(28,110):{'3_1':0.03,'4_1':0.0},(28,109):{'3_1':0.03,'5_1':0.0},(28,108):{'3_1':0.0},(28,107):{'3_1':0.06},(28,106):{'3_1':0.03},(28,105):{'3_1':0.03},(28,104):{'3_1':0.0},(28,103):{'3_1':0.0},(28,102):{'3_1':0.0},(28,101):{'3_1':0.0},(28,100):{'3_1':0.0},(28,99):{'3_1':0.03},(28,98):{'3_1':0.03},(28,97):{'3_1':0.03},(28,96):{'3_1':0.03},(28,95):{'3_1':0.0},(28,94):{'3_1':0.0},(28,93):{'3_1':0.0},(28,92):{'3_1':0.03},(28,91):{'3_1':0.03},(28,90):{'3_1':0.06},(28,89):{'3_1':0.03},(28,88):{'3_1':0.03},(28,87):{'3_1':0.0},(28,86):{'3_1':0.0},(28,84):{'3_1':0.0},(28,83):{'3_1':0.0},(28,82):{'3_1':0.0},(28,81):{'3_1':0.0},(28,80):{'3_1':0.0},(28,79):{'3_1':0.0},(28,78):{'3_1':0.0},(28,77):{'3_1':0.0,'4_1':0.0},(28,76):{'3_1':0.0},(28,73):{'3_1':0.0},(28,72):{'3_1':0.0},(28,70):{'3_1':0.0},(28,66):{'3_1':0.0},(28,62):{'3_1':0.0},(28,60):{'3_1':0.03},(28,59):{'3_1':0.0},(28,58):{'3_1':0.0},(28,57):{'3_1':0.0},(28,56):{'3_1':0.03},(28,55):{'3_1':0.0},(28,54):{'3_1':0.0},(28,53):{'3_1':0.0},(28,52):{'3_1':0.0},(28,49):{'3_1':0.0},(28,48):{'3_1':0.0},(28,43):{'3_1':0.0},(28,31):{'3_1':0.0},(29,236):{'5_2':0.12,'4_1':0.09,'6_1':0.06,'3_1':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(29,235):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'7_4':0.0},(29,234):{'5_2':0.12,'4_1':0.09,'3_1':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(29,233):{'3_1':0.09,'4_1':0.09,'5_2':0.06,'7_3':0.0},(29,232):{'4_1':0.12,'3_1':0.09,'5_2':0.06,'7_3':0.0,'6_2':0.0},(29,231):{'4_1':0.24,'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0,'7_3':0.0},(29,230):{'4_1':0.12,'3_1':0.06,'5_2':0.06,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_5':0.0},(29,229):{'4_1':0.15,'3_1':0.12,'5_2':0.03,'5_1':0.0,'7_3':0.0},(29,228):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0,'8_21|3_1#4_1':0.0},(29,227):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'5_1':0.03},(29,226):{'3_1':0.15,'4_1':0.03,'7_3':0.0},(29,225):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0},(29,224):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(29,223):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(29,222):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(29,221):{'3_1':0.15,'5_1':0.03,'4_1':0.0,'5_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(29,220):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_2':0.0},(29,219):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(29,218):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_2':0.0},(29,217):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(29,216):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(29,215):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(29,214):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(29,213):{'3_1':0.15,'5_1':0.0},(29,212):{'3_1':0.18,'5_2':0.03,'5_1':0.0},(29,211):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(29,210):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(29,209):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(29,208):{'3_1':0.06,'5_2':0.03,'5_1':0.0,'4_1':0.0,'6_1':0.0,'7_2':0.0,'7_4':0.0,'8_20|3_1#3_1':0.0},(29,207):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_3':0.0,'8_2':0.0},(29,206):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(29,205):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(29,204):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(29,203):{'3_1':0.15,'4_1':0.03,'5_2':0.03,'5_1':0.0,'8_19':0.0},(29,202):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(29,201):{'3_1':0.12,'5_2':0.03,'4_1':0.0,'6_3':0.0},(29,200):{'3_1':0.09,'4_1':0.03},(29,199):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(29,198):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(29,197):{'3_1':0.12,'5_1':0.03,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(29,196):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(29,195):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(29,194):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_2':0.0},(29,193):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(29,192):{'3_1':0.12,'5_1':0.0},(29,191):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(29,190):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(29,189):{'3_1':0.09,'5_2':0.0,'5_1':0.0,'8_21|3_1#4_1':0.0},(29,188):{'3_1':0.06,'5_1':0.0},(29,187):{'3_1':0.09,'5_2':0.0},(29,186):{'3_1':0.12,'5_1':0.0},(29,185):{'3_1':0.18,'4_1':0.0},(29,184):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(29,183):{'3_1':0.09,'5_1':0.0,'8_19':0.0},(29,182):{'3_1':0.09,'5_2':0.0},(29,181):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(29,180):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(29,179):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(29,178):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(29,177):{'3_1':0.15,'5_2':0.0},(29,176):{'3_1':0.15,'5_1':0.0},(29,175):{'3_1':0.24,'5_1':0.0},(29,174):{'3_1':0.09,'5_1':0.0},(29,173):{'3_1':0.12,'5_1':0.03},(29,172):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(29,171):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_19':0.0},(29,170):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(29,169):{'3_1':0.12,'5_2':0.0},(29,168):{'3_1':0.15,'5_1':0.0},(29,167):{'3_1':0.12,'5_1':0.0},(29,166):{'3_1':0.09,'5_1':0.0},(29,165):{'3_1':0.06},(29,164):{'3_1':0.0,'5_2':0.0},(29,163):{'3_1':0.06},(29,162):{'3_1':0.03,'5_1':0.0},(29,161):{'3_1':0.03},(29,160):{'3_1':0.03},(29,159):{'3_1':0.03},(29,158):{'3_1':0.0,'5_1':0.0},(29,157):{'3_1':0.03,'4_1':0.0},(29,156):{'3_1':0.0},(29,155):{'3_1':0.03},(29,154):{'3_1':0.0},(29,153):{'3_1':0.06,'4_1':0.0},(29,152):{'3_1':0.06},(29,151):{'3_1':0.0},(29,150):{'3_1':0.03},(29,149):{'3_1':0.06},(29,148):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(29,147):{'3_1':0.0},(29,146):{'3_1':0.03,'4_1':0.0},(29,145):{'3_1':0.03},(29,144):{'3_1':0.03},(29,143):{'3_1':0.0,'4_1':0.0},(29,142):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(29,141):{'3_1':0.0,'4_1':0.0},(29,140):{'3_1':0.0,'6_1':0.0},(29,139):{'3_1':0.0},(29,138):{'3_1':0.03,'4_1':0.0},(29,137):{'3_1':0.03,'5_2':0.0},(29,136):{'3_1':0.03},(29,135):{'3_1':0.03,'4_1':0.0},(29,134):{'3_1':0.0},(29,133):{'3_1':0.0,'4_1':0.0},(29,132):{'3_1':0.03,'4_1':0.0},(29,131):{'5_2':0.0},(29,130):{'3_1':0.03,'5_2':0.0},(29,129):{'3_1':0.0,'5_2':0.0},(29,128):{'3_1':0.03},(29,127):{'3_1':0.0,'5_2':0.0},(29,126):{'3_1':0.0,'5_2':0.0},(29,125):{'3_1':0.03},(29,124):{'3_1':0.03},(29,123):{'3_1':0.03},(29,122):{'3_1':0.0,'5_2':0.0},(29,121):{'3_1':0.03,'4_1':0.0},(29,120):{'3_1':0.0},(29,118):{'3_1':0.0},(29,117):{'3_1':0.0},(29,116):{'3_1':0.0},(29,115):{'3_1':0.0},(29,114):{'3_1':0.0},(29,113):{'3_1':0.0,'4_1':0.0},(29,112):{'3_1':0.09,'5_1':0.0},(29,111):{'3_1':0.03},(29,110):{'3_1':0.0,'4_1':0.0},(29,109):{'3_1':0.03},(29,108):{'3_1':0.0,'4_1':0.0},(29,107):{'3_1':0.0},(29,106):{'3_1':0.03},(29,105):{'3_1':0.03},(29,104):{'3_1':0.0,'4_1':0.0},(29,103):{'3_1':0.0,'5_2':0.0},(29,102):{'3_1':0.0},(29,101):{'3_1':0.03},(29,100):{'3_1':0.0},(29,99):{'3_1':0.0},(29,98):{'3_1':0.03},(29,97):{'3_1':0.0},(29,96):{'3_1':0.0},(29,95):{'3_1':0.0},(29,94):{'3_1':0.0},(29,93):{'3_1':0.03},(29,92):{'3_1':0.0},(29,91):{'3_1':0.0,'5_1':0.0},(29,90):{'3_1':0.03},(29,89):{'3_1':0.0},(29,88):{'3_1':0.03},(29,87):{'3_1':0.0},(29,86):{'3_1':0.0},(29,85):{'3_1':0.0},(29,84):{'3_1':0.0},(29,83):{'3_1':0.0},(29,82):{'3_1':0.0},(29,81):{'3_1':0.0},(29,80):{'3_1':0.0},(29,79):{'3_1':0.0,'4_1':0.0},(29,78):{'3_1':0.0},(29,77):{'3_1':0.0},(29,76):{'3_1':0.0},(29,75):{'4_1':0.0},(29,74):{'3_1':0.0},(29,68):{'3_1':0.0},(29,67):{'3_1':0.0},(29,66):{'3_1':0.0},(29,65):{'3_1':0.0},(29,64):{'3_1':0.0},(29,63):{'3_1':0.0},(29,61):{'3_1':0.0},(29,59):{'3_1':0.0},(29,58):{'3_1':0.0},(29,56):{'3_1':0.0},(29,55):{'3_1':0.03},(29,54):{'3_1':0.0},(29,53):{'3_1':0.0},(29,48):{'3_1':0.0},(29,46):{'3_1':0.0},(30,236):{'5_2':0.12,'6_1':0.09,'4_1':0.06,'3_1':0.03,'7_4':0.0},(30,235):{'5_2':0.15,'4_1':0.09,'3_1':0.03,'6_1':0.0,'7_3':0.0,'6_2':0.0,'7_4':0.0},(30,234):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.03,'6_2':0.0,'7_2':0.0,'7_3':0.0},(30,233):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_1':0.0},(30,232):{'4_1':0.18,'5_2':0.03,'3_1':0.03,'5_1':0.0,'7_2':0.0},(30,231):{'4_1':0.15,'3_1':0.09,'5_2':0.03},(30,230):{'4_1':0.15,'3_1':0.09,'5_2':0.03},(30,229):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'5_1':0.0},(30,228):{'3_1':0.12,'5_2':0.03,'4_1':0.03},(30,227):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(30,226):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(30,225):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_3':0.0},(30,224):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_3':0.0},(30,223):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'4_1':0.0},(30,222):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(30,221):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(30,220):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_3':0.0},(30,219):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(30,218):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(30,217):{'3_1':0.12,'4_1':0.0},(30,216):{'3_1':0.12,'5_2':0.0,'5_1':0.0,'6_2':0.0},(30,215):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(30,214):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(30,213):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(30,212):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(30,211):{'3_1':0.09,'5_1':0.0},(30,210):{'3_1':0.12,'5_1':0.0},(30,209):{'3_1':0.15},(30,208):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(30,207):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'7_3':0.0},(30,206):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,205):{'3_1':0.09,'4_1':0.0},(30,204):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(30,203):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(30,202):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(30,201):{'3_1':0.06,'5_2':0.0},(30,200):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'8_19':0.0},(30,199):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(30,198):{'3_1':0.15,'4_1':0.0},(30,197):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_3':0.0},(30,196):{'3_1':0.15,'5_2':0.0},(30,195):{'3_1':0.12,'4_1':0.0,'8_19':0.0},(30,194):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,193):{'3_1':0.15,'5_2':0.0},(30,192):{'3_1':0.09,'5_2':0.0},(30,191):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(30,190):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(30,189):{'3_1':0.18,'5_2':0.0},(30,188):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(30,187):{'3_1':0.06,'5_1':0.0},(30,186):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(30,185):{'3_1':0.06},(30,184):{'3_1':0.06,'5_1':0.0},(30,183):{'3_1':0.15},(30,182):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,181):{'3_1':0.09,'5_1':0.0},(30,180):{'3_1':0.15,'5_1':0.0},(30,179):{'3_1':0.09},(30,178):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(30,177):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(30,176):{'3_1':0.15,'5_1':0.0},(30,175):{'3_1':0.15,'5_1':0.03,'6_3':0.0},(30,174):{'3_1':0.12,'8_19':0.0},(30,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(30,172):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(30,171):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'8_21|3_1#4_1':0.0},(30,170):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(30,169):{'3_1':0.15,'5_1':0.0},(30,168):{'3_1':0.18},(30,167):{'3_1':0.06},(30,166):{'3_1':0.09},(30,165):{'3_1':0.06,'4_1':0.0,'8_20|3_1#3_1':0.0},(30,164):{'3_1':0.06},(30,163):{'3_1':0.03,'5_1':0.0},(30,162):{'3_1':0.06},(30,161):{'3_1':0.06,'7_1':0.0},(30,160):{'3_1':0.0,'5_1':0.0},(30,159):{'3_1':0.03,'5_1':0.0},(30,158):{'3_1':0.03},(30,157):{'3_1':0.0},(30,156):{'3_1':0.03},(30,155):{'3_1':0.03},(30,154):{'3_1':0.03},(30,153):{'3_1':0.0},(30,152):{'3_1':0.03},(30,151):{'4_1':0.0},(30,150):{'3_1':0.03},(30,149):{'3_1':0.06,'4_1':0.0},(30,148):{'3_1':0.0},(30,147):{'3_1':0.03,'5_1':0.0},(30,146):{'3_1':0.03,'4_1':0.0},(30,145):{'3_1':0.0},(30,144):{'3_1':0.0},(30,143):{'3_1':0.0,'4_1':0.0},(30,142):{'3_1':0.0},(30,141):{'3_1':0.0},(30,139):{'3_1':0.0},(30,138):{'3_1':0.0},(30,137):{'3_1':0.0,'4_1':0.0},(30,136):{'3_1':0.0},(30,135):{'3_1':0.0},(30,134):{'3_1':0.0},(30,133):{'3_1':0.0,'4_1':0.0},(30,132):{'3_1':0.0},(30,131):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(30,130):{'3_1':0.0},(30,129):{'3_1':0.0},(30,128):{'3_1':0.0},(30,127):{'3_1':0.0},(30,126):{'3_1':0.0,'5_2':0.0},(30,125):{'3_1':0.0},(30,124):{'3_1':0.03},(30,123):{'3_1':0.0,'4_1':0.0},(30,122):{'3_1':0.0},(30,119):{'3_1':0.0},(30,118):{'3_1':0.0},(30,117):{'3_1':0.0},(30,116):{'3_1':0.0,'4_1':0.0},(30,114):{'3_1':0.0},(30,113):{'3_1':0.0},(30,112):{'3_1':0.0},(30,111):{'3_1':0.0,'4_1':0.0},(30,110):{'3_1':0.0},(30,109):{'3_1':0.0,'4_1':0.0},(30,108):{'3_1':0.0},(30,106):{'3_1':0.0},(30,104):{'3_1':0.0},(30,102):{'3_1':0.0},(30,101):{'3_1':0.0},(30,100):{'3_1':0.0},(30,98):{'3_1':0.0},(30,97):{'3_1':0.03},(30,96):{'3_1':0.03},(30,95):{'3_1':0.03},(30,94):{'3_1':0.0},(30,93):{'3_1':0.03},(30,92):{'3_1':0.03},(30,91):{'3_1':0.03},(30,90):{'3_1':0.0},(30,89):{'3_1':0.0},(30,88):{'3_1':0.0},(30,87):{'3_1':0.03},(30,85):{'3_1':0.0},(30,84):{'3_1':0.0},(30,83):{'3_1':0.0},(30,82):{'5_1':0.0},(30,81):{'3_1':0.0},(30,80):{'5_1':0.0},(30,77):{'3_1':0.0},(30,76):{'3_1':0.0},(30,75):{'5_1':0.0},(30,73):{'3_1':0.0},(30,72):{'3_1':0.0},(30,70):{'3_1':0.0},(30,65):{'4_1':0.0},(30,64):{'3_1':0.0},(30,63):{'5_1':0.0,'3_1':0.0},(30,62):{'3_1':0.0},(30,61):{'5_1':0.0,'3_1':0.0},(30,60):{'3_1':0.0},(30,59):{'3_1':0.0,'5_1':0.0},(30,58):{'3_1':0.0},(30,57):{'3_1':0.0},(30,55):{'3_1':0.0},(30,54):{'3_1':0.0},(30,53):{'3_1':0.0},(30,52):{'3_1':0.0},(30,51):{'3_1':0.0},(30,50):{'3_1':0.0},(30,49):{'3_1':0.0},(30,47):{'3_1':0.0},(30,40):{'3_1':0.0},(31,236):{'5_2':0.21,'3_1':0.06,'4_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0},(31,235):{'5_2':0.09,'6_1':0.06,'4_1':0.06,'3_1':0.03},(31,234):{'5_2':0.12,'4_1':0.12,'3_1':0.09,'6_1':0.0,'7_3':0.0,'7_6':0.0},(31,233):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'7_3':0.0,'7_6':0.0},(31,232):{'4_1':0.15,'3_1':0.09,'5_2':0.06,'7_3':0.0},(31,231):{'4_1':0.15,'5_2':0.15,'3_1':0.12,'5_1':0.0},(31,230):{'4_1':0.12,'5_2':0.09,'3_1':0.06},(31,229):{'4_1':0.09,'5_2':0.06,'3_1':0.06,'7_4':0.0,'5_1':0.0},(31,228):{'3_1':0.12,'4_1':0.06,'5_2':0.03},(31,227):{'3_1':0.15,'5_2':0.06,'4_1':0.03},(31,226):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(31,225):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(31,224):{'3_1':0.21,'4_1':0.03,'5_2':0.03,'7_4':0.0},(31,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_19':0.0},(31,222):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(31,221):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(31,220):{'3_1':0.18,'5_2':0.0,'7_2':0.0},(31,219):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(31,218):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(31,217):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(31,216):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(31,215):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(31,214):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_3':0.0},(31,213):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(31,212):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(31,211):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(31,210):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(31,209):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,208):{'3_1':0.15,'4_1':0.0},(31,207):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_4':0.0},(31,206):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(31,205):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(31,204):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,203):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(31,202):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(31,201):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(31,200):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(31,199):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(31,198):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(31,197):{'3_1':0.18,'5_2':0.03,'4_1':0.0},(31,196):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(31,195):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(31,194):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(31,193):{'3_1':0.24},(31,192):{'3_1':0.12},(31,191):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(31,190):{'3_1':0.15},(31,189):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(31,188):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(31,187):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(31,186):{'3_1':0.09,'5_2':0.0},(31,185):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(31,184):{'3_1':0.06},(31,183):{'3_1':0.12,'4_1':0.0,'7_2':0.0},(31,182):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0,'7_2':0.0},(31,181):{'3_1':0.12,'5_1':0.0},(31,180):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(31,179):{'3_1':0.12,'5_1':0.0},(31,178):{'3_1':0.18,'5_2':0.0},(31,177):{'3_1':0.15},(31,176):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(31,175):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(31,174):{'3_1':0.18,'5_1':0.03},(31,173):{'3_1':0.15,'5_1':0.03},(31,172):{'3_1':0.12,'5_2':0.0},(31,171):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(31,170):{'3_1':0.24,'5_2':0.0,'5_1':0.0},(31,169):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(31,168):{'3_1':0.15,'5_2':0.0},(31,167):{'3_1':0.12,'5_2':0.0},(31,166):{'3_1':0.09},(31,165):{'3_1':0.06},(31,164):{'3_1':0.06},(31,163):{'3_1':0.0,'5_1':0.0},(31,162):{'3_1':0.06},(31,161):{'3_1':0.0,'5_2':0.0,'7_1':0.0},(31,160):{'3_1':0.0},(31,159):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(31,158):{'3_1':0.03},(31,157):{'3_1':0.06},(31,156):{'3_1':0.0,'4_1':0.0},(31,155):{'3_1':0.03},(31,154):{'3_1':0.0},(31,153):{'3_1':0.0},(31,152):{'3_1':0.0},(31,151):{'3_1':0.0,'4_1':0.0},(31,150):{'3_1':0.0},(31,149):{'3_1':0.03},(31,148):{'3_1':0.0,'5_1':0.0},(31,147):{'3_1':0.0},(31,146):{'3_1':0.0},(31,145):{'3_1':0.0,'4_1':0.0},(31,144):{'3_1':0.0},(31,143):{'3_1':0.0},(31,142):{'3_1':0.0},(31,140):{'3_1':0.0},(31,139):{'3_1':0.0},(31,138):{'3_1':0.03},(31,137):{'3_1':0.0},(31,136):{'4_1':0.0},(31,135):{'3_1':0.0},(31,134):{'3_1':0.0},(31,133):{'3_1':0.0,'4_1':0.0},(31,132):{'3_1':0.03},(31,131):{'3_1':0.0},(31,130):{'3_1':0.03,'4_1':0.0},(31,129):{'3_1':0.03},(31,128):{'3_1':0.0,'5_2':0.0},(31,127):{'3_1':0.0,'5_2':0.0},(31,126):{'3_1':0.0,'4_1':0.0},(31,125):{'3_1':0.0,'4_1':0.0},(31,124):{'3_1':0.03,'4_1':0.0},(31,123):{'5_2':0.0,'3_1':0.0},(31,122):{'3_1':0.0,'5_2':0.0},(31,121):{'3_1':0.0},(31,120):{'4_1':0.0},(31,118):{'3_1':0.03},(31,116):{'3_1':0.0},(31,115):{'3_1':0.0},(31,114):{'3_1':0.0,'4_1':0.0},(31,113):{'3_1':0.0},(31,112):{'3_1':0.0,'4_1':0.0},(31,111):{'3_1':0.0},(31,110):{'3_1':0.0},(31,109):{'3_1':0.0},(31,108):{'3_1':0.0},(31,107):{'3_1':0.0},(31,106):{'3_1':0.0},(31,104):{'3_1':0.0},(31,102):{'3_1':0.0},(31,101):{'3_1':0.0},(31,100):{'3_1':0.03},(31,99):{'3_1':0.0},(31,98):{'3_1':0.0},(31,97):{'3_1':0.0},(31,96):{'3_1':0.0},(31,95):{'3_1':0.03},(31,94):{'3_1':0.0},(31,93):{'3_1':0.0},(31,91):{'3_1':0.0},(31,90):{'3_1':0.0},(31,89):{'3_1':0.0},(31,88):{'3_1':0.0},(31,86):{'3_1':0.0},(31,85):{'3_1':0.0},(31,84):{'3_1':0.0},(31,83):{'3_1':0.0},(31,82):{'3_1':0.03},(31,81):{'3_1':0.0,'5_2':0.0},(31,80):{'3_1':0.0},(31,79):{'3_1':0.0},(31,75):{'3_1':0.0},(31,74):{'3_1':0.0},(31,71):{'3_1':0.0},(31,70):{'3_1':0.0},(31,69):{'3_1':0.0,'4_1':0.0},(31,68):{'3_1':0.0},(31,66):{'3_1':0.0},(31,64):{'3_1':0.0},(31,63):{'3_1':0.0},(31,62):{'3_1':0.0},(31,61):{'3_1':0.0},(31,60):{'3_1':0.0},(31,59):{'3_1':0.0},(31,57):{'3_1':0.0},(31,56):{'3_1':0.0},(31,55):{'3_1':0.0},(31,54):{'3_1':0.0},(31,53):{'3_1':0.0},(31,52):{'3_1':0.0},(31,51):{'3_1':0.0},(31,50):{'3_1':0.0},(31,48):{'3_1':0.0},(31,44):{'3_1':0.0},(32,236):{'5_2':0.06,'3_1':0.03,'4_1':0.03,'6_1':0.03,'6_2':0.0},(32,235):{'5_2':0.18,'4_1':0.09,'6_1':0.03,'3_1':0.03,'6_2':0.0,'7_4':0.0},(32,234):{'5_2':0.18,'3_1':0.03,'4_1':0.03,'6_1':0.03,'7_3':0.0,'8_21|3_1#4_1':0.0,'-3':0.0},(32,233):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_2':0.0},(32,232):{'4_1':0.15,'5_2':0.15,'3_1':0.03,'6_1':0.0,'7_4':0.0},(32,231):{'4_1':0.12,'5_2':0.09,'3_1':0.06},(32,230):{'4_1':0.06,'3_1':0.06,'5_2':0.06},(32,229):{'5_2':0.12,'4_1':0.12,'3_1':0.06,'7_3':0.0},(32,228):{'3_1':0.15,'5_2':0.09,'4_1':0.03},(32,227):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(32,226):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(32,225):{'3_1':0.09,'5_2':0.03,'4_1':0.0,'7_3':0.0},(32,224):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'7_3':0.0},(32,223):{'3_1':0.18,'4_1':0.0,'8_13':0.0},(32,222):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'7_3':0.0},(32,221):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(32,220):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_3':0.0},(32,219):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(32,218):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(32,217):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(32,216):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(32,215):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(32,214):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(32,213):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(32,212):{'3_1':0.12,'5_2':0.0},(32,211):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0},(32,210):{'3_1':0.21,'5_1':0.0},(32,209):{'3_1':0.18,'5_2':0.03},(32,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(32,207):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(32,206):{'3_1':0.12,'5_2':0.0},(32,205):{'3_1':0.15,'4_1':0.0},(32,204):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(32,203):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(32,202):{'3_1':0.18,'5_2':0.03,'4_1':0.03,'5_1':0.0},(32,201):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'5_1':0.0},(32,200):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'4_1':0.0,'7_3':0.0},(32,199):{'3_1':0.21,'5_2':0.03,'4_1':0.0},(32,198):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(32,197):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(32,196):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(32,195):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(32,194):{'3_1':0.09,'5_1':0.0},(32,193):{'3_1':0.24,'5_2':0.0},(32,192):{'3_1':0.18},(32,191):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(32,190):{'3_1':0.12,'5_2':0.03,'5_1':0.0,'8_19':0.0},(32,189):{'3_1':0.15,'5_2':0.0},(32,188):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(32,187):{'3_1':0.09},(32,186):{'3_1':0.12},(32,185):{'3_1':0.06,'5_2':0.0,'8_20|3_1#3_1':0.0},(32,184):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(32,183):{'3_1':0.12,'5_2':0.0},(32,182):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(32,181):{'3_1':0.15},(32,180):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(32,179):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(32,178):{'3_1':0.18,'4_1':0.0},(32,177):{'3_1':0.18,'5_2':0.0},(32,176):{'3_1':0.18,'5_2':0.0},(32,175):{'3_1':0.18,'5_2':0.0},(32,174):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(32,173):{'3_1':0.18,'5_1':0.0},(32,172):{'3_1':0.18},(32,171):{'3_1':0.15},(32,170):{'3_1':0.21,'5_2':0.0,'5_1':0.0,'4_1':0.0},(32,169):{'3_1':0.12,'5_1':0.0},(32,168):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(32,167):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(32,166):{'3_1':0.09,'5_1':0.0},(32,165):{'3_1':0.0,'5_1':0.0},(32,164):{'3_1':0.06},(32,163):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(32,162):{'3_1':0.03},(32,161):{'5_1':0.0,'3_1':0.0},(32,160):{'3_1':0.0},(32,159):{'3_1':0.0,'4_1':0.0},(32,158):{'3_1':0.03},(32,157):{'3_1':0.03},(32,156):{'3_1':0.03},(32,155):{'3_1':0.03},(32,154):{'3_1':0.03,'4_1':0.0},(32,153):{'3_1':0.0},(32,152):{'3_1':0.0},(32,151):{'3_1':0.0,'4_1':0.0},(32,150):{'3_1':0.03},(32,148):{'3_1':0.0,'4_1':0.0},(32,147):{'3_1':0.0},(32,146):{'3_1':0.0,'4_1':0.0},(32,145):{'3_1':0.03,'4_1':0.0},(32,144):{'3_1':0.0},(32,143):{'3_1':0.0,'4_1':0.0},(32,141):{'3_1':0.0},(32,139):{'3_1':0.0},(32,138):{'3_1':0.0,'4_1':0.0},(32,137):{'3_1':0.0},(32,136):{'3_1':0.0},(32,135):{'3_1':0.0},(32,134):{'3_1':0.03},(32,133):{'3_1':0.0,'4_1':0.0},(32,130):{'3_1':0.0},(32,129):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,128):{'3_1':0.0},(32,127):{'3_1':0.0},(32,126):{'3_1':0.0,'4_1':0.0},(32,125):{'3_1':0.0,'5_2':0.0},(32,124):{'3_1':0.0},(32,123):{'3_1':0.0,'4_1':0.0},(32,122):{'3_1':0.03},(32,121):{'3_1':0.0,'5_2':0.0},(32,120):{'3_1':0.0},(32,119):{'3_1':0.0},(32,118):{'3_1':0.0,'4_1':0.0},(32,115):{'3_1':0.0,'4_1':0.0},(32,114):{'4_1':0.0,'3_1':0.0},(32,112):{'3_1':0.0},(32,111):{'3_1':0.0,'4_1':0.0},(32,110):{'4_1':0.0,'3_1':0.0},(32,109):{'3_1':0.0},(32,108):{'3_1':0.0},(32,107):{'3_1':0.0},(32,106):{'3_1':0.0},(32,104):{'3_1':0.0},(32,103):{'3_1':0.0},(32,102):{'3_1':0.0,'4_1':0.0},(32,101):{'3_1':0.0},(32,100):{'3_1':0.0},(32,99):{'3_1':0.0},(32,98):{'3_1':0.0},(32,97):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(32,96):{'3_1':0.0,'5_2':0.0},(32,95):{'3_1':0.0},(32,94):{'3_1':0.03},(32,93):{'3_1':0.0},(32,92):{'3_1':0.0},(32,91):{'3_1':0.0},(32,89):{'3_1':0.0},(32,88):{'3_1':0.0},(32,87):{'3_1':0.0},(32,86):{'3_1':0.0},(32,84):{'3_1':0.0},(32,83):{'3_1':0.0},(32,82):{'3_1':0.0},(32,80):{'3_1':0.0},(32,79):{'3_1':0.0,'5_2':0.0},(32,78):{'4_1':0.0},(32,76):{'3_1':0.0,'4_1':0.0},(32,74):{'3_1':0.0},(32,73):{'3_1':0.0},(32,70):{'3_1':0.0},(32,68):{'3_1':0.0},(32,63):{'3_1':0.0},(32,62):{'3_1':0.0},(32,61):{'3_1':0.0},(32,60):{'3_1':0.0},(32,59):{'3_1':0.0},(32,58):{'3_1':0.0},(32,57):{'3_1':0.0},(32,56):{'3_1':0.0},(32,55):{'3_1':0.06},(32,54):{'3_1':0.0},(32,53):{'3_1':0.0},(32,51):{'3_1':0.0},(32,50):{'3_1':0.0},(32,47):{'3_1':0.0},(33,236):{'5_2':0.12,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0},(33,235):{'5_2':0.18,'4_1':0.09,'3_1':0.03},(33,234):{'5_2':0.15,'4_1':0.09,'3_1':0.06,'6_1':0.03},(33,233):{'5_2':0.15,'4_1':0.06,'3_1':0.03,'6_1':0.03,'5_1':0.0,'6_2':0.0,'-3':0.0},(33,232):{'5_2':0.12,'3_1':0.09,'4_1':0.09,'6_1':0.0,'6_2':0.0},(33,231):{'3_1':0.15,'4_1':0.12,'5_2':0.03,'7_3':0.0},(33,230):{'4_1':0.15,'3_1':0.15,'5_2':0.06,'6_2':0.0},(33,229):{'4_1':0.06,'3_1':0.06,'5_2':0.06,'5_1':0.0},(33,228):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'7_4':0.0,'5_1':0.0},(33,227):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(33,226):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(33,225):{'3_1':0.21,'4_1':0.06,'5_2':0.0,'6_1':0.0},(33,224):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(33,223):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,222):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0,'7_5':0.0},(33,221):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_3':0.0},(33,220):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_3':0.0},(33,219):{'3_1':0.21,'4_1':0.0,'6_3':0.0,'7_5':0.0},(33,218):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(33,217):{'3_1':0.24,'4_1':0.0,'5_1':0.0,'6_2':0.0},(33,216):{'3_1':0.21,'4_1':0.0,'6_3':0.0},(33,215):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(33,214):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,213):{'3_1':0.12,'4_1':0.0},(33,212):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(33,211):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(33,210):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(33,209):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(33,208):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(33,207):{'3_1':0.12,'5_2':0.0},(33,206):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'8_19':0.0},(33,205):{'3_1':0.15,'5_2':0.03,'5_1':0.0},(33,204):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(33,203):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(33,202):{'3_1':0.21,'5_2':0.06,'4_1':0.0,'6_1':0.0,'6_3':0.0,'7_4':0.0},(33,201):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(33,200):{'3_1':0.21,'5_2':0.06,'4_1':0.0},(33,199):{'3_1':0.24,'5_1':0.0,'4_1':0.0,'5_2':0.0},(33,198):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(33,197):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_3':0.0,'7_4':0.0},(33,196):{'3_1':0.21,'4_1':0.03,'5_2':0.0,'5_1':0.0},(33,195):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(33,194):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(33,193):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(33,192):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,191):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_6':0.0},(33,190):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(33,189):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(33,188):{'3_1':0.12},(33,187):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(33,186):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(33,185):{'3_1':0.09,'5_2':0.0},(33,184):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,183):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(33,182):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(33,181):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(33,180):{'3_1':0.15},(33,179):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(33,178):{'3_1':0.15,'5_2':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(33,177):{'3_1':0.18,'5_1':0.0},(33,176):{'3_1':0.18,'5_1':0.0},(33,175):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'8_19':0.0},(33,174):{'3_1':0.18,'5_2':0.0},(33,173):{'3_1':0.18,'5_1':0.0,'5_2':0.0,'4_1':0.0},(33,172):{'3_1':0.24,'5_2':0.0},(33,171):{'3_1':0.18,'5_2':0.0},(33,170):{'3_1':0.06,'5_2':0.0},(33,169):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(33,168):{'3_1':0.09,'4_1':0.0},(33,167):{'3_1':0.12,'4_1':0.0},(33,166):{'3_1':0.09},(33,165):{'3_1':0.03},(33,164):{'3_1':0.03},(33,163):{'3_1':0.0},(33,162):{'3_1':0.03},(33,161):{'3_1':0.06},(33,160):{'3_1':0.0},(33,159):{'3_1':0.0,'5_1':0.0},(33,158):{'3_1':0.0},(33,157):{'3_1':0.03},(33,156):{'4_1':0.0,'3_1':0.0},(33,155):{'3_1':0.0,'4_1':0.0},(33,154):{'3_1':0.0},(33,153):{'3_1':0.0},(33,151):{'3_1':0.0},(33,150):{'3_1':0.03,'4_1':0.0},(33,149):{'3_1':0.0},(33,148):{'3_1':0.0,'4_1':0.0},(33,147):{'3_1':0.0,'4_1':0.0},(33,146):{'3_1':0.0,'4_1':0.0},(33,145):{'3_1':0.0},(33,144):{'3_1':0.0},(33,143):{'4_1':0.0},(33,142):{'3_1':0.03},(33,141):{'3_1':0.0,'4_1':0.0},(33,140):{'3_1':0.0},(33,139):{'3_1':0.0},(33,138):{'3_1':0.0},(33,137):{'3_1':0.0},(33,136):{'3_1':0.0,'4_1':0.0},(33,135):{'4_1':0.0,'3_1':0.0},(33,134):{'3_1':0.0},(33,133):{'3_1':0.0},(33,132):{'3_1':0.0,'4_1':0.0},(33,130):{'3_1':0.0},(33,129):{'3_1':0.0},(33,125):{'3_1':0.0,'4_1':0.0},(33,124):{'3_1':0.0,'4_1':0.0},(33,123):{'3_1':0.0,'4_1':0.0},(33,122):{'3_1':0.0},(33,121):{'3_1':0.0},(33,120):{'3_1':0.0},(33,119):{'4_1':0.0},(33,118):{'3_1':0.0},(33,117):{'3_1':0.0},(33,114):{'3_1':0.0},(33,113):{'3_1':0.0},(33,112):{'3_1':0.0},(33,111):{'4_1':0.0},(33,109):{'3_1':0.0},(33,108):{'5_2':0.0},(33,107):{'4_1':0.0},(33,106):{'4_1':0.0},(33,105):{'3_1':0.0},(33,102):{'3_1':0.0},(33,101):{'3_1':0.0},(33,100):{'3_1':0.0,'4_1':0.0},(33,99):{'3_1':0.0},(33,98):{'3_1':0.03},(33,97):{'3_1':0.0},(33,95):{'3_1':0.0},(33,94):{'3_1':0.0},(33,93):{'3_1':0.03},(33,92):{'3_1':0.0},(33,91):{'3_1':0.0},(33,90):{'3_1':0.0},(33,89):{'3_1':0.0},(33,88):{'3_1':0.03},(33,86):{'3_1':0.0},(33,85):{'3_1':0.0},(33,84):{'3_1':0.0},(33,83):{'3_1':0.0},(33,82):{'3_1':0.0},(33,80):{'3_1':0.0},(33,78):{'3_1':0.0},(33,77):{'3_1':0.0},(33,76):{'3_1':0.0},(33,73):{'3_1':0.0},(33,70):{'3_1':0.0},(33,69):{'3_1':0.0},(33,67):{'3_1':0.0},(33,66):{'3_1':0.0},(33,61):{'3_1':0.0},(33,59):{'3_1':0.0},(33,58):{'3_1':0.03},(33,57):{'3_1':0.0},(33,56):{'3_1':0.0},(33,55):{'3_1':0.0},(33,54):{'3_1':0.0},(33,53):{'3_1':0.0},(33,52):{'3_1':0.0},(33,51):{'3_1':0.0},(33,50):{'3_1':0.0},(33,48):{'3_1':0.0},(33,47):{'3_1':0.0},(33,46):{'3_1':0.0},(33,44):{'3_1':0.0},(34,236):{'5_2':0.12,'3_1':0.06,'4_1':0.06,'6_1':0.0,'6_2':0.0},(34,235):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'6_1':0.03},(34,234):{'5_2':0.15,'4_1':0.03,'3_1':0.03,'6_1':0.0},(34,233):{'4_1':0.09,'5_2':0.09,'3_1':0.06,'5_1':0.0,'6_1':0.0,'7_4':0.0},(34,232):{'5_2':0.15,'3_1':0.09,'4_1':0.09,'6_1':0.0,'7_4':0.0},(34,231):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0,'8_4':0.0},(34,230):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0},(34,229):{'3_1':0.15,'4_1':0.15,'5_2':0.06},(34,228):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(34,227):{'3_1':0.15,'4_1':0.09,'5_2':0.03},(34,226):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(34,225):{'3_1':0.18,'4_1':0.09,'5_1':0.0},(34,224):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0},(34,223):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(34,222):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(34,221):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_5':0.0},(34,220):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(34,219):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,218):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(34,217):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(34,216):{'3_1':0.18,'5_1':0.0},(34,215):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_3':0.0},(34,214):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(34,213):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(34,212):{'3_1':0.15,'5_2':0.03},(34,211):{'3_1':0.18,'4_1':0.0},(34,210):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,209):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,208):{'3_1':0.21,'5_2':0.0},(34,207):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(34,206):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(34,205):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(34,204):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(34,203):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0},(34,202):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'7_4':0.0},(34,201):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(34,200):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(34,199):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(34,198):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(34,197):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(34,196):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,195):{'3_1':0.06,'4_1':0.0},(34,194):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(34,193):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,192):{'3_1':0.09,'5_2':0.0},(34,191):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(34,190):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(34,189):{'3_1':0.09},(34,188):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(34,187):{'3_1':0.09,'5_2':0.0},(34,186):{'3_1':0.15,'5_1':0.0},(34,185):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(34,184):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(34,183):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(34,182):{'3_1':0.09,'5_1':0.0},(34,181):{'3_1':0.09,'4_1':0.0,'6_2':0.0,'7_6':0.0},(34,180):{'3_1':0.12,'5_2':0.0},(34,179):{'3_1':0.24,'5_1':0.0,'5_2':0.0},(34,178):{'3_1':0.15,'5_1':0.0},(34,177):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,176):{'3_1':0.12,'5_2':0.0},(34,175):{'3_1':0.18,'5_1':0.0},(34,174):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,173):{'3_1':0.21,'5_1':0.0,'5_2':0.0},(34,172):{'3_1':0.18,'5_2':0.0,'5_1':0.0},(34,171):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(34,170):{'3_1':0.15,'4_1':0.0},(34,169):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(34,168):{'3_1':0.06},(34,167):{'3_1':0.15},(34,166):{'3_1':0.09,'5_1':0.0},(34,165):{'3_1':0.03},(34,164):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(34,163):{'3_1':0.06,'5_2':0.0},(34,162):{'3_1':0.06,'4_1':0.0},(34,161):{'3_1':0.03},(34,160):{'3_1':0.0,'4_1':0.0},(34,159):{'5_1':0.0,'3_1':0.0},(34,158):{'3_1':0.0,'4_1':0.0},(34,157):{'3_1':0.0},(34,156):{'4_1':0.0},(34,155):{'3_1':0.0,'4_1':0.0},(34,154):{'3_1':0.0},(34,153):{'3_1':0.0},(34,152):{'4_1':0.0},(34,151):{'3_1':0.0},(34,150):{'3_1':0.03,'4_1':0.0},(34,149):{'3_1':0.0},(34,148):{'3_1':0.0,'4_1':0.0},(34,147):{'3_1':0.03},(34,146):{'3_1':0.03},(34,145):{'3_1':0.03,'4_1':0.0},(34,144):{'3_1':0.0},(34,143):{'3_1':0.0,'4_1':0.0},(34,142):{'3_1':0.0},(34,141):{'3_1':0.03},(34,140):{'3_1':0.0},(34,139):{'3_1':0.0},(34,138):{'3_1':0.0},(34,137):{'3_1':0.0},(34,135):{'3_1':0.0},(34,134):{'3_1':0.0},(34,133):{'3_1':0.03},(34,132):{'3_1':0.0},(34,130):{'3_1':0.0,'4_1':0.0},(34,129):{'3_1':0.0,'4_1':0.0},(34,128):{'3_1':0.0,'4_1':0.0},(34,127):{'3_1':0.0},(34,126):{'3_1':0.0},(34,125):{'3_1':0.0},(34,122):{'3_1':0.0},(34,121):{'3_1':0.0},(34,119):{'3_1':0.0,'4_1':0.0},(34,118):{'3_1':0.0},(34,117):{'3_1':0.0},(34,116):{'3_1':0.0},(34,115):{'3_1':0.0},(34,114):{'3_1':0.0,'4_1':0.0},(34,112):{'4_1':0.0,'3_1':0.0},(34,111):{'3_1':0.03},(34,110):{'3_1':0.0,'4_1':0.0},(34,109):{'3_1':0.0},(34,108):{'3_1':0.0},(34,107):{'3_1':0.0},(34,103):{'3_1':0.0},(34,102):{'3_1':0.0},(34,101):{'3_1':0.0,'4_1':0.0},(34,100):{'3_1':0.0},(34,99):{'3_1':0.0},(34,98):{'3_1':0.0,'4_1':0.0},(34,97):{'3_1':0.0},(34,96):{'3_1':0.0,'4_1':0.0},(34,94):{'3_1':0.03},(34,93):{'3_1':0.0},(34,92):{'3_1':0.0},(34,91):{'3_1':0.0},(34,90):{'3_1':0.0},(34,89):{'3_1':0.0},(34,88):{'3_1':0.0},(34,87):{'3_1':0.03},(34,86):{'3_1':0.0},(34,85):{'3_1':0.0},(34,84):{'3_1':0.0},(34,83):{'3_1':0.0},(34,82):{'3_1':0.0},(34,81):{'3_1':0.0},(34,80):{'3_1':0.0,'5_2':0.0},(34,79):{'3_1':0.0},(34,78):{'3_1':0.0},(34,74):{'3_1':0.0},(34,73):{'3_1':0.0},(34,72):{'3_1':0.0},(34,71):{'3_1':0.0},(34,69):{'3_1':0.0},(34,68):{'3_1':0.0},(34,65):{'3_1':0.0},(34,64):{'3_1':0.0},(34,63):{'3_1':0.0},(34,62):{'3_1':0.0},(34,61):{'3_1':0.0},(34,60):{'3_1':0.0},(34,59):{'3_1':0.0},(34,58):{'3_1':0.0},(34,57):{'3_1':0.0},(34,56):{'3_1':0.0},(34,55):{'3_1':0.06},(34,54):{'3_1':0.0},(34,53):{'3_1':0.0},(34,52):{'3_1':0.0},(34,50):{'3_1':0.0},(34,47):{'3_1':0.0},(34,43):{'3_1':0.0},(35,236):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_1':0.0,'7_4':0.0},(35,235):{'5_2':0.09,'4_1':0.06,'3_1':0.03,'6_1':0.0,'7_4':0.0},(35,234):{'4_1':0.09,'5_2':0.09,'3_1':0.03,'6_1':0.03,'8_3':0.0},(35,233):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_2':0.0},(35,232):{'4_1':0.12,'5_2':0.06,'3_1':0.0,'6_1':0.0},(35,231):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'6_3':0.0},(35,230):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'6_1':0.0,'6_3':0.0},(35,229):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(35,228):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_3':0.0},(35,227):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(35,226):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(35,225):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(35,224):{'3_1':0.15,'4_1':0.03,'5_2':0.03},(35,223):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(35,222):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(35,221):{'4_1':0.09,'3_1':0.09,'5_1':0.0,'5_2':0.0},(35,220):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(35,219):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(35,218):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(35,217):{'3_1':0.12,'4_1':0.0},(35,216):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_2':0.0},(35,215):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(35,214):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(35,213):{'3_1':0.15,'5_2':0.0},(35,212):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(35,211):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(35,210):{'3_1':0.09,'4_1':0.0},(35,209):{'3_1':0.12,'5_2':0.0},(35,208):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(35,207):{'3_1':0.12,'5_1':0.0},(35,206):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(35,205):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'8_6':0.0},(35,204):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(35,203):{'3_1':0.09,'5_2':0.03,'4_1':0.03,'5_1':0.0},(35,202):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(35,201):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(35,200):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0,'6_2':0.0},(35,199):{'3_1':0.15,'5_2':0.0},(35,198):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(35,197):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(35,196):{'3_1':0.15,'4_1':0.0},(35,195):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(35,194):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(35,193):{'3_1':0.12,'4_1':0.0},(35,192):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(35,191):{'3_1':0.15,'5_2':0.0},(35,190):{'3_1':0.12,'5_2':0.0},(35,189):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(35,188):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(35,187):{'3_1':0.12},(35,186):{'3_1':0.06,'5_2':0.0},(35,185):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(35,184):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(35,183):{'3_1':0.12},(35,182):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(35,181):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(35,180):{'3_1':0.12,'5_1':0.0},(35,179):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(35,178):{'3_1':0.09,'5_2':0.0},(35,177):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(35,176):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(35,175):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(35,174):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(35,173):{'3_1':0.12,'5_1':0.0},(35,172):{'3_1':0.18,'4_1':0.0},(35,171):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(35,170):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(35,169):{'3_1':0.15},(35,168):{'3_1':0.09},(35,167):{'3_1':0.09},(35,166):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(35,165):{'3_1':0.03,'4_1':0.0},(35,164):{'3_1':0.03,'5_2':0.0},(35,163):{'3_1':0.09,'4_1':0.0},(35,162):{'3_1':0.03},(35,161):{'3_1':0.03},(35,160):{'3_1':0.0},(35,159):{'3_1':0.0},(35,158):{'3_1':0.0,'4_1':0.0},(35,157):{'3_1':0.0,'4_1':0.0},(35,156):{'3_1':0.0},(35,155):{'3_1':0.0},(35,153):{'3_1':0.0},(35,152):{'3_1':0.0},(35,151):{'3_1':0.03},(35,150):{'3_1':0.0},(35,149):{'3_1':0.0},(35,148):{'3_1':0.0,'4_1':0.0},(35,147):{'3_1':0.0},(35,146):{'3_1':0.0},(35,145):{'3_1':0.0,'4_1':0.0},(35,142):{'3_1':0.0},(35,141):{'3_1':0.0,'4_1':0.0},(35,139):{'3_1':0.0},(35,138):{'3_1':0.0},(35,137):{'3_1':0.0},(35,136):{'3_1':0.0},(35,135):{'3_1':0.0},(35,134):{'3_1':0.0},(35,133):{'3_1':0.0,'4_1':0.0},(35,132):{'3_1':0.0},(35,131):{'3_1':0.0,'4_1':0.0},(35,130):{'4_1':0.0},(35,129):{'3_1':0.0},(35,128):{'3_1':0.0,'4_1':0.0},(35,125):{'3_1':0.0},(35,124):{'3_1':0.0},(35,122):{'3_1':0.0},(35,118):{'3_1':0.0},(35,117):{'3_1':0.0},(35,115):{'4_1':0.0},(35,114):{'3_1':0.0},(35,112):{'4_1':0.0},(35,110):{'3_1':0.0},(35,109):{'4_1':0.0,'3_1':0.0},(35,105):{'3_1':0.0,'4_1':0.0},(35,104):{'3_1':0.0},(35,103):{'3_1':0.0},(35,102):{'3_1':0.03},(35,101):{'3_1':0.0},(35,100):{'3_1':0.0},(35,99):{'3_1':0.0},(35,97):{'3_1':0.03},(35,96):{'3_1':0.0,'4_1':0.0},(35,95):{'3_1':0.0},(35,94):{'3_1':0.0},(35,93):{'3_1':0.03},(35,92):{'3_1':0.03},(35,91):{'3_1':0.0},(35,90):{'3_1':0.0},(35,89):{'3_1':0.03},(35,88):{'3_1':0.0},(35,87):{'3_1':0.0},(35,86):{'3_1':0.0},(35,84):{'3_1':0.0},(35,83):{'3_1':0.03},(35,82):{'3_1':0.0},(35,81):{'3_1':0.0,'4_1':0.0},(35,80):{'3_1':0.0},(35,78):{'3_1':0.0},(35,74):{'3_1':0.0},(35,71):{'3_1':0.0},(35,66):{'3_1':0.0},(35,57):{'3_1':0.0},(35,56):{'3_1':0.0},(35,55):{'3_1':0.03},(35,54):{'3_1':0.0},(35,53):{'3_1':0.0},(35,52):{'3_1':0.03},(35,51):{'3_1':0.0},(35,50):{'3_1':0.0},(35,45):{'3_1':0.0},(35,44):{'3_1':0.0},(36,236):{'4_1':0.09,'5_2':0.03,'3_1':0.0,'6_1':0.0,'7_6':0.0},(36,235):{'3_1':0.06,'5_2':0.06,'4_1':0.06,'5_1':0.0,'6_1':0.0,'7_6':0.0},(36,234):{'3_1':0.12,'5_2':0.06,'4_1':0.06},(36,233):{'4_1':0.09,'3_1':0.06,'5_2':0.06},(36,232):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_3':0.0},(36,231):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_11':0.0},(36,230):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(36,229):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_3':0.0,'7_6':0.0},(36,228):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_2':0.0},(36,227):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(36,226):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(36,225):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'7_4':0.0},(36,224):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(36,223):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_3':0.0},(36,222):{'3_1':0.18,'4_1':0.03,'7_6':0.0},(36,221):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(36,220):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(36,219):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(36,218):{'3_1':0.15,'4_1':0.0},(36,217):{'3_1':0.12},(36,216):{'3_1':0.12,'4_1':0.03},(36,215):{'3_1':0.06,'4_1':0.03},(36,214):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(36,213):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_2':0.0},(36,212):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(36,211):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(36,210):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(36,209):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(36,208):{'3_1':0.18,'5_2':0.0},(36,207):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(36,206):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_3':0.0},(36,205):{'3_1':0.12,'4_1':0.0},(36,204):{'3_1':0.09,'5_2':0.03,'4_1':0.0},(36,203):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(36,202):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(36,201):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(36,200):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'6_1':0.0},(36,199):{'3_1':0.12,'4_1':0.0},(36,198):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(36,197):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(36,196):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(36,195):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(36,194):{'3_1':0.03,'5_1':0.0},(36,193):{'3_1':0.09,'4_1':0.0},(36,192):{'3_1':0.06},(36,191):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0},(36,190):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(36,189):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(36,188):{'3_1':0.06,'6_2':0.0},(36,187):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_3':0.0},(36,186):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(36,185):{'3_1':0.12,'5_1':0.0},(36,184):{'3_1':0.09,'4_1':0.0},(36,183):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(36,182):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(36,181):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(36,180):{'3_1':0.15,'4_1':0.0},(36,179):{'3_1':0.15},(36,178):{'3_1':0.06},(36,177):{'3_1':0.09},(36,176):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,175):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0},(36,174):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(36,173):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(36,172):{'3_1':0.06,'5_1':0.0},(36,171):{'3_1':0.09,'5_2':0.0},(36,170):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(36,169):{'3_1':0.15,'5_1':0.0},(36,168):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(36,167):{'3_1':0.06},(36,166):{'3_1':0.03,'5_1':0.0},(36,165):{'3_1':0.03},(36,164):{'3_1':0.03,'4_1':0.0},(36,163):{'3_1':0.06},(36,162):{'3_1':0.06},(36,161):{'3_1':0.03},(36,160):{'3_1':0.03,'4_1':0.0},(36,159):{'3_1':0.0},(36,158):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(36,157):{'3_1':0.03},(36,156):{'3_1':0.0},(36,155):{'3_1':0.0,'4_1':0.0},(36,154):{'3_1':0.0},(36,153):{'3_1':0.0,'5_1':0.0},(36,152):{'3_1':0.0},(36,151):{'3_1':0.0},(36,150):{'3_1':0.03,'4_1':0.0},(36,149):{'3_1':0.0},(36,148):{'3_1':0.0},(36,147):{'3_1':0.0,'4_1':0.0},(36,146):{'3_1':0.0,'4_1':0.0},(36,145):{'3_1':0.0},(36,144):{'3_1':0.0},(36,143):{'3_1':0.0},(36,142):{'3_1':0.0,'4_1':0.0},(36,141):{'3_1':0.03,'4_1':0.0},(36,140):{'3_1':0.0,'4_1':0.0},(36,138):{'4_1':0.0},(36,137):{'3_1':0.0},(36,136):{'3_1':0.0},(36,134):{'4_1':0.0},(36,133):{'3_1':0.0},(36,131):{'3_1':0.0},(36,130):{'3_1':0.0,'4_1':0.0},(36,128):{'3_1':0.0},(36,127):{'3_1':0.0,'4_1':0.0},(36,126):{'3_1':0.0,'4_1':0.0},(36,125):{'3_1':0.0,'4_1':0.0},(36,124):{'3_1':0.0},(36,123):{'3_1':0.03},(36,122):{'3_1':0.0},(36,121):{'3_1':0.0},(36,120):{'3_1':0.0},(36,119):{'3_1':0.03},(36,116):{'4_1':0.0},(36,115):{'3_1':0.0},(36,114):{'4_1':0.0,'3_1':0.0},(36,113):{'3_1':0.0,'4_1':0.0},(36,111):{'3_1':0.0},(36,110):{'3_1':0.0,'4_1':0.0},(36,108):{'3_1':0.0,'4_1':0.0},(36,106):{'4_1':0.0},(36,105):{'3_1':0.0},(36,104):{'3_1':0.0},(36,101):{'3_1':0.0},(36,98):{'3_1':0.0,'4_1':0.0},(36,97):{'3_1':0.0},(36,96):{'3_1':0.0},(36,95):{'3_1':0.03,'4_1':0.0},(36,94):{'3_1':0.0},(36,93):{'3_1':0.0},(36,92):{'4_1':0.0},(36,91):{'3_1':0.0},(36,90):{'3_1':0.0},(36,88):{'3_1':0.0},(36,86):{'3_1':0.0},(36,85):{'3_1':0.0},(36,84):{'3_1':0.0,'4_1':0.0},(36,83):{'3_1':0.0,'4_1':0.0},(36,82):{'3_1':0.0},(36,81):{'3_1':0.0},(36,79):{'3_1':0.0},(36,75):{'3_1':0.0},(36,74):{'3_1':0.0},(36,72):{'3_1':0.0},(36,71):{'3_1':0.0},(36,68):{'3_1':0.0},(36,65):{'3_1':0.0},(36,60):{'3_1':0.0},(36,59):{'3_1':0.0},(36,58):{'3_1':0.0},(36,57):{'3_1':0.0},(36,56):{'3_1':0.0},(36,55):{'3_1':0.0},(36,54):{'3_1':0.0},(36,53):{'3_1':0.0},(36,52):{'3_1':0.0},(36,48):{'4_1':0.0},(36,47):{'4_1':0.0},(36,46):{'3_1':0.0},(36,45):{'3_1':0.0},(37,236):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0},(37,235):{'4_1':0.15,'5_2':0.06,'3_1':0.03,'6_2':0.0},(37,234):{'4_1':0.12,'5_2':0.09,'3_1':0.03},(37,233):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(37,232):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0},(37,231):{'4_1':0.12,'3_1':0.06,'5_2':0.03,'6_1':0.0},(37,230):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'7_6':0.0},(37,229):{'4_1':0.15,'3_1':0.12,'5_2':0.03},(37,228):{'4_1':0.09,'3_1':0.03,'5_1':0.0,'5_2':0.0},(37,227):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(37,226):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'7_3':0.0},(37,225):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'5_1':0.0,'7_3':0.0},(37,224):{'3_1':0.15,'5_2':0.03,'4_1':0.03,'5_1':0.0},(37,223):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(37,222):{'4_1':0.06,'3_1':0.06,'5_2':0.0,'6_1':0.0},(37,221):{'3_1':0.06,'4_1':0.06,'7_1':0.0},(37,220):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(37,219):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(37,218):{'3_1':0.12,'4_1':0.0},(37,217):{'3_1':0.06,'4_1':0.0},(37,216):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(37,215):{'3_1':0.09,'4_1':0.0},(37,214):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(37,213):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,212):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,211):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,210):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(37,209):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(37,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(37,207):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(37,206):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(37,205):{'3_1':0.15,'4_1':0.03},(37,204):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(37,203):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(37,202):{'3_1':0.15,'4_1':0.06},(37,201):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'6_1':0.0,'6_2':0.0},(37,200):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(37,199):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(37,198):{'3_1':0.21,'5_2':0.03,'4_1':0.0,'5_1':0.0},(37,197):{'3_1':0.15,'6_2':0.0},(37,196):{'3_1':0.09},(37,195):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(37,194):{'3_1':0.12,'5_2':0.0},(37,193):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(37,192):{'3_1':0.03,'4_1':0.0},(37,191):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(37,190):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(37,189):{'3_1':0.06,'4_1':0.0},(37,188):{'3_1':0.12},(37,187):{'3_1':0.06,'5_1':0.0},(37,186):{'3_1':0.09,'5_2':0.0},(37,185):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(37,184):{'3_1':0.09,'5_2':0.0},(37,183):{'3_1':0.06,'5_2':0.0,'6_2':0.0},(37,182):{'3_1':0.12,'5_2':0.0},(37,181):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(37,180):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(37,179):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,178):{'3_1':0.09,'5_1':0.0},(37,177):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(37,176):{'3_1':0.12},(37,175):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,174):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(37,173):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,172):{'3_1':0.12,'5_2':0.0},(37,171):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(37,170):{'3_1':0.06,'5_1':0.0},(37,169):{'3_1':0.09,'4_1':0.0},(37,168):{'3_1':0.09,'4_1':0.0},(37,167):{'3_1':0.06,'5_2':0.0},(37,166):{'3_1':0.12,'4_1':0.0},(37,165):{'3_1':0.0,'4_1':0.0},(37,164):{'3_1':0.03,'5_1':0.0},(37,163):{'3_1':0.06},(37,162):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,160):{'3_1':0.0,'4_1':0.0},(37,159):{'3_1':0.0,'4_1':0.0},(37,158):{'3_1':0.0},(37,157):{'3_1':0.0,'4_1':0.0},(37,156):{'3_1':0.0},(37,155):{'3_1':0.0,'4_1':0.0},(37,154):{'3_1':0.0},(37,153):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(37,152):{'3_1':0.0},(37,151):{'3_1':0.0},(37,150):{'3_1':0.0},(37,149):{'3_1':0.0},(37,146):{'3_1':0.0},(37,145):{'3_1':0.0,'4_1':0.0},(37,144):{'5_1':0.0},(37,143):{'3_1':0.0},(37,142):{'3_1':0.0,'4_1':0.0},(37,137):{'3_1':0.0},(37,136):{'3_1':0.0},(37,134):{'3_1':0.0,'4_1':0.0},(37,132):{'3_1':0.0,'4_1':0.0},(37,131):{'3_1':0.0},(37,130):{'3_1':0.0},(37,129):{'3_1':0.0,'4_1':0.0},(37,127):{'3_1':0.03,'4_1':0.0},(37,126):{'3_1':0.0},(37,125):{'3_1':0.0},(37,122):{'3_1':0.0},(37,119):{'4_1':0.0},(37,118):{'3_1':0.0,'4_1':0.0},(37,116):{'3_1':0.0},(37,115):{'3_1':0.0},(37,112):{'4_1':0.0},(37,111):{'4_1':0.0},(37,110):{'3_1':0.0},(37,109):{'3_1':0.0},(37,108):{'3_1':0.0},(37,107):{'3_1':0.0},(37,106):{'3_1':0.0},(37,105):{'4_1':0.0},(37,104):{'3_1':0.0},(37,103):{'3_1':0.0},(37,101):{'3_1':0.0},(37,99):{'4_1':0.0,'3_1':0.0},(37,98):{'3_1':0.0},(37,97):{'3_1':0.0},(37,96):{'3_1':0.0,'4_1':0.0},(37,95):{'3_1':0.0},(37,94):{'3_1':0.0},(37,93):{'3_1':0.0},(37,92):{'3_1':0.0},(37,91):{'3_1':0.0,'4_1':0.0},(37,90):{'4_1':0.0,'3_1':0.0},(37,88):{'3_1':0.0},(37,87):{'3_1':0.0,'4_1':0.0},(37,86):{'3_1':0.0},(37,84):{'3_1':0.0},(37,83):{'3_1':0.0},(37,82):{'3_1':0.0},(37,79):{'3_1':0.0},(37,74):{'3_1':0.0},(37,71):{'3_1':0.0},(37,66):{'3_1':0.0},(37,65):{'3_1':0.0},(37,64):{'3_1':0.0},(37,63):{'3_1':0.0},(37,55):{'3_1':0.0},(37,54):{'3_1':0.0},(37,52):{'3_1':0.0},(37,51):{'3_1':0.0},(37,50):{'3_1':0.0},(37,48):{'4_1':0.0},(37,46):{'3_1':0.0},(37,43):{'3_1':0.0},(38,236):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0},(38,235):{'4_1':0.06,'3_1':0.03,'5_2':0.0,'6_3':0.0},(38,234):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_1':0.0},(38,233):{'4_1':0.15,'3_1':0.09,'6_3':0.0,'5_1':0.0},(38,232):{'4_1':0.15,'3_1':0.03,'6_2':0.0,'5_2':0.0},(38,231):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(38,230):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(38,229):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(38,228):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0,'6_3':0.0},(38,227):{'4_1':0.06,'3_1':0.06},(38,226):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(38,225):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_1':0.0,'7_3':0.0},(38,224):{'3_1':0.09,'4_1':0.03},(38,223):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(38,222):{'3_1':0.12,'4_1':0.06},(38,221):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(38,220):{'4_1':0.06,'3_1':0.03},(38,219):{'3_1':0.06,'4_1':0.06,'5_1':0.0},(38,218):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(38,217):{'3_1':0.06,'4_1':0.0},(38,216):{'3_1':0.09,'4_1':0.0},(38,215):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(38,214):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(38,213):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,212):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(38,211):{'3_1':0.12,'4_1':0.0,'6_3':0.0},(38,210):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,209):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(38,208):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(38,207):{'3_1':0.18,'4_1':0.0,'6_2':0.0},(38,206):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(38,205):{'3_1':0.06,'4_1':0.0},(38,204):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,203):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(38,202):{'3_1':0.12,'5_2':0.03,'4_1':0.03},(38,201):{'3_1':0.09,'4_1':0.0,'8_20|3_1#3_1':0.0},(38,200):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(38,199):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_1':0.0},(38,198):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(38,197):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(38,196):{'3_1':0.09},(38,195):{'3_1':0.06,'5_2':0.0,'8_4':0.0},(38,194):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(38,193):{'3_1':0.06,'5_2':0.0},(38,192):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(38,191):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,190):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,189):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(38,188):{'3_1':0.03,'4_1':0.0},(38,187):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(38,186):{'3_1':0.03,'5_1':0.0},(38,185):{'3_1':0.09,'4_1':0.0},(38,184):{'3_1':0.06,'5_1':0.0},(38,183):{'3_1':0.06,'5_2':0.0},(38,182):{'3_1':0.09,'4_1':0.0},(38,181):{'3_1':0.09,'4_1':0.0},(38,180):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(38,179):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(38,178):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(38,177):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(38,176):{'3_1':0.12,'4_1':0.0},(38,175):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,174):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(38,173):{'3_1':0.09},(38,172):{'3_1':0.06,'5_1':0.0},(38,171):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(38,170):{'3_1':0.09,'4_1':0.0},(38,169):{'3_1':0.09,'5_2':0.0},(38,168):{'3_1':0.03,'4_1':0.0},(38,167):{'3_1':0.06,'5_1':0.0},(38,166):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(38,165):{'3_1':0.03,'5_1':0.0},(38,164):{'3_1':0.0},(38,163):{'3_1':0.06,'4_1':0.0},(38,162):{'3_1':0.0},(38,161):{'3_1':0.0,'7_1':0.0},(38,160):{'4_1':0.0},(38,159):{'4_1':0.0},(38,158):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(38,157):{'3_1':0.0},(38,156):{'4_1':0.03,'3_1':0.0},(38,155):{'3_1':0.0},(38,154):{'3_1':0.0},(38,153):{'3_1':0.0},(38,152):{'3_1':0.0},(38,151):{'3_1':0.0,'5_2':0.0},(38,150):{'3_1':0.0},(38,148):{'3_1':0.0},(38,147):{'3_1':0.0},(38,146):{'3_1':0.0},(38,144):{'3_1':0.0},(38,142):{'3_1':0.0},(38,141):{'3_1':0.0},(38,140):{'3_1':0.0,'4_1':0.0},(38,139):{'3_1':0.0,'4_1':0.0},(38,135):{'3_1':0.03},(38,134):{'3_1':0.0,'4_1':0.0},(38,133):{'3_1':0.0},(38,131):{'3_1':0.0},(38,130):{'3_1':0.0},(38,129):{'3_1':0.0},(38,127):{'4_1':0.0},(38,126):{'3_1':0.0,'4_1':0.0},(38,125):{'3_1':0.0,'4_1':0.0},(38,124):{'3_1':0.0,'4_1':0.0},(38,123):{'4_1':0.0},(38,122):{'3_1':0.0},(38,121):{'4_1':0.0},(38,120):{'3_1':0.0},(38,119):{'3_1':0.0},(38,117):{'3_1':0.0},(38,116):{'3_1':0.0},(38,114):{'4_1':0.0},(38,112):{'3_1':0.0},(38,111):{'3_1':0.0},(38,109):{'3_1':0.0},(38,108):{'3_1':0.0},(38,104):{'3_1':0.0},(38,103):{'3_1':0.0},(38,102):{'3_1':0.0},(38,101):{'3_1':0.0},(38,100):{'3_1':0.0},(38,99):{'3_1':0.0},(38,98):{'4_1':0.0,'3_1':0.0},(38,97):{'3_1':0.0},(38,96):{'3_1':0.0},(38,95):{'4_1':0.0},(38,94):{'3_1':0.0},(38,93):{'3_1':0.0,'4_1':0.0},(38,92):{'3_1':0.0},(38,91):{'3_1':0.0,'4_1':0.0},(38,89):{'3_1':0.0,'4_1':0.0},(38,88):{'3_1':0.0},(38,87):{'3_1':0.0,'4_1':0.0},(38,86):{'3_1':0.0},(38,85):{'3_1':0.0},(38,84):{'3_1':0.0,'4_1':0.0},(38,83):{'3_1':0.03},(38,81):{'3_1':0.0},(38,78):{'3_1':0.0},(38,77):{'3_1':0.0},(38,75):{'3_1':0.0},(38,74):{'3_1':0.0},(38,73):{'3_1':0.0},(38,72):{'3_1':0.0},(38,68):{'3_1':0.0},(38,67):{'3_1':0.0},(38,65):{'3_1':0.0},(38,60):{'3_1':0.0},(38,58):{'3_1':0.0},(38,53):{'3_1':0.0},(38,50):{'3_1':0.0},(38,47):{'3_1':0.0},(38,46):{'3_1':0.0},(38,45):{'3_1':0.03},(38,44):{'3_1':0.0},(38,42):{'3_1':0.0},(39,236):{'4_1':0.12,'3_1':0.03},(39,235):{'4_1':0.09,'3_1':0.06,'6_1':0.0},(39,234):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(39,233):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0,'7_6':0.0},(39,232):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_3':0.0},(39,231):{'4_1':0.12,'3_1':0.09},(39,230):{'3_1':0.09,'4_1':0.09,'5_2':0.03},(39,229):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0},(39,228):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(39,227):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(39,226):{'4_1':0.06,'3_1':0.06,'6_1':0.0,'6_3':0.0,'5_2':0.0},(39,225):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_1':0.0},(39,224):{'3_1':0.09,'4_1':0.06,'6_3':0.0},(39,223):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(39,222):{'3_1':0.09,'4_1':0.0},(39,221):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_3':0.0},(39,220):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(39,219):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(39,218):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(39,217):{'3_1':0.09,'5_1':0.0},(39,216):{'3_1':0.09,'4_1':0.0},(39,215):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(39,214):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(39,213):{'3_1':0.12,'4_1':0.03,'6_3':0.0},(39,212):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(39,211):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(39,210):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(39,209):{'3_1':0.12,'4_1':0.0},(39,208):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(39,207):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(39,206):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(39,205):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_2':0.0},(39,204):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(39,203):{'3_1':0.06,'6_2':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(39,202):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_2':0.0},(39,201):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(39,200):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(39,199):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_2':0.0},(39,198):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,197):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(39,196):{'3_1':0.12,'4_1':0.0,'6_2':0.0,'5_2':0.0},(39,195):{'3_1':0.09,'4_1':0.0},(39,194):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(39,193):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(39,192):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(39,191):{'3_1':0.15},(39,190):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,189):{'3_1':0.12},(39,188):{'3_1':0.09,'4_1':0.0},(39,187):{'3_1':0.18,'4_1':0.0},(39,186):{'3_1':0.06},(39,185):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(39,184):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(39,183):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,182):{'3_1':0.15,'4_1':0.03},(39,181):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,180):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(39,179):{'3_1':0.09,'4_1':0.0},(39,178):{'3_1':0.12},(39,177):{'3_1':0.09,'4_1':0.0},(39,176):{'3_1':0.06},(39,175):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(39,174):{'3_1':0.09,'4_1':0.0},(39,173):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(39,172):{'3_1':0.12,'4_1':0.0},(39,171):{'3_1':0.12,'4_1':0.0},(39,170):{'3_1':0.09,'4_1':0.0},(39,169):{'3_1':0.15,'5_2':0.0},(39,168):{'3_1':0.06,'4_1':0.0},(39,167):{'3_1':0.09},(39,166):{'3_1':0.03,'4_1':0.0},(39,165):{'3_1':0.0},(39,164):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(39,163):{'3_1':0.03,'4_1':0.0},(39,162):{'3_1':0.03},(39,161):{'3_1':0.03},(39,160):{'3_1':0.0,'4_1':0.0},(39,159):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(39,158):{'3_1':0.0},(39,157):{'4_1':0.0,'3_1':0.0},(39,156):{'3_1':0.0,'4_1':0.0},(39,155):{'3_1':0.0},(39,154):{'4_1':0.0},(39,153):{'3_1':0.03},(39,152):{'3_1':0.0,'4_1':0.0},(39,151):{'3_1':0.0},(39,150):{'3_1':0.0,'4_1':0.0},(39,148):{'3_1':0.0},(39,146):{'4_1':0.0,'3_1':0.0},(39,145):{'3_1':0.03},(39,144):{'3_1':0.03,'4_1':0.0},(39,142):{'4_1':0.0},(39,141):{'3_1':0.0},(39,140):{'3_1':0.0,'4_1':0.0},(39,139):{'3_1':0.0},(39,138):{'4_1':0.0,'3_1':0.0},(39,136):{'3_1':0.0,'4_1':0.0},(39,135):{'3_1':0.0},(39,134):{'3_1':0.0},(39,133):{'3_1':0.0,'4_1':0.0},(39,132):{'3_1':0.0,'4_1':0.0},(39,131):{'3_1':0.0,'4_1':0.0},(39,130):{'3_1':0.0},(39,129):{'3_1':0.03,'4_1':0.0},(39,128):{'4_1':0.0,'3_1':0.0},(39,127):{'3_1':0.0},(39,126):{'3_1':0.0},(39,125):{'3_1':0.0},(39,124):{'3_1':0.0,'4_1':0.0},(39,123):{'4_1':0.0},(39,117):{'3_1':0.0,'4_1':0.0},(39,116):{'3_1':0.0},(39,115):{'3_1':0.0},(39,114):{'4_1':0.0,'3_1':0.0},(39,112):{'3_1':0.0},(39,111):{'3_1':0.0,'4_1':0.0},(39,109):{'3_1':0.0},(39,107):{'3_1':0.0},(39,106):{'4_1':0.0,'3_1':0.0},(39,101):{'3_1':0.0},(39,100):{'3_1':0.0},(39,99):{'4_1':0.0},(39,98):{'3_1':0.0},(39,97):{'3_1':0.0,'4_1':0.0},(39,96):{'3_1':0.0},(39,95):{'3_1':0.0},(39,94):{'3_1':0.0},(39,93):{'3_1':0.0},(39,92):{'3_1':0.0,'4_1':0.0},(39,91):{'3_1':0.0},(39,90):{'3_1':0.0},(39,89):{'3_1':0.0},(39,88):{'3_1':0.0},(39,87):{'3_1':0.0},(39,85):{'3_1':0.0,'4_1':0.0},(39,84):{'3_1':0.0},(39,82):{'3_1':0.0},(39,81):{'3_1':0.0},(39,80):{'3_1':0.0},(39,78):{'3_1':0.0},(39,77):{'3_1':0.0},(39,75):{'3_1':0.0},(39,73):{'3_1':0.0},(39,72):{'3_1':0.0},(39,71):{'3_1':0.0},(39,70):{'3_1':0.0},(39,68):{'3_1':0.0},(39,64):{'3_1':0.0},(39,62):{'3_1':0.0},(39,59):{'3_1':0.0},(39,58):{'3_1':0.0},(39,57):{'3_1':0.0},(39,51):{'3_1':0.0},(39,50):{'3_1':0.0},(39,45):{'3_1':0.0},(40,236):{'4_1':0.15,'3_1':0.06,'6_2':0.0,'6_3':0.0},(40,235):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_2':0.0},(40,234):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(40,233):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0,'6_1':0.0,'7_6':0.0},(40,232):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_3':0.0},(40,231):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'7_7':0.0,'6_2':0.0},(40,230):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_3':0.0},(40,229):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(40,228):{'4_1':0.09,'3_1':0.09,'5_2':0.0,'6_2':0.0},(40,227):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(40,226):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(40,225):{'3_1':0.15,'4_1':0.03,'6_1':0.03,'5_2':0.0,'7_3':0.0},(40,224):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(40,223):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(40,222):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(40,221):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(40,220):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(40,219):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(40,218):{'4_1':0.09,'3_1':0.06},(40,217):{'3_1':0.18,'4_1':0.03},(40,216):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(40,215):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(40,214):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(40,213):{'3_1':0.15,'4_1':0.0},(40,212):{'3_1':0.09,'4_1':0.03},(40,211):{'3_1':0.09,'4_1':0.0},(40,210):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(40,209):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(40,208):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(40,207):{'3_1':0.09,'4_1':0.0},(40,206):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(40,205):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'7_5':0.0},(40,204):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(40,203):{'3_1':0.12,'4_1':0.03,'5_1':0.03,'5_2':0.0},(40,202):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0},(40,201):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(40,200):{'3_1':0.09,'4_1':0.0},(40,199):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(40,198):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(40,197):{'3_1':0.09,'4_1':0.0},(40,196):{'3_1':0.06,'4_1':0.0},(40,195):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(40,194):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(40,193):{'3_1':0.12,'4_1':0.0},(40,192):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(40,191):{'3_1':0.09,'5_2':0.03},(40,190):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(40,189):{'3_1':0.06,'4_1':0.0},(40,188):{'3_1':0.06,'5_1':0.0},(40,187):{'3_1':0.12,'4_1':0.0},(40,186):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(40,185):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(40,184):{'3_1':0.03},(40,183):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,182):{'3_1':0.03,'4_1':0.0},(40,181):{'3_1':0.06,'5_2':0.0},(40,180):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_2':0.0},(40,179):{'3_1':0.15,'4_1':0.0},(40,178):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(40,177):{'3_1':0.09,'4_1':0.0},(40,176):{'3_1':0.06,'4_1':0.0},(40,175):{'3_1':0.09,'5_2':0.0},(40,174):{'3_1':0.09},(40,173):{'3_1':0.12,'4_1':0.0},(40,172):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(40,171):{'3_1':0.06,'4_1':0.0},(40,170):{'3_1':0.09,'5_2':0.0},(40,169):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(40,168):{'3_1':0.06},(40,167):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(40,166):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(40,165):{'3_1':0.03},(40,164):{'3_1':0.03,'4_1':0.0},(40,163):{'3_1':0.06,'4_1':0.0},(40,162):{'3_1':0.0},(40,161):{'3_1':0.0,'4_1':0.0},(40,160):{'3_1':0.03},(40,159):{'3_1':0.0,'5_1':0.0},(40,158):{'3_1':0.0,'4_1':0.0},(40,157):{'5_1':0.0,'3_1':0.0},(40,156):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,155):{'3_1':0.0},(40,154):{'3_1':0.0},(40,153):{'4_1':0.0},(40,152):{'4_1':0.0,'3_1':0.0},(40,151):{'3_1':0.03,'4_1':0.0},(40,150):{'3_1':0.0,'4_1':0.0},(40,149):{'3_1':0.03,'4_1':0.0},(40,148):{'3_1':0.0},(40,147):{'3_1':0.0,'4_1':0.0},(40,146):{'3_1':0.0},(40,145):{'3_1':0.0,'4_1':0.0},(40,144):{'3_1':0.0},(40,143):{'4_1':0.0,'5_2':0.0},(40,142):{'4_1':0.0,'5_2':0.0},(40,140):{'5_2':0.0},(40,139):{'3_1':0.0},(40,138):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(40,137):{'3_1':0.0,'4_1':0.0},(40,136):{'3_1':0.0},(40,135):{'3_1':0.03,'4_1':0.0},(40,134):{'3_1':0.0},(40,133):{'3_1':0.0},(40,132):{'3_1':0.0,'4_1':0.0},(40,130):{'4_1':0.0,'3_1':0.0},(40,128):{'3_1':0.03},(40,127):{'4_1':0.0,'3_1':0.0},(40,126):{'3_1':0.0,'4_1':0.0},(40,124):{'3_1':0.0,'4_1':0.0},(40,121):{'3_1':0.0,'4_1':0.0},(40,120):{'3_1':0.0,'4_1':0.0},(40,118):{'3_1':0.0},(40,117):{'3_1':0.0},(40,113):{'3_1':0.0,'4_1':0.0},(40,112):{'3_1':0.0},(40,111):{'4_1':0.0},(40,110):{'3_1':0.0},(40,109):{'3_1':0.0},(40,108):{'4_1':0.0},(40,107):{'3_1':0.0},(40,106):{'3_1':0.03},(40,104):{'3_1':0.0},(40,103):{'3_1':0.0},(40,101):{'3_1':0.0},(40,100):{'3_1':0.0},(40,99):{'4_1':0.0},(40,98):{'3_1':0.0},(40,97):{'3_1':0.0},(40,96):{'3_1':0.0},(40,95):{'4_1':0.0},(40,93):{'3_1':0.0},(40,92):{'4_1':0.0,'3_1':0.0},(40,91):{'3_1':0.0,'4_1':0.0},(40,89):{'3_1':0.0,'4_1':0.0},(40,88):{'3_1':0.03},(40,87):{'3_1':0.0},(40,86):{'4_1':0.0},(40,85):{'3_1':0.0},(40,83):{'3_1':0.0},(40,82):{'3_1':0.0},(40,81):{'3_1':0.0},(40,80):{'3_1':0.0},(40,78):{'3_1':0.0},(40,77):{'3_1':0.0},(40,76):{'3_1':0.0},(40,75):{'3_1':0.0},(40,74):{'3_1':0.0},(40,73):{'3_1':0.0},(40,72):{'3_1':0.0},(40,67):{'3_1':0.0},(40,60):{'3_1':0.0},(40,59):{'3_1':0.0},(40,55):{'3_1':0.0},(40,45):{'3_1':0.0},(41,236):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_1':0.0,'7_7':0.0},(41,235):{'4_1':0.06,'3_1':0.06,'5_2':0.03,'6_1':0.0},(41,234):{'3_1':0.15,'4_1':0.12,'5_2':0.03},(41,233):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0},(41,232):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0},(41,231):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_3':0.0},(41,230):{'4_1':0.12,'3_1':0.06,'6_2':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(41,229):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(41,228):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_2':0.0},(41,227):{'3_1':0.12,'4_1':0.09,'6_2':0.0,'6_3':0.0},(41,226):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(41,225):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(41,224):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(41,223):{'3_1':0.09,'4_1':0.03},(41,222):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(41,221):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(41,220):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_3':0.0},(41,219):{'3_1':0.09,'4_1':0.03},(41,218):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(41,217):{'3_1':0.09,'4_1':0.0},(41,216):{'3_1':0.09,'4_1':0.0},(41,215):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,214):{'3_1':0.09,'4_1':0.03},(41,213):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(41,212):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(41,211):{'3_1':0.21,'4_1':0.0},(41,210):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(41,209):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,208):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(41,207):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'5_1':0.0},(41,206):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,205):{'3_1':0.03,'4_1':0.0},(41,204):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,203):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(41,202):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(41,201):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(41,200):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,199):{'3_1':0.12,'4_1':0.03,'5_2':0.03,'5_1':0.0},(41,198):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,197):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(41,196):{'3_1':0.03,'4_1':0.0},(41,195):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0,'7_5':0.0},(41,194):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(41,193):{'3_1':0.12},(41,192):{'3_1':0.06,'4_1':0.0},(41,191):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(41,190):{'3_1':0.06},(41,189):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(41,188):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,187):{'3_1':0.06},(41,186):{'3_1':0.06},(41,185):{'3_1':0.06,'6_2':0.0},(41,184):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(41,183):{'3_1':0.09,'4_1':0.0},(41,182):{'3_1':0.12,'4_1':0.0},(41,181):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(41,180):{'3_1':0.09,'5_2':0.0},(41,179):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(41,178):{'3_1':0.15,'5_1':0.0},(41,177):{'3_1':0.15,'5_2':0.0},(41,176):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(41,175):{'3_1':0.06},(41,174):{'3_1':0.09},(41,173):{'3_1':0.12,'6_2':0.0},(41,172):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(41,171):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(41,170):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(41,169):{'3_1':0.09,'4_1':0.0},(41,168):{'3_1':0.09},(41,167):{'3_1':0.06},(41,166):{'3_1':0.06},(41,165):{'3_1':0.0},(41,164):{'3_1':0.06},(41,163):{'3_1':0.0,'4_1':0.0},(41,162):{'3_1':0.03,'4_1':0.0},(41,161):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'7_1':0.0},(41,160):{'3_1':0.0,'5_1':0.0},(41,159):{'3_1':0.03,'5_1':0.0},(41,158):{'3_1':0.0,'5_1':0.0},(41,157):{'3_1':0.0},(41,156):{'3_1':0.03},(41,155):{'3_1':0.0},(41,154):{'3_1':0.0,'4_1':0.0},(41,153):{'4_1':0.0,'3_1':0.0},(41,152):{'3_1':0.0},(41,151):{'3_1':0.0},(41,150):{'3_1':0.0},(41,149):{'3_1':0.0,'4_1':0.0},(41,147):{'3_1':0.03,'4_1':0.0},(41,146):{'3_1':0.0},(41,145):{'3_1':0.0},(41,143):{'3_1':0.0},(41,142):{'4_1':0.0},(41,141):{'3_1':0.0},(41,140):{'3_1':0.0},(41,139):{'3_1':0.0},(41,138):{'3_1':0.0,'4_1':0.0},(41,137):{'3_1':0.0,'4_1':0.0},(41,135):{'3_1':0.0},(41,134):{'3_1':0.0},(41,133):{'3_1':0.0},(41,132):{'3_1':0.0},(41,131):{'3_1':0.0},(41,130):{'3_1':0.0},(41,129):{'4_1':0.0},(41,128):{'3_1':0.0},(41,126):{'3_1':0.0,'4_1':0.0},(41,125):{'4_1':0.0,'3_1':0.0},(41,124):{'3_1':0.0},(41,123):{'4_1':0.0},(41,122):{'3_1':0.0},(41,121):{'3_1':0.0},(41,120):{'3_1':0.0,'4_1':0.0},(41,118):{'3_1':0.0},(41,116):{'3_1':0.0,'4_1':0.0},(41,115):{'4_1':0.0},(41,112):{'3_1':0.0},(41,109):{'4_1':0.0},(41,108):{'4_1':0.0},(41,107):{'3_1':0.0},(41,106):{'3_1':0.0},(41,103):{'3_1':0.0},(41,102):{'3_1':0.0},(41,101):{'3_1':0.0},(41,100):{'3_1':0.0},(41,99):{'3_1':0.0},(41,98):{'3_1':0.0},(41,97):{'3_1':0.0},(41,96):{'3_1':0.0},(41,95):{'3_1':0.0},(41,94):{'4_1':0.0},(41,93):{'3_1':0.0},(41,92):{'3_1':0.0,'4_1':0.0},(41,91):{'3_1':0.0},(41,90):{'3_1':0.0},(41,89):{'3_1':0.0,'4_1':0.0},(41,88):{'3_1':0.0},(41,87):{'3_1':0.0,'4_1':0.0},(41,86):{'3_1':0.0},(41,85):{'3_1':0.0},(41,84):{'3_1':0.0},(41,83):{'3_1':0.0},(41,81):{'3_1':0.0},(41,80):{'3_1':0.0},(41,79):{'3_1':0.0},(41,77):{'3_1':0.0},(41,75):{'3_1':0.0},(41,73):{'3_1':0.0},(41,72):{'3_1':0.0},(41,70):{'3_1':0.0},(41,67):{'3_1':0.0},(41,61):{'3_1':0.0},(41,57):{'3_1':0.0},(41,56):{'3_1':0.0},(41,45):{'3_1':0.0},(42,236):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0},(42,235):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(42,234):{'4_1':0.15,'3_1':0.06,'5_2':0.0},(42,233):{'4_1':0.15,'3_1':0.03,'5_2':0.0},(42,232):{'4_1':0.15,'3_1':0.09,'5_2':0.0},(42,231):{'4_1':0.21,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_3':0.0},(42,230):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_2':0.0,'8_6':0.0},(42,229):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0,'7_4':0.0},(42,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_2':0.0,'7_3':0.0},(42,227):{'4_1':0.09,'3_1':0.06,'6_2':0.0},(42,226):{'4_1':0.09,'3_1':0.06},(42,225):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(42,224):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0,'7_5':0.0},(42,223):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(42,222):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(42,221):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(42,220):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(42,219):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(42,218):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(42,217):{'3_1':0.12,'4_1':0.0},(42,216):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(42,215):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,214):{'3_1':0.09,'4_1':0.03},(42,213):{'3_1':0.15,'4_1':0.0},(42,212):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(42,211):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,210):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(42,209):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(42,208):{'3_1':0.09,'4_1':0.0},(42,207):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(42,206):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(42,205):{'3_1':0.06,'4_1':0.0},(42,204):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(42,203):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(42,202):{'3_1':0.09,'4_1':0.0},(42,201):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,200):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,199):{'3_1':0.15,'4_1':0.03},(42,198):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(42,197):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(42,196):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,195):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(42,194):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(42,193):{'3_1':0.06,'4_1':0.0},(42,192):{'3_1':0.09,'4_1':0.0},(42,191):{'3_1':0.09,'4_1':0.0},(42,190):{'3_1':0.09,'4_1':0.0},(42,189):{'3_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(42,188):{'3_1':0.06,'4_1':0.0},(42,187):{'3_1':0.06,'6_2':0.0},(42,186):{'3_1':0.12,'5_2':0.0},(42,185):{'3_1':0.06},(42,184):{'3_1':0.09,'4_1':0.0},(42,183):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(42,182):{'3_1':0.12,'4_1':0.0},(42,181):{'3_1':0.15,'4_1':0.0},(42,180):{'3_1':0.12,'4_1':0.0},(42,179):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(42,178):{'3_1':0.03},(42,177):{'3_1':0.06,'4_1':0.0},(42,176):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(42,175):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(42,174):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(42,173):{'3_1':0.15,'4_1':0.0},(42,172):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(42,171):{'3_1':0.09},(42,170):{'3_1':0.09,'4_1':0.0},(42,169):{'3_1':0.15},(42,168):{'3_1':0.09},(42,167):{'3_1':0.06},(42,166):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(42,165):{'3_1':0.06,'4_1':0.0},(42,164):{'3_1':0.03,'4_1':0.0},(42,163):{'3_1':0.03,'4_1':0.0},(42,162):{'3_1':0.0},(42,161):{'3_1':0.0},(42,160):{'4_1':0.0,'5_1':0.0},(42,159):{'3_1':0.0},(42,158):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(42,156):{'4_1':0.0,'3_1':0.0},(42,153):{'3_1':0.0},(42,152):{'3_1':0.0,'4_1':0.0},(42,151):{'3_1':0.0,'4_1':0.0},(42,150):{'4_1':0.0},(42,149):{'3_1':0.0,'4_1':0.0},(42,148):{'4_1':0.0},(42,147):{'3_1':0.0},(42,146):{'3_1':0.0,'5_1':0.0},(42,145):{'3_1':0.0,'4_1':0.0},(42,144):{'3_1':0.0,'4_1':0.0},(42,143):{'4_1':0.0},(42,142):{'3_1':0.0},(42,140):{'3_1':0.0},(42,139):{'3_1':0.0},(42,137):{'3_1':0.0},(42,136):{'3_1':0.0},(42,134):{'3_1':0.0},(42,130):{'3_1':0.0},(42,129):{'3_1':0.0},(42,128):{'4_1':0.0,'3_1':0.0},(42,126):{'4_1':0.0},(42,124):{'3_1':0.0},(42,123):{'3_1':0.0},(42,122):{'4_1':0.0},(42,121):{'3_1':0.0},(42,115):{'3_1':0.0},(42,113):{'4_1':0.0},(42,112):{'4_1':0.0},(42,111):{'4_1':0.0},(42,109):{'3_1':0.0},(42,108):{'3_1':0.0},(42,107):{'3_1':0.0},(42,106):{'4_1':0.0},(42,105):{'3_1':0.0},(42,104):{'3_1':0.0},(42,103):{'4_1':0.0},(42,99):{'3_1':0.0},(42,98):{'3_1':0.0},(42,97):{'4_1':0.0},(42,96):{'3_1':0.0},(42,95):{'4_1':0.0},(42,94):{'3_1':0.03},(42,93):{'3_1':0.0},(42,92):{'3_1':0.0},(42,91):{'3_1':0.0},(42,89):{'3_1':0.0},(42,88):{'3_1':0.0},(42,87):{'3_1':0.0},(42,86):{'3_1':0.0},(42,85):{'3_1':0.0,'4_1':0.0},(42,84):{'3_1':0.0},(42,83):{'3_1':0.0},(42,82):{'3_1':0.0},(42,81):{'3_1':0.0},(42,80):{'3_1':0.0},(42,79):{'3_1':0.0},(42,77):{'3_1':0.0},(42,74):{'3_1':0.0},(42,73):{'3_1':0.0},(42,72):{'3_1':0.0},(42,66):{'3_1':0.0},(42,61):{'3_1':0.0},(42,58):{'3_1':0.0},(42,56):{'3_1':0.0},(42,46):{'3_1':0.0},(43,236):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_1':0.0},(43,235):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0},(43,234):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_2':0.0},(43,233):{'3_1':0.12,'4_1':0.12,'5_2':0.03,'6_1':0.0,'6_2':0.0},(43,232):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(43,231):{'4_1':0.18,'3_1':0.03,'5_2':0.03,'6_3':0.0,'7_6':0.0},(43,230):{'4_1':0.12,'3_1':0.03,'5_2':0.0,'6_3':0.0},(43,229):{'4_1':0.18,'3_1':0.03,'5_2':0.0,'5_1':0.0},(43,228):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0},(43,227):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(43,226):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(43,225):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_2':0.0},(43,224):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(43,223):{'3_1':0.09,'4_1':0.0},(43,222):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(43,221):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(43,220):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(43,219):{'3_1':0.12,'4_1':0.03},(43,218):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(43,217):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(43,216):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(43,215):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(43,214):{'3_1':0.03,'4_1':0.0},(43,213):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(43,212):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(43,211):{'3_1':0.09,'5_2':0.0},(43,210):{'3_1':0.12,'4_1':0.0},(43,209):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_2':0.0},(43,208):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(43,207):{'3_1':0.15,'4_1':0.0},(43,206):{'3_1':0.06,'4_1':0.03},(43,205):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(43,204):{'3_1':0.09,'4_1':0.0},(43,203):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(43,202):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(43,201):{'3_1':0.12,'4_1':0.0},(43,200):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(43,199):{'3_1':0.06,'4_1':0.0,'8_3':0.0},(43,198):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(43,197):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(43,196):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(43,195):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(43,194):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(43,193):{'3_1':0.0},(43,192):{'3_1':0.09,'4_1':0.0},(43,191):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(43,190):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(43,189):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(43,188):{'3_1':0.03},(43,187):{'3_1':0.12,'5_2':0.0},(43,186):{'3_1':0.09},(43,185):{'3_1':0.03,'4_1':0.0},(43,184):{'3_1':0.03,'4_1':0.0},(43,183):{'3_1':0.12,'4_1':0.0},(43,182):{'3_1':0.15,'6_2':0.0,'5_2':0.0},(43,181):{'3_1':0.06,'4_1':0.0},(43,180):{'3_1':0.12,'4_1':0.0},(43,179):{'3_1':0.09,'5_1':0.0},(43,178):{'3_1':0.06,'4_1':0.0},(43,177):{'3_1':0.09},(43,176):{'3_1':0.12,'5_2':0.0},(43,175):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(43,174):{'3_1':0.15,'5_1':0.0},(43,173):{'3_1':0.12},(43,172):{'3_1':0.15,'5_1':0.0},(43,171):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(43,170):{'3_1':0.12},(43,169):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(43,168):{'3_1':0.09},(43,167):{'3_1':0.03,'5_1':0.0},(43,166):{'3_1':0.03,'4_1':0.0},(43,165):{'3_1':0.0},(43,164):{'3_1':0.06,'4_1':0.0},(43,163):{'3_1':0.0,'4_1':0.0},(43,162):{'3_1':0.0},(43,161):{'3_1':0.0,'5_1':0.0},(43,160):{'3_1':0.0,'4_1':0.0},(43,159):{'5_1':0.0},(43,158):{'3_1':0.0},(43,157):{'4_1':0.0},(43,156):{'3_1':0.0},(43,155):{'3_1':0.0},(43,154):{'4_1':0.0},(43,152):{'3_1':0.0,'4_1':0.0},(43,151):{'3_1':0.0,'4_1':0.0},(43,150):{'3_1':0.0,'4_1':0.0},(43,149):{'3_1':0.0},(43,148):{'4_1':0.0},(43,147):{'3_1':0.0},(43,145):{'3_1':0.0},(43,144):{'3_1':0.0},(43,141):{'3_1':0.0},(43,139):{'3_1':0.0,'4_1':0.0},(43,138):{'3_1':0.0},(43,137):{'3_1':0.0},(43,136):{'3_1':0.0},(43,135):{'3_1':0.0,'4_1':0.0},(43,134):{'3_1':0.0,'4_1':0.0},(43,133):{'3_1':0.0},(43,131):{'3_1':0.0},(43,130):{'3_1':0.0},(43,129):{'3_1':0.0},(43,128):{'3_1':0.0},(43,127):{'4_1':0.0},(43,126):{'3_1':0.0,'4_1':0.0},(43,125):{'3_1':0.0,'4_1':0.0},(43,124):{'3_1':0.0,'4_1':0.0},(43,122):{'3_1':0.0},(43,120):{'3_1':0.0},(43,119):{'3_1':0.0},(43,118):{'3_1':0.0,'4_1':0.0},(43,116):{'4_1':0.0},(43,111):{'3_1':0.0,'4_1':0.0},(43,109):{'4_1':0.0},(43,108):{'3_1':0.0},(43,106):{'4_1':0.0,'3_1':0.0},(43,104):{'3_1':0.0},(43,103):{'3_1':0.0},(43,102):{'3_1':0.0},(43,101):{'3_1':0.0},(43,100):{'3_1':0.0},(43,99):{'4_1':0.0},(43,98):{'3_1':0.0},(43,97):{'3_1':0.0},(43,96):{'3_1':0.0},(43,95):{'3_1':0.0,'4_1':0.0},(43,94):{'3_1':0.0},(43,93):{'3_1':0.0},(43,92):{'4_1':0.0},(43,91):{'3_1':0.03},(43,90):{'3_1':0.0},(43,89):{'3_1':0.0},(43,88):{'3_1':0.0,'4_1':0.0},(43,87):{'3_1':0.0},(43,85):{'3_1':0.0},(43,84):{'3_1':0.0,'4_1':0.0},(43,82):{'3_1':0.0},(43,81):{'3_1':0.0},(43,79):{'3_1':0.0},(43,78):{'3_1':0.0},(43,77):{'3_1':0.0},(43,71):{'3_1':0.0},(43,66):{'3_1':0.0},(43,64):{'3_1':0.0},(43,50):{'3_1':0.0},(43,46):{'3_1':0.0},(44,236):{'4_1':0.03,'3_1':0.03,'5_2':0.03,'6_2':0.0},(44,235):{'4_1':0.09,'3_1':0.06,'5_2':0.03},(44,234):{'4_1':0.15,'3_1':0.03,'5_2':0.03,'6_1':0.0},(44,233):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0},(44,232):{'4_1':0.15,'3_1':0.06,'5_2':0.06,'5_1':0.0},(44,231):{'4_1':0.18,'3_1':0.0,'5_2':0.0,'6_2':0.0},(44,230):{'4_1':0.12,'3_1':0.03,'6_2':0.0,'5_2':0.0},(44,229):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'7_4':0.0},(44,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(44,227):{'4_1':0.15,'3_1':0.06,'5_2':0.0,'6_2':0.0},(44,226):{'4_1':0.09,'3_1':0.09,'5_2':0.0},(44,225):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'5_1':0.0},(44,224):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(44,223):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(44,222):{'4_1':0.09,'3_1':0.03,'5_2':0.0},(44,221):{'3_1':0.09,'4_1':0.03},(44,220):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(44,219):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(44,218):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(44,217):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(44,216):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(44,215):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(44,214):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'7_4':0.0},(44,213):{'3_1':0.12,'4_1':0.0},(44,212):{'3_1':0.09,'4_1':0.0},(44,211):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(44,210):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(44,209):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,208):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'6_2':0.0},(44,207):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(44,206):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(44,205):{'3_1':0.09,'5_2':0.0},(44,204):{'3_1':0.09,'4_1':0.0},(44,203):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,202):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(44,201):{'3_1':0.12,'4_1':0.0},(44,200):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0},(44,199):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(44,198):{'3_1':0.15,'4_1':0.0},(44,197):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(44,196):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(44,195):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(44,194):{'3_1':0.15},(44,193):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(44,192):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(44,191):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(44,190):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(44,189):{'3_1':0.03,'5_1':0.0},(44,188):{'3_1':0.06},(44,187):{'3_1':0.06,'4_1':0.0},(44,186):{'3_1':0.0,'5_2':0.0},(44,185):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(44,184):{'3_1':0.09},(44,183):{'3_1':0.12,'4_1':0.0},(44,182):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(44,181):{'3_1':0.09,'4_1':0.0},(44,180):{'3_1':0.09,'5_1':0.0},(44,179):{'3_1':0.12,'4_1':0.0},(44,178):{'3_1':0.06,'4_1':0.0},(44,177):{'3_1':0.12,'5_1':0.0},(44,176):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(44,175):{'3_1':0.06,'5_2':0.0},(44,174):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(44,173):{'3_1':0.12,'4_1':0.0},(44,172):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(44,171):{'3_1':0.09,'4_1':0.0},(44,170):{'3_1':0.15,'4_1':0.0},(44,169):{'3_1':0.12,'5_1':0.0},(44,168):{'3_1':0.06,'4_1':0.0},(44,167):{'3_1':0.12,'4_1':0.0},(44,166):{'3_1':0.06},(44,165):{'3_1':0.0},(44,164):{'3_1':0.03,'4_1':0.0},(44,163):{'3_1':0.06},(44,162):{'3_1':0.0},(44,161):{'3_1':0.03,'5_1':0.0},(44,160):{'3_1':0.0,'4_1':0.0},(44,158):{'3_1':0.0},(44,157):{'3_1':0.0,'4_1':0.0},(44,156):{'3_1':0.0,'4_1':0.0},(44,155):{'4_1':0.0},(44,154):{'3_1':0.0},(44,153):{'3_1':0.0},(44,152):{'3_1':0.0},(44,151):{'3_1':0.0},(44,150):{'3_1':0.0},(44,149):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(44,148):{'4_1':0.0},(44,147):{'3_1':0.03},(44,146):{'3_1':0.0,'4_1':0.0},(44,145):{'3_1':0.0},(44,143):{'3_1':0.0},(44,142):{'3_1':0.0},(44,141):{'3_1':0.0},(44,139):{'3_1':0.0},(44,138):{'3_1':0.0},(44,137):{'3_1':0.0},(44,135):{'3_1':0.0,'4_1':0.0},(44,134):{'3_1':0.0},(44,133):{'3_1':0.0},(44,131):{'3_1':0.0},(44,129):{'3_1':0.0},(44,128):{'3_1':0.0,'4_1':0.0},(44,127):{'3_1':0.0},(44,126):{'4_1':0.0,'3_1':0.0},(44,125):{'3_1':0.0},(44,124):{'3_1':0.0},(44,123):{'4_1':0.0},(44,122):{'3_1':0.0},(44,121):{'3_1':0.0},(44,119):{'3_1':0.0,'4_1':0.0},(44,118):{'4_1':0.0},(44,115):{'3_1':0.0},(44,114):{'3_1':0.0},(44,113):{'3_1':0.0},(44,110):{'4_1':0.0},(44,107):{'3_1':0.0},(44,105):{'3_1':0.0,'4_1':0.0},(44,102):{'3_1':0.0},(44,101):{'3_1':0.0},(44,100):{'3_1':0.0},(44,99):{'3_1':0.0},(44,98):{'3_1':0.0},(44,96):{'3_1':0.0},(44,95):{'3_1':0.0,'4_1':0.0},(44,94):{'3_1':0.0},(44,93):{'3_1':0.0,'4_1':0.0},(44,92):{'3_1':0.0},(44,91):{'4_1':0.0},(44,90):{'4_1':0.0},(44,88):{'3_1':0.0,'4_1':0.0},(44,86):{'3_1':0.0},(44,85):{'3_1':0.0},(44,84):{'3_1':0.0},(44,83):{'3_1':0.0},(44,82):{'3_1':0.0},(44,81):{'3_1':0.0},(44,79):{'3_1':0.0},(44,77):{'3_1':0.0},(44,74):{'3_1':0.0},(44,69):{'3_1':0.0},(44,67):{'3_1':0.0},(44,58):{'3_1':0.0},(44,56):{'3_1':0.0},(44,48):{'3_1':0.0},(45,236):{'4_1':0.06,'3_1':0.03,'6_2':0.0,'5_2':0.0,'6_1':0.0},(45,235):{'4_1':0.18,'3_1':0.12,'5_2':0.0,'6_1':0.0},(45,234):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'5_1':0.0},(45,233):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0},(45,232):{'4_1':0.15,'3_1':0.09,'5_2':0.03,'6_1':0.0},(45,231):{'4_1':0.18,'3_1':0.09,'6_2':0.03,'5_2':0.0,'6_3':0.0},(45,230):{'4_1':0.15,'3_1':0.03,'5_2':0.0},(45,229):{'4_1':0.15,'3_1':0.12,'5_2':0.0,'6_1':0.0},(45,228):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(45,227):{'4_1':0.12,'3_1':0.09,'5_2':0.0,'6_2':0.0},(45,226):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(45,225):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0,'7_5':0.0},(45,224):{'3_1':0.09,'4_1':0.06,'6_2':0.0},(45,223):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(45,222):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(45,221):{'4_1':0.06,'3_1':0.06},(45,220):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(45,219):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(45,218):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(45,217):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,216):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(45,215):{'3_1':0.15,'4_1':0.0,'6_3':0.0},(45,214):{'3_1':0.09,'4_1':0.0},(45,213):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(45,212):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,211):{'3_1':0.09,'4_1':0.0},(45,210):{'3_1':0.12,'5_2':0.0},(45,209):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_5':0.0},(45,208):{'3_1':0.12},(45,207):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(45,206):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(45,205):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(45,204):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(45,203):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_2':0.0},(45,202):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'6_2':0.0},(45,201):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(45,200):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(45,199):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,198):{'3_1':0.09,'4_1':0.0},(45,197):{'3_1':0.12,'4_1':0.0},(45,196):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(45,195):{'3_1':0.06,'5_2':0.0},(45,194):{'3_1':0.09,'4_1':0.03},(45,193):{'3_1':0.12},(45,192):{'3_1':0.03,'4_1':0.0},(45,191):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(45,190):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,189):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(45,188):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(45,187):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(45,186):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(45,185):{'3_1':0.06},(45,184):{'3_1':0.09,'4_1':0.0},(45,183):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(45,182):{'3_1':0.12},(45,181):{'3_1':0.09,'4_1':0.0},(45,180):{'3_1':0.12,'6_2':0.0,'4_1':0.0},(45,179):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(45,178):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(45,177):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(45,176):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(45,175):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(45,174):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(45,173):{'3_1':0.15,'4_1':0.0},(45,172):{'3_1':0.15,'4_1':0.0},(45,171):{'3_1':0.06,'4_1':0.0},(45,170):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(45,169):{'3_1':0.09},(45,168):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(45,167):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(45,166):{'3_1':0.06,'4_1':0.0},(45,165):{'3_1':0.06},(45,164):{'3_1':0.03},(45,163):{'3_1':0.06,'4_1':0.03},(45,162):{'3_1':0.0},(45,161):{'4_1':0.0,'3_1':0.0,'5_1':0.0},(45,160):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(45,159):{'3_1':0.0,'4_1':0.0},(45,158):{'3_1':0.0},(45,156):{'3_1':0.0},(45,155):{'3_1':0.0},(45,154):{'4_1':0.0},(45,153):{'3_1':0.0},(45,151):{'3_1':0.0,'4_1':0.0},(45,150):{'3_1':0.0,'4_1':0.0},(45,149):{'4_1':0.0,'3_1':0.0},(45,148):{'3_1':0.0},(45,147):{'3_1':0.0,'4_1':0.0},(45,145):{'3_1':0.0,'4_1':0.0},(45,144):{'3_1':0.0,'4_1':0.0},(45,143):{'3_1':0.0,'4_1':0.0},(45,142):{'3_1':0.0,'4_1':0.0},(45,141):{'4_1':0.0},(45,140):{'3_1':0.0},(45,137):{'3_1':0.0},(45,136):{'3_1':0.0},(45,135):{'3_1':0.0},(45,134):{'3_1':0.0},(45,133):{'3_1':0.0,'4_1':0.0},(45,131):{'4_1':0.0},(45,130):{'4_1':0.0,'3_1':0.0},(45,129):{'4_1':0.0,'3_1':0.0},(45,126):{'3_1':0.0},(45,125):{'4_1':0.0},(45,124):{'3_1':0.0},(45,121):{'3_1':0.0,'4_1':0.0},(45,119):{'3_1':0.0},(45,118):{'4_1':0.0},(45,117):{'3_1':0.0},(45,113):{'3_1':0.0},(45,112):{'3_1':0.0},(45,111):{'3_1':0.0,'4_1':0.0},(45,110):{'3_1':0.0},(45,109):{'4_1':0.0},(45,107):{'3_1':0.0},(45,106):{'3_1':0.0},(45,105):{'4_1':0.0},(45,104):{'3_1':0.0},(45,102):{'4_1':0.0},(45,101):{'3_1':0.0},(45,100):{'3_1':0.0},(45,99):{'3_1':0.03},(45,98):{'3_1':0.0},(45,97):{'3_1':0.0},(45,96):{'3_1':0.0},(45,95):{'3_1':0.03},(45,94):{'3_1':0.0},(45,93):{'3_1':0.0,'4_1':0.0},(45,91):{'3_1':0.0},(45,90):{'3_1':0.0},(45,89):{'4_1':0.0},(45,88):{'3_1':0.0},(45,87):{'3_1':0.0},(45,86):{'3_1':0.0},(45,84):{'3_1':0.0},(45,83):{'3_1':0.0},(45,82):{'3_1':0.0},(45,81):{'3_1':0.0,'4_1':0.0},(45,79):{'3_1':0.0},(45,78):{'3_1':0.0},(45,72):{'3_1':0.0},(45,61):{'3_1':0.0},(45,60):{'3_1':0.0},(45,56):{'3_1':0.0},(45,55):{'3_1':0.0},(45,49):{'3_1':0.0},(45,48):{'3_1':0.0},(46,236):{'4_1':0.12,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(46,235):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_1':0.0},(46,234):{'3_1':0.09,'4_1':0.09,'5_2':0.03},(46,233):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_2':0.0},(46,232):{'4_1':0.21,'3_1':0.06,'5_2':0.0},(46,231):{'3_1':0.15,'4_1':0.12,'5_2':0.03},(46,230):{'4_1':0.15,'3_1':0.09,'6_2':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(46,229):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(46,228):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'5_1':0.0},(46,227):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(46,226):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_2':0.0},(46,225):{'4_1':0.06,'3_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(46,224):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(46,223):{'3_1':0.12,'4_1':0.06,'6_3':0.0},(46,222):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(46,221):{'3_1':0.06,'5_2':0.03,'4_1':0.0},(46,220):{'3_1':0.18,'4_1':0.06},(46,219):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(46,218):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(46,217):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(46,216):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(46,215):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(46,214):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(46,213):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(46,212):{'3_1':0.12,'4_1':0.0},(46,211):{'3_1':0.12,'4_1':0.0},(46,210):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(46,209):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,208):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,207):{'3_1':0.12},(46,206):{'3_1':0.09,'5_1':0.0},(46,205):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(46,204):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(46,203):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(46,202):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(46,201):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(46,200):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(46,199):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(46,198):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(46,197):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(46,196):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_1':0.0,'7_5':0.0},(46,195):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(46,194):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(46,193):{'3_1':0.09,'4_1':0.0},(46,192):{'3_1':0.06,'4_1':0.0},(46,191):{'3_1':0.12,'6_2':0.0},(46,190):{'3_1':0.09},(46,189):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(46,188):{'3_1':0.12,'4_1':0.0},(46,187):{'3_1':0.09},(46,186):{'3_1':0.09},(46,185):{'3_1':0.06},(46,184):{'3_1':0.12,'4_1':0.0},(46,183):{'3_1':0.12,'4_1':0.0},(46,182):{'3_1':0.12,'5_1':0.0},(46,181):{'3_1':0.06,'4_1':0.0},(46,180):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(46,179):{'3_1':0.12},(46,178):{'3_1':0.15,'5_1':0.0},(46,177):{'3_1':0.03,'4_1':0.0},(46,176):{'3_1':0.09},(46,175):{'3_1':0.09,'5_1':0.0},(46,174):{'3_1':0.09,'5_1':0.0},(46,173):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(46,172):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(46,171):{'3_1':0.09,'4_1':0.0},(46,170):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(46,169):{'3_1':0.06},(46,168):{'3_1':0.09,'5_2':0.0},(46,167):{'3_1':0.06},(46,166):{'3_1':0.09,'4_1':0.0},(46,165):{'3_1':0.0,'5_1':0.0},(46,164):{'3_1':0.06},(46,163):{'3_1':0.0,'4_1':0.0},(46,162):{'3_1':0.03},(46,161):{'3_1':0.0},(46,160):{'3_1':0.0},(46,159):{'3_1':0.03,'4_1':0.0},(46,158):{'5_1':0.0},(46,157):{'3_1':0.0},(46,156):{'3_1':0.03,'5_1':0.0},(46,155):{'3_1':0.0},(46,154):{'3_1':0.0,'4_1':0.0},(46,153):{'3_1':0.0},(46,152):{'3_1':0.0,'4_1':0.0},(46,151):{'3_1':0.0},(46,149):{'3_1':0.0,'4_1':0.0},(46,148):{'3_1':0.0},(46,147):{'3_1':0.0},(46,145):{'3_1':0.0,'4_1':0.0},(46,143):{'3_1':0.0},(46,142):{'3_1':0.0},(46,141):{'3_1':0.0,'4_1':0.0},(46,137):{'3_1':0.0},(46,136):{'3_1':0.0,'4_1':0.0},(46,135):{'4_1':0.0},(46,134):{'3_1':0.0,'4_1':0.0},(46,133):{'3_1':0.0},(46,131):{'3_1':0.0},(46,130):{'3_1':0.0},(46,129):{'3_1':0.0},(46,128):{'3_1':0.0,'4_1':0.0},(46,127):{'4_1':0.0},(46,126):{'3_1':0.0,'4_1':0.0},(46,123):{'3_1':0.0,'4_1':0.0},(46,121):{'3_1':0.0},(46,119):{'3_1':0.0},(46,117):{'4_1':0.0},(46,116):{'3_1':0.0},(46,115):{'3_1':0.0,'4_1':0.0},(46,114):{'3_1':0.0,'4_1':0.0},(46,113):{'3_1':0.0},(46,112):{'3_1':0.0},(46,110):{'3_1':0.0},(46,109):{'3_1':0.0},(46,108):{'3_1':0.0},(46,107):{'3_1':0.0},(46,106):{'3_1':0.0},(46,104):{'3_1':0.0},(46,103):{'3_1':0.0,'4_1':0.0},(46,102):{'3_1':0.0},(46,101):{'3_1':0.0},(46,100):{'3_1':0.0},(46,97):{'3_1':0.0},(46,95):{'3_1':0.0},(46,94):{'3_1':0.0},(46,93):{'3_1':0.0},(46,91):{'3_1':0.0,'4_1':0.0},(46,90):{'3_1':0.0},(46,89):{'3_1':0.0},(46,88):{'3_1':0.0},(46,87):{'3_1':0.0},(46,86):{'3_1':0.0,'4_1':0.0},(46,85):{'3_1':0.0},(46,84):{'3_1':0.0},(46,83):{'3_1':0.0},(46,82):{'3_1':0.0},(46,81):{'3_1':0.0},(46,80):{'3_1':0.0},(46,79):{'4_1':0.0},(46,76):{'3_1':0.0},(46,72):{'3_1':0.0},(46,70):{'3_1':0.0},(46,57):{'3_1':0.0},(46,56):{'3_1':0.0},(46,54):{'3_1':0.0},(46,53):{'3_1':0.0},(47,236):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0},(47,235):{'5_2':0.09,'3_1':0.06,'4_1':0.06,'6_2':0.0},(47,234):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'6_3':0.0},(47,233):{'4_1':0.12,'5_2':0.03,'3_1':0.03,'6_2':0.0},(47,232):{'3_1':0.12,'4_1':0.12,'5_2':0.0,'7_3':0.0,'7_4':0.0},(47,231):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_2':0.0,'8_20|3_1#3_1':0.0},(47,230):{'4_1':0.12,'3_1':0.12,'5_2':0.03,'6_2':0.0},(47,229):{'4_1':0.12,'3_1':0.03,'5_2':0.0},(47,228):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'5_1':0.0,'6_2':0.0},(47,227):{'4_1':0.12,'3_1':0.06,'5_2':0.0},(47,226):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0,'7_3':0.0},(47,225):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'5_1':0.0},(47,224):{'3_1':0.06,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_2':0.0,'7_3':0.0},(47,223):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(47,222):{'3_1':0.06,'4_1':0.0},(47,221):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(47,220):{'3_1':0.06,'5_1':0.0,'5_2':0.0,'4_1':0.0},(47,219):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(47,218):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(47,217):{'3_1':0.12,'5_1':0.0,'6_1':0.0},(47,216):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(47,215):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(47,214):{'3_1':0.03,'4_1':0.0},(47,213):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,212):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_2':0.0},(47,211):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(47,210):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(47,209):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(47,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0,'7_5':0.0},(47,207):{'3_1':0.09,'4_1':0.0},(47,206):{'3_1':0.12},(47,205):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(47,204):{'3_1':0.12,'4_1':0.03},(47,203):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_3':0.0},(47,202):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(47,201):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(47,200):{'3_1':0.12,'4_1':0.03},(47,199):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,198):{'3_1':0.12,'5_2':0.0},(47,197):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(47,196):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0},(47,195):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,194):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(47,193):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,192):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(47,191):{'3_1':0.09,'4_1':0.0},(47,190):{'3_1':0.12,'4_1':0.0},(47,189):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(47,188):{'3_1':0.06,'4_1':0.0},(47,187):{'3_1':0.09},(47,186):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(47,185):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,184):{'3_1':0.09,'5_2':0.0},(47,183):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(47,182):{'3_1':0.15,'4_1':0.0},(47,181):{'3_1':0.09,'4_1':0.0},(47,180):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(47,179):{'3_1':0.09,'5_2':0.0},(47,178):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(47,177):{'3_1':0.06,'5_1':0.0},(47,176):{'3_1':0.12,'5_2':0.0},(47,175):{'3_1':0.12,'5_2':0.0},(47,174):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'6_3':0.0},(47,173):{'3_1':0.18,'5_2':0.0},(47,172):{'3_1':0.12,'5_1':0.0},(47,171):{'3_1':0.06,'5_1':0.0},(47,170):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(47,169):{'3_1':0.09,'5_1':0.0},(47,168):{'3_1':0.06},(47,167):{'3_1':0.06,'5_1':0.0},(47,166):{'3_1':0.03,'5_1':0.0},(47,165):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(47,164):{'3_1':0.0,'5_1':0.0},(47,163):{'3_1':0.03},(47,162):{'3_1':0.03},(47,161):{'3_1':0.03},(47,160):{'3_1':0.0},(47,159):{'3_1':0.0,'4_1':0.0},(47,158):{'3_1':0.0,'4_1':0.0},(47,157):{'3_1':0.0,'4_1':0.0},(47,156):{'3_1':0.0},(47,155):{'3_1':0.0},(47,154):{'3_1':0.03,'4_1':0.0},(47,152):{'3_1':0.0},(47,151):{'3_1':0.03},(47,150):{'3_1':0.0},(47,148):{'3_1':0.03},(47,147):{'3_1':0.0,'4_1':0.0},(47,146):{'3_1':0.0,'4_1':0.0},(47,144):{'3_1':0.0},(47,143):{'3_1':0.0},(47,140):{'4_1':0.0},(47,139):{'3_1':0.0},(47,138):{'3_1':0.0,'4_1':0.0},(47,136):{'4_1':0.0},(47,134):{'3_1':0.0},(47,133):{'4_1':0.0},(47,132):{'3_1':0.0},(47,131):{'4_1':0.0},(47,129):{'3_1':0.0,'4_1':0.0},(47,128):{'3_1':0.0,'4_1':0.0},(47,127):{'3_1':0.03},(47,125):{'4_1':0.0},(47,124):{'3_1':0.0},(47,123):{'3_1':0.0},(47,121):{'3_1':0.0},(47,120):{'3_1':0.0},(47,115):{'3_1':0.0},(47,114):{'3_1':0.0,'4_1':0.0},(47,110):{'3_1':0.0},(47,109):{'3_1':0.0},(47,102):{'3_1':0.0},(47,101):{'3_1':0.0},(47,99):{'3_1':0.0},(47,97):{'3_1':0.0},(47,96):{'3_1':0.0},(47,94):{'3_1':0.0},(47,93):{'3_1':0.0},(47,92):{'3_1':0.0},(47,91):{'3_1':0.0},(47,89):{'3_1':0.0},(47,88):{'3_1':0.0},(47,86):{'3_1':0.0},(47,85):{'3_1':0.0},(47,84):{'3_1':0.0},(47,83):{'3_1':0.0},(47,82):{'4_1':0.0},(47,77):{'3_1':0.0},(47,75):{'3_1':0.0},(48,236):{'4_1':0.12,'3_1':0.03,'5_2':0.03,'6_1':0.0},(48,235):{'5_2':0.09,'4_1':0.06,'3_1':0.0,'6_1':0.0},(48,234):{'4_1':0.09,'5_2':0.06,'3_1':0.03,'8_6':0.0},(48,233):{'4_1':0.12,'3_1':0.09,'5_2':0.03,'6_2':0.0},(48,232):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'6_1':0.0,'6_3':0.0},(48,231):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'5_1':0.0,'6_1':0.0},(48,230):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(48,229):{'3_1':0.12,'4_1':0.09,'5_2':0.03,'6_3':0.0},(48,228):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(48,227):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(48,226):{'4_1':0.09,'3_1':0.06,'5_2':0.0,'6_3':0.0,'7_3':0.0},(48,225):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(48,224):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_2':0.0},(48,223):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,222):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0,'7_1':0.0},(48,221):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'5_1':0.0},(48,220):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'7_3':0.0},(48,219):{'3_1':0.09,'4_1':0.03,'6_2':0.0},(48,218):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(48,217):{'3_1':0.12,'4_1':0.03},(48,216):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(48,215):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(48,214):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_2':0.0},(48,213):{'3_1':0.12,'4_1':0.0},(48,212):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(48,211):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(48,210):{'3_1':0.06},(48,209):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(48,208):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(48,207):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,206):{'3_1':0.06,'4_1':0.0},(48,205):{'3_1':0.06,'4_1':0.0},(48,204):{'3_1':0.18},(48,203):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_1':0.0},(48,202):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(48,201):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0},(48,200):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,199):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(48,198):{'3_1':0.15},(48,197):{'3_1':0.09,'4_1':0.03},(48,196):{'3_1':0.09,'4_1':0.0},(48,195):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,194):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,193):{'3_1':0.12,'4_1':0.0},(48,192):{'3_1':0.06},(48,191):{'3_1':0.06,'5_2':0.0},(48,190):{'3_1':0.12,'5_2':0.0},(48,189):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(48,188):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(48,187):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(48,186):{'3_1':0.09},(48,185):{'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_3':0.0},(48,184):{'3_1':0.09,'5_1':0.0},(48,183):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(48,182):{'3_1':0.15,'5_1':0.0},(48,181):{'3_1':0.06,'6_2':0.0},(48,180):{'3_1':0.12,'5_1':0.0},(48,179):{'3_1':0.09,'5_1':0.0},(48,178):{'3_1':0.06,'5_1':0.0},(48,177):{'3_1':0.18,'5_2':0.0},(48,176):{'3_1':0.12,'4_1':0.0},(48,175):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(48,174):{'3_1':0.15,'5_2':0.0,'5_1':0.0},(48,173):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(48,172):{'3_1':0.09,'4_1':0.0},(48,171):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(48,170):{'3_1':0.12,'5_1':0.0},(48,169):{'3_1':0.18,'4_1':0.0},(48,168):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(48,167):{'3_1':0.09,'5_1':0.0},(48,166):{'3_1':0.03},(48,165):{'3_1':0.0},(48,164):{'3_1':0.06},(48,163):{'3_1':0.03,'5_1':0.0},(48,162):{'3_1':0.06,'5_1':0.0},(48,161):{'3_1':0.03},(48,160):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(48,159):{'3_1':0.0,'4_1':0.0},(48,158):{'3_1':0.03,'4_1':0.0},(48,157):{'3_1':0.0},(48,156):{'3_1':0.0},(48,155):{'4_1':0.0},(48,154):{'3_1':0.0},(48,153):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(48,152):{'3_1':0.0,'4_1':0.0},(48,151):{'3_1':0.0},(48,149):{'3_1':0.03,'4_1':0.0},(48,147):{'3_1':0.0},(48,146):{'3_1':0.0},(48,145):{'3_1':0.03},(48,144):{'3_1':0.0},(48,143):{'3_1':0.0},(48,142):{'3_1':0.0},(48,141):{'3_1':0.03,'4_1':0.0},(48,140):{'3_1':0.0,'4_1':0.0},(48,139):{'3_1':0.0},(48,135):{'3_1':0.0},(48,132):{'3_1':0.0},(48,131):{'3_1':0.03},(48,130):{'3_1':0.0,'4_1':0.0},(48,129):{'3_1':0.0,'4_1':0.0},(48,128):{'3_1':0.03,'4_1':0.0},(48,127):{'3_1':0.0,'4_1':0.0},(48,125):{'3_1':0.0},(48,124):{'4_1':0.0},(48,122):{'3_1':0.0},(48,121):{'3_1':0.0},(48,119):{'3_1':0.0},(48,116):{'4_1':0.0},(48,115):{'4_1':0.0},(48,114):{'4_1':0.0,'3_1':0.0},(48,113):{'3_1':0.0},(48,112):{'3_1':0.0},(48,111):{'3_1':0.0},(48,110):{'3_1':0.0,'4_1':0.0},(48,109):{'3_1':0.0},(48,108):{'3_1':0.0,'4_1':0.0},(48,107):{'4_1':0.0,'3_1':0.0},(48,105):{'3_1':0.0},(48,104):{'3_1':0.0},(48,103):{'3_1':0.0},(48,102):{'3_1':0.0},(48,101):{'3_1':0.0},(48,100):{'4_1':0.0},(48,99):{'3_1':0.0},(48,97):{'3_1':0.0},(48,96):{'3_1':0.0},(48,95):{'3_1':0.0,'4_1':0.0},(48,94):{'3_1':0.0},(48,93):{'3_1':0.0},(48,92):{'3_1':0.0},(48,91):{'4_1':0.0},(48,89):{'3_1':0.0},(48,88):{'3_1':0.0},(48,87):{'3_1':0.0},(48,86):{'3_1':0.0},(48,85):{'3_1':0.0},(48,83):{'3_1':0.0},(48,82):{'3_1':0.03},(48,81):{'3_1':0.0},(48,80):{'3_1':0.0},(48,79):{'3_1':0.0},(48,77):{'3_1':0.0},(49,236):{'4_1':0.09,'5_2':0.06,'3_1':0.0,'6_1':0.0,'6_2':0.0},(49,235):{'4_1':0.06,'5_2':0.06,'3_1':0.03,'6_1':0.0,'7_3':0.0},(49,234):{'3_1':0.06,'4_1':0.06,'5_2':0.06,'6_1':0.0,'6_3':0.0},(49,233):{'5_2':0.06,'4_1':0.06,'3_1':0.03,'6_1':0.0},(49,232):{'4_1':0.15,'3_1':0.06,'5_2':0.03,'6_1':0.0},(49,231):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'5_1':0.0},(49,230):{'4_1':0.09,'3_1':0.09,'5_2':0.06,'6_2':0.0,'7_2':0.0},(49,229):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(49,228):{'3_1':0.06,'4_1':0.03,'5_2':0.03,'6_1':0.0},(49,227):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(49,226):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(49,225):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'7_3':0.0},(49,224):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(49,223):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(49,222):{'3_1':0.09,'4_1':0.09,'5_1':0.0,'5_2':0.0},(49,221):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0,'7_2':0.0},(49,220):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(49,219):{'3_1':0.15,'4_1':0.0},(49,218):{'3_1':0.15,'4_1':0.03,'6_2':0.0},(49,217):{'3_1':0.12,'4_1':0.0},(49,216):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(49,215):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(49,214):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,213):{'3_1':0.15,'5_1':0.0},(49,212):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0,'6_1':0.0},(49,211):{'3_1':0.09,'5_2':0.0},(49,210):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,209):{'3_1':0.12,'4_1':0.03},(49,208):{'3_1':0.15,'4_1':0.0,'6_1':0.0},(49,207):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(49,206):{'3_1':0.21,'4_1':0.0},(49,205):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,204):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,203):{'3_1':0.15,'4_1':0.03},(49,202):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(49,201):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,200):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(49,199):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(49,198):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(49,197):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(49,196):{'3_1':0.15,'4_1':0.0},(49,195):{'3_1':0.12,'4_1':0.0},(49,194):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(49,193):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(49,192):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(49,191):{'3_1':0.21,'4_1':0.0,'6_2':0.0},(49,190):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(49,189):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(49,188):{'3_1':0.15,'4_1':0.0},(49,187):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(49,186):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(49,185):{'3_1':0.06},(49,184):{'3_1':0.09,'5_1':0.0},(49,183):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(49,182):{'3_1':0.21,'5_2':0.0},(49,181):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(49,180):{'3_1':0.09,'6_2':0.0},(49,179):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_3':0.0},(49,178):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(49,177):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(49,176):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(49,175):{'3_1':0.12,'5_1':0.03,'5_2':0.0},(49,174):{'3_1':0.18,'5_1':0.0},(49,173):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(49,172):{'3_1':0.06,'4_1':0.0},(49,171):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(49,170):{'3_1':0.09},(49,169):{'3_1':0.12},(49,168):{'3_1':0.09},(49,167):{'3_1':0.12,'4_1':0.0},(49,166):{'3_1':0.06,'5_1':0.0},(49,165):{'3_1':0.06},(49,164):{'3_1':0.09},(49,163):{'3_1':0.0,'4_1':0.0},(49,162):{'3_1':0.03,'5_1':0.0},(49,161):{'3_1':0.0,'4_1':0.0},(49,160):{'3_1':0.03,'5_1':0.0},(49,159):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(49,158):{'3_1':0.0},(49,157):{'3_1':0.0},(49,156):{'3_1':0.0},(49,154):{'3_1':0.0},(49,153):{'3_1':0.0},(49,152):{'3_1':0.0},(49,151):{'3_1':0.0},(49,150):{'3_1':0.0},(49,149):{'4_1':0.0},(49,148):{'3_1':0.03,'4_1':0.0},(49,147):{'3_1':0.0,'4_1':0.0},(49,146):{'3_1':0.0,'4_1':0.0},(49,145):{'3_1':0.0,'4_1':0.0},(49,144):{'3_1':0.03},(49,143):{'3_1':0.03},(49,141):{'3_1':0.0},(49,140):{'3_1':0.0},(49,137):{'3_1':0.0},(49,136):{'3_1':0.03},(49,134):{'3_1':0.0},(49,132):{'3_1':0.0},(49,131):{'3_1':0.0},(49,130):{'3_1':0.0},(49,129):{'3_1':0.0},(49,128):{'3_1':0.0},(49,127):{'3_1':0.0},(49,124):{'3_1':0.0,'4_1':0.0},(49,123):{'4_1':0.0,'3_1':0.0},(49,121):{'3_1':0.0},(49,119):{'4_1':0.0},(49,116):{'3_1':0.0},(49,115):{'3_1':0.0,'4_1':0.0},(49,113):{'3_1':0.0,'4_1':0.0},(49,112):{'3_1':0.0,'4_1':0.0},(49,111):{'4_1':0.0},(49,110):{'3_1':0.0},(49,107):{'3_1':0.0},(49,106):{'3_1':0.0},(49,105):{'3_1':0.0},(49,104):{'3_1':0.0},(49,102):{'4_1':0.0},(49,101):{'3_1':0.03},(49,99):{'3_1':0.0},(49,97):{'3_1':0.0},(49,96):{'3_1':0.0},(49,95):{'3_1':0.0},(49,94):{'3_1':0.0},(49,93):{'3_1':0.0},(49,92):{'3_1':0.0},(49,90):{'3_1':0.0},(49,89):{'3_1':0.0},(49,88):{'3_1':0.03},(49,86):{'3_1':0.0},(49,85):{'3_1':0.0},(49,84):{'3_1':0.0},(49,82):{'3_1':0.0},(49,81):{'3_1':0.03},(49,80):{'3_1':0.0},(49,79):{'3_1':0.0},(49,78):{'3_1':0.0},(49,75):{'3_1':0.0},(49,72):{'3_1':0.0},(49,69):{'3_1':0.0},(50,236):{'4_1':0.18,'3_1':0.06,'5_2':0.0},(50,235):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_2':0.0,'6_1':0.0},(50,234):{'4_1':0.12,'3_1':0.09,'5_1':0.0,'5_2':0.0},(50,233):{'4_1':0.09,'3_1':0.06,'5_2':0.03,'6_1':0.0,'5_1':0.0,'6_2':0.0},(50,232):{'4_1':0.18,'3_1':0.09,'5_2':0.03,'6_2':0.0},(50,231):{'4_1':0.15,'3_1':0.03,'5_2':0.0,'6_2':0.0,'6_3':0.0},(50,230):{'3_1':0.18,'4_1':0.12,'6_1':0.0},(50,229):{'4_1':0.06,'3_1':0.06,'5_2':0.0},(50,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(50,227):{'3_1':0.09,'4_1':0.09,'5_2':0.03,'6_2':0.0,'6_1':0.0},(50,226):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(50,225):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'7_4':0.0},(50,224):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'7_3':0.0},(50,223):{'3_1':0.12,'4_1':0.03},(50,222):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0,'7_1':0.0,'7_3':0.0},(50,221):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(50,220):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(50,219):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(50,218):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(50,217):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(50,216):{'3_1':0.12,'4_1':0.0},(50,215):{'3_1':0.09,'4_1':0.06,'6_1':0.0},(50,214):{'3_1':0.12,'4_1':0.0},(50,213):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(50,212):{'3_1':0.09,'5_2':0.0},(50,211):{'3_1':0.12,'4_1':0.0},(50,210):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(50,209):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(50,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(50,207):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(50,206):{'3_1':0.09,'4_1':0.06},(50,205):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,204):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(50,203):{'3_1':0.09,'4_1':0.03},(50,202):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(50,201):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(50,200):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(50,199):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,198):{'3_1':0.09,'4_1':0.0},(50,197):{'3_1':0.12,'4_1':0.03},(50,196):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(50,195):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(50,194):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(50,193):{'3_1':0.12,'5_1':0.0},(50,192):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(50,191):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(50,190):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(50,189):{'3_1':0.12,'4_1':0.0},(50,188):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(50,187):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(50,186):{'3_1':0.03,'4_1':0.0},(50,185):{'3_1':0.0},(50,184):{'3_1':0.09},(50,183):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(50,182):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(50,181):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(50,180):{'3_1':0.09,'5_1':0.0},(50,179):{'3_1':0.06},(50,178):{'3_1':0.12,'4_1':0.0},(50,177):{'3_1':0.06,'4_1':0.0},(50,176):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(50,175):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(50,174):{'3_1':0.15,'5_1':0.0},(50,173):{'3_1':0.09},(50,172):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(50,171):{'3_1':0.12,'5_2':0.0},(50,170):{'3_1':0.12,'5_1':0.0},(50,169):{'3_1':0.09},(50,168):{'3_1':0.09,'5_1':0.0},(50,167):{'3_1':0.12,'4_1':0.0},(50,166):{'3_1':0.09,'4_1':0.0},(50,165):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(50,164):{'3_1':0.06},(50,163):{'3_1':0.09,'4_1':0.0},(50,162):{'3_1':0.0,'5_1':0.0},(50,161):{'3_1':0.0,'4_1':0.0,'7_1':0.0},(50,160):{'3_1':0.0,'5_1':0.0},(50,159):{'5_1':0.0},(50,158):{'3_1':0.03},(50,157):{'3_1':0.03},(50,156):{'3_1':0.03},(50,154):{'3_1':0.03},(50,152):{'3_1':0.0},(50,151):{'3_1':0.0},(50,150):{'3_1':0.0},(50,149):{'3_1':0.0,'4_1':0.0},(50,148):{'4_1':0.0},(50,147):{'3_1':0.0},(50,145):{'3_1':0.0},(50,144):{'3_1':0.0},(50,143):{'3_1':0.0},(50,142):{'3_1':0.0},(50,141):{'3_1':0.0},(50,140):{'3_1':0.0},(50,138):{'3_1':0.0,'4_1':0.0},(50,137):{'3_1':0.0},(50,136):{'3_1':0.0},(50,135):{'3_1':0.0},(50,134):{'3_1':0.0},(50,133):{'3_1':0.0},(50,132):{'3_1':0.0},(50,131):{'3_1':0.0},(50,130):{'3_1':0.0,'4_1':0.0},(50,129):{'3_1':0.0},(50,128):{'4_1':0.0},(50,127):{'4_1':0.0,'3_1':0.0},(50,126):{'4_1':0.0,'3_1':0.0},(50,125):{'3_1':0.0},(50,123):{'3_1':0.0},(50,122):{'3_1':0.0},(50,120):{'4_1':0.0},(50,119):{'3_1':0.0},(50,118):{'3_1':0.0},(50,117):{'3_1':0.0},(50,116):{'3_1':0.0},(50,115):{'3_1':0.0},(50,114):{'3_1':0.0},(50,113):{'3_1':0.0},(50,112):{'4_1':0.0},(50,110):{'3_1':0.0},(50,109):{'3_1':0.0,'5_1':0.0},(50,108):{'4_1':0.0},(50,107):{'3_1':0.0},(50,106):{'3_1':0.0},(50,105):{'4_1':0.0},(50,104):{'3_1':0.0},(50,103):{'3_1':0.0},(50,102):{'3_1':0.0},(50,100):{'3_1':0.0},(50,98):{'3_1':0.0},(50,97):{'3_1':0.03,'5_1':0.0},(50,96):{'3_1':0.0},(50,95):{'3_1':0.0},(50,94):{'3_1':0.0},(50,93):{'3_1':0.03},(50,92):{'3_1':0.0},(50,91):{'3_1':0.03},(50,90):{'3_1':0.0},(50,89):{'3_1':0.0},(50,88):{'3_1':0.0},(50,87):{'3_1':0.0},(50,85):{'3_1':0.0},(50,84):{'3_1':0.0},(50,83):{'3_1':0.0},(50,80):{'3_1':0.0},(50,79):{'3_1':0.0},(50,78):{'3_1':0.0},(50,77):{'3_1':0.0},(50,76):{'3_1':0.0},(50,73):{'3_1':0.0},(51,236):{'4_1':0.12,'3_1':0.06,'5_2':0.0,'6_3':0.0},(51,235):{'4_1':0.06,'3_1':0.03,'6_1':0.0,'5_2':0.0,'6_2':0.0},(51,234):{'4_1':0.15,'3_1':0.06,'6_1':0.0,'6_2':0.0},(51,233):{'4_1':0.15,'3_1':0.09,'5_1':0.0,'8_20|3_1#3_1':0.0},(51,232):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(51,231):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'5_2':0.0,'6_1':0.0},(51,230):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(51,229):{'4_1':0.09,'3_1':0.03,'7_3':0.0},(51,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(51,227):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0,'7_2':0.0},(51,226):{'4_1':0.09,'3_1':0.06,'5_2':0.0},(51,225):{'4_1':0.09,'3_1':0.09,'5_2':0.03,'6_2':0.0},(51,224):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(51,223):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(51,222):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'5_1':0.0},(51,221):{'3_1':0.09,'4_1':0.03},(51,220):{'3_1':0.12,'4_1':0.03},(51,219):{'3_1':0.09,'4_1':0.03},(51,218):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(51,217):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0,'8_21|3_1#4_1':0.0},(51,216):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(51,215):{'3_1':0.09,'4_1':0.03,'6_1':0.0,'6_2':0.0},(51,214):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(51,213):{'3_1':0.09,'4_1':0.0},(51,212):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(51,211):{'3_1':0.09,'4_1':0.0},(51,210):{'3_1':0.09,'4_1':0.0},(51,209):{'3_1':0.12,'4_1':0.0},(51,208):{'3_1':0.12,'4_1':0.0,'8_4':0.0},(51,207):{'3_1':0.09,'4_1':0.0},(51,206):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(51,205):{'3_1':0.15,'4_1':0.0},(51,204):{'3_1':0.15,'4_1':0.03},(51,203):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(51,202):{'3_1':0.24,'4_1':0.03,'5_2':0.0},(51,201):{'3_1':0.12,'4_1':0.0},(51,200):{'3_1':0.09,'4_1':0.0},(51,199):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(51,198):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(51,197):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(51,196):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(51,195):{'3_1':0.09,'4_1':0.0},(51,194):{'3_1':0.09,'4_1':0.0},(51,193):{'3_1':0.12,'4_1':0.0},(51,192):{'3_1':0.12,'4_1':0.0},(51,191):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(51,190):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(51,189):{'3_1':0.09,'4_1':0.0},(51,188):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'6_2':0.0},(51,187):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(51,186):{'3_1':0.09,'5_1':0.0},(51,185):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(51,184):{'3_1':0.09},(51,183):{'3_1':0.15,'4_1':0.0},(51,182):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(51,181):{'3_1':0.15,'4_1':0.0},(51,180):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(51,179):{'3_1':0.06,'4_1':0.0},(51,178):{'3_1':0.12},(51,177):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(51,176):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(51,175):{'3_1':0.18,'4_1':0.0},(51,174):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(51,173):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(51,172):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(51,171):{'3_1':0.12,'4_1':0.0},(51,170):{'3_1':0.06,'4_1':0.0},(51,169):{'3_1':0.06,'4_1':0.0},(51,168):{'3_1':0.06},(51,167):{'3_1':0.03,'5_2':0.0},(51,166):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(51,165):{'3_1':0.03},(51,164):{'3_1':0.06},(51,163):{'3_1':0.06,'5_2':0.0},(51,162):{'3_1':0.0},(51,161):{'3_1':0.0},(51,160):{'3_1':0.0,'5_1':0.0},(51,159):{'3_1':0.0,'4_1':0.0},(51,158):{'3_1':0.0,'4_1':0.0},(51,157):{'3_1':0.03},(51,156):{'3_1':0.0,'5_2':0.0},(51,155):{'3_1':0.0},(51,152):{'3_1':0.03,'4_1':0.0},(51,151):{'3_1':0.03,'4_1':0.0},(51,149):{'3_1':0.0,'4_1':0.0},(51,148):{'3_1':0.03},(51,147):{'3_1':0.0},(51,146):{'3_1':0.0},(51,145):{'3_1':0.0},(51,143):{'3_1':0.0},(51,141):{'3_1':0.0,'4_1':0.0},(51,140):{'3_1':0.0},(51,139):{'3_1':0.0},(51,138):{'3_1':0.0,'4_1':0.0},(51,137):{'3_1':0.0},(51,136):{'3_1':0.03,'4_1':0.0},(51,134):{'4_1':0.0},(51,133):{'3_1':0.0,'4_1':0.0},(51,132):{'3_1':0.0},(51,131):{'3_1':0.0},(51,130):{'3_1':0.0,'4_1':0.0},(51,129):{'4_1':0.0},(51,127):{'4_1':0.0},(51,126):{'4_1':0.0},(51,125):{'3_1':0.03,'4_1':0.0},(51,124):{'3_1':0.0,'4_1':0.0},(51,123):{'3_1':0.0,'4_1':0.0},(51,122):{'3_1':0.0},(51,121):{'3_1':0.0},(51,120):{'3_1':0.0},(51,118):{'4_1':0.0},(51,117):{'3_1':0.0},(51,116):{'3_1':0.0,'4_1':0.0},(51,115):{'3_1':0.0},(51,114):{'3_1':0.0},(51,113):{'3_1':0.0},(51,112):{'3_1':0.0,'4_1':0.0},(51,111):{'3_1':0.0,'4_1':0.0},(51,110):{'3_1':0.0,'4_1':0.0},(51,109):{'3_1':0.0},(51,107):{'4_1':0.0},(51,105):{'3_1':0.0},(51,104):{'3_1':0.0},(51,103):{'3_1':0.0},(51,102):{'3_1':0.0},(51,101):{'3_1':0.0},(51,99):{'3_1':0.0},(51,98):{'3_1':0.0},(51,97):{'3_1':0.03},(51,96):{'3_1':0.0},(51,95):{'3_1':0.0},(51,94):{'3_1':0.0},(51,93):{'3_1':0.0},(51,92):{'3_1':0.0},(51,91):{'3_1':0.0},(51,90):{'3_1':0.03},(51,89):{'3_1':0.0},(51,87):{'3_1':0.0},(51,86):{'3_1':0.03},(51,85):{'3_1':0.0},(51,84):{'3_1':0.0},(51,83):{'3_1':0.0},(51,82):{'3_1':0.03},(51,81):{'3_1':0.0},(51,80):{'3_1':0.0},(51,79):{'3_1':0.03},(51,78):{'3_1':0.0},(51,77):{'3_1':0.0},(52,236):{'3_1':0.18,'4_1':0.06},(52,235):{'4_1':0.09,'3_1':0.09,'6_1':0.0,'6_2':0.0},(52,234):{'4_1':0.15,'3_1':0.12,'5_1':0.0},(52,233):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(52,232):{'4_1':0.18,'3_1':0.09},(52,231):{'4_1':0.12,'3_1':0.12,'6_1':0.0},(52,230):{'4_1':0.09,'3_1':0.06,'5_1':0.0,'5_2':0.0},(52,229):{'4_1':0.18,'3_1':0.06,'5_2':0.0,'6_1':0.0},(52,228):{'3_1':0.15,'4_1':0.09,'5_2':0.03,'6_2':0.0},(52,227):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(52,226):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(52,225):{'4_1':0.09,'3_1':0.09,'6_2':0.0,'6_1':0.0},(52,224):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_3':0.0},(52,223):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_1':0.0},(52,222):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(52,221):{'3_1':0.09,'4_1':0.06,'7_2':0.0,'7_3':0.0},(52,220):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(52,219):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(52,218):{'3_1':0.12,'4_1':0.03,'6_2':0.0},(52,217):{'3_1':0.09,'4_1':0.03},(52,216):{'3_1':0.09,'4_1':0.03},(52,215):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(52,214):{'3_1':0.15,'4_1':0.0},(52,213):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(52,212):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(52,211):{'3_1':0.18,'4_1':0.0},(52,210):{'3_1':0.12,'4_1':0.0},(52,209):{'3_1':0.09,'4_1':0.0},(52,208):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(52,207):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(52,206):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,205):{'3_1':0.21,'4_1':0.0},(52,204):{'3_1':0.15,'4_1':0.03},(52,203):{'3_1':0.15,'4_1':0.0},(52,202):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(52,201):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(52,200):{'3_1':0.15,'5_2':0.0},(52,199):{'3_1':0.06,'4_1':0.03},(52,198):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_3':0.0},(52,197):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,196):{'3_1':0.15,'4_1':0.0},(52,195):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(52,194):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(52,193):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(52,192):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(52,191):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(52,190):{'3_1':0.09,'4_1':0.0},(52,189):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(52,188):{'3_1':0.12,'4_1':0.0},(52,187):{'3_1':0.09,'4_1':0.0,'6_3':0.0},(52,186):{'3_1':0.03},(52,185):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,184):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(52,183):{'3_1':0.09,'4_1':0.0},(52,182):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(52,181):{'3_1':0.18,'4_1':0.0},(52,180):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(52,179):{'3_1':0.12,'4_1':0.0},(52,178):{'3_1':0.09,'4_1':0.0},(52,177):{'3_1':0.15,'4_1':0.0},(52,176):{'3_1':0.09,'5_2':0.0},(52,175):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(52,174):{'3_1':0.24,'5_1':0.0,'5_2':0.0,'4_1':0.0,'7_3':0.0},(52,173):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'5_1':0.0},(52,172):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(52,171):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(52,170):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(52,169):{'3_1':0.15,'4_1':0.0},(52,168):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(52,167):{'3_1':0.06},(52,166):{'3_1':0.06,'4_1':0.0},(52,165):{'3_1':0.06,'5_1':0.0},(52,164):{'3_1':0.09},(52,163):{'3_1':0.0,'4_1':0.0},(52,162):{'3_1':0.0},(52,161):{'3_1':0.0},(52,160):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(52,159):{'3_1':0.0},(52,158):{'3_1':0.0,'5_1':0.0},(52,157):{'3_1':0.0},(52,156):{'3_1':0.0},(52,154):{'3_1':0.0,'4_1':0.0},(52,152):{'3_1':0.0},(52,151):{'3_1':0.03},(52,150):{'3_1':0.0},(52,149):{'3_1':0.03,'5_2':0.0},(52,148):{'3_1':0.0,'4_1':0.0},(52,147):{'3_1':0.0},(52,146):{'3_1':0.0},(52,145):{'3_1':0.0,'4_1':0.0},(52,144):{'3_1':0.0},(52,143):{'3_1':0.0},(52,142):{'3_1':0.0},(52,141):{'3_1':0.0},(52,138):{'3_1':0.0},(52,137):{'3_1':0.03},(52,136):{'3_1':0.0},(52,135):{'3_1':0.0},(52,134):{'3_1':0.0,'4_1':0.0},(52,133):{'3_1':0.03,'4_1':0.0},(52,132):{'4_1':0.0},(52,131):{'3_1':0.0},(52,130):{'3_1':0.0},(52,129):{'3_1':0.0},(52,128):{'4_1':0.0,'3_1':0.0},(52,127):{'3_1':0.0},(52,126):{'3_1':0.0,'5_2':0.0},(52,125):{'3_1':0.0},(52,124):{'3_1':0.0,'4_1':0.0},(52,123):{'3_1':0.0,'4_1':0.0},(52,122):{'3_1':0.0},(52,121):{'3_1':0.0},(52,120):{'3_1':0.0},(52,118):{'4_1':0.0},(52,117):{'3_1':0.0},(52,115):{'3_1':0.0},(52,114):{'3_1':0.0},(52,113):{'3_1':0.0},(52,112):{'3_1':0.0},(52,111):{'3_1':0.0},(52,110):{'3_1':0.0,'4_1':0.0},(52,109):{'3_1':0.0},(52,108):{'3_1':0.0},(52,106):{'3_1':0.0},(52,105):{'3_1':0.0,'4_1':0.0},(52,104):{'3_1':0.0},(52,103):{'3_1':0.0},(52,102):{'3_1':0.0},(52,101):{'3_1':0.0},(52,100):{'3_1':0.0},(52,99):{'3_1':0.0},(52,98):{'3_1':0.03},(52,97):{'3_1':0.0},(52,96):{'3_1':0.0},(52,95):{'3_1':0.0},(52,94):{'3_1':0.0},(52,93):{'3_1':0.0},(52,92):{'3_1':0.03},(52,91):{'3_1':0.03},(52,90):{'3_1':0.03},(52,89):{'3_1':0.06},(52,88):{'3_1':0.0},(52,87):{'3_1':0.03},(52,86):{'3_1':0.03},(52,85):{'3_1':0.09},(52,84):{'3_1':0.0},(52,83):{'3_1':0.03},(52,82):{'3_1':0.0},(52,81):{'3_1':0.0},(52,80):{'3_1':0.0},(52,79):{'3_1':0.0},(52,78):{'3_1':0.0},(52,76):{'3_1':0.0},(52,73):{'3_1':0.0},(53,236):{'3_1':0.15,'4_1':0.09,'6_1':0.0},(53,235):{'3_1':0.12,'4_1':0.06,'7_2':0.0},(53,234):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,233):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(53,232):{'3_1':0.18,'4_1':0.09,'6_1':0.0},(53,231):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,230):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'6_2':0.0},(53,229):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(53,228):{'4_1':0.15,'3_1':0.09,'5_2':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(53,227):{'3_1':0.12,'4_1':0.09,'6_1':0.0},(53,226):{'3_1':0.18,'4_1':0.06,'5_2':0.0},(53,225):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(53,224):{'3_1':0.12,'4_1':0.06,'5_1':0.0},(53,223):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(53,222):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(53,221):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(53,220):{'3_1':0.09,'4_1':0.0,'6_1':0.0,'5_1':0.0,'5_2':0.0},(53,219):{'3_1':0.09,'4_1':0.03},(53,218):{'3_1':0.21,'4_1':0.03,'6_2':0.0},(53,217):{'3_1':0.24,'4_1':0.0},(53,216):{'3_1':0.18,'4_1':0.0},(53,215):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(53,214):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(53,213):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(53,212):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(53,211):{'3_1':0.18,'5_2':0.0},(53,210):{'3_1':0.15,'4_1':0.03},(53,209):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(53,208):{'3_1':0.12,'4_1':0.0},(53,207):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(53,206):{'3_1':0.12,'4_1':0.03},(53,205):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'8_20|3_1#3_1':0.0},(53,204):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(53,203):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(53,202):{'3_1':0.18,'4_1':0.03},(53,201):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_3':0.0},(53,200):{'3_1':0.21,'4_1':0.06,'5_2':0.0},(53,199):{'3_1':0.24,'4_1':0.0},(53,198):{'3_1':0.24,'4_1':0.03},(53,197):{'3_1':0.15,'4_1':0.03},(53,196):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(53,195):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(53,194):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(53,193):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(53,192):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(53,191):{'3_1':0.18,'5_2':0.0},(53,190):{'3_1':0.12,'4_1':0.0},(53,189):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(53,188):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0,'6_3':0.0},(53,187):{'3_1':0.09,'5_1':0.0},(53,186):{'3_1':0.06,'4_1':0.03},(53,185):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_3':0.0},(53,184):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(53,183):{'3_1':0.18,'4_1':0.03},(53,182):{'3_1':0.15,'4_1':0.0},(53,181):{'3_1':0.09,'5_2':0.0},(53,180):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(53,179):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(53,178):{'3_1':0.12,'5_2':0.0},(53,177):{'3_1':0.18,'4_1':0.0},(53,176):{'3_1':0.18,'4_1':0.06,'5_1':0.0},(53,175):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(53,174):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(53,173):{'3_1':0.15,'5_1':0.0,'4_1':0.0,'5_2':0.0},(53,172):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(53,171):{'3_1':0.15,'4_1':0.0},(53,170):{'3_1':0.12,'4_1':0.0},(53,169):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(53,168):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(53,167):{'3_1':0.06,'5_1':0.0,'6_3':0.0},(53,166):{'3_1':0.09,'4_1':0.0},(53,165):{'3_1':0.06,'4_1':0.0},(53,164):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(53,163):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(53,162):{'3_1':0.0},(53,161):{'3_1':0.03},(53,160):{'3_1':0.0,'5_1':0.0},(53,159):{'3_1':0.03},(53,158):{'3_1':0.0,'4_1':0.0},(53,157):{'3_1':0.0},(53,156):{'3_1':0.0},(53,155):{'3_1':0.0},(53,154):{'3_1':0.0},(53,153):{'3_1':0.0},(53,152):{'3_1':0.03,'4_1':0.0},(53,151):{'3_1':0.0,'4_1':0.0},(53,150):{'3_1':0.0},(53,149):{'3_1':0.0},(53,148):{'3_1':0.0,'4_1':0.0},(53,147):{'3_1':0.06},(53,146):{'3_1':0.0},(53,145):{'3_1':0.0},(53,144):{'3_1':0.03},(53,143):{'3_1':0.0},(53,142):{'3_1':0.0,'4_1':0.0},(53,141):{'3_1':0.0},(53,140):{'3_1':0.0},(53,139):{'3_1':0.03},(53,138):{'3_1':0.03,'4_1':0.0},(53,137):{'3_1':0.03},(53,136):{'3_1':0.0},(53,135):{'3_1':0.0},(53,134):{'3_1':0.0},(53,133):{'3_1':0.0,'4_1':0.0},(53,132):{'3_1':0.0,'4_1':0.0},(53,131):{'3_1':0.0,'4_1':0.0},(53,130):{'3_1':0.0,'4_1':0.0},(53,129):{'3_1':0.0,'4_1':0.0},(53,128):{'3_1':0.0},(53,127):{'3_1':0.03,'4_1':0.03},(53,126):{'3_1':0.0,'4_1':0.0},(53,125):{'3_1':0.0},(53,124):{'3_1':0.0,'4_1':0.0},(53,123):{'3_1':0.0,'4_1':0.0},(53,122):{'3_1':0.0,'4_1':0.0},(53,121):{'3_1':0.0},(53,119):{'3_1':0.0},(53,118):{'3_1':0.0,'4_1':0.0},(53,116):{'3_1':0.0,'4_1':0.0},(53,115):{'3_1':0.0,'4_1':0.0},(53,114):{'3_1':0.0},(53,113):{'3_1':0.0},(53,112):{'3_1':0.0},(53,111):{'3_1':0.0},(53,110):{'3_1':0.0},(53,109):{'3_1':0.0},(53,108):{'4_1':0.0},(53,107):{'3_1':0.0},(53,106):{'3_1':0.0,'4_1':0.0},(53,105):{'3_1':0.0},(53,103):{'3_1':0.0},(53,102):{'3_1':0.0,'5_2':0.0},(53,101):{'3_1':0.0},(53,100):{'3_1':0.03},(53,99):{'3_1':0.03},(53,98):{'3_1':0.03},(53,97):{'3_1':0.06},(53,96):{'3_1':0.0},(53,95):{'3_1':0.03},(53,94):{'3_1':0.0},(53,93):{'3_1':0.03,'5_1':0.0},(53,92):{'3_1':0.0},(53,91):{'3_1':0.06},(53,90):{'3_1':0.03},(53,89):{'3_1':0.03},(53,88):{'3_1':0.0},(53,87):{'3_1':0.0},(53,86):{'3_1':0.03},(53,85):{'3_1':0.03},(53,84):{'3_1':0.0},(53,83):{'3_1':0.0},(53,82):{'3_1':0.03},(53,81):{'3_1':0.0},(53,80):{'3_1':0.0},(53,79):{'3_1':0.0},(54,236):{'3_1':0.24,'4_1':0.09,'5_1':0.0,'6_1':0.0,'3_1#5_1':0.0},(54,235):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_1':0.0},(54,234):{'3_1':0.15,'4_1':0.15,'5_1':0.0,'5_2':0.0,'6_2':0.0},(54,233):{'3_1':0.15,'4_1':0.09,'5_1':0.0},(54,232):{'3_1':0.15,'4_1':0.09,'5_2':0.0},(54,231):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(54,230):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0,'6_1':0.0},(54,229):{'3_1':0.18,'4_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(54,228):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(54,227):{'3_1':0.15,'4_1':0.09,'6_1':0.0,'5_2':0.0},(54,226):{'3_1':0.15,'4_1':0.12,'6_1':0.0},(54,225):{'3_1':0.12,'4_1':0.09,'5_2':0.0,'6_1':0.0,'5_1':0.0},(54,224):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(54,223):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(54,222):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(54,221):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_1':0.0},(54,220):{'3_1':0.21,'4_1':0.0,'5_2':0.0},(54,219):{'3_1':0.09,'4_1':0.09,'5_2':0.0,'6_1':0.0},(54,218):{'3_1':0.15,'4_1':0.06},(54,217):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,216):{'3_1':0.21,'4_1':0.03,'5_1':0.0},(54,215):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(54,214):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(54,213):{'3_1':0.12,'4_1':0.03},(54,212):{'3_1':0.15,'4_1':0.06,'5_2':0.0},(54,211):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(54,210):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(54,209):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(54,208):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(54,207):{'3_1':0.21,'4_1':0.0},(54,206):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(54,205):{'3_1':0.21,'4_1':0.0,'5_1':0.0},(54,204):{'3_1':0.27,'4_1':0.0},(54,203):{'3_1':0.12,'4_1':0.03,'6_1':0.0},(54,202):{'3_1':0.24,'4_1':0.03,'5_1':0.0},(54,201):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(54,200):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(54,199):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(54,198):{'3_1':0.21},(54,197):{'3_1':0.18,'4_1':0.06,'5_1':0.0,'5_2':0.0},(54,196):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(54,195):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(54,194):{'3_1':0.21,'4_1':0.0},(54,193):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(54,192):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(54,191):{'3_1':0.21,'4_1':0.0,'5_1':0.0,'5_2':0.0},(54,190):{'3_1':0.15,'5_1':0.0,'5_2':0.0,'4_1':0.0},(54,189):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(54,188):{'3_1':0.09,'5_1':0.0},(54,187):{'3_1':0.09},(54,186):{'3_1':0.03,'4_1':0.0},(54,185):{'3_1':0.12,'4_1':0.0},(54,184):{'3_1':0.06,'4_1':0.03},(54,183):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(54,182):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(54,181):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(54,180):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(54,179):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,178):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'8_20|3_1#3_1':0.0},(54,177):{'3_1':0.18,'5_1':0.0,'5_2':0.0},(54,176):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(54,175):{'3_1':0.15,'5_1':0.03,'4_1':0.0},(54,174):{'3_1':0.21,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,173):{'3_1':0.24,'5_1':0.03,'4_1':0.03,'5_2':0.0},(54,172):{'3_1':0.18,'5_1':0.0,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(54,171):{'3_1':0.24,'4_1':0.0,'5_2':0.0},(54,170):{'3_1':0.24,'4_1':0.0},(54,169):{'3_1':0.12,'4_1':0.0},(54,168):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(54,167):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(54,166):{'3_1':0.09},(54,165):{'3_1':0.09},(54,164):{'3_1':0.06,'4_1':0.0},(54,163):{'3_1':0.03},(54,162):{'3_1':0.03,'5_1':0.0},(54,161):{'3_1':0.0},(54,160):{'4_1':0.03,'3_1':0.0},(54,159):{'3_1':0.03},(54,158):{'3_1':0.0},(54,157):{'3_1':0.03,'4_1':0.0,'7_1':0.0},(54,156):{'3_1':0.0},(54,155):{'3_1':0.03,'4_1':0.0},(54,154):{'3_1':0.0},(54,152):{'3_1':0.0,'4_1':0.0},(54,151):{'4_1':0.0},(54,150):{'3_1':0.0,'4_1':0.0},(54,149):{'3_1':0.03},(54,148):{'3_1':0.03,'4_1':0.0},(54,147):{'3_1':0.03,'4_1':0.0},(54,146):{'4_1':0.0},(54,145):{'3_1':0.0},(54,144):{'3_1':0.0,'4_1':0.0},(54,143):{'3_1':0.0},(54,142):{'3_1':0.0},(54,141):{'3_1':0.0,'4_1':0.0},(54,140):{'3_1':0.0},(54,139):{'3_1':0.0},(54,138):{'3_1':0.0},(54,137):{'3_1':0.0},(54,136):{'3_1':0.0},(54,135):{'3_1':0.0},(54,134):{'3_1':0.0},(54,133):{'3_1':0.0,'4_1':0.0},(54,132):{'3_1':0.03},(54,131):{'3_1':0.03,'4_1':0.0},(54,130):{'3_1':0.0,'6_2':0.0},(54,129):{'4_1':0.0,'3_1':0.0},(54,128):{'4_1':0.0},(54,127):{'3_1':0.0,'4_1':0.0},(54,126):{'4_1':0.03,'3_1':0.0},(54,125):{'3_1':0.0,'4_1':0.0},(54,124):{'4_1':0.03},(54,123):{'3_1':0.0},(54,122):{'3_1':0.0},(54,121):{'3_1':0.0},(54,120):{'3_1':0.0},(54,119):{'3_1':0.0,'4_1':0.0},(54,118):{'3_1':0.0},(54,116):{'4_1':0.0},(54,115):{'3_1':0.0,'4_1':0.0},(54,114):{'3_1':0.0},(54,112):{'3_1':0.0},(54,110):{'3_1':0.0,'4_1':0.0},(54,109):{'3_1':0.0},(54,108):{'3_1':0.0,'4_1':0.0},(54,107):{'3_1':0.0},(54,106):{'3_1':0.0},(54,105):{'3_1':0.0},(54,104):{'3_1':0.0},(54,103):{'3_1':0.0},(54,102):{'3_1':0.0},(54,100):{'3_1':0.03},(54,99):{'3_1':0.0},(54,98):{'3_1':0.06,'5_1':0.0},(54,97):{'3_1':0.03,'4_1':0.0},(54,96):{'3_1':0.0},(54,95):{'3_1':0.03},(54,94):{'3_1':0.03},(54,93):{'3_1':0.0},(54,92):{'3_1':0.0},(54,91):{'3_1':0.0},(54,90):{'3_1':0.03},(54,89):{'3_1':0.03},(54,88):{'3_1':0.03},(54,87):{'3_1':0.0},(54,86):{'3_1':0.06},(54,85):{'3_1':0.03},(54,84):{'3_1':0.03},(54,83):{'3_1':0.0},(54,82):{'3_1':0.03},(54,81):{'3_1':0.03},(54,80):{'3_1':0.0},(54,79):{'3_1':0.03},(55,236):{'3_1':0.12,'4_1':0.09,'6_1':0.0},(55,235):{'3_1':0.15,'4_1':0.06},(55,234):{'3_1':0.12,'4_1':0.09,'6_1':0.0},(55,233):{'3_1':0.12,'4_1':0.12,'6_1':0.0},(55,232):{'3_1':0.12,'4_1':0.06,'6_1':0.0},(55,231):{'3_1':0.12,'4_1':0.09,'5_2':0.0},(55,230):{'3_1':0.15,'4_1':0.09},(55,229):{'3_1':0.15,'4_1':0.12,'5_2':0.0},(55,228):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(55,227):{'3_1':0.06,'4_1':0.06,'5_2':0.0,'6_2':0.0},(55,226):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(55,225):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0},(55,224):{'3_1':0.09,'4_1':0.06,'5_2':0.03},(55,223):{'3_1':0.12,'4_1':0.03,'5_2':0.03},(55,222):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(55,221):{'3_1':0.18,'4_1':0.06,'7_5':0.0},(55,220):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(55,219):{'3_1':0.09,'4_1':0.0},(55,218):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(55,217):{'3_1':0.15,'4_1':0.0},(55,216):{'3_1':0.15,'4_1':0.03,'5_2':0.0,'6_2':0.0},(55,215):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(55,214):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(55,213):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(55,212):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0},(55,211):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(55,210):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'5_2':0.0},(55,209):{'3_1':0.15,'4_1':0.0},(55,208):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(55,207):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(55,206):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(55,205):{'3_1':0.18,'4_1':0.0},(55,204):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(55,203):{'3_1':0.12,'4_1':0.03},(55,202):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(55,201):{'3_1':0.18,'4_1':0.0},(55,200):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'6_1':0.0},(55,199):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(55,198):{'3_1':0.12,'4_1':0.03},(55,197):{'3_1':0.12,'4_1':0.03},(55,196):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(55,195):{'3_1':0.15,'4_1':0.0},(55,194):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(55,193):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'4_1':0.0},(55,192):{'3_1':0.12,'4_1':0.0},(55,191):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(55,190):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(55,189):{'3_1':0.15,'5_2':0.0,'4_1':0.0},(55,188):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(55,187):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(55,186):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(55,185):{'3_1':0.12,'5_2':0.0},(55,184):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(55,183):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(55,182):{'3_1':0.09,'5_1':0.0,'4_1':0.0,'6_2':0.0},(55,181):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(55,180):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_2':0.0},(55,179):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(55,178):{'3_1':0.06,'4_1':0.03},(55,177):{'3_1':0.12,'4_1':0.0},(55,176):{'3_1':0.15},(55,175):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'6_2':0.0},(55,174):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(55,173):{'3_1':0.18,'5_1':0.0,'4_1':0.0},(55,172):{'3_1':0.21,'5_1':0.0,'5_2':0.0,'-3':0.0},(55,171):{'3_1':0.12,'5_1':0.0},(55,170):{'3_1':0.12,'4_1':0.0},(55,169):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(55,168):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(55,167):{'3_1':0.09},(55,166):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(55,165):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(55,164):{'3_1':0.06,'4_1':0.0},(55,163):{'3_1':0.03,'4_1':0.0},(55,162):{'3_1':0.03,'5_1':0.0},(55,161):{'3_1':0.0,'7_1':0.0},(55,160):{'3_1':0.03},(55,159):{'3_1':0.0},(55,158):{'3_1':0.0,'7_1':0.0},(55,157):{'3_1':0.0,'4_1':0.0},(55,156):{'3_1':0.06},(55,155):{'3_1':0.0},(55,153):{'3_1':0.0},(55,152):{'3_1':0.0},(55,151):{'4_1':0.0,'3_1':0.0},(55,150):{'3_1':0.03},(55,149):{'3_1':0.0},(55,148):{'3_1':0.03,'4_1':0.0},(55,147):{'3_1':0.0,'4_1':0.0},(55,146):{'3_1':0.0,'4_1':0.0},(55,145):{'3_1':0.0},(55,144):{'3_1':0.0,'5_1':0.0},(55,143):{'3_1':0.0,'4_1':0.0},(55,142):{'3_1':0.0,'4_1':0.0},(55,141):{'3_1':0.0,'4_1':0.0},(55,140):{'3_1':0.0},(55,138):{'3_1':0.0,'4_1':0.0},(55,137):{'3_1':0.0},(55,136):{'3_1':0.0},(55,135):{'3_1':0.0},(55,134):{'3_1':0.0},(55,133):{'3_1':0.0,'4_1':0.0},(55,132):{'3_1':0.0,'4_1':0.0},(55,131):{'3_1':0.0,'5_1':0.0},(55,130):{'3_1':0.0,'4_1':0.0},(55,129):{'3_1':0.0},(55,128):{'3_1':0.0,'4_1':0.0},(55,126):{'4_1':0.0},(55,125):{'4_1':0.0,'3_1':0.0},(55,124):{'4_1':0.0,'3_1':0.0},(55,123):{'3_1':0.0,'4_1':0.0},(55,122):{'4_1':0.0},(55,121):{'3_1':0.0},(55,120):{'3_1':0.0},(55,119):{'3_1':0.0},(55,118):{'3_1':0.0},(55,117):{'3_1':0.0},(55,116):{'3_1':0.0},(55,115):{'3_1':0.0},(55,114):{'3_1':0.0},(55,113):{'3_1':0.0},(55,111):{'3_1':0.0,'4_1':0.0},(55,110):{'3_1':0.0},(55,109):{'3_1':0.0},(55,107):{'3_1':0.0},(55,106):{'3_1':0.0},(55,102):{'3_1':0.0},(55,101):{'3_1':0.0},(55,100):{'3_1':0.03},(55,99):{'3_1':0.0},(55,98):{'3_1':0.06},(55,97):{'3_1':0.03},(55,96):{'3_1':0.0},(55,94):{'3_1':0.0},(55,93):{'3_1':0.06},(55,92):{'3_1':0.0},(55,91):{'3_1':0.0},(55,90):{'3_1':0.0},(55,89):{'3_1':0.0},(55,88):{'3_1':0.0},(55,87):{'3_1':0.03},(55,86):{'3_1':0.03},(55,85):{'3_1':0.06},(55,84):{'3_1':0.0},(55,82):{'3_1':0.0},(55,80):{'3_1':0.0},(55,79):{'3_1':0.0},(55,78):{'3_1':0.0},(55,77):{'3_1':0.0},(55,74):{'3_1':0.0},(55,70):{'3_1':0.0},(56,236):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(56,235):{'4_1':0.06,'3_1':0.06},(56,234):{'3_1':0.12,'4_1':0.06,'5_2':0.0},(56,233):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(56,232):{'3_1':0.12,'4_1':0.06,'5_2':0.03,'6_1':0.0},(56,231):{'3_1':0.18,'4_1':0.06},(56,230):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(56,229):{'4_1':0.12,'3_1':0.09,'5_2':0.0},(56,228):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'6_1':0.0},(56,227):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_1':0.0},(56,226):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(56,225):{'3_1':0.18,'4_1':0.06,'5_2':0.0,'5_1':0.0,'6_1':0.0},(56,224):{'3_1':0.15,'4_1':0.09,'5_2':0.0,'6_3':0.0},(56,223):{'3_1':0.09,'5_1':0.0,'4_1':0.0},(56,222):{'3_1':0.09,'4_1':0.06,'5_2':0.03,'5_1':0.0},(56,221):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'5_2':0.0},(56,220):{'3_1':0.21,'4_1':0.03,'7_5':0.0},(56,219):{'3_1':0.15,'5_2':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0,'6_2':0.0},(56,218):{'3_1':0.12,'4_1':0.03},(56,217):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(56,216):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(56,215):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'6_1':0.0},(56,214):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_2':0.0},(56,213):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(56,212):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'5_1':0.0},(56,211):{'3_1':0.12,'5_2':0.03,'4_1':0.0},(56,210):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(56,209):{'3_1':0.21,'5_2':0.0,'4_1':0.0,'5_1':0.0},(56,208):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(56,207):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'5_1':0.0},(56,206):{'3_1':0.15,'4_1':0.03},(56,205):{'3_1':0.18,'5_2':0.03,'4_1':0.0,'7_1':0.0},(56,204):{'3_1':0.21,'4_1':0.03,'5_2':0.0},(56,203):{'3_1':0.18,'4_1':0.03,'5_2':0.0},(56,202):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(56,201):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(56,200):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'6_1':0.0},(56,199):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(56,198):{'3_1':0.15,'4_1':0.0},(56,197):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(56,196):{'3_1':0.18,'4_1':0.03,'5_2':0.0,'5_1':0.0},(56,195):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(56,194):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(56,193):{'3_1':0.12,'4_1':0.0},(56,192):{'3_1':0.18,'4_1':0.0},(56,191):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'5_1':0.0},(56,190):{'3_1':0.09,'5_1':0.03,'4_1':0.0,'5_2':0.0},(56,189):{'3_1':0.12,'5_1':0.0},(56,188):{'3_1':0.12,'4_1':0.0},(56,187):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(56,186):{'3_1':0.09,'4_1':0.0},(56,185):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,184):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,183):{'3_1':0.12,'4_1':0.0},(56,182):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(56,181):{'3_1':0.18,'4_1':0.03,'5_1':0.0,'6_2':0.0},(56,180):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(56,179):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(56,178):{'3_1':0.18,'4_1':0.0},(56,177):{'3_1':0.12,'5_1':0.0,'4_1':0.0,'5_2':0.0},(56,176):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(56,175):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(56,174):{'3_1':0.18,'4_1':0.0,'5_1':0.0},(56,173):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(56,172):{'3_1':0.15,'5_1':0.0},(56,171):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(56,170):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(56,169):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(56,168):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(56,167):{'3_1':0.09,'4_1':0.03},(56,166):{'3_1':0.09},(56,165):{'3_1':0.03},(56,164):{'3_1':0.03,'5_2':0.0},(56,163):{'3_1':0.03},(56,162):{'3_1':0.03},(56,161):{'3_1':0.0,'9_1':0.0},(56,160):{'3_1':0.0},(56,159):{'3_1':0.0,'4_1':0.0},(56,158):{'3_1':0.0,'4_1':0.0},(56,157):{'3_1':0.0},(56,156):{'3_1':0.03},(56,155):{'3_1':0.03},(56,154):{'3_1':0.0},(56,153):{'3_1':0.03},(56,152):{'3_1':0.0},(56,151):{'3_1':0.03},(56,150):{'3_1':0.0},(56,149):{'3_1':0.03,'4_1':0.0},(56,148):{'3_1':0.0,'4_1':0.0},(56,147):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(56,146):{'3_1':0.0},(56,145):{'3_1':0.0,'5_1':0.0},(56,144):{'3_1':0.0},(56,143):{'3_1':0.0,'4_1':0.0},(56,142):{'4_1':0.0},(56,140):{'3_1':0.03},(56,139):{'3_1':0.0},(56,138):{'3_1':0.0},(56,137):{'3_1':0.03},(56,135):{'3_1':0.0},(56,134):{'3_1':0.0,'5_2':0.0},(56,133):{'3_1':0.0},(56,132):{'3_1':0.03},(56,131):{'3_1':0.0,'4_1':0.0},(56,130):{'3_1':0.0,'4_1':0.0},(56,129):{'3_1':0.0,'4_1':0.0},(56,128):{'4_1':0.0},(56,127):{'4_1':0.0,'3_1':0.0},(56,126):{'3_1':0.0,'4_1':0.0},(56,125):{'3_1':0.0,'4_1':0.0},(56,124):{'3_1':0.0,'4_1':0.0},(56,122):{'3_1':0.0},(56,121):{'3_1':0.0},(56,120):{'4_1':0.0},(56,118):{'4_1':0.0},(56,116):{'3_1':0.0},(56,113):{'4_1':0.0},(56,111):{'3_1':0.0},(56,110):{'3_1':0.0},(56,109):{'3_1':0.0,'6_1':0.0},(56,107):{'4_1':0.0},(56,104):{'3_1':0.0},(56,102):{'3_1':0.0},(56,101):{'3_1':0.03},(56,100):{'3_1':0.0},(56,99):{'3_1':0.03},(56,98):{'3_1':0.0},(56,97):{'3_1':0.06},(56,96):{'3_1':0.0},(56,95):{'3_1':0.0},(56,94):{'3_1':0.03},(56,93):{'3_1':0.03},(56,92):{'3_1':0.0},(56,91):{'3_1':0.03},(56,90):{'3_1':0.0},(56,89):{'3_1':0.0},(56,88):{'3_1':0.03},(56,87):{'3_1':0.0},(56,86):{'3_1':0.03},(56,85):{'3_1':0.03},(56,84):{'3_1':0.0},(56,83):{'3_1':0.03},(56,81):{'3_1':0.0},(56,80):{'3_1':0.0},(56,79):{'3_1':0.0},(56,62):{'3_1':0.0},(57,236):{'3_1':0.06,'4_1':0.06},(57,235):{'4_1':0.09,'3_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(57,234):{'3_1':0.06,'4_1':0.06,'6_1':0.0},(57,233):{'3_1':0.09,'4_1':0.09,'5_1':0.0},(57,232):{'3_1':0.06,'4_1':0.06},(57,231):{'3_1':0.09,'4_1':0.03},(57,230):{'4_1':0.06,'3_1':0.03,'5_2':0.0},(57,229):{'4_1':0.06,'3_1':0.06,'6_2':0.0},(57,228):{'4_1':0.09,'3_1':0.06},(57,227):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0,'6_2':0.0},(57,226):{'3_1':0.12,'4_1':0.06},(57,225):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_2':0.0,'7_1':0.0},(57,224):{'3_1':0.09,'4_1':0.06,'5_2':0.0,'6_1':0.0},(57,223):{'3_1':0.06,'4_1':0.0},(57,222):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(57,221):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(57,220):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(57,219):{'3_1':0.12,'4_1':0.03},(57,218):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(57,217):{'3_1':0.06,'4_1':0.0},(57,216):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(57,215):{'3_1':0.09,'4_1':0.06,'5_2':0.0},(57,214):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(57,213):{'3_1':0.15,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(57,212):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(57,211):{'3_1':0.12},(57,210):{'3_1':0.06,'4_1':0.0,'6_1':0.0,'6_2':0.0,'7_5':0.0},(57,209):{'3_1':0.15,'4_1':0.03,'5_2':0.0},(57,208):{'3_1':0.09,'4_1':0.06,'5_1':0.0,'5_2':0.0},(57,207):{'3_1':0.12,'4_1':0.0},(57,206):{'3_1':0.12,'4_1':0.0,'7_5':0.0},(57,205):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'7_5':0.0},(57,204):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_1':0.0},(57,203):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(57,202):{'3_1':0.18,'4_1':0.03,'5_1':0.0},(57,201):{'3_1':0.09,'4_1':0.03,'5_2':0.0,'5_1':0.0},(57,200):{'3_1':0.18,'5_2':0.0,'4_1':0.0,'6_2':0.0},(57,199):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(57,198):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(57,197):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(57,196):{'3_1':0.09,'4_1':0.03},(57,195):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(57,194):{'3_1':0.09,'4_1':0.03},(57,193):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(57,192):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(57,191):{'3_1':0.09,'5_2':0.0},(57,190):{'3_1':0.09,'4_1':0.0,'6_2':0.0},(57,189):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(57,188):{'3_1':0.06,'4_1':0.03},(57,187):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'5_1':0.0},(57,186):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(57,185):{'3_1':0.06,'5_1':0.0},(57,184):{'3_1':0.15,'4_1':0.0},(57,183):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(57,182):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_2':0.0},(57,181):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(57,180):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(57,179):{'3_1':0.09},(57,178):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(57,177):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(57,176):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(57,175):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(57,174):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(57,173):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(57,172):{'3_1':0.12,'5_1':0.0,'4_1':0.0},(57,171):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(57,170):{'3_1':0.12,'4_1':0.0},(57,169):{'3_1':0.12,'4_1':0.0},(57,168):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_2':0.0},(57,167):{'3_1':0.15,'4_1':0.0},(57,166):{'3_1':0.06},(57,165):{'3_1':0.06,'5_1':0.0},(57,164):{'3_1':0.03,'5_1':0.0},(57,163):{'3_1':0.03,'4_1':0.0},(57,162):{'3_1':0.06,'5_1':0.0},(57,161):{'3_1':0.0,'4_1':0.0},(57,159):{'3_1':0.0,'4_1':0.0},(57,158):{'3_1':0.0,'7_1':0.0},(57,157):{'3_1':0.0},(57,156):{'3_1':0.0,'4_1':0.0},(57,155):{'3_1':0.0},(57,154):{'3_1':0.03},(57,152):{'3_1':0.0},(57,151):{'3_1':0.0},(57,150):{'3_1':0.0},(57,149):{'3_1':0.0},(57,148):{'3_1':0.03},(57,147):{'3_1':0.0,'4_1':0.0},(57,146):{'3_1':0.0,'4_1':0.0},(57,144):{'3_1':0.0},(57,141):{'3_1':0.0},(57,140):{'3_1':0.0},(57,139):{'3_1':0.0,'4_1':0.0},(57,138):{'3_1':0.03},(57,137):{'3_1':0.0},(57,135):{'3_1':0.0},(57,134):{'3_1':0.0},(57,133):{'3_1':0.0},(57,132):{'3_1':0.0,'4_1':0.0},(57,130):{'3_1':0.0,'4_1':0.0},(57,129):{'3_1':0.0,'4_1':0.0},(57,128):{'3_1':0.0,'4_1':0.0},(57,127):{'3_1':0.0},(57,125):{'3_1':0.0},(57,123):{'4_1':0.0},(57,121):{'4_1':0.0},(57,120):{'4_1':0.0},(57,119):{'3_1':0.0},(57,112):{'3_1':0.0,'4_1':0.0},(57,111):{'3_1':0.0},(57,110):{'3_1':0.0},(57,109):{'3_1':0.0},(57,107):{'3_1':0.0},(57,106):{'3_1':0.03},(57,105):{'3_1':0.0},(57,103):{'3_1':0.03},(57,102):{'3_1':0.0},(57,101):{'3_1':0.0},(57,100):{'3_1':0.0},(57,99):{'3_1':0.0},(57,98):{'3_1':0.0},(57,97):{'3_1':0.0},(57,96):{'3_1':0.03},(57,95):{'3_1':0.03},(57,94):{'3_1':0.0},(57,93):{'3_1':0.0},(57,92):{'3_1':0.0},(57,91):{'3_1':0.0},(57,90):{'3_1':0.0},(57,89):{'3_1':0.0},(57,88):{'3_1':0.0},(57,87):{'3_1':0.0},(57,86):{'3_1':0.03},(57,85):{'3_1':0.03},(57,84):{'3_1':0.03},(57,83):{'3_1':0.0},(57,82):{'3_1':0.0},(57,81):{'3_1':0.0},(57,80):{'3_1':0.0},(57,78):{'3_1':0.0},(57,77):{'3_1':0.0},(58,236):{'3_1':0.09,'4_1':0.09},(58,235):{'3_1':0.15,'4_1':0.06,'6_1':0.0},(58,234):{'3_1':0.12,'4_1':0.03},(58,233):{'3_1':0.15,'4_1':0.06},(58,232):{'3_1':0.15,'4_1':0.06,'6_2':0.0},(58,231):{'3_1':0.09,'4_1':0.03,'5_2':0.0},(58,230):{'3_1':0.15,'4_1':0.06,'5_1':0.0,'5_2':0.0},(58,229):{'3_1':0.06,'4_1':0.06},(58,228):{'3_1':0.15,'4_1':0.03,'6_1':0.0},(58,227):{'3_1':0.15,'4_1':0.06,'5_1':0.0},(58,226):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(58,225):{'3_1':0.12,'4_1':0.12,'6_2':0.0,'5_2':0.0},(58,224):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'5_2':0.0},(58,223):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(58,222):{'3_1':0.12,'4_1':0.06,'5_1':0.0,'6_1':0.0},(58,221):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'7_2':0.0},(58,220):{'3_1':0.21,'4_1':0.06,'5_1':0.0,'5_2':0.0,'7_3':0.0,'7_4':0.0},(58,219):{'3_1':0.18,'4_1':0.0,'5_2':0.0,'5_1':0.0,'6_2':0.0,'7_2':0.0},(58,218):{'3_1':0.15,'4_1':0.06,'5_2':0.0,'6_1':0.0},(58,217):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(58,216):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(58,215):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(58,214):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,213):{'3_1':0.21,'4_1':0.0},(58,212):{'3_1':0.12,'4_1':0.06,'5_2':0.0,'6_1':0.0},(58,211):{'3_1':0.06,'4_1':0.0},(58,210):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(58,209):{'3_1':0.21,'4_1':0.0},(58,208):{'3_1':0.18,'4_1':0.03},(58,207):{'3_1':0.09,'4_1':0.0},(58,206):{'3_1':0.12,'4_1':0.0},(58,205):{'3_1':0.12,'4_1':0.0},(58,204):{'3_1':0.21,'4_1':0.0},(58,203):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(58,202):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(58,201):{'3_1':0.18,'4_1':0.03},(58,200):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'6_1':0.0},(58,199):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(58,198):{'3_1':0.15,'4_1':0.03,'5_1':0.0,'5_2':0.0},(58,197):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(58,196):{'3_1':0.15,'4_1':0.0},(58,195):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,194):{'3_1':0.12,'4_1':0.0},(58,193):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(58,192):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(58,191):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(58,190):{'3_1':0.12,'4_1':0.03,'5_1':0.0},(58,189):{'3_1':0.09,'4_1':0.0},(58,188):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(58,187):{'3_1':0.15,'5_1':0.0,'4_1':0.0},(58,186):{'3_1':0.03,'5_1':0.0},(58,185):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(58,184):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,183):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(58,182):{'3_1':0.18,'4_1':0.0,'5_1':0.0,'5_2':0.0},(58,181):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(58,180):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(58,179):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'6_2':0.0},(58,178):{'3_1':0.09},(58,177):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(58,176):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(58,175):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_2':0.0},(58,174):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(58,173):{'3_1':0.24,'4_1':0.0,'5_1':0.0},(58,172):{'3_1':0.12,'4_1':0.03,'5_2':0.0},(58,171):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(58,170):{'3_1':0.18,'4_1':0.0,'5_2':0.0},(58,169):{'3_1':0.15,'4_1':0.0,'5_2':0.0,'6_1':0.0},(58,168):{'3_1':0.06,'5_2':0.0},(58,167):{'3_1':0.09,'5_2':0.0},(58,166):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(58,165):{'3_1':0.06,'5_2':0.0},(58,164):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(58,163):{'3_1':0.03,'4_1':0.0},(58,162):{'3_1':0.03},(58,161):{'3_1':0.03},(58,160):{'3_1':0.0},(58,159):{'3_1':0.0},(58,157):{'3_1':0.0},(58,156):{'3_1':0.0,'5_1':0.0},(58,155):{'3_1':0.0,'4_1':0.0},(58,154):{'3_1':0.0},(58,153):{'3_1':0.0},(58,151):{'3_1':0.03},(58,150):{'3_1':0.0},(58,149):{'3_1':0.0},(58,148):{'3_1':0.0},(58,147):{'3_1':0.0,'5_1':0.0,'4_1':0.0},(58,146):{'3_1':0.0},(58,144):{'3_1':0.0},(58,143):{'3_1':0.0},(58,142):{'3_1':0.0},(58,141):{'3_1':0.0,'5_1':0.0},(58,140):{'3_1':0.0,'4_1':0.0},(58,139):{'3_1':0.0},(58,138):{'3_1':0.0,'4_1':0.0},(58,135):{'3_1':0.0},(58,134):{'3_1':0.0},(58,132):{'3_1':0.03,'4_1':0.0},(58,131):{'4_1':0.0},(58,129):{'4_1':0.0,'3_1':0.0},(58,128):{'4_1':0.03,'3_1':0.0},(58,127):{'3_1':0.0},(58,126):{'4_1':0.0},(58,125):{'4_1':0.0},(58,124):{'4_1':0.0},(58,122):{'3_1':0.0},(58,118):{'3_1':0.0},(58,115):{'4_1':0.0},(58,114):{'6_1':0.0},(58,113):{'3_1':0.0},(58,107):{'3_1':0.0},(58,103):{'3_1':0.0},(58,102):{'3_1':0.0},(58,101):{'3_1':0.0},(58,100):{'3_1':0.0},(58,99):{'3_1':0.0},(58,97):{'3_1':0.0},(58,96):{'3_1':0.0},(58,94):{'3_1':0.03},(58,91):{'3_1':0.0},(58,90):{'3_1':0.0},(58,89):{'3_1':0.0},(58,88):{'3_1':0.0},(58,87):{'3_1':0.03},(58,86):{'3_1':0.0},(58,85):{'3_1':0.0},(58,84):{'3_1':0.0},(58,83):{'3_1':0.03},(58,82):{'3_1':0.0},(58,81):{'3_1':0.0},(58,80):{'3_1':0.0},(58,78):{'3_1':0.0},(59,236):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(59,235):{'3_1':0.06,'4_1':0.06,'5_2':0.0},(59,234):{'4_1':0.06,'3_1':0.03},(59,233):{'3_1':0.06,'4_1':0.03},(59,232):{'3_1':0.09,'4_1':0.09,'5_2':0.0},(59,231):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(59,230):{'3_1':0.12,'4_1':0.06},(59,229):{'3_1':0.09,'4_1':0.03,'6_1':0.0},(59,228):{'3_1':0.12,'4_1':0.03,'5_1':0.0,'6_1':0.0},(59,227):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'6_1':0.0},(59,226):{'3_1':0.12,'4_1':0.03,'5_2':0.0,'5_1':0.0},(59,225):{'4_1':0.06,'3_1':0.06,'6_2':0.0},(59,224):{'3_1':0.09,'4_1':0.03},(59,223):{'3_1':0.15,'4_1':0.0},(59,222):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(59,221):{'3_1':0.09,'4_1':0.0,'7_1':0.0},(59,220):{'3_1':0.09,'4_1':0.03},(59,219):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(59,218):{'3_1':0.12,'4_1':0.0,'6_2':0.0},(59,217):{'3_1':0.06,'5_2':0.0},(59,216):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,215):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(59,214):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(59,213):{'3_1':0.12,'4_1':0.0},(59,212):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,211):{'3_1':0.06,'4_1':0.0},(59,210):{'3_1':0.12,'4_1':0.0},(59,209):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(59,208):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(59,207):{'3_1':0.09,'4_1':0.0},(59,206):{'3_1':0.12,'4_1':0.0},(59,205):{'3_1':0.12,'5_2':0.0},(59,204):{'3_1':0.09,'4_1':0.0},(59,203):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(59,202):{'3_1':0.15,'4_1':0.0},(59,201):{'3_1':0.12,'4_1':0.0},(59,200):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'6_3':0.0},(59,199):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(59,198):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,197):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_4':0.0},(59,196):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(59,195):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(59,194):{'3_1':0.18},(59,193):{'3_1':0.09,'5_2':0.0},(59,192):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,191):{'3_1':0.12},(59,190):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0,'7_2':0.0},(59,189):{'3_1':0.12},(59,188):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'7_2':0.0},(59,187):{'3_1':0.12,'5_2':0.0},(59,186):{'3_1':0.12},(59,185):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,184):{'3_1':0.09,'5_1':0.0},(59,183):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,182):{'3_1':0.18,'4_1':0.0},(59,181):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(59,180):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(59,179):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(59,178):{'3_1':0.12},(59,177):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,176):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'5_1':0.0},(59,175):{'3_1':0.12},(59,174):{'3_1':0.15,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_3':0.0},(59,173):{'3_1':0.15,'5_1':0.0,'5_2':0.0},(59,172):{'3_1':0.12,'4_1':0.0},(59,171):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(59,170):{'3_1':0.12,'4_1':0.0},(59,169):{'3_1':0.18,'4_1':0.0},(59,168):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(59,167):{'3_1':0.06,'4_1':0.0},(59,166):{'3_1':0.09},(59,165):{'3_1':0.0},(59,164):{'3_1':0.06,'5_1':0.0},(59,163):{'3_1':0.03,'4_1':0.0},(59,162):{'3_1':0.03},(59,161):{'3_1':0.0},(59,160):{'3_1':0.03},(59,159):{'3_1':0.0,'4_1':0.0},(59,158):{'3_1':0.0},(59,157):{'3_1':0.0},(59,156):{'3_1':0.03},(59,155):{'3_1':0.03},(59,154):{'3_1':0.0},(59,153):{'5_2':0.0},(59,152):{'3_1':0.03},(59,151):{'3_1':0.0},(59,149):{'3_1':0.0},(59,148):{'3_1':0.03},(59,147):{'3_1':0.0},(59,146):{'3_1':0.03},(59,145):{'3_1':0.0},(59,144):{'3_1':0.0},(59,143):{'3_1':0.0},(59,142):{'3_1':0.0,'4_1':0.0},(59,141):{'3_1':0.03},(59,140):{'3_1':0.0},(59,139):{'4_1':0.0},(59,138):{'3_1':0.0},(59,137):{'3_1':0.0},(59,135):{'3_1':0.0},(59,134):{'3_1':0.0},(59,133):{'3_1':0.0},(59,132):{'3_1':0.0},(59,130):{'3_1':0.0},(59,129):{'4_1':0.0},(59,128):{'3_1':0.0,'4_1':0.0},(59,127):{'3_1':0.0},(59,126):{'4_1':0.0},(59,125):{'3_1':0.0,'4_1':0.0},(59,122):{'3_1':0.0},(59,121):{'3_1':0.0},(59,120):{'3_1':0.0},(59,118):{'3_1':0.0},(59,116):{'4_1':0.0},(59,115):{'3_1':0.0},(59,113):{'3_1':0.0},(59,112):{'3_1':0.0},(59,111):{'3_1':0.0},(59,110):{'3_1':0.0},(59,104):{'3_1':0.0},(59,102):{'3_1':0.0},(59,101):{'3_1':0.0},(59,100):{'3_1':0.0},(59,99):{'3_1':0.0},(59,98):{'3_1':0.0},(59,97):{'3_1':0.0},(59,96):{'3_1':0.0},(59,95):{'3_1':0.0},(59,94):{'3_1':0.0},(59,93):{'3_1':0.0},(59,92):{'3_1':0.0},(59,91):{'3_1':0.0},(59,90):{'3_1':0.0},(59,89):{'3_1':0.0},(59,88):{'3_1':0.0},(59,86):{'3_1':0.0},(59,84):{'3_1':0.0},(59,83):{'3_1':0.0},(59,82):{'3_1':0.0},(60,236):{'3_1':0.03,'4_1':0.0},(60,235):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(60,234):{'3_1':0.06,'4_1':0.0},(60,233):{'3_1':0.06,'4_1':0.0},(60,232):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(60,231):{'3_1':0.09,'4_1':0.03},(60,230):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(60,229):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(60,228):{'3_1':0.09,'4_1':0.03},(60,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(60,226):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(60,225):{'3_1':0.03,'4_1':0.03},(60,224):{'4_1':0.06,'3_1':0.03},(60,223):{'3_1':0.09,'4_1':0.0},(60,222):{'3_1':0.09,'4_1':0.03,'5_1':0.0},(60,221):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'7_5':0.0},(60,220):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,219):{'3_1':0.15,'4_1':0.0,'6_2':0.0},(60,218):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(60,217):{'3_1':0.03,'4_1':0.0,'7_5':0.0},(60,216):{'3_1':0.09},(60,215):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,214):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(60,213):{'3_1':0.09},(60,212):{'3_1':0.03,'7_5':0.0},(60,211):{'3_1':0.03,'4_1':0.0},(60,210):{'3_1':0.09},(60,209):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(60,208):{'3_1':0.03,'4_1':0.0},(60,207):{'3_1':0.06,'5_1':0.0},(60,206):{'3_1':0.09,'4_1':0.0},(60,205):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(60,204):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(60,203):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(60,202):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(60,201):{'3_1':0.06},(60,200):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(60,199):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(60,198):{'3_1':0.03,'5_2':0.0},(60,197):{'3_1':0.12,'5_2':0.0},(60,196):{'3_1':0.12,'5_2':0.0},(60,195):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'7_1':0.0},(60,194):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(60,193):{'3_1':0.06},(60,192):{'3_1':0.12,'5_2':0.0,'5_1':0.0},(60,191):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(60,190):{'3_1':0.06,'4_1':0.0},(60,189):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(60,188):{'3_1':0.09,'5_2':0.0},(60,187):{'3_1':0.09,'5_2':0.0},(60,186):{'3_1':0.03,'5_2':0.0,'7_2':0.0},(60,185):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(60,184):{'3_1':0.15,'7_5':0.0},(60,183):{'3_1':0.18,'5_2':0.0,'4_1':0.0},(60,182):{'3_1':0.09,'4_1':0.0,'5_2':0.0,'5_1':0.0},(60,181):{'3_1':0.12,'5_2':0.0},(60,180):{'3_1':0.06,'5_1':0.0},(60,179):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(60,178):{'3_1':0.06,'4_1':0.0},(60,177):{'3_1':0.09,'5_2':0.0},(60,176):{'3_1':0.09,'5_2':0.0},(60,175):{'3_1':0.06,'4_1':0.0},(60,174):{'3_1':0.12,'5_1':0.0,'5_2':0.0,'6_1':0.0},(60,173):{'3_1':0.18,'5_1':0.0},(60,172):{'3_1':0.15,'5_2':0.0},(60,171):{'3_1':0.09,'5_2':0.0},(60,170):{'3_1':0.09,'5_1':0.0},(60,169):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(60,168):{'3_1':0.12,'4_1':0.0},(60,167):{'3_1':0.06},(60,166):{'3_1':0.06,'4_1':0.0},(60,165):{'3_1':0.06,'5_2':0.0},(60,164):{'3_1':0.0},(60,163):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(60,162):{'3_1':0.03},(60,161):{'3_1':0.03,'4_1':0.0},(60,160):{'3_1':0.0},(60,159):{'3_1':0.0},(60,158):{'3_1':0.06},(60,157):{'3_1':0.0},(60,156):{'3_1':0.0},(60,155):{'3_1':0.03},(60,154):{'3_1':0.0},(60,153):{'3_1':0.0},(60,152):{'3_1':0.0,'5_2':0.0},(60,151):{'3_1':0.0},(60,150):{'3_1':0.0},(60,149):{'3_1':0.0},(60,148):{'3_1':0.0},(60,146):{'4_1':0.0},(60,145):{'3_1':0.0},(60,144):{'4_1':0.0},(60,142):{'3_1':0.0},(60,141):{'4_1':0.0},(60,140):{'6_2':0.0},(60,138):{'3_1':0.0},(60,137):{'3_1':0.0},(60,136):{'3_1':0.0},(60,135):{'3_1':0.0},(60,134):{'3_1':0.0},(60,131):{'3_1':0.0},(60,130):{'3_1':0.0,'4_1':0.0},(60,129):{'3_1':0.0,'5_2':0.0},(60,128):{'4_1':0.0},(60,127):{'3_1':0.0,'4_1':0.0},(60,125):{'3_1':0.0,'4_1':0.0},(60,124):{'4_1':0.0},(60,123):{'4_1':0.0},(60,121):{'3_1':0.0},(60,119):{'3_1':0.0},(60,118):{'3_1':0.0},(60,116):{'6_1':0.0},(60,114):{'3_1':0.0},(60,113):{'3_1':0.0},(60,111):{'3_1':0.0},(60,110):{'3_1':0.0},(60,105):{'3_1':0.0},(60,104):{'3_1':0.0},(60,103):{'3_1':0.0},(60,102):{'3_1':0.03},(60,101):{'3_1':0.0},(60,100):{'3_1':0.0},(60,99):{'3_1':0.03},(60,98):{'3_1':0.0},(60,95):{'3_1':0.0},(60,94):{'3_1':0.0},(60,93):{'3_1':0.0},(60,91):{'3_1':0.0},(60,90):{'3_1':0.0},(60,88):{'3_1':0.0},(60,87):{'3_1':0.0},(60,86):{'3_1':0.0},(60,85):{'3_1':0.0},(60,82):{'3_1':0.0},(60,80):{'3_1':0.0},(61,236):{'3_1':0.03,'4_1':0.0},(61,235):{'3_1':0.03,'4_1':0.0},(61,234):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(61,233):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,232):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(61,231):{'3_1':0.0,'4_1':0.0},(61,230):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,229):{'3_1':0.06,'4_1':0.0},(61,228):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(61,227):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(61,226):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(61,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'5_1':0.0},(61,224):{'3_1':0.09,'4_1':0.0},(61,223):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(61,222):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,221):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,220):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(61,219):{'3_1':0.03,'4_1':0.0},(61,218):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,217):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(61,216):{'3_1':0.03},(61,215):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,214):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,213):{'3_1':0.09,'7_5':0.0},(61,212):{'3_1':0.06,'7_5':0.0},(61,211):{'3_1':0.06},(61,210):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0,'7_5':0.0},(61,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(61,208):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(61,207):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(61,206):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(61,205):{'3_1':0.06,'4_1':0.0},(61,204):{'3_1':0.12,'5_2':0.0},(61,203):{'3_1':0.03,'5_2':0.0},(61,202):{'3_1':0.06,'4_1':0.0},(61,201):{'3_1':0.06,'4_1':0.0},(61,200):{'3_1':0.09},(61,199):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(61,198):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(61,197):{'3_1':0.06,'3_1#5_2':0.0},(61,196):{'3_1':0.03,'5_2':0.0},(61,195):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(61,194):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(61,193):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(61,192):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(61,191):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(61,190):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(61,189):{'3_1':0.12,'5_2':0.0},(61,188):{'3_1':0.09,'5_2':0.03},(61,187):{'3_1':0.09,'5_2':0.0},(61,186):{'3_1':0.12,'5_2':0.0},(61,185):{'3_1':0.09,'5_2':0.0},(61,184):{'3_1':0.09,'4_1':0.0},(61,183):{'3_1':0.15,'4_1':0.0},(61,182):{'3_1':0.18,'5_2':0.03,'5_1':0.0,'4_1':0.0},(61,181):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'5_1':0.0},(61,180):{'3_1':0.12},(61,179):{'3_1':0.12,'5_2':0.0},(61,178):{'3_1':0.06,'5_2':0.0},(61,177):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(61,176):{'3_1':0.03},(61,175):{'3_1':0.06},(61,174):{'3_1':0.09},(61,173):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(61,172):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(61,171):{'3_1':0.06,'5_2':0.0},(61,170):{'3_1':0.06,'5_2':0.0},(61,169):{'3_1':0.06,'5_2':0.0},(61,168):{'3_1':0.09,'4_1':0.0},(61,167):{'3_1':0.0},(61,166):{'3_1':0.06},(61,165):{'3_1':0.03},(61,164):{'3_1':0.03},(61,163):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(61,162):{'3_1':0.0,'4_1':0.0},(61,161):{'3_1':0.0},(61,160):{'3_1':0.0},(61,159):{'3_1':0.03},(61,158):{'3_1':0.0,'7_1':0.0},(61,156):{'5_2':0.0},(61,154):{'3_1':0.03},(61,153):{'3_1':0.0},(61,152):{'3_1':0.0,'5_1':0.0},(61,151):{'3_1':0.0},(61,149):{'3_1':0.0},(61,148):{'3_1':0.0},(61,147):{'5_2':0.0},(61,146):{'3_1':0.0},(61,144):{'3_1':0.0},(61,143):{'3_1':0.0},(61,142):{'3_1':0.0},(61,141):{'3_1':0.0},(61,140):{'3_1':0.0},(61,139):{'3_1':0.0},(61,138):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(61,137):{'3_1':0.0},(61,135):{'4_1':0.0},(61,134):{'4_1':0.0},(61,132):{'4_1':0.0},(61,131):{'4_1':0.0},(61,130):{'3_1':0.0,'4_1':0.0},(61,129):{'4_1':0.0},(61,128):{'4_1':0.0,'3_1':0.0},(61,127):{'3_1':0.0},(61,126):{'3_1':0.0,'4_1':0.0},(61,123):{'3_1':0.0,'4_1':0.0},(61,122):{'4_1':0.0},(61,120):{'3_1':0.0},(61,119):{'3_1':0.0},(61,118):{'4_1':0.0},(61,115):{'3_1':0.0},(61,110):{'3_1':0.0},(61,107):{'3_1':0.0},(61,106):{'3_1':0.0},(61,105):{'3_1':0.0},(61,104):{'3_1':0.0},(61,103):{'3_1':0.0},(61,102):{'3_1':0.0},(61,101):{'3_1':0.0},(61,100):{'3_1':0.0},(61,99):{'3_1':0.0},(61,98):{'3_1':0.0},(61,97):{'3_1':0.0},(61,95):{'3_1':0.0},(61,94):{'3_1':0.0},(61,93):{'3_1':0.0},(61,92):{'3_1':0.0},(61,91):{'3_1':0.0},(61,90):{'3_1':0.03},(61,88):{'3_1':0.0},(61,86):{'3_1':0.0},(61,84):{'3_1':0.0},(61,82):{'3_1':0.0},(61,81):{'3_1':0.0},(62,236):{'3_1':0.03,'4_1':0.0},(62,235):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(62,234):{'4_1':0.03,'3_1':0.0},(62,233):{'3_1':0.03,'4_1':0.0},(62,232):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,231):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(62,230):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(62,229):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(62,228):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(62,227):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,226):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(62,225):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(62,224):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(62,223):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,222):{'3_1':0.06,'4_1':0.0},(62,221):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,220):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(62,219):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(62,218):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(62,217):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(62,216):{'3_1':0.03,'4_1':0.0},(62,215):{'3_1':0.09},(62,214):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(62,213):{'3_1':0.06,'5_1':0.0},(62,212):{'3_1':0.03,'5_2':0.0,'7_2':0.0,'7_6':0.0},(62,211):{'3_1':0.06},(62,210):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(62,209):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(62,208):{'3_1':0.0},(62,207):{'3_1':0.09},(62,206):{'3_1':0.03,'5_2':0.0},(62,205):{'5_2':0.0,'3_1':0.0,'4_1':0.0},(62,204):{'3_1':0.03,'4_1':0.0},(62,203):{'3_1':0.0,'5_2':0.0},(62,202):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(62,201):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(62,200):{'3_1':0.0,'4_1':0.0},(62,199):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(62,198):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(62,197):{'3_1':0.03},(62,196):{'3_1':0.0},(62,195):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(62,194):{'3_1':0.06,'5_2':0.0},(62,193):{'3_1':0.09},(62,192):{'3_1':0.0,'5_1':0.0,'7_1':0.0},(62,191):{'3_1':0.18,'5_1':0.0},(62,190):{'3_1':0.09,'5_2':0.0},(62,189):{'3_1':0.09},(62,188):{'3_1':0.06,'5_2':0.0},(62,187):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(62,186):{'3_1':0.06,'5_2':0.0},(62,185):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'7_2':0.0},(62,184):{'3_1':0.06,'5_2':0.03},(62,183):{'3_1':0.09},(62,182):{'3_1':0.09,'5_2':0.0},(62,181):{'3_1':0.09,'5_2':0.0},(62,180):{'3_1':0.12,'5_2':0.0},(62,179):{'3_1':0.06},(62,178):{'3_1':0.12,'5_2':0.0},(62,177):{'3_1':0.06,'5_2':0.0},(62,176):{'3_1':0.12,'5_2':0.0},(62,175):{'3_1':0.06,'5_2':0.0},(62,174):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(62,173):{'3_1':0.09,'5_2':0.0},(62,172):{'3_1':0.09},(62,171):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(62,170):{'3_1':0.03,'5_2':0.0},(62,169):{'3_1':0.09,'4_1':0.0},(62,168):{'3_1':0.09},(62,167):{'3_1':0.03,'4_1':0.0},(62,166):{'3_1':0.03},(62,165):{'3_1':0.0},(62,164):{'3_1':0.03},(62,163):{'3_1':0.0},(62,162):{'3_1':0.0},(62,160):{'3_1':0.0,'4_1':0.0},(62,159):{'3_1':0.0},(62,158):{'3_1':0.03},(62,157):{'3_1':0.03,'5_2':0.0},(62,155):{'3_1':0.0,'5_2':0.0},(62,153):{'3_1':0.0},(62,152):{'3_1':0.0,'5_2':0.0},(62,151):{'3_1':0.0},(62,150):{'3_1':0.0},(62,149):{'3_1':0.03,'5_2':0.0},(62,148):{'3_1':0.03},(62,147):{'3_1':0.0},(62,145):{'3_1':0.03},(62,144):{'3_1':0.0},(62,142):{'3_1':0.0},(62,141):{'3_1':0.0},(62,139):{'3_1':0.0},(62,138):{'3_1':0.0},(62,137):{'3_1':0.0},(62,136):{'3_1':0.0},(62,135):{'3_1':0.0},(62,134):{'3_1':0.0},(62,133):{'3_1':0.0},(62,131):{'4_1':0.0,'5_2':0.0},(62,130):{'3_1':0.0},(62,129):{'4_1':0.0},(62,128):{'4_1':0.0,'3_1':0.0},(62,126):{'3_1':0.0,'4_1':0.0},(62,125):{'3_1':0.0},(62,123):{'3_1':0.0},(62,121):{'3_1':0.0},(62,116):{'3_1':0.0},(62,113):{'3_1':0.0},(62,111):{'3_1':0.0},(62,109):{'3_1':0.0},(62,107):{'3_1':0.0},(62,106):{'3_1':0.0},(62,105):{'3_1':0.0},(62,102):{'3_1':0.0},(62,99):{'3_1':0.0},(62,98):{'3_1':0.0},(62,96):{'3_1':0.0},(62,95):{'3_1':0.0},(62,94):{'3_1':0.0},(62,93):{'3_1':0.0},(62,91):{'3_1':0.0},(62,90):{'3_1':0.0},(62,88):{'3_1':0.0},(62,65):{'3_1':0.0},(63,236):{'4_1':0.03,'3_1':0.0},(63,235):{'3_1':0.03,'5_2':0.0},(63,234):{'4_1':0.0,'5_2':0.0},(63,233):{'3_1':0.0,'4_1':0.0},(63,232):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(63,231):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(63,229):{'3_1':0.06,'4_1':0.03},(63,228):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(63,227):{'3_1':0.03},(63,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,225):{'3_1':0.03,'4_1':0.0},(63,224):{'3_1':0.03,'4_1':0.0},(63,223):{'3_1':0.0,'4_1':0.0},(63,222):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(63,221):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(63,220):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,219):{'3_1':0.09},(63,218):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,217):{'3_1':0.03},(63,216):{'3_1':0.06,'4_1':0.0},(63,215):{'3_1':0.03,'4_1':0.0},(63,214):{'3_1':0.03,'5_2':0.0},(63,213):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(63,212):{'3_1':0.09,'5_2':0.0},(63,211):{'3_1':0.03,'4_1':0.0},(63,210):{'3_1':0.06,'5_2':0.0},(63,209):{'3_1':0.06,'4_1':0.0},(63,208):{'3_1':0.03,'4_1':0.0},(63,207):{'3_1':0.06},(63,206):{'3_1':0.03,'5_2':0.0},(63,205):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,204):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'8_13':0.0},(63,203):{'3_1':0.09,'5_2':0.0},(63,202):{'3_1':0.09,'5_2':0.0,'7_1':0.0,'8_20|3_1#3_1':0.0},(63,201):{'3_1':0.09,'4_1':0.0},(63,200):{'3_1':0.09},(63,199):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(63,198):{'3_1':0.06,'5_1':0.0},(63,197):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(63,196):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(63,195):{'3_1':0.09,'5_1':0.0},(63,194):{'3_1':0.06,'5_1':0.0,'8_20|3_1#3_1':0.0},(63,193):{'3_1':0.03,'5_2':0.0},(63,192):{'3_1':0.03},(63,191):{'3_1':0.06,'5_2':0.03},(63,190):{'3_1':0.06,'5_2':0.0},(63,189):{'3_1':0.09,'5_2':0.0,'5_1':0.0},(63,188):{'3_1':0.09},(63,187):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(63,186):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(63,185):{'3_1':0.12,'5_2':0.0},(63,184):{'3_1':0.12,'5_2':0.0},(63,183):{'3_1':0.15,'4_1':0.0},(63,182):{'3_1':0.12},(63,181):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(63,180):{'3_1':0.15,'5_2':0.03},(63,179):{'3_1':0.09,'5_2':0.0},(63,178):{'3_1':0.06},(63,177):{'3_1':0.09},(63,176):{'3_1':0.03},(63,175):{'3_1':0.09,'5_2':0.0},(63,174):{'3_1':0.06},(63,173):{'3_1':0.06,'5_2':0.0},(63,172):{'3_1':0.09,'4_1':0.0},(63,171):{'3_1':0.12,'4_1':0.0,'6_1':0.0},(63,170):{'3_1':0.06},(63,169):{'3_1':0.06,'5_2':0.0},(63,168):{'3_1':0.03,'5_2':0.0},(63,167):{'3_1':0.03,'5_2':0.0},(63,166):{'3_1':0.03},(63,165):{'3_1':0.03},(63,164):{'3_1':0.03,'5_2':0.0},(63,163):{'3_1':0.06},(63,162):{'3_1':0.0,'5_1':0.0},(63,161):{'3_1':0.0},(63,160):{'3_1':0.03},(63,158):{'3_1':0.03},(63,157):{'3_1':0.0},(63,155):{'3_1':0.0},(63,154):{'3_1':0.0},(63,152):{'3_1':0.0},(63,151):{'3_1':0.0},(63,150):{'3_1':0.0},(63,149):{'3_1':0.0,'5_1':0.0},(63,148):{'3_1':0.0},(63,147):{'3_1':0.0,'5_1':0.0},(63,146):{'3_1':0.0},(63,145):{'3_1':0.0},(63,144):{'3_1':0.0},(63,142):{'3_1':0.0},(63,141):{'3_1':0.0},(63,139):{'3_1':0.0},(63,138):{'3_1':0.0},(63,136):{'3_1':0.0},(63,135):{'3_1':0.0},(63,134):{'4_1':0.0,'6_1':0.0},(63,133):{'3_1':0.0},(63,131):{'4_1':0.0},(63,130):{'4_1':0.0},(63,128):{'3_1':0.0,'5_2':0.0},(63,126):{'4_1':0.0},(63,123):{'4_1':0.0},(63,118):{'4_1':0.0},(63,116):{'3_1':0.0},(63,115):{'3_1':0.0},(63,112):{'3_1':0.0},(63,110):{'3_1':0.0},(63,109):{'3_1':0.0},(63,108):{'3_1':0.0},(63,106):{'3_1':0.0},(63,105):{'3_1':0.0},(63,103):{'3_1':0.0},(63,102):{'3_1':0.0},(63,101):{'3_1':0.0},(63,98):{'3_1':0.0},(63,95):{'3_1':0.0},(63,93):{'3_1':0.0},(63,91):{'3_1':0.0},(63,90):{'3_1':0.0},(64,236):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(64,235):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(64,234):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(64,233):{'3_1':0.03,'4_1':0.0},(64,232):{'4_1':0.03,'3_1':0.03},(64,231):{'3_1':0.03,'5_2':0.0,'6_1':0.0},(64,230):{'3_1':0.0,'4_1':0.0,'6_3':0.0},(64,229):{'3_1':0.03,'4_1':0.0},(64,228):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(64,227):{'3_1':0.06,'4_1':0.03},(64,226):{'3_1':0.03,'4_1':0.0},(64,225):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(64,224):{'4_1':0.06,'3_1':0.03},(64,223):{'3_1':0.03},(64,222):{'3_1':0.0,'4_1':0.0},(64,221):{'3_1':0.06,'4_1':0.03},(64,220):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(64,219):{'3_1':0.03},(64,218):{'3_1':0.03,'5_2':0.0,'4_1':0.0,'5_1':0.0,'8_14':0.0},(64,217):{'3_1':0.0,'5_2':0.0},(64,216):{'3_1':0.06,'4_1':0.0},(64,215):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(64,214):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,213):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(64,212):{'3_1':0.03,'4_1':0.0},(64,211):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(64,210):{'3_1':0.03,'4_1':0.0},(64,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(64,208):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,207):{'3_1':0.03,'4_1':0.0},(64,206):{'3_1':0.0,'5_2':0.0},(64,205):{'3_1':0.06},(64,204):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,203):{'3_1':0.03},(64,202):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(64,201):{'3_1':0.06,'5_2':0.0},(64,200):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(64,199):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(64,198):{'3_1':0.03,'4_1':0.0},(64,197):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(64,196):{'3_1':0.03,'5_2':0.0},(64,195):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(64,194):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(64,193):{'3_1':0.06,'5_2':0.0,'5_1':0.0},(64,192):{'3_1':0.03,'4_1':0.0},(64,191):{'3_1':0.06,'4_1':0.0},(64,190):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(64,189):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(64,188):{'3_1':0.09,'5_2':0.0,'4_1':0.0,'5_1':0.0},(64,187):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(64,186):{'3_1':0.09},(64,185):{'3_1':0.09,'5_2':0.0},(64,184):{'3_1':0.0},(64,183):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(64,182):{'3_1':0.15,'5_2':0.0},(64,181):{'3_1':0.09,'4_1':0.0},(64,180):{'3_1':0.03,'5_2':0.0},(64,179):{'3_1':0.09},(64,178):{'3_1':0.12},(64,177):{'3_1':0.12,'5_2':0.0},(64,176):{'3_1':0.09},(64,175):{'3_1':0.06},(64,174):{'3_1':0.15},(64,173):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(64,172):{'3_1':0.06,'5_2':0.0},(64,171):{'3_1':0.12},(64,170):{'3_1':0.06},(64,169):{'3_1':0.12},(64,168):{'3_1':0.06},(64,167):{'3_1':0.06,'5_2':0.0},(64,166):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(64,165):{'3_1':0.0},(64,164):{'3_1':0.0},(64,163):{'3_1':0.03},(64,162):{'3_1':0.0},(64,161):{'3_1':0.03},(64,160):{'3_1':0.0},(64,159):{'3_1':0.0,'5_1':0.0},(64,157):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(64,156):{'3_1':0.0},(64,155):{'3_1':0.0},(64,154):{'3_1':0.0,'5_1':0.0},(64,153):{'3_1':0.0,'5_2':0.0},(64,152):{'3_1':0.0},(64,151):{'3_1':0.0},(64,149):{'3_1':0.0,'5_2':0.0},(64,148):{'3_1':0.0},(64,147):{'3_1':0.0},(64,146):{'3_1':0.0},(64,145):{'3_1':0.03},(64,144):{'3_1':0.0},(64,143):{'3_1':0.03},(64,142):{'3_1':0.03},(64,141):{'3_1':0.0},(64,140):{'3_1':0.0},(64,138):{'3_1':0.0},(64,136):{'3_1':0.0},(64,135):{'4_1':0.0},(64,134):{'3_1':0.0},(64,133):{'4_1':0.0},(64,130):{'4_1':0.0},(64,128):{'3_1':0.0},(64,126):{'4_1':0.0,'3_1':0.0},(64,125):{'4_1':0.0},(64,124):{'4_1':0.0},(64,122):{'3_1':0.0,'4_1':0.0},(64,106):{'3_1':0.0},(64,105):{'3_1':0.0},(64,104):{'3_1':0.0},(64,100):{'3_1':0.0},(64,99):{'3_1':0.0},(64,98):{'3_1':0.0},(64,96):{'3_1':0.0},(64,95):{'3_1':0.0},(64,93):{'3_1':0.0},(64,91):{'3_1':0.0},(64,90):{'3_1':0.0},(64,88):{'3_1':0.0},(65,236):{'4_1':0.03,'3_1':0.0},(65,234):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(65,233):{'3_1':0.03,'4_1':0.03},(65,232):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0,'6_1':0.0},(65,231):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'5_2':0.0},(65,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(65,229):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(65,228):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(65,227):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(65,226):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(65,225):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'5_1':0.0},(65,223):{'3_1':0.06},(65,222):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,221):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(65,220):{'3_1':0.06,'5_1':0.0},(65,219):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(65,218):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(65,217):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(65,216):{'3_1':0.06,'4_1':0.03},(65,215):{'3_1':0.0},(65,214):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0,'7_5':0.0},(65,213):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(65,212):{'3_1':0.09},(65,211):{'3_1':0.06,'5_1':0.0,'6_2':0.0},(65,210):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,209):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(65,208):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,207):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(65,206):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(65,205):{'3_1':0.12},(65,204):{'3_1':0.03},(65,203):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,202):{'3_1':0.06},(65,201):{'3_1':0.06,'6_3':0.0,'4_1':0.0,'5_1':0.0},(65,200):{'3_1':0.06},(65,199):{'3_1':0.03,'4_1':0.0},(65,198):{'3_1':0.03,'5_2':0.0},(65,197):{'3_1':0.06,'5_1':0.0,'7_5':0.0},(65,196):{'3_1':0.06},(65,195):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(65,194):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,193):{'3_1':0.06,'4_1':0.0},(65,192):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(65,191):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(65,190):{'3_1':0.12,'5_2':0.0,'6_1':0.0},(65,189):{'3_1':0.12},(65,188):{'3_1':0.09,'4_1':0.0},(65,187):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(65,186):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(65,185):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(65,184):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(65,183):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(65,182):{'3_1':0.15,'5_2':0.03,'4_1':0.0},(65,181):{'3_1':0.12,'5_1':0.0,'5_2':0.0},(65,180):{'3_1':0.18,'5_2':0.0},(65,179):{'3_1':0.06,'5_2':0.0},(65,178):{'3_1':0.06,'4_1':0.0},(65,177):{'3_1':0.09,'6_3':0.0},(65,176):{'3_1':0.09,'4_1':0.0},(65,175):{'3_1':0.06,'5_2':0.0},(65,174):{'3_1':0.12},(65,173):{'3_1':0.06,'5_2':0.0},(65,172):{'3_1':0.0,'5_2':0.0},(65,171):{'3_1':0.09,'5_2':0.0},(65,170):{'3_1':0.09,'4_1':0.0},(65,169):{'3_1':0.06,'5_2':0.0},(65,168):{'3_1':0.06},(65,167):{'3_1':0.06},(65,166):{'3_1':0.06},(65,165):{'3_1':0.03},(65,164):{'3_1':0.0,'5_2':0.0},(65,163):{'3_1':0.0},(65,162):{'3_1':0.03},(65,160):{'3_1':0.03},(65,159):{'3_1':0.0,'5_1':0.0},(65,158):{'3_1':0.0},(65,157):{'3_1':0.03},(65,156):{'3_1':0.0},(65,155):{'3_1':0.0},(65,154):{'3_1':0.0},(65,153):{'3_1':0.0},(65,152):{'3_1':0.0},(65,151):{'3_1':0.0},(65,150):{'3_1':0.0},(65,149):{'3_1':0.0},(65,148):{'5_2':0.0,'3_1':0.0},(65,147):{'3_1':0.0},(65,146):{'3_1':0.0,'5_1':0.0},(65,145):{'3_1':0.0},(65,144):{'3_1':0.0},(65,143):{'3_1':0.0},(65,142):{'3_1':0.0},(65,141):{'3_1':0.0},(65,138):{'3_1':0.03},(65,137):{'3_1':0.0},(65,136):{'3_1':0.03},(65,135):{'3_1':0.0},(65,134):{'3_1':0.0},(65,133):{'4_1':0.0},(65,131):{'3_1':0.0},(65,130):{'4_1':0.0},(65,129):{'3_1':0.0},(65,128):{'4_1':0.0},(65,126):{'4_1':0.0},(65,122):{'3_1':0.0},(65,119):{'3_1':0.0,'4_1':0.0},(65,117):{'3_1':0.0},(65,108):{'3_1':0.0},(65,106):{'3_1':0.0},(65,102):{'3_1':0.0},(65,101):{'3_1':0.03},(65,100):{'3_1':0.0},(65,99):{'3_1':0.0},(65,98):{'3_1':0.0},(65,97):{'3_1':0.0},(65,95):{'3_1':0.0},(65,92):{'3_1':0.0},(65,90):{'3_1':0.0},(65,87):{'3_1':0.0},(66,236):{'3_1':0.03,'4_1':0.0},(66,235):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(66,234):{'3_1':0.06,'4_1':0.03,'6_2':0.0,'8_11':0.0},(66,233):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(66,232):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(66,231):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(66,230):{'3_1':0.03,'4_1':0.0},(66,229):{'4_1':0.03,'3_1':0.03},(66,228):{'3_1':0.03,'4_1':0.0},(66,227):{'3_1':0.03,'4_1':0.03},(66,226):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_2':0.0},(66,225):{'3_1':0.03,'4_1':0.0},(66,224):{'3_1':0.03,'4_1':0.0},(66,223):{'3_1':0.03,'5_2':0.03,'4_1':0.0,'7_2':0.0},(66,222):{'3_1':0.03,'4_1':0.03},(66,221):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(66,220):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(66,219):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(66,218):{'3_1':0.0,'4_1':0.0},(66,217):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(66,216):{'3_1':0.06,'4_1':0.0},(66,215):{'3_1':0.03,'4_1':0.0},(66,214):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(66,213):{'3_1':0.03,'4_1':0.0},(66,212):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(66,211):{'3_1':0.03,'4_1':0.0},(66,210):{'3_1':0.06,'4_1':0.0},(66,209):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(66,208):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(66,207):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(66,206):{'3_1':0.03},(66,205):{'3_1':0.06,'4_1':0.0},(66,204):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'8_13':0.0},(66,203):{'3_1':0.03,'4_1':0.0},(66,202):{'3_1':0.03,'6_2':0.0},(66,201):{'3_1':0.09,'7_5':0.0,'6_3':0.0,'8_13':0.0},(66,200):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,199):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,198):{'3_1':0.09,'4_1':0.0},(66,197):{'3_1':0.15,'4_1':0.0},(66,196):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(66,195):{'3_1':0.06,'4_1':0.0},(66,194):{'3_1':0.06,'7_5':0.0},(66,193):{'3_1':0.09,'5_1':0.0},(66,192):{'3_1':0.09,'5_2':0.0},(66,191):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(66,190):{'3_1':0.06},(66,189):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(66,188):{'3_1':0.12,'5_2':0.0},(66,187):{'3_1':0.03,'5_2':0.0},(66,186):{'5_2':0.03,'3_1':0.03,'4_1':0.0},(66,185):{'3_1':0.06,'5_2':0.0},(66,184):{'3_1':0.09,'5_2':0.0},(66,183):{'3_1':0.12,'5_2':0.0},(66,182):{'3_1':0.24},(66,181):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(66,180):{'3_1':0.09,'5_2':0.0},(66,179):{'3_1':0.03},(66,178):{'3_1':0.06,'4_1':0.0},(66,177):{'3_1':0.06,'5_2':0.03},(66,176):{'3_1':0.03,'5_2':0.0},(66,175):{'3_1':0.06,'5_2':0.0},(66,174):{'3_1':0.12},(66,173):{'3_1':0.12,'5_2':0.0},(66,172):{'3_1':0.06,'5_2':0.0,'6_3':0.0},(66,171):{'3_1':0.03,'4_1':0.0},(66,170):{'3_1':0.06},(66,169):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(66,168):{'3_1':0.06},(66,167):{'3_1':0.06,'5_2':0.0},(66,166):{'3_1':0.06,'5_2':0.0,'7_2':0.0},(66,165):{'3_1':0.0},(66,164):{'3_1':0.0},(66,163):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(66,162):{'3_1':0.0},(66,161):{'3_1':0.0},(66,159):{'5_1':0.0},(66,158):{'3_1':0.03},(66,157):{'3_1':0.0},(66,156):{'3_1':0.06},(66,155):{'3_1':0.0},(66,154):{'3_1':0.0},(66,153):{'5_2':0.0},(66,150):{'3_1':0.0,'5_1':0.0},(66,149):{'3_1':0.0,'5_1':0.0},(66,148):{'3_1':0.0},(66,147):{'3_1':0.0},(66,146):{'3_1':0.0},(66,145):{'3_1':0.0},(66,144):{'3_1':0.0},(66,143):{'3_1':0.0},(66,142):{'3_1':0.03},(66,141):{'3_1':0.03},(66,140):{'3_1':0.0,'4_1':0.0},(66,138):{'3_1':0.0},(66,136):{'3_1':0.0},(66,135):{'3_1':0.0},(66,134):{'3_1':0.0,'4_1':0.0},(66,133):{'3_1':0.0,'4_1':0.0},(66,132):{'3_1':0.0},(66,131):{'3_1':0.0,'4_1':0.0},(66,130):{'3_1':0.0},(66,129):{'4_1':0.0},(66,128):{'3_1':0.0,'4_1':0.0},(66,127):{'4_1':0.0},(66,125):{'4_1':0.0,'3_1':0.0},(66,124):{'4_1':0.0},(66,121):{'3_1':0.0},(66,120):{'3_1':0.0},(66,118):{'4_1':0.0},(66,115):{'3_1':0.0},(66,112):{'3_1':0.0},(66,107):{'3_1':0.0},(66,105):{'3_1':0.0},(66,103):{'3_1':0.0},(66,102):{'3_1':0.0},(66,101):{'3_1':0.0},(66,100):{'3_1':0.0},(66,98):{'3_1':0.0},(66,97):{'3_1':0.0},(66,96):{'3_1':0.0},(66,95):{'3_1':0.0},(66,94):{'3_1':0.0},(66,91):{'3_1':0.0},(66,90):{'3_1':0.0},(67,236):{'3_1':0.06,'4_1':0.0},(67,235):{'3_1':0.0,'4_1':0.0},(67,234):{'3_1':0.03,'4_1':0.0,'6_2':0.0,'6_1':0.0},(67,233):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,232):{'4_1':0.03,'3_1':0.03,'6_1':0.0},(67,231):{'3_1':0.03,'4_1':0.0},(67,230):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(67,229):{'3_1':0.03,'4_1':0.0},(67,228):{'3_1':0.06,'4_1':0.03},(67,227):{'3_1':0.03,'4_1':0.0},(67,226):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(67,225):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_3':0.0},(67,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,223):{'4_1':0.03,'3_1':0.03,'5_2':0.0,'8_14':0.0},(67,222):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(67,221):{'3_1':0.03,'4_1':0.03},(67,220):{'3_1':0.03,'4_1':0.03},(67,219):{'3_1':0.06,'4_1':0.0},(67,218):{'3_1':0.06,'5_1':0.0},(67,217):{'3_1':0.03,'4_1':0.03},(67,216):{'3_1':0.06,'4_1':0.03},(67,215):{'4_1':0.0,'3_1':0.0},(67,214):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(67,213):{'3_1':0.03,'5_1':0.0,'4_1':0.0},(67,212):{'3_1':0.06,'4_1':0.0,'7_5':0.0},(67,211):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(67,210):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(67,209):{'3_1':0.09,'5_1':0.0},(67,208):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,207):{'3_1':0.06,'4_1':0.03,'5_2':0.0,'8_14':0.0},(67,206):{'3_1':0.09,'4_1':0.0},(67,205):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(67,204):{'3_1':0.03,'4_1':0.0},(67,203):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'5_2':0.0},(67,202):{'3_1':0.03,'5_2':0.0,'5_1':0.0},(67,201):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,200):{'3_1':0.06,'4_1':0.0},(67,199):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(67,198):{'3_1':0.03,'4_1':0.0},(67,197):{'3_1':0.09,'8_13':0.0},(67,196):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,195):{'3_1':0.06,'4_1':0.0},(67,194):{'3_1':0.06,'5_2':0.0,'4_1':0.0,'6_3':0.0,'7_5':0.0},(67,193):{'3_1':0.03,'4_1':0.0},(67,192):{'3_1':0.12,'6_2':0.0},(67,191):{'3_1':0.06,'4_1':0.0},(67,190):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(67,189):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(67,188):{'3_1':0.06,'4_1':0.0},(67,187):{'3_1':0.09,'5_2':0.0},(67,186):{'3_1':0.12,'5_2':0.0,'4_1':0.0,'6_1':0.0},(67,185):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(67,184):{'3_1':0.12,'4_1':0.0},(67,183):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,182):{'3_1':0.12,'5_2':0.0},(67,181):{'3_1':0.12,'5_2':0.0},(67,180):{'3_1':0.12,'5_2':0.0},(67,179):{'3_1':0.03,'5_2':0.0},(67,178):{'3_1':0.09,'4_1':0.0},(67,177):{'3_1':0.03},(67,176):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(67,175):{'3_1':0.12,'5_1':0.0},(67,174):{'3_1':0.09},(67,173):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(67,172):{'3_1':0.06,'5_2':0.0},(67,171):{'3_1':0.15,'4_1':0.0,'5_2':0.0},(67,170):{'3_1':0.09,'5_2':0.0},(67,169):{'3_1':0.12,'5_2':0.0},(67,168):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,167):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(67,166):{'3_1':0.06,'4_1':0.0},(67,165):{'3_1':0.03},(67,164):{'3_1':0.03},(67,163):{'3_1':0.03,'5_2':0.0},(67,162):{'3_1':0.0},(67,161):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(67,160):{'3_1':0.0,'5_1':0.0},(67,159):{'3_1':0.0},(67,158):{'3_1':0.0},(67,157):{'3_1':0.0,'4_1':0.0},(67,156):{'3_1':0.0},(67,155):{'3_1':0.0,'5_1':0.0},(67,154):{'3_1':0.0},(67,152):{'3_1':0.0},(67,151):{'3_1':0.0},(67,149):{'3_1':0.06},(67,148):{'3_1':0.0},(67,147):{'3_1':0.0},(67,146):{'3_1':0.0},(67,145):{'3_1':0.0},(67,144):{'3_1':0.0},(67,143):{'3_1':0.0},(67,142):{'3_1':0.0},(67,141):{'3_1':0.0},(67,140):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(67,139):{'3_1':0.0},(67,137):{'3_1':0.0},(67,136):{'3_1':0.03},(67,135):{'4_1':0.0},(67,134):{'4_1':0.0},(67,133):{'3_1':0.0,'4_1':0.0},(67,132):{'3_1':0.03},(67,131):{'4_1':0.0},(67,129):{'3_1':0.0,'4_1':0.0},(67,128):{'4_1':0.0},(67,127):{'3_1':0.0,'4_1':0.0},(67,126):{'4_1':0.03},(67,125):{'3_1':0.0,'4_1':0.0},(67,124):{'4_1':0.0},(67,121):{'3_1':0.0},(67,120):{'3_1':0.0},(67,118):{'3_1':0.0},(67,116):{'3_1':0.0},(67,114):{'3_1':0.0},(67,112):{'3_1':0.0},(67,107):{'4_1':0.0},(67,105):{'3_1':0.0},(67,104):{'3_1':0.0},(67,103):{'3_1':0.0},(67,102):{'3_1':0.0},(67,100):{'3_1':0.0},(67,99):{'3_1':0.0},(67,95):{'3_1':0.0},(67,94):{'3_1':0.0},(67,93):{'3_1':0.0},(68,236):{'4_1':0.06,'3_1':0.03},(68,235):{'3_1':0.06,'4_1':0.03},(68,234):{'4_1':0.03,'3_1':0.0,'6_2':0.0,'6_1':0.0},(68,233):{'4_1':0.03,'3_1':0.0,'6_1':0.0,'8_21|3_1#4_1':0.0},(68,232):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(68,231):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(68,230):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(68,229):{'3_1':0.03,'6_2':0.0,'4_1':0.0,'8_13':0.0},(68,228):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(68,227):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(68,226):{'3_1':0.06,'4_1':0.0},(68,225):{'3_1':0.03,'4_1':0.03},(68,224):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_1':0.0},(68,223):{'3_1':0.06,'4_1':0.0},(68,222):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,221):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(68,220):{'3_1':0.06,'4_1':0.03},(68,219):{'3_1':0.03,'4_1':0.03,'6_1':0.0,'6_2':0.0},(68,218):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_2':0.0},(68,217):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,216):{'3_1':0.03,'4_1':0.0,'8_11':0.0},(68,215):{'3_1':0.09,'4_1':0.03},(68,214):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(68,213):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(68,212):{'3_1':0.09,'4_1':0.03},(68,211):{'3_1':0.06,'4_1':0.03},(68,210):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(68,209):{'3_1':0.09,'4_1':0.0,'7_5':0.0},(68,208):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,207):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(68,206):{'3_1':0.06,'4_1':0.03,'5_1':0.0,'6_1':0.0},(68,205):{'3_1':0.06,'5_2':0.0},(68,204):{'3_1':0.06,'4_1':0.0,'6_3':0.0,'8_13':0.0},(68,203):{'3_1':0.06,'4_1':0.0},(68,202):{'3_1':0.06},(68,201):{'3_1':0.03,'4_1':0.03,'6_2':0.0},(68,200):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(68,199):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(68,198):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0,'6_3':0.0},(68,197):{'3_1':0.09,'4_1':0.0,'8_13':0.0},(68,196):{'3_1':0.06,'4_1':0.0},(68,195):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_1':0.0},(68,194):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(68,193):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(68,192):{'3_1':0.06,'4_1':0.03},(68,191):{'3_1':0.06,'5_1':0.0,'4_1':0.0,'5_2':0.0},(68,190):{'3_1':0.03,'4_1':0.03},(68,189):{'3_1':0.09},(68,188):{'3_1':0.09,'5_2':0.0},(68,187):{'3_1':0.03,'4_1':0.0},(68,186):{'3_1':0.06,'4_1':0.0},(68,185):{'3_1':0.06},(68,184):{'3_1':0.12},(68,183):{'3_1':0.12},(68,182):{'3_1':0.09,'5_2':0.0,'4_1':0.0},(68,181):{'3_1':0.15,'5_2':0.0},(68,180):{'3_1':0.09,'5_1':0.0},(68,179):{'3_1':0.06,'4_1':0.0},(68,178):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(68,177):{'3_1':0.06,'5_2':0.0},(68,176):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,175):{'3_1':0.09},(68,174):{'3_1':0.12},(68,173):{'3_1':0.18,'5_2':0.0},(68,172):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(68,171):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(68,170):{'3_1':0.03},(68,169):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(68,168):{'3_1':0.06},(68,167):{'3_1':0.06},(68,166):{'3_1':0.06},(68,165):{'3_1':0.0,'4_1':0.0},(68,164):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(68,163):{'3_1':0.03,'5_1':0.0},(68,162):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(68,160):{'3_1':0.0},(68,159):{'3_1':0.0},(68,158):{'3_1':0.0},(68,157):{'3_1':0.03},(68,156):{'3_1':0.0},(68,155):{'3_1':0.0},(68,154):{'3_1':0.0},(68,152):{'3_1':0.0,'5_2':0.0},(68,151):{'3_1':0.03},(68,150):{'3_1':0.0},(68,149):{'3_1':0.0,'5_1':0.0},(68,148):{'3_1':0.0,'5_2':0.0},(68,146):{'3_1':0.0,'5_2':0.0},(68,145):{'3_1':0.03},(68,144):{'3_1':0.0},(68,143):{'3_1':0.0},(68,142):{'3_1':0.0},(68,141):{'3_1':0.0},(68,140):{'3_1':0.0},(68,139):{'3_1':0.0},(68,138):{'3_1':0.03},(68,137):{'4_1':0.0},(68,136):{'3_1':0.0,'4_1':0.0},(68,135):{'3_1':0.0},(68,134):{'3_1':0.0},(68,133):{'3_1':0.0},(68,132):{'4_1':0.0},(68,131):{'4_1':0.0,'3_1':0.0},(68,130):{'3_1':0.0},(68,129):{'3_1':0.0,'4_1':0.0},(68,128):{'4_1':0.0,'3_1':0.0},(68,127):{'3_1':0.0,'4_1':0.0},(68,126):{'4_1':0.0,'3_1':0.0},(68,125):{'4_1':0.0},(68,124):{'3_1':0.0,'4_1':0.0},(68,123):{'4_1':0.0},(68,120):{'4_1':0.0},(68,119):{'4_1':0.0},(68,116):{'3_1':0.0},(68,115):{'4_1':0.0},(68,113):{'4_1':0.0},(68,112):{'4_1':0.0,'3_1':0.0},(68,109):{'3_1':0.0},(68,108):{'3_1':0.0},(68,106):{'3_1':0.0},(68,104):{'3_1':0.0},(68,102):{'3_1':0.0},(68,101):{'3_1':0.03},(68,100):{'3_1':0.0},(68,81):{'3_1':0.0},(68,75):{'3_1':0.0},(69,236):{'3_1':0.03,'4_1':0.0},(69,235):{'3_1':0.03,'4_1':0.0},(69,234):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(69,233):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_2':0.0},(69,232):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(69,231):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,230):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(69,229):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(69,228):{'3_1':0.03,'4_1':0.0},(69,227):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0,'8_4':0.0},(69,226):{'4_1':0.03,'3_1':0.0,'6_1':0.0},(69,225):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(69,224):{'3_1':0.06,'4_1':0.0},(69,223):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(69,222):{'3_1':0.03,'4_1':0.03},(69,221):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(69,220):{'3_1':0.06,'4_1':0.0},(69,219):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(69,218):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(69,217):{'3_1':0.03,'4_1':0.0},(69,216):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(69,215):{'4_1':0.03,'3_1':0.0,'8_1':0.0},(69,214):{'3_1':0.03,'4_1':0.03,'5_2':0.0,'6_1':0.0},(69,213):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(69,212):{'4_1':0.03,'3_1':0.03},(69,211):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,210):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(69,209):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,208):{'3_1':0.06,'4_1':0.03},(69,207):{'3_1':0.06,'4_1':0.03},(69,206):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(69,205):{'3_1':0.03,'4_1':0.03,'8_13':0.0},(69,204):{'3_1':0.06,'5_1':0.0},(69,203):{'3_1':0.03,'4_1':0.0,'6_3':0.0},(69,202):{'4_1':0.03,'3_1':0.0,'5_2':0.0},(69,201):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,200):{'3_1':0.06,'4_1':0.0},(69,199):{'3_1':0.03,'4_1':0.03},(69,198):{'3_1':0.06,'4_1':0.0},(69,197):{'3_1':0.03,'4_1':0.0,'6_1':0.0,'6_3':0.0},(69,196):{'3_1':0.06,'4_1':0.03},(69,195):{'3_1':0.06,'4_1':0.0},(69,194):{'3_1':0.09,'4_1':0.03,'7_5':0.0,'8_13':0.0},(69,193):{'3_1':0.06,'4_1':0.0},(69,192):{'3_1':0.09,'4_1':0.0},(69,191):{'3_1':0.06,'4_1':0.0},(69,190):{'3_1':0.09,'4_1':0.0,'6_1':0.0},(69,189):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(69,188):{'3_1':0.09,'5_1':0.0,'5_2':0.0,'4_1':0.0},(69,187):{'3_1':0.06},(69,186):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,185):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(69,184):{'3_1':0.03},(69,183):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,182):{'3_1':0.12},(69,181):{'3_1':0.03},(69,180):{'3_1':0.06},(69,179):{'3_1':0.06,'5_2':0.03,'5_1':0.0},(69,178):{'3_1':0.03},(69,177):{'3_1':0.09},(69,176):{'3_1':0.06},(69,175):{'3_1':0.03,'5_2':0.0},(69,174):{'3_1':0.12,'5_2':0.0},(69,173):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(69,172):{'3_1':0.06,'4_1':0.0},(69,171):{'3_1':0.06},(69,170):{'3_1':0.06},(69,169):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(69,168):{'3_1':0.06},(69,167):{'3_1':0.03,'4_1':0.0},(69,166):{'3_1':0.0,'4_1':0.0},(69,165):{'3_1':0.0},(69,164):{'3_1':0.0},(69,163):{'3_1':0.03,'4_1':0.0},(69,162):{'3_1':0.0,'5_1':0.0},(69,161):{'4_1':0.0},(69,160):{'3_1':0.0},(69,159):{'3_1':0.0,'5_1':0.0},(69,158):{'3_1':0.0},(69,157):{'3_1':0.0},(69,156):{'3_1':0.0},(69,154):{'3_1':0.0},(69,153):{'3_1':0.0},(69,152):{'3_1':0.0,'5_1':0.0},(69,151):{'3_1':0.0},(69,150):{'3_1':0.0},(69,149):{'3_1':0.0},(69,148):{'3_1':0.03},(69,147):{'3_1':0.0},(69,146):{'3_1':0.0},(69,145):{'3_1':0.0},(69,144):{'3_1':0.0},(69,143):{'4_1':0.0},(69,142):{'3_1':0.0},(69,141):{'3_1':0.03},(69,138):{'3_1':0.03},(69,137):{'3_1':0.0},(69,136):{'3_1':0.0,'4_1':0.0},(69,135):{'3_1':0.0},(69,134):{'3_1':0.0},(69,133):{'4_1':0.0},(69,132):{'3_1':0.0},(69,130):{'3_1':0.0,'4_1':0.0},(69,129):{'3_1':0.0},(69,128):{'3_1':0.0},(69,127):{'4_1':0.0},(69,126):{'3_1':0.03},(69,125):{'4_1':0.0,'3_1':0.0},(69,124):{'3_1':0.0},(69,122):{'4_1':0.0},(69,121):{'4_1':0.0},(69,119):{'3_1':0.0},(69,117):{'3_1':0.0},(69,114):{'3_1':0.0},(69,112):{'3_1':0.0},(69,111):{'3_1':0.0,'4_1':0.0},(69,108):{'3_1':0.0},(69,106):{'3_1':0.0},(69,105):{'3_1':0.0},(69,101):{'3_1':0.0},(69,100):{'3_1':0.0},(69,97):{'3_1':0.0},(69,96):{'3_1':0.0},(69,95):{'3_1':0.0},(69,94):{'3_1':0.0},(69,92):{'3_1':0.0},(69,91):{'3_1':0.0},(69,89):{'3_1':0.0},(69,72):{'3_1':0.0},(70,236):{'4_1':0.03,'3_1':0.03,'5_2':0.0},(70,235):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(70,234):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(70,233):{'3_1':0.03,'4_1':0.0},(70,232):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(70,231):{'3_1':0.09,'4_1':0.03},(70,230):{'4_1':0.03,'3_1':0.0},(70,229):{'3_1':0.06,'4_1':0.03},(70,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_3':0.0},(70,227):{'4_1':0.03,'3_1':0.0},(70,226):{'3_1':0.06,'4_1':0.0},(70,225):{'3_1':0.06,'4_1':0.03,'6_1':0.0},(70,224):{'3_1':0.03,'4_1':0.0},(70,223):{'3_1':0.03,'4_1':0.03,'5_1':0.0,'6_1':0.0},(70,222):{'4_1':0.03,'3_1':0.0,'5_1':0.0},(70,221):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(70,220):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(70,219):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(70,218):{'3_1':0.03,'4_1':0.0},(70,217):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(70,216):{'3_1':0.09,'4_1':0.06,'5_1':0.0},(70,215):{'3_1':0.03,'6_1':0.0},(70,214):{'4_1':0.03,'3_1':0.03,'5_1':0.0},(70,213):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(70,212):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(70,211):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,210):{'3_1':0.03,'4_1':0.0},(70,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,208):{'3_1':0.09,'4_1':0.0},(70,207):{'3_1':0.09,'4_1':0.0},(70,206):{'3_1':0.06},(70,205):{'3_1':0.03,'4_1':0.03,'5_2':0.0},(70,204):{'4_1':0.0,'6_1':0.0},(70,203):{'3_1':0.0,'4_1':0.0,'8_13':0.0},(70,202):{'3_1':0.03},(70,201):{'3_1':0.03,'4_1':0.0},(70,200):{'3_1':0.03,'4_1':0.03,'6_1':0.0},(70,199):{'3_1':0.06,'5_1':0.0,'6_1':0.0},(70,198):{'3_1':0.03,'6_1':0.0},(70,197):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(70,196):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(70,195):{'3_1':0.03,'4_1':0.0},(70,194):{'3_1':0.06,'4_1':0.03,'5_2':0.0},(70,193):{'3_1':0.06,'5_2':0.0},(70,192):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(70,191):{'3_1':0.03,'6_1':0.0,'4_1':0.0,'5_1':0.0,'6_2':0.0},(70,190):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'6_1':0.0},(70,189):{'3_1':0.12,'4_1':0.0,'5_1':0.0},(70,188):{'3_1':0.06,'5_1':0.0,'5_2':0.0},(70,187):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'6_1':0.0},(70,186):{'3_1':0.09},(70,185):{'3_1':0.09,'6_1':0.0},(70,184):{'3_1':0.06},(70,183):{'3_1':0.15},(70,182):{'3_1':0.12,'5_1':0.0},(70,181):{'3_1':0.15},(70,180):{'3_1':0.06,'5_2':0.0},(70,179):{'3_1':0.06,'5_2':0.0},(70,178):{'3_1':0.09,'5_2':0.0},(70,177):{'3_1':0.09,'5_2':0.0,'6_1':0.0},(70,176):{'3_1':0.06},(70,175):{'3_1':0.06,'4_1':0.0},(70,174):{'3_1':0.06},(70,173):{'3_1':0.09,'4_1':0.0},(70,172):{'3_1':0.03},(70,171):{'3_1':0.09},(70,170):{'3_1':0.09,'4_1':0.0},(70,169):{'3_1':0.03},(70,168):{'3_1':0.09,'5_2':0.0},(70,167):{'3_1':0.09},(70,166):{'3_1':0.03,'5_2':0.0},(70,165):{'3_1':0.06},(70,164):{'3_1':0.03},(70,163):{'3_1':0.03,'4_1':0.0},(70,162):{'3_1':0.03},(70,161):{'3_1':0.0,'6_1':0.0},(70,160):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(70,159):{'3_1':0.0},(70,157):{'3_1':0.0},(70,156):{'3_1':0.0},(70,155):{'4_1':0.0},(70,154):{'3_1':0.0},(70,153):{'3_1':0.0},(70,152):{'3_1':0.03},(70,151):{'3_1':0.0},(70,150):{'3_1':0.0},(70,149):{'3_1':0.0},(70,148):{'3_1':0.0},(70,147):{'3_1':0.0},(70,146):{'3_1':0.0},(70,145):{'3_1':0.0},(70,144):{'3_1':0.0},(70,143):{'3_1':0.0},(70,142):{'3_1':0.0},(70,141):{'3_1':0.0},(70,140):{'3_1':0.0},(70,139):{'3_1':0.03},(70,138):{'3_1':0.0,'4_1':0.0},(70,136):{'3_1':0.0},(70,135):{'3_1':0.03,'4_1':0.0},(70,134):{'3_1':0.0,'4_1':0.0},(70,132):{'3_1':0.0},(70,131):{'4_1':0.0},(70,129):{'3_1':0.0,'4_1':0.0},(70,128):{'4_1':0.0},(70,127):{'3_1':0.0},(70,126):{'3_1':0.0,'4_1':0.0},(70,125):{'4_1':0.03},(70,119):{'3_1':0.0},(70,118):{'4_1':0.0},(70,116):{'4_1':0.0},(70,113):{'3_1':0.0},(70,105):{'3_1':0.0},(70,104):{'3_1':0.0},(70,99):{'3_1':0.0},(70,98):{'3_1':0.0},(70,97):{'3_1':0.0},(70,95):{'3_1':0.0},(70,93):{'3_1':0.0},(70,92):{'3_1':0.0},(70,91):{'3_1':0.0},(70,87):{'3_1':0.0},(71,236):{'3_1':0.0,'4_1':0.0},(71,235):{'4_1':0.0,'3_1':0.0},(71,234):{'4_1':0.0,'3_1':0.0},(71,233):{'4_1':0.0,'3_1':0.0},(71,232):{'3_1':0.03,'4_1':0.03},(71,231):{'3_1':0.03,'4_1':0.0,'8_13':0.0},(71,230):{'3_1':0.0,'4_1':0.0,'6_1':0.0,'8_20|3_1#3_1':0.0},(71,229):{'3_1':0.03,'4_1':0.0},(71,228):{'3_1':0.03,'4_1':0.0},(71,227):{'4_1':0.03,'3_1':0.0,'5_2':0.0,'6_1':0.0},(71,226):{'3_1':0.03},(71,225):{'3_1':0.0,'4_1':0.0},(71,224):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_1':0.0},(71,223):{'3_1':0.0,'4_1':0.0},(71,222):{'3_1':0.03,'4_1':0.0},(71,221):{'4_1':0.0,'3_1':0.0},(71,220):{'3_1':0.0},(71,219):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(71,218):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,217):{'3_1':0.0,'4_1':0.0},(71,216):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,215):{'3_1':0.03},(71,214):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(71,213):{'3_1':0.06,'5_1':0.0},(71,212):{'3_1':0.0,'4_1':0.0},(71,211):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(71,210):{'3_1':0.06,'4_1':0.0},(71,209):{'3_1':0.06,'4_1':0.0},(71,208):{'3_1':0.06,'4_1':0.0},(71,207):{'3_1':0.03,'6_3':0.0},(71,206):{'3_1':0.06,'7_5':0.0},(71,205):{'3_1':0.03,'6_3':0.0,'7_5':0.0,'-3':0.0},(71,204):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,203):{'3_1':0.06,'4_1':0.0,'6_3':0.0},(71,202):{'3_1':0.0,'4_1':0.0},(71,201):{'3_1':0.09},(71,200):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(71,199):{'3_1':0.0,'4_1':0.0},(71,198):{'3_1':0.03,'6_3':0.0},(71,197):{'3_1':0.03,'5_1':0.0},(71,196):{'3_1':0.06,'4_1':0.0},(71,195):{'4_1':0.03,'3_1':0.0},(71,194):{'3_1':0.09,'5_1':0.0},(71,193):{'3_1':0.09},(71,192):{'3_1':0.06},(71,191):{'3_1':0.06,'4_1':0.0,'5_2':0.0,'5_1':0.0},(71,190):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(71,189):{'3_1':0.12,'4_1':0.0},(71,188):{'3_1':0.06},(71,187):{'3_1':0.09},(71,186):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(71,185):{'3_1':0.06,'4_1':0.0},(71,184):{'3_1':0.15,'4_1':0.0},(71,183):{'3_1':0.03,'4_1':0.0},(71,182):{'3_1':0.15,'5_2':0.0},(71,181):{'3_1':0.09,'4_1':0.0},(71,180):{'3_1':0.09,'5_1':0.0},(71,179):{'3_1':0.06,'5_2':0.0},(71,178):{'3_1':0.06,'5_1':0.0},(71,177):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(71,176):{'3_1':0.06,'4_1':0.0},(71,175):{'3_1':0.03},(71,174):{'3_1':0.06},(71,173):{'3_1':0.06,'5_2':0.0},(71,172):{'3_1':0.06,'4_1':0.0},(71,171):{'3_1':0.06,'5_1':0.0},(71,170):{'3_1':0.09},(71,169):{'3_1':0.06,'4_1':0.0},(71,168):{'3_1':0.06},(71,167):{'3_1':0.03,'4_1':0.0},(71,166):{'3_1':0.06,'5_2':0.0},(71,165):{'3_1':0.03},(71,164):{'3_1':0.06},(71,163):{'3_1':0.0,'6_2':0.0},(71,162):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(71,161):{'3_1':0.0,'4_1':0.0},(71,160):{'3_1':0.0},(71,159):{'3_1':0.0},(71,158):{'3_1':0.0,'5_1':0.0},(71,157):{'3_1':0.03},(71,156):{'3_1':0.0},(71,154):{'3_1':0.0},(71,153):{'3_1':0.0},(71,152):{'3_1':0.0},(71,151):{'3_1':0.0},(71,150):{'3_1':0.0,'5_1':0.0},(71,149):{'3_1':0.0},(71,148):{'5_1':0.0},(71,147):{'3_1':0.0},(71,146):{'3_1':0.0},(71,145):{'3_1':0.0},(71,143):{'3_1':0.0},(71,142):{'3_1':0.0},(71,141):{'3_1':0.0},(71,139):{'3_1':0.0},(71,138):{'3_1':0.0},(71,137):{'3_1':0.0},(71,134):{'4_1':0.0},(71,133):{'3_1':0.0},(71,131):{'3_1':0.0,'4_1':0.0},(71,129):{'3_1':0.0},(71,128):{'4_1':0.0},(71,127):{'4_1':0.0},(71,126):{'4_1':0.0},(71,125):{'3_1':0.0},(71,120):{'3_1':0.0},(71,119):{'3_1':0.0},(71,117):{'3_1':0.0},(71,115):{'3_1':0.0},(71,113):{'3_1':0.0},(71,103):{'3_1':0.0},(71,102):{'3_1':0.0},(71,101):{'3_1':0.0},(71,100):{'3_1':0.0},(71,99):{'3_1':0.0},(71,98):{'3_1':0.03},(71,97):{'3_1':0.0},(71,96):{'3_1':0.0},(71,91):{'3_1':0.0},(71,90):{'3_1':0.0},(71,83):{'3_1':0.0},(72,236):{'3_1':0.0,'4_1':0.0},(72,235):{'3_1':0.0},(72,234):{'3_1':0.0,'4_1':0.0},(72,233):{'3_1':0.0},(72,232):{'3_1':0.0,'4_1':0.0},(72,231):{'3_1':0.03,'5_2':0.0},(72,230):{'3_1':0.03,'4_1':0.0},(72,229):{'3_1':0.0,'4_1':0.0},(72,228):{'3_1':0.0,'4_1':0.0},(72,227):{'4_1':0.0,'3_1':0.0},(72,226):{'3_1':0.06},(72,225):{'4_1':0.0,'3_1':0.0,'5_2':0.0},(72,224):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,223):{'3_1':0.0,'4_1':0.0},(72,222):{'3_1':0.03,'4_1':0.0},(72,221):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(72,220):{'3_1':0.0},(72,219):{'3_1':0.03},(72,218):{'3_1':0.0},(72,217):{'3_1':0.0,'6_2':0.0},(72,216):{'4_1':0.0,'3_1':0.0},(72,215):{'3_1':0.0},(72,214):{'3_1':0.09,'5_1':0.0},(72,213):{'3_1':0.03,'4_1':0.0},(72,212):{'3_1':0.0,'4_1':0.0},(72,211):{'3_1':0.03},(72,210):{'3_1':0.03,'4_1':0.0},(72,209):{'3_1':0.0,'5_1':0.0},(72,208):{'3_1':0.06},(72,207):{'3_1':0.03,'4_1':0.0},(72,206):{'3_1':0.03,'5_1':0.0},(72,205):{'3_1':0.03,'4_1':0.0},(72,204):{'3_1':0.03},(72,203):{'3_1':0.0,'5_2':0.0},(72,202):{'3_1':0.0,'4_1':0.0},(72,201):{'3_1':0.0,'4_1':0.0},(72,200):{'3_1':0.03,'5_2':0.0},(72,199):{'3_1':0.03},(72,198):{'3_1':0.0,'5_1':0.0,'6_3':0.0},(72,197):{'3_1':0.06},(72,196):{'3_1':0.03,'5_2':0.0},(72,195):{'3_1':0.03,'7_2':0.0},(72,194):{'3_1':0.03,'4_1':0.0},(72,193):{'3_1':0.06,'4_1':0.0,'7_3':0.0},(72,192):{'3_1':0.03,'5_2':0.0},(72,191):{'3_1':0.03,'4_1':0.0},(72,190):{'3_1':0.03,'5_2':0.0},(72,189):{'3_1':0.09,'5_2':0.0},(72,188):{'3_1':0.15,'6_3':0.0},(72,187):{'3_1':0.03,'4_1':0.0},(72,186):{'3_1':0.03},(72,185):{'3_1':0.03,'5_1':0.0},(72,184):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(72,183):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(72,182):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(72,181):{'3_1':0.06},(72,180):{'3_1':0.06,'5_2':0.0},(72,179):{'3_1':0.06},(72,178):{'3_1':0.06},(72,177):{'3_1':0.06},(72,176):{'3_1':0.09,'5_1':0.0,'5_2':0.0},(72,175):{'3_1':0.0,'5_2':0.0},(72,174):{'3_1':0.06,'4_1':0.0},(72,173):{'3_1':0.09},(72,172):{'3_1':0.06,'5_2':0.0},(72,171):{'3_1':0.06,'5_2':0.0},(72,170):{'3_1':0.09},(72,169):{'3_1':0.03,'4_1':0.0},(72,168):{'3_1':0.03,'4_1':0.0},(72,167):{'3_1':0.03},(72,166):{'3_1':0.0,'4_1':0.0},(72,165):{'3_1':0.03,'4_1':0.0},(72,163):{'3_1':0.03},(72,162):{'3_1':0.0},(72,161):{'3_1':0.0,'5_1':0.0},(72,159):{'3_1':0.0,'5_1':0.0},(72,158):{'3_1':0.0},(72,157):{'3_1':0.0},(72,156):{'3_1':0.0},(72,155):{'3_1':0.0},(72,154):{'3_1':0.03},(72,153):{'3_1':0.03},(72,151):{'3_1':0.0},(72,149):{'3_1':0.0,'5_1':0.0},(72,148):{'3_1':0.0},(72,147):{'3_1':0.0},(72,146):{'3_1':0.0,'4_1':0.0},(72,145):{'3_1':0.0},(72,144):{'3_1':0.0},(72,143):{'3_1':0.0},(72,142):{'3_1':0.0},(72,141):{'3_1':0.0},(72,140):{'3_1':0.0},(72,138):{'3_1':0.0},(72,136):{'3_1':0.0},(72,135):{'3_1':0.0},(72,134):{'3_1':0.0,'4_1':0.0},(72,133):{'3_1':0.0},(72,132):{'3_1':0.0,'4_1':0.0},(72,130):{'3_1':0.0,'4_1':0.0},(72,128):{'3_1':0.0,'4_1':0.0},(72,127):{'4_1':0.0},(72,126):{'3_1':0.0},(72,124):{'3_1':0.0},(72,123):{'3_1':0.0},(72,122):{'3_1':0.0,'4_1':0.0},(72,121):{'3_1':0.0,'4_1':0.0},(72,119):{'3_1':0.0},(72,117):{'3_1':0.0},(72,116):{'3_1':0.0},(72,115):{'3_1':0.0},(72,112):{'4_1':0.0},(72,106):{'3_1':0.0},(72,105):{'3_1':0.0},(72,102):{'3_1':0.0},(72,100):{'3_1':0.0},(72,97):{'3_1':0.0},(72,95):{'3_1':0.0},(72,94):{'3_1':0.0},(72,93):{'3_1':0.0},(72,79):{'3_1':0.0},(72,77):{'3_1':0.0},(73,236):{'3_1':0.0},(73,235):{'3_1':0.0},(73,234):{'3_1':0.03,'4_1':0.0},(73,233):{'3_1':0.03,'5_2':0.0},(73,232):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(73,231):{'3_1':0.03},(73,230):{'3_1':0.03,'4_1':0.03},(73,229):{'3_1':0.03},(73,228):{'3_1':0.0,'5_1':0.0},(73,227):{'3_1':0.03},(73,226):{'3_1':0.03},(73,225):{'3_1':0.0,'4_1':0.0},(73,224):{'3_1':0.03},(73,223):{'3_1':0.03},(73,222):{'3_1':0.06,'4_1':0.0},(73,221):{'3_1':0.03},(73,220):{'3_1':0.0,'6_2':0.0},(73,219):{'3_1':0.0},(73,218):{'3_1':0.03,'4_1':0.0},(73,217):{'3_1':0.03},(73,216):{'3_1':0.0},(73,215):{'3_1':0.03},(73,214):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,213):{'3_1':0.0,'4_1':0.0},(73,212):{'3_1':0.0},(73,211):{'3_1':0.03,'5_2':0.0},(73,210):{'3_1':0.03},(73,209):{'3_1':0.0,'6_2':0.0},(73,208):{'3_1':0.03},(73,207):{'3_1':0.03,'4_1':0.0},(73,206):{'3_1':0.06,'4_1':0.0},(73,205):{'3_1':0.0},(73,204):{'3_1':0.06},(73,203):{'3_1':0.0,'5_1':0.0},(73,202):{'3_1':0.03,'4_1':0.0},(73,201):{'3_1':0.06,'5_2':0.0},(73,200):{'3_1':0.03},(73,199):{'3_1':0.03},(73,198):{'3_1':0.03,'5_2':0.0},(73,197):{'3_1':0.03},(73,196):{'3_1':0.06},(73,195):{'3_1':0.03,'5_2':0.0},(73,194):{'3_1':0.03,'4_1':0.0},(73,193):{'3_1':0.0,'5_2':0.0,'4_1':0.0,'5_1':0.0},(73,192):{'3_1':0.12,'5_2':0.0},(73,191):{'3_1':0.06,'5_1':0.0},(73,190):{'3_1':0.06},(73,189):{'3_1':0.06},(73,188):{'3_1':0.06,'5_1':0.0},(73,187):{'3_1':0.06,'4_1':0.0},(73,186):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(73,185):{'3_1':0.06,'4_1':0.0},(73,184):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(73,183):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(73,182):{'3_1':0.03,'5_2':0.0},(73,181):{'3_1':0.09,'4_1':0.0},(73,180):{'3_1':0.06,'5_1':0.0},(73,179):{'3_1':0.03},(73,178):{'3_1':0.09},(73,177):{'3_1':0.03,'4_1':0.0},(73,176):{'3_1':0.06,'4_1':0.0},(73,175):{'3_1':0.06,'5_2':0.0},(73,174):{'3_1':0.06,'5_2':0.0},(73,173):{'3_1':0.06,'4_1':0.0},(73,172):{'3_1':0.06,'5_1':0.0},(73,171):{'3_1':0.06,'5_2':0.0,'6_1':0.0},(73,170):{'3_1':0.03,'5_2':0.0},(73,169):{'3_1':0.0},(73,168):{'3_1':0.09,'4_1':0.0},(73,167):{'3_1':0.0},(73,166):{'3_1':0.03,'4_1':0.0},(73,165):{'3_1':0.03,'4_1':0.0},(73,164):{'3_1':0.03,'4_1':0.0},(73,163):{'3_1':0.0,'5_1':0.0},(73,162):{'3_1':0.0,'5_1':0.0},(73,161):{'3_1':0.0,'4_1':0.0},(73,160):{'3_1':0.0,'5_1':0.0},(73,159):{'3_1':0.03},(73,158):{'5_1':0.0,'7_3':0.0},(73,157):{'3_1':0.0},(73,156):{'3_1':0.0},(73,153):{'3_1':0.0},(73,152):{'3_1':0.0},(73,151):{'3_1':0.0},(73,150):{'3_1':0.0},(73,149):{'3_1':0.0},(73,148):{'3_1':0.0},(73,147):{'3_1':0.0,'4_1':0.0},(73,145):{'3_1':0.0},(73,144):{'3_1':0.0,'4_1':0.0},(73,143):{'3_1':0.03},(73,142):{'3_1':0.0},(73,141):{'3_1':0.0},(73,140):{'3_1':0.0},(73,139):{'3_1':0.0},(73,138):{'3_1':0.03},(73,137):{'3_1':0.0},(73,136):{'3_1':0.0},(73,135):{'3_1':0.0,'4_1':0.0},(73,134):{'3_1':0.0,'4_1':0.0},(73,132):{'3_1':0.0},(73,131):{'3_1':0.0},(73,129):{'3_1':0.0},(73,127):{'3_1':0.0},(73,126):{'3_1':0.0},(73,125):{'3_1':0.0},(73,124):{'3_1':0.0},(73,122):{'3_1':0.0},(73,120):{'3_1':0.0},(73,117):{'3_1':0.0},(73,115):{'3_1':0.0},(73,113):{'3_1':0.0},(73,112):{'3_1':0.0},(73,111):{'3_1':0.0},(73,110):{'3_1':0.0},(73,106):{'3_1':0.0},(73,102):{'3_1':0.0},(73,101):{'3_1':0.0},(73,98):{'3_1':0.0},(74,236):{'3_1':0.0,'5_1':0.0},(74,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,234):{'3_1':0.03,'4_1':0.0},(74,233):{'3_1':0.0,'4_1':0.0},(74,232):{'3_1':0.03,'4_1':0.0},(74,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(74,230):{'3_1':0.03,'4_1':0.0},(74,229):{'3_1':0.0},(74,228):{'3_1':0.0},(74,227):{'3_1':0.0},(74,226):{'3_1':0.03,'5_2':0.0},(74,225):{'4_1':0.0},(74,224):{'3_1':0.0},(74,223):{'3_1':0.03},(74,222):{'3_1':0.03},(74,221):{'3_1':0.0,'5_1':0.0},(74,220):{'3_1':0.03,'5_1':0.0},(74,219):{'3_1':0.03,'5_1':0.0},(74,218):{'3_1':0.03,'4_1':0.0},(74,217):{'3_1':0.06,'5_2':0.0},(74,216):{'3_1':0.06,'6_2':0.0},(74,215):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(74,214):{'3_1':0.03,'4_1':0.0},(74,213):{'3_1':0.03,'4_1':0.0},(74,212):{'3_1':0.03,'4_1':0.0},(74,211):{'3_1':0.06,'7_6':0.0},(74,210):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_2':0.0},(74,209):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'7_5':0.0},(74,208):{'3_1':0.0,'5_1':0.0},(74,207):{'3_1':0.03},(74,206):{'3_1':0.03},(74,205):{'3_1':0.03},(74,204):{'3_1':0.03,'4_1':0.0},(74,203):{'3_1':0.03,'5_2':0.0},(74,202):{'3_1':0.06,'4_1':0.0},(74,201):{'3_1':0.03,'4_1':0.0},(74,200):{'3_1':0.09},(74,199):{'3_1':0.06},(74,198):{'3_1':0.06,'5_1':0.0},(74,197):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(74,196):{'3_1':0.03,'4_1':0.0},(74,195):{'3_1':0.03,'4_1':0.0,'5_2':0.0,'6_1':0.0},(74,194):{'3_1':0.0},(74,193):{'3_1':0.06,'4_1':0.0},(74,192):{'3_1':0.03},(74,191):{'3_1':0.06,'4_1':0.0},(74,190):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(74,189):{'3_1':0.03,'5_1':0.0},(74,188):{'3_1':0.03,'4_1':0.0},(74,187):{'3_1':0.03,'4_1':0.0},(74,186):{'3_1':0.03,'4_1':0.0},(74,185):{'3_1':0.03,'4_1':0.0},(74,184):{'3_1':0.06},(74,183):{'3_1':0.06},(74,182):{'3_1':0.09,'5_2':0.0},(74,181):{'3_1':0.06,'5_1':0.0},(74,180):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(74,179):{'3_1':0.09},(74,178):{'3_1':0.03},(74,177):{'3_1':0.06},(74,176):{'3_1':0.03},(74,175):{'3_1':0.03},(74,174):{'3_1':0.06},(74,173):{'3_1':0.06,'4_1':0.0},(74,172):{'3_1':0.03,'4_1':0.0},(74,171):{'3_1':0.03,'4_1':0.0},(74,170):{'3_1':0.06,'5_2':0.0},(74,169):{'3_1':0.06},(74,168):{'3_1':0.03,'5_1':0.0},(74,167):{'3_1':0.09,'5_1':0.0},(74,166):{'3_1':0.06,'5_1':0.0},(74,165):{'3_1':0.03},(74,164):{'3_1':0.03},(74,163):{'3_1':0.0},(74,162):{'4_1':0.0},(74,160):{'3_1':0.0},(74,158):{'3_1':0.0,'5_1':0.0},(74,157):{'3_1':0.0},(74,156):{'3_1':0.0},(74,154):{'3_1':0.0},(74,152):{'3_1':0.0},(74,151):{'3_1':0.0,'5_2':0.0},(74,149):{'3_1':0.0,'4_1':0.0},(74,148):{'3_1':0.0},(74,147):{'3_1':0.03},(74,146):{'3_1':0.0},(74,145):{'3_1':0.0},(74,144):{'3_1':0.0},(74,142):{'3_1':0.0},(74,141):{'3_1':0.0,'4_1':0.0},(74,140):{'3_1':0.0},(74,139):{'3_1':0.0},(74,137):{'3_1':0.0},(74,136):{'3_1':0.0},(74,135):{'3_1':0.0},(74,134):{'3_1':0.0},(74,133):{'3_1':0.0},(74,131):{'3_1':0.0,'4_1':0.0},(74,130):{'3_1':0.0},(74,129):{'3_1':0.0,'4_1':0.0},(74,127):{'3_1':0.0},(74,126):{'3_1':0.0,'4_1':0.0},(74,124):{'3_1':0.0,'4_1':0.0},(74,119):{'5_1':0.0},(74,118):{'3_1':0.0},(74,117):{'4_1':0.0},(74,115):{'3_1':0.0},(74,106):{'3_1':0.0},(74,102):{'3_1':0.0},(74,101):{'3_1':0.0},(75,236):{'3_1':0.0,'4_1':0.0},(75,235):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,234):{'3_1':0.0},(75,233):{'3_1':0.0,'4_1':0.0},(75,232):{'3_1':0.03,'6_2':0.0},(75,231):{'3_1':0.0,'4_1':0.0},(75,230):{'3_1':0.03},(75,229):{'3_1':0.06},(75,228):{'3_1':0.03,'5_2':0.0},(75,227):{'3_1':0.03,'4_1':0.0},(75,226):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,225):{'3_1':0.03},(75,224):{'3_1':0.0,'4_1':0.0},(75,223):{'3_1':0.03},(75,222):{'3_1':0.0},(75,221):{'3_1':0.03},(75,220):{'3_1':0.0},(75,219):{'3_1':0.0,'6_2':0.0},(75,218):{'3_1':0.03,'5_2':0.0},(75,216):{'3_1':0.03},(75,215):{'3_1':0.03},(75,214):{'3_1':0.0,'4_1':0.0},(75,213):{'3_1':0.03,'4_1':0.0},(75,212):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(75,211):{'3_1':0.03},(75,210):{'3_1':0.03,'4_1':0.0},(75,209):{'3_1':0.0},(75,208):{'3_1':0.0},(75,207):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(75,206):{'3_1':0.0},(75,205):{'3_1':0.03},(75,204):{'3_1':0.03,'4_1':0.0},(75,203):{'3_1':0.03,'5_2':0.0},(75,202):{'3_1':0.03},(75,201):{'3_1':0.0,'4_1':0.0},(75,200):{'3_1':0.0},(75,199):{'3_1':0.03},(75,198):{'3_1':0.0,'4_1':0.0},(75,197):{'3_1':0.03},(75,196):{'3_1':0.0,'4_1':0.0},(75,195):{'3_1':0.06,'4_1':0.0},(75,194):{'3_1':0.06,'5_2':0.0},(75,193):{'3_1':0.0},(75,192):{'3_1':0.03},(75,191):{'3_1':0.06,'4_1':0.0},(75,190):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(75,189):{'3_1':0.06,'4_1':0.0},(75,188):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'8_20|3_1#3_1':0.0},(75,187):{'3_1':0.03,'5_1':0.0},(75,186):{'3_1':0.06},(75,185):{'3_1':0.03,'5_1':0.0},(75,184):{'3_1':0.06,'4_1':0.0,'5_1':0.0,'5_2':0.0},(75,183):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(75,182):{'3_1':0.12,'4_1':0.0,'5_2':0.0,'8_20|3_1#3_1':0.0},(75,181):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(75,180):{'3_1':0.06,'4_1':0.0},(75,179):{'3_1':0.03,'4_1':0.0},(75,178):{'3_1':0.06},(75,177):{'3_1':0.03,'4_1':0.0},(75,176):{'3_1':0.09},(75,175):{'3_1':0.06},(75,174):{'3_1':0.03,'4_1':0.0},(75,173):{'3_1':0.03},(75,172):{'3_1':0.09},(75,171):{'3_1':0.06,'4_1':0.0},(75,170):{'3_1':0.03},(75,169):{'3_1':0.06},(75,168):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(75,167):{'3_1':0.09,'4_1':0.0},(75,166):{'3_1':0.0},(75,165):{'3_1':0.0,'4_1':0.0},(75,164):{'3_1':0.03},(75,163):{'3_1':0.03},(75,162):{'3_1':0.03},(75,160):{'3_1':0.0,'5_1':0.0},(75,158):{'8_2':0.0},(75,157):{'5_1':0.0},(75,156):{'3_1':0.0,'4_1':0.0},(75,155):{'3_1':0.0},(75,154):{'3_1':0.0},(75,152):{'3_1':0.0},(75,151):{'3_1':0.0},(75,150):{'3_1':0.0},(75,149):{'3_1':0.0},(75,148):{'3_1':0.0},(75,147):{'3_1':0.0},(75,146):{'3_1':0.0},(75,145):{'3_1':0.0},(75,144):{'3_1':0.0},(75,143):{'3_1':0.0},(75,142):{'3_1':0.0},(75,141):{'3_1':0.03,'4_1':0.0},(75,140):{'3_1':0.0},(75,139):{'3_1':0.0,'4_1':0.0},(75,138):{'3_1':0.0},(75,137):{'4_1':0.0,'5_1':0.0},(75,136):{'3_1':0.0},(75,135):{'3_1':0.03},(75,134):{'3_1':0.0,'4_1':0.0},(75,132):{'3_1':0.0},(75,131):{'3_1':0.0},(75,129):{'3_1':0.0},(75,128):{'3_1':0.0},(75,126):{'3_1':0.0},(75,120):{'3_1':0.0},(75,116):{'3_1':0.0},(75,115):{'3_1':0.0},(75,114):{'3_1':0.0},(75,105):{'3_1':0.0},(75,104):{'3_1':0.0},(75,103):{'3_1':0.0,'4_1':0.0},(75,102):{'3_1':0.0},(75,98):{'3_1':0.0},(75,97):{'3_1':0.0},(75,82):{'3_1':0.0},(76,236):{'3_1':0.0,'4_1':0.0},(76,235):{'3_1':0.0,'4_1':0.0},(76,234):{'3_1':0.0,'4_1':0.0},(76,233):{'3_1':0.0,'4_1':0.0},(76,232):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(76,231):{'3_1':0.03},(76,230):{'3_1':0.03,'4_1':0.0},(76,229):{'3_1':0.06,'4_1':0.0},(76,228):{'3_1':0.06,'4_1':0.0},(76,227):{'3_1':0.0,'4_1':0.0},(76,226):{'3_1':0.0},(76,225):{'3_1':0.06,'4_1':0.0},(76,224):{'3_1':0.03},(76,223):{'3_1':0.0},(76,222):{'3_1':0.0},(76,221):{'3_1':0.03},(76,220):{'3_1':0.0},(76,219):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(76,218):{'3_1':0.03,'4_1':0.0},(76,217):{'3_1':0.0},(76,216):{'3_1':0.03,'6_2':0.0},(76,215):{'3_1':0.03,'4_1':0.0},(76,214):{'3_1':0.0,'4_1':0.0},(76,213):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_3':0.0},(76,212):{'3_1':0.03},(76,211):{'3_1':0.03,'5_2':0.0},(76,210):{'3_1':0.03,'5_2':0.0},(76,209):{'3_1':0.03,'4_1':0.0},(76,208):{'3_1':0.0,'4_1':0.0},(76,207):{'3_1':0.06,'4_1':0.0},(76,206):{'3_1':0.0,'6_2':0.0},(76,205):{'3_1':0.0,'4_1':0.0},(76,204):{'3_1':0.06},(76,203):{'3_1':0.03},(76,202):{'3_1':0.06},(76,201):{'3_1':0.03,'4_1':0.0},(76,200):{'3_1':0.03,'4_1':0.0},(76,199):{'3_1':0.0},(76,198):{'3_1':0.06},(76,197):{'3_1':0.03},(76,196):{'3_1':0.03},(76,195):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(76,194):{'3_1':0.06,'6_2':0.0},(76,193):{'3_1':0.03,'4_1':0.0},(76,192):{'3_1':0.03},(76,191):{'3_1':0.06,'4_1':0.0},(76,190):{'3_1':0.03},(76,189):{'3_1':0.06},(76,188):{'3_1':0.09},(76,187):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(76,186):{'3_1':0.03,'4_1':0.0},(76,185):{'3_1':0.06,'4_1':0.0},(76,184):{'3_1':0.03},(76,183):{'3_1':0.09},(76,182):{'3_1':0.09,'5_1':0.0},(76,181):{'3_1':0.09},(76,180):{'3_1':0.03},(76,179):{'3_1':0.03},(76,178):{'3_1':0.03,'5_1':0.0},(76,177):{'3_1':0.0},(76,176):{'3_1':0.06,'5_2':0.0},(76,175):{'3_1':0.09,'4_1':0.0},(76,174):{'3_1':0.06},(76,173):{'3_1':0.09,'4_1':0.0},(76,172):{'3_1':0.06},(76,171):{'3_1':0.09,'4_1':0.0},(76,170):{'3_1':0.06},(76,169):{'3_1':0.03,'4_1':0.0},(76,168):{'3_1':0.03},(76,167):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(76,166):{'3_1':0.06,'4_1':0.0},(76,165):{'3_1':0.03,'4_1':0.0},(76,164):{'3_1':0.03,'4_1':0.0},(76,163):{'3_1':0.03},(76,162):{'3_1':0.0,'7_1':0.0,'4_1':0.0,'5_2':0.0},(76,160):{'3_1':0.0},(76,159):{'3_1':0.0},(76,158):{'3_1':0.0},(76,157):{'3_1':0.0},(76,156):{'3_1':0.03},(76,154):{'3_1':0.0},(76,153):{'3_1':0.03},(76,152):{'3_1':0.0},(76,151):{'3_1':0.03},(76,150):{'3_1':0.0},(76,148):{'3_1':0.0},(76,147):{'3_1':0.03},(76,146):{'3_1':0.0},(76,145):{'3_1':0.03},(76,144):{'3_1':0.0},(76,143):{'3_1':0.0},(76,142):{'3_1':0.0,'4_1':0.0},(76,141):{'3_1':0.0},(76,140):{'3_1':0.03},(76,139):{'3_1':0.03,'4_1':0.0},(76,138):{'3_1':0.0,'4_1':0.0},(76,137):{'3_1':0.0,'4_1':0.0},(76,135):{'3_1':0.0},(76,134):{'3_1':0.03},(76,133):{'3_1':0.0},(76,132):{'3_1':0.0},(76,131):{'3_1':0.0},(76,130):{'3_1':0.0},(76,129):{'3_1':0.0},(76,128):{'3_1':0.0},(76,127):{'3_1':0.0},(76,125):{'3_1':0.0},(76,124):{'3_1':0.03},(76,123):{'3_1':0.0},(76,122):{'3_1':0.0},(76,121):{'3_1':0.0},(76,118):{'3_1':0.0},(76,117):{'3_1':0.0},(76,116):{'3_1':0.0},(76,115):{'3_1':0.0},(76,114):{'3_1':0.0},(76,112):{'3_1':0.0},(76,111):{'3_1':0.0},(76,110):{'3_1':0.0},(76,107):{'3_1':0.0},(76,104):{'3_1':0.0},(76,100):{'3_1':0.0},(76,99):{'3_1':0.0},(77,236):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,235):{'3_1':0.0,'4_1':0.0},(77,234):{'4_1':0.0,'5_2':0.0},(77,233):{'3_1':0.0,'4_1':0.0},(77,232):{'3_1':0.03,'4_1':0.0},(77,231):{'3_1':0.0,'4_1':0.0},(77,230):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,229):{'3_1':0.0,'4_1':0.0},(77,228):{'3_1':0.0,'4_1':0.0},(77,227):{'3_1':0.0,'5_2':0.0},(77,226):{'3_1':0.0,'4_1':0.0},(77,225):{'5_2':0.0,'6_2':0.0},(77,224):{'3_1':0.03,'4_1':0.0},(77,223):{'3_1':0.03,'5_1':0.0},(77,222):{'3_1':0.0},(77,221):{'3_1':0.0,'4_1':0.0},(77,220):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,219):{'3_1':0.0},(77,218):{'3_1':0.0,'4_1':0.0},(77,217):{'3_1':0.0,'4_1':0.0},(77,216):{'3_1':0.03,'4_1':0.0},(77,215):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(77,214):{'3_1':0.0,'5_2':0.0},(77,213):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,212):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(77,211):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,210):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(77,209):{'3_1':0.06,'4_1':0.0},(77,208):{'3_1':0.03},(77,207):{'3_1':0.03,'4_1':0.0},(77,206):{'3_1':0.03},(77,205):{'3_1':0.0,'6_2':0.0},(77,204):{'3_1':0.0,'6_2':0.0},(77,203):{'3_1':0.0},(77,202):{'3_1':0.0,'4_1':0.0},(77,201):{'3_1':0.03,'4_1':0.0},(77,200):{'4_1':0.0,'5_2':0.0},(77,199):{'3_1':0.03,'4_1':0.0},(77,198):{'3_1':0.0},(77,197):{'3_1':0.0,'4_1':0.0},(77,196):{'3_1':0.0,'4_1':0.0},(77,194):{'3_1':0.0,'6_2':0.0},(77,193):{'3_1':0.06},(77,192):{'3_1':0.03},(77,191):{'3_1':0.03},(77,190):{'3_1':0.03,'4_1':0.0},(77,189):{'3_1':0.06,'5_1':0.0},(77,188):{'3_1':0.06,'5_1':0.0},(77,187):{'3_1':0.06},(77,186):{'3_1':0.03,'4_1':0.0},(77,185):{'3_1':0.03,'4_1':0.0},(77,184):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(77,183):{'3_1':0.06,'5_1':0.0},(77,182):{'3_1':0.12,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,181):{'3_1':0.09,'4_1':0.0},(77,180):{'3_1':0.09,'4_1':0.0,'5_1':0.0,'5_2':0.0},(77,179):{'3_1':0.03,'4_1':0.0},(77,178):{'3_1':0.03,'5_1':0.0,'5_2':0.0},(77,177):{'3_1':0.12},(77,176):{'3_1':0.06},(77,175):{'3_1':0.09,'5_1':0.0},(77,174):{'3_1':0.06,'4_1':0.0},(77,173):{'3_1':0.06,'4_1':0.0},(77,172):{'3_1':0.03,'4_1':0.0},(77,171):{'3_1':0.06,'4_1':0.0},(77,170):{'3_1':0.03},(77,169):{'3_1':0.03},(77,168):{'3_1':0.03,'4_1':0.0},(77,167):{'3_1':0.0,'4_1':0.0},(77,166):{'3_1':0.09,'4_1':0.0},(77,165):{'3_1':0.03,'4_1':0.0},(77,164):{'3_1':0.03},(77,163):{'3_1':0.0,'4_1':0.0},(77,162):{'3_1':0.0,'7_1':0.0},(77,161):{'3_1':0.0},(77,160):{'3_1':0.0,'4_1':0.0},(77,159):{'3_1':0.0},(77,158):{'3_1':0.0,'5_1':0.0},(77,157):{'3_1':0.0,'7_1':0.0},(77,156):{'3_1':0.0},(77,155):{'3_1':0.0},(77,154):{'3_1':0.0},(77,153):{'3_1':0.0},(77,152):{'3_1':0.0,'5_1':0.0},(77,151):{'3_1':0.0},(77,150):{'3_1':0.03},(77,149):{'3_1':0.0,'5_1':0.0},(77,148):{'3_1':0.0},(77,147):{'3_1':0.0},(77,146):{'3_1':0.0},(77,144):{'3_1':0.06},(77,143):{'3_1':0.03},(77,142):{'3_1':0.03},(77,141):{'3_1':0.03},(77,140):{'3_1':0.03},(77,139):{'3_1':0.0},(77,138):{'3_1':0.03},(77,137):{'3_1':0.0},(77,136):{'3_1':0.06},(77,135):{'3_1':0.03},(77,134):{'3_1':0.03},(77,133):{'3_1':0.0,'4_1':0.0},(77,131):{'3_1':0.0},(77,130):{'3_1':0.0},(77,129):{'3_1':0.03},(77,128):{'3_1':0.0},(77,127):{'3_1':0.0},(77,126):{'3_1':0.0},(77,125):{'3_1':0.0},(77,124):{'3_1':0.0,'6_2':0.0},(77,123):{'3_1':0.0},(77,122):{'3_1':0.0},(77,121):{'3_1':0.0},(77,120):{'3_1':0.0},(77,119):{'3_1':0.0},(77,118):{'3_1':0.0},(77,117):{'4_1':0.0},(77,116):{'3_1':0.0},(77,115):{'3_1':0.0},(77,114):{'3_1':0.0},(77,112):{'3_1':0.0},(77,110):{'3_1':0.0},(77,109):{'3_1':0.0},(77,107):{'3_1':0.0},(77,106):{'3_1':0.03},(77,104):{'3_1':0.0},(77,102):{'3_1':0.0},(77,100):{'3_1':0.0},(77,99):{'3_1':0.0},(77,98):{'3_1':0.0},(77,97):{'3_1':0.0},(77,96):{'3_1':0.0},(78,236):{'3_1':0.0,'4_1':0.0},(78,235):{'3_1':0.0},(78,234):{'3_1':0.0},(78,233):{'3_1':0.0,'4_1':0.0},(78,232):{'3_1':0.03,'4_1':0.03},(78,231):{'3_1':0.03,'4_1':0.0},(78,230):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(78,229):{'3_1':0.0},(78,228):{'4_1':0.0,'3_1':0.0},(78,227):{'3_1':0.0},(78,226):{'3_1':0.03,'4_1':0.0},(78,225):{'3_1':0.0,'4_1':0.0},(78,224):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(78,223):{'3_1':0.0},(78,222):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(78,220):{'3_1':0.0,'4_1':0.0},(78,219):{'3_1':0.03,'4_1':0.0},(78,218):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,217):{'3_1':0.03},(78,216):{'3_1':0.06,'4_1':0.0},(78,215):{'3_1':0.03,'4_1':0.0},(78,214):{'3_1':0.0,'4_1':0.0},(78,213):{'4_1':0.0,'3_1':0.0,'6_2':0.0},(78,212):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(78,211):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(78,210):{'3_1':0.03},(78,209):{'3_1':0.0,'5_1':0.0},(78,208):{'3_1':0.0},(78,207):{'3_1':0.06,'4_1':0.0},(78,206):{'3_1':0.03,'4_1':0.0},(78,205):{'4_1':0.03,'3_1':0.0},(78,204):{'3_1':0.06,'4_1':0.0},(78,203):{'3_1':0.0},(78,202):{'3_1':0.06,'4_1':0.0},(78,201):{'3_1':0.0},(78,200):{'3_1':0.06},(78,199):{'3_1':0.03,'4_1':0.0},(78,198):{'3_1':0.0,'4_1':0.0},(78,197):{'3_1':0.0},(78,196):{'3_1':0.03,'4_1':0.0},(78,195):{'3_1':0.03},(78,194):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,193):{'3_1':0.03,'4_1':0.0},(78,192):{'3_1':0.03},(78,191):{'3_1':0.0,'4_1':0.0},(78,190):{'3_1':0.06,'4_1':0.0},(78,189):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(78,188):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(78,187):{'3_1':0.03,'5_1':0.0},(78,186):{'3_1':0.03,'4_1':0.0},(78,185):{'3_1':0.03},(78,184):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(78,183):{'3_1':0.09,'4_1':0.0},(78,182):{'3_1':0.06,'4_1':0.03},(78,181):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'6_2':0.0},(78,180):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(78,179):{'3_1':0.03,'4_1':0.0},(78,178):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'8_20|3_1#3_1':0.0},(78,177):{'3_1':0.03,'4_1':0.0},(78,176):{'3_1':0.03,'4_1':0.0},(78,175):{'3_1':0.12,'4_1':0.0},(78,174):{'3_1':0.09,'4_1':0.0},(78,173):{'3_1':0.09,'4_1':0.0},(78,172):{'3_1':0.12},(78,171):{'3_1':0.06,'4_1':0.0},(78,170):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(78,169):{'3_1':0.06,'4_1':0.0},(78,168):{'4_1':0.03,'3_1':0.0},(78,167):{'3_1':0.12,'4_1':0.0},(78,166):{'3_1':0.03,'4_1':0.0},(78,165):{'3_1':0.0,'4_1':0.0},(78,164):{'3_1':0.03},(78,163):{'3_1':0.0},(78,162):{'4_1':0.0,'5_2':0.0},(78,161):{'3_1':0.0},(78,160):{'3_1':0.0,'6_2':0.0},(78,159):{'3_1':0.0},(78,158):{'3_1':0.03},(78,157):{'3_1':0.0,'5_1':0.0},(78,156):{'5_2':0.0},(78,155):{'3_1':0.0},(78,154):{'3_1':0.0},(78,152):{'3_1':0.0},(78,151):{'3_1':0.0},(78,150):{'3_1':0.0},(78,149):{'3_1':0.06,'5_1':0.0},(78,148):{'3_1':0.0},(78,147):{'3_1':0.0},(78,146):{'3_1':0.03},(78,145):{'3_1':0.03},(78,144):{'3_1':0.0},(78,143):{'3_1':0.03},(78,142):{'3_1':0.03,'5_1':0.0},(78,141):{'3_1':0.03},(78,140):{'3_1':0.03},(78,139):{'3_1':0.0,'5_2':0.0},(78,138):{'3_1':0.03},(78,137):{'3_1':0.03,'4_1':0.0},(78,136):{'3_1':0.06},(78,135):{'3_1':0.03,'4_1':0.0},(78,134):{'3_1':0.03},(78,133):{'3_1':0.0},(78,132):{'3_1':0.03},(78,130):{'3_1':0.0},(78,129):{'3_1':0.06},(78,128):{'3_1':0.0},(78,127):{'3_1':0.03},(78,126):{'3_1':0.03,'4_1':0.0},(78,125):{'3_1':0.0,'4_1':0.0},(78,124):{'3_1':0.0},(78,123):{'3_1':0.0},(78,122):{'3_1':0.0},(78,121):{'3_1':0.0},(78,120):{'3_1':0.0},(78,119):{'3_1':0.03},(78,117):{'3_1':0.0},(78,116):{'3_1':0.0},(78,112):{'3_1':0.0},(78,110):{'3_1':0.0},(78,109):{'3_1':0.0},(78,108):{'3_1':0.0},(78,106):{'3_1':0.0},(78,104):{'3_1':0.0},(78,102):{'3_1':0.0},(78,101):{'3_1':0.0},(78,97):{'3_1':0.0},(78,91):{'3_1':0.0},(79,236):{'3_1':0.03,'4_1':0.0},(79,235):{'3_1':0.0},(79,234):{'3_1':0.03,'4_1':0.0},(79,233):{'3_1':0.03,'4_1':0.0},(79,232):{'3_1':0.0,'4_1':0.0},(79,231):{'3_1':0.06,'4_1':0.0},(79,230):{'3_1':0.03,'4_1':0.0},(79,229):{'3_1':0.03},(79,228):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(79,227):{'3_1':0.03},(79,226):{'3_1':0.06,'4_1':0.0},(79,225):{'3_1':0.0},(79,224):{'3_1':0.03,'6_2':0.0},(79,223):{'3_1':0.0},(79,222):{'3_1':0.0},(79,221):{'3_1':0.0,'4_1':0.0},(79,220):{'3_1':0.03,'6_2':0.0},(79,219):{'3_1':0.06,'4_1':0.0},(79,218):{'3_1':0.0},(79,217):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,216):{'4_1':0.0},(79,215):{'3_1':0.06},(79,214):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,213):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(79,212):{'3_1':0.03},(79,211):{'3_1':0.0,'5_2':0.0},(79,210):{'3_1':0.0},(79,209):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(79,208):{'3_1':0.0,'4_1':0.0},(79,207):{'3_1':0.06,'6_2':0.0},(79,206):{'3_1':0.03},(79,205):{'3_1':0.0,'4_1':0.0},(79,204):{'3_1':0.0},(79,203):{'3_1':0.03},(79,202):{'3_1':0.03,'4_1':0.0},(79,201):{'3_1':0.06,'4_1':0.0},(79,200):{'3_1':0.06},(79,199):{'3_1':0.0},(79,198):{'3_1':0.0,'4_1':0.0},(79,197):{'3_1':0.0},(79,196):{'3_1':0.03,'4_1':0.0},(79,195):{'3_1':0.0,'4_1':0.0},(79,194):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(79,193):{'3_1':0.0},(79,192):{'3_1':0.03},(79,191):{'3_1':0.03,'4_1':0.0},(79,190):{'3_1':0.03},(79,189):{'3_1':0.03},(79,188):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,187):{'3_1':0.06},(79,186):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(79,185):{'3_1':0.06,'5_2':0.0,'4_1':0.0},(79,184):{'3_1':0.0,'4_1':0.0},(79,183):{'3_1':0.06,'5_1':0.03,'4_1':0.0},(79,182):{'3_1':0.18,'4_1':0.0},(79,181):{'3_1':0.15,'4_1':0.03,'5_1':0.0},(79,180):{'3_1':0.12,'5_2':0.0,'4_1':0.0},(79,179):{'3_1':0.0},(79,178):{'3_1':0.06,'4_1':0.0},(79,177):{'3_1':0.06,'4_1':0.0},(79,176):{'3_1':0.06,'4_1':0.0},(79,175):{'3_1':0.03,'4_1':0.03},(79,174):{'3_1':0.03},(79,173):{'3_1':0.06},(79,172):{'3_1':0.03,'4_1':0.0},(79,171):{'3_1':0.09,'4_1':0.0},(79,170):{'3_1':0.06,'4_1':0.0},(79,169):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,168):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(79,167):{'3_1':0.03,'4_1':0.0},(79,166):{'3_1':0.03,'4_1':0.03},(79,165):{'3_1':0.0,'4_1':0.0},(79,164):{'3_1':0.03,'4_1':0.0},(79,163):{'3_1':0.0},(79,162):{'3_1':0.0},(79,161):{'3_1':0.0},(79,160):{'3_1':0.0},(79,159):{'3_1':0.0},(79,158):{'3_1':0.03},(79,157):{'3_1':0.0,'5_1':0.0},(79,156):{'3_1':0.0},(79,155):{'3_1':0.0,'5_2':0.0},(79,154):{'3_1':0.03},(79,153):{'3_1':0.0},(79,152):{'3_1':0.0,'4_1':0.0},(79,151):{'3_1':0.03},(79,150):{'3_1':0.0,'5_2':0.0},(79,149):{'3_1':0.03,'5_1':0.0},(79,148):{'3_1':0.03},(79,147):{'3_1':0.0},(79,146):{'3_1':0.03},(79,145):{'3_1':0.03},(79,144):{'3_1':0.06},(79,143):{'3_1':0.03},(79,142):{'3_1':0.0},(79,141):{'3_1':0.03},(79,140):{'3_1':0.03},(79,139):{'3_1':0.03},(79,138):{'3_1':0.0},(79,137):{'3_1':0.0},(79,136):{'3_1':0.03},(79,135):{'3_1':0.03},(79,134):{'3_1':0.03},(79,133):{'3_1':0.0},(79,132):{'3_1':0.03},(79,131):{'3_1':0.03},(79,130):{'3_1':0.03},(79,129):{'3_1':0.0},(79,128):{'3_1':0.0},(79,127):{'3_1':0.0},(79,126):{'3_1':0.0},(79,125):{'3_1':0.0},(79,124):{'3_1':0.03},(79,123):{'3_1':0.0},(79,122):{'3_1':0.0},(79,121):{'3_1':0.03},(79,120):{'3_1':0.0},(79,119):{'3_1':0.0},(79,118):{'3_1':0.0},(79,116):{'3_1':0.0,'4_1':0.0},(79,115):{'3_1':0.0},(79,111):{'3_1':0.0,'5_2':0.0},(79,110):{'3_1':0.0},(79,109):{'3_1':0.0},(79,108):{'3_1':0.0},(79,107):{'3_1':0.0},(79,106):{'3_1':0.0},(79,104):{'3_1':0.0},(79,103):{'3_1':0.0},(79,102):{'3_1':0.0},(79,100):{'3_1':0.0,'5_1':0.0},(79,99):{'3_1':0.0},(79,93):{'3_1':0.0},(80,236):{'3_1':0.03,'4_1':0.0},(80,235):{'3_1':0.0},(80,234):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,233):{'3_1':0.03,'4_1':0.0},(80,232):{'3_1':0.03},(80,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,230):{'3_1':0.03},(80,229):{'3_1':0.06,'4_1':0.0},(80,228):{'3_1':0.0},(80,227):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,225):{'3_1':0.03,'4_1':0.0},(80,224):{'3_1':0.03,'4_1':0.0},(80,223):{'3_1':0.06},(80,222):{'3_1':0.0,'4_1':0.0},(80,221):{'3_1':0.03,'4_1':0.0},(80,220):{'3_1':0.03},(80,219):{'3_1':0.03,'4_1':0.0},(80,218):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,217):{'3_1':0.0,'4_1':0.0},(80,216):{'3_1':0.0},(80,215):{'3_1':0.0,'4_1':0.0},(80,214):{'3_1':0.06},(80,213):{'3_1':0.0,'4_1':0.0},(80,212):{'3_1':0.06,'5_1':0.0},(80,211):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(80,210):{'3_1':0.03,'4_1':0.0},(80,209):{'3_1':0.0},(80,208):{'3_1':0.03,'6_2':0.0},(80,207):{'3_1':0.0},(80,206):{'3_1':0.0,'4_1':0.0},(80,205):{'3_1':0.03,'4_1':0.0},(80,204):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(80,203):{'3_1':0.03,'4_1':0.0},(80,202):{'3_1':0.0,'4_1':0.0},(80,201):{'3_1':0.03,'4_1':0.0,'8_20|3_1#3_1':0.0},(80,200):{'3_1':0.0},(80,199):{'3_1':0.03,'4_1':0.0},(80,198):{'3_1':0.0,'4_1':0.0},(80,197):{'3_1':0.0,'4_1':0.0},(80,196):{'3_1':0.03,'4_1':0.0},(80,195):{'3_1':0.0,'4_1':0.0},(80,194):{'3_1':0.03,'4_1':0.0},(80,193):{'3_1':0.0},(80,192):{'3_1':0.06,'4_1':0.0},(80,191):{'3_1':0.03,'4_1':0.0},(80,190):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,189):{'3_1':0.03},(80,188):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,187):{'3_1':0.06,'4_1':0.0},(80,186):{'3_1':0.03,'5_1':0.0,'4_1':0.0,'5_2':0.0},(80,185):{'3_1':0.06},(80,184):{'3_1':0.06,'5_1':0.0},(80,183):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'5_2':0.0},(80,182):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(80,181):{'3_1':0.06,'4_1':0.0,'5_2':0.0},(80,180):{'3_1':0.06,'4_1':0.0},(80,179):{'3_1':0.06,'4_1':0.0},(80,178):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(80,177):{'3_1':0.06,'4_1':0.0},(80,176):{'3_1':0.0,'4_1':0.0},(80,175):{'3_1':0.0,'4_1':0.0},(80,174):{'3_1':0.03},(80,173):{'3_1':0.06,'4_1':0.0,'6_1':0.0},(80,172):{'3_1':0.0,'4_1':0.0},(80,171):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(80,170):{'3_1':0.03,'5_2':0.0},(80,169):{'3_1':0.06,'4_1':0.0},(80,168):{'3_1':0.09,'4_1':0.0},(80,167):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(80,166):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(80,165):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(80,164):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(80,163):{'3_1':0.03,'5_1':0.0},(80,162):{'3_1':0.03},(80,161):{'3_1':0.0},(80,160):{'3_1':0.0},(80,159):{'3_1':0.0},(80,158):{'3_1':0.0,'5_1':0.0},(80,157):{'3_1':0.0,'7_1':0.0},(80,156):{'3_1':0.0,'4_1':0.0},(80,154):{'3_1':0.0},(80,153):{'3_1':0.0},(80,152):{'3_1':0.0},(80,151):{'3_1':0.03},(80,150):{'5_1':0.0,'3_1':0.0},(80,149):{'3_1':0.06},(80,148):{'3_1':0.0},(80,147):{'3_1':0.03},(80,146):{'3_1':0.06,'5_1':0.0},(80,145):{'3_1':0.06},(80,144):{'3_1':0.03},(80,143):{'3_1':0.03},(80,142):{'3_1':0.09},(80,141):{'3_1':0.03},(80,140):{'3_1':0.09},(80,139):{'3_1':0.03},(80,138):{'3_1':0.06},(80,137):{'3_1':0.03},(80,136):{'3_1':0.03},(80,135):{'3_1':0.06},(80,134):{'3_1':0.03,'4_1':0.0},(80,133):{'3_1':0.03},(80,132):{'3_1':0.0},(80,131):{'3_1':0.06},(80,130):{'3_1':0.03},(80,129):{'3_1':0.0},(80,128):{'3_1':0.0},(80,127):{'3_1':0.0},(80,126):{'3_1':0.0},(80,125):{'3_1':0.0},(80,124):{'3_1':0.0},(80,123):{'3_1':0.0},(80,122):{'3_1':0.0},(80,121):{'3_1':0.0},(80,120):{'3_1':0.0,'4_1':0.0},(80,119):{'3_1':0.0},(80,118):{'3_1':0.0,'4_1':0.0},(80,117):{'3_1':0.03},(80,116):{'3_1':0.0},(80,114):{'3_1':0.0},(80,113):{'3_1':0.0},(80,111):{'3_1':0.03},(80,110):{'3_1':0.03},(80,109):{'3_1':0.0},(80,108):{'3_1':0.0},(80,106):{'3_1':0.0},(80,104):{'3_1':0.0},(80,102):{'3_1':0.0,'4_1':0.0},(80,100):{'3_1':0.0},(80,99):{'3_1':0.03,'4_1':0.0},(80,98):{'3_1':0.0},(81,236):{'3_1':0.03},(81,235):{'3_1':0.0,'4_1':0.0},(81,234):{'3_1':0.0,'4_1':0.0},(81,233):{'3_1':0.06,'4_1':0.0},(81,232):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(81,231):{'3_1':0.03},(81,230):{'3_1':0.03,'4_1':0.0},(81,229):{'3_1':0.0},(81,228):{'3_1':0.03,'4_1':0.0},(81,227):{'3_1':0.03},(81,226):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(81,225):{'3_1':0.0,'4_1':0.0},(81,224):{'3_1':0.0,'4_1':0.0},(81,223):{'3_1':0.0,'5_1':0.0},(81,222):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(81,221):{'3_1':0.0},(81,220):{'3_1':0.0,'4_1':0.0},(81,219):{'3_1':0.0},(81,218):{'3_1':0.0},(81,217):{'3_1':0.03,'4_1':0.0},(81,216):{'3_1':0.03,'4_1':0.0},(81,215):{'3_1':0.03,'4_1':0.0},(81,214):{'3_1':0.03,'4_1':0.0},(81,213):{'3_1':0.03,'6_2':0.0},(81,212):{'3_1':0.03,'4_1':0.0},(81,211):{'3_1':0.0},(81,210):{'4_1':0.03,'3_1':0.0,'6_2':0.0},(81,209):{'3_1':0.0},(81,208):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(81,207):{'3_1':0.03,'4_1':0.0},(81,206):{'3_1':0.0,'4_1':0.0},(81,205):{'3_1':0.0,'4_1':0.0},(81,204):{'3_1':0.0},(81,203):{'3_1':0.0},(81,202):{'3_1':0.03,'4_1':0.0},(81,201):{'3_1':0.0,'4_1':0.0},(81,200):{'3_1':0.0,'4_1':0.0},(81,199):{'3_1':0.0},(81,198):{'3_1':0.03},(81,197):{'3_1':0.0,'4_1':0.0},(81,196):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,195):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(81,194):{'3_1':0.03,'4_1':0.0,'5_1':0.0,'6_2':0.0},(81,193):{'3_1':0.0,'4_1':0.0},(81,192):{'3_1':0.0,'4_1':0.0},(81,191):{'3_1':0.0,'4_1':0.0},(81,190):{'3_1':0.06,'4_1':0.0},(81,189):{'3_1':0.06,'4_1':0.0},(81,188):{'3_1':0.06,'5_1':0.0},(81,187):{'3_1':0.06},(81,186):{'3_1':0.03,'4_1':0.0},(81,185):{'3_1':0.03},(81,184):{'3_1':0.06,'5_1':0.0,'4_1':0.0},(81,183):{'3_1':0.09,'4_1':0.0},(81,182):{'3_1':0.09,'4_1':0.03,'5_1':0.0,'5_2':0.0},(81,181):{'3_1':0.03,'4_1':0.03,'5_1':0.0},(81,180):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(81,179):{'3_1':0.06,'4_1':0.0},(81,178):{'3_1':0.06,'4_1':0.0},(81,177):{'3_1':0.0},(81,176):{'3_1':0.06},(81,175):{'3_1':0.09,'4_1':0.0},(81,174):{'3_1':0.06},(81,173):{'3_1':0.03,'4_1':0.0},(81,172):{'3_1':0.03,'4_1':0.0},(81,171):{'3_1':0.06,'4_1':0.0},(81,170):{'3_1':0.03,'4_1':0.0},(81,169):{'3_1':0.03,'4_1':0.03},(81,168):{'3_1':0.03,'4_1':0.03},(81,167):{'3_1':0.09,'4_1':0.0},(81,166):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,165):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(81,164):{'3_1':0.0,'4_1':0.0},(81,163):{'3_1':0.03,'5_2':0.0},(81,162):{'3_1':0.03,'7_1':0.0},(81,161):{'3_1':0.0,'4_1':0.0},(81,160):{'7_1':0.0},(81,159):{'3_1':0.0},(81,158):{'3_1':0.0},(81,157):{'3_1':0.0},(81,156):{'3_1':0.0},(81,155):{'3_1':0.0},(81,154):{'3_1':0.03,'4_1':0.0},(81,153):{'3_1':0.0},(81,152):{'3_1':0.0},(81,151):{'3_1':0.0},(81,150):{'3_1':0.0},(81,149):{'3_1':0.06},(81,148):{'3_1':0.09},(81,147):{'3_1':0.0},(81,146):{'3_1':0.03},(81,145):{'3_1':0.06},(81,144):{'3_1':0.03},(81,143):{'3_1':0.03},(81,142):{'3_1':0.06},(81,141):{'3_1':0.03},(81,140):{'3_1':0.09},(81,139):{'3_1':0.06},(81,138):{'3_1':0.06},(81,137):{'3_1':0.03,'4_1':0.0},(81,136):{'3_1':0.0},(81,135):{'3_1':0.03},(81,134):{'3_1':0.06,'4_1':0.0},(81,133):{'3_1':0.0,'4_1':0.0},(81,132):{'3_1':0.0},(81,131):{'3_1':0.0},(81,129):{'3_1':0.0,'4_1':0.0},(81,127):{'3_1':0.03},(81,126):{'3_1':0.0},(81,125):{'3_1':0.0,'4_1':0.0},(81,124):{'3_1':0.0},(81,122):{'4_1':0.0},(81,121):{'3_1':0.0},(81,120):{'3_1':0.0},(81,119):{'3_1':0.0},(81,118):{'3_1':0.0},(81,115):{'3_1':0.0},(81,112):{'3_1':0.0},(81,111):{'3_1':0.0},(81,110):{'3_1':0.0},(81,109):{'3_1':0.0},(81,107):{'3_1':0.0},(81,105):{'4_1':0.0},(81,104):{'3_1':0.0},(81,100):{'3_1':0.0,'4_1':0.0},(81,99):{'6_1':0.0},(81,96):{'3_1':0.0},(81,95):{'3_1':0.0},(81,92):{'3_1':0.0},(82,236):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,235):{'4_1':0.0},(82,234):{'3_1':0.03,'4_1':0.0},(82,233):{'3_1':0.03,'8_20|3_1#3_1':0.0},(82,232):{'3_1':0.0,'4_1':0.0},(82,231):{'3_1':0.03,'4_1':0.0},(82,230):{'3_1':0.0,'5_2':0.0},(82,229):{'3_1':0.06,'4_1':0.0},(82,228):{'3_1':0.03,'4_1':0.0},(82,227):{'3_1':0.0,'4_1':0.0},(82,226):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(82,224):{'3_1':0.0},(82,223):{'3_1':0.0,'4_1':0.0},(82,222):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(82,221):{'3_1':0.0},(82,220):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,219):{'3_1':0.0},(82,218):{'4_1':0.03,'3_1':0.0},(82,217):{'3_1':0.03,'4_1':0.0},(82,216):{'3_1':0.06,'6_2':0.0},(82,215):{'4_1':0.0},(82,214):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(82,213):{'3_1':0.0},(82,212):{'3_1':0.0,'4_1':0.0},(82,211):{'3_1':0.03},(82,210):{'3_1':0.03,'4_1':0.0},(82,209):{'3_1':0.03,'4_1':0.0},(82,208):{'4_1':0.0,'3_1':0.0},(82,207):{'3_1':0.03,'4_1':0.0},(82,206):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(82,205):{'3_1':0.0,'4_1':0.0,'5_2':0.0,'6_2':0.0},(82,204):{'3_1':0.0,'4_1':0.0},(82,203):{'3_1':0.0,'4_1':0.0},(82,202):{'3_1':0.03},(82,201):{'3_1':0.03,'4_1':0.0},(82,200):{'4_1':0.03,'3_1':0.0},(82,199):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,198):{'3_1':0.0,'4_1':0.0},(82,197):{'4_1':0.0,'3_1':0.0},(82,196):{'3_1':0.0},(82,195):{'3_1':0.0,'4_1':0.0,'6_1':0.0},(82,194):{'3_1':0.0,'4_1':0.0},(82,193):{'3_1':0.03,'4_1':0.0},(82,192):{'3_1':0.03,'4_1':0.0},(82,191):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(82,190):{'3_1':0.03,'4_1':0.03},(82,189):{'3_1':0.03,'4_1':0.0},(82,188):{'3_1':0.03,'4_1':0.0},(82,187):{'3_1':0.03,'4_1':0.0},(82,186):{'3_1':0.03},(82,185):{'3_1':0.06,'4_1':0.0},(82,184):{'3_1':0.06,'4_1':0.03,'5_1':0.0},(82,183):{'3_1':0.09},(82,182):{'3_1':0.06,'4_1':0.0},(82,181):{'3_1':0.03,'4_1':0.0},(82,180):{'3_1':0.06,'4_1':0.0},(82,179):{'3_1':0.03,'4_1':0.0},(82,178):{'3_1':0.03,'4_1':0.0},(82,177):{'3_1':0.06,'4_1':0.0},(82,176):{'3_1':0.0,'4_1':0.0},(82,175):{'3_1':0.03},(82,174):{'3_1':0.12,'4_1':0.0},(82,173):{'3_1':0.0},(82,172):{'3_1':0.0,'4_1':0.0},(82,171):{'3_1':0.03,'4_1':0.0},(82,170):{'3_1':0.03,'4_1':0.0},(82,169):{'3_1':0.06,'4_1':0.0},(82,168):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(82,167):{'3_1':0.09,'5_1':0.0},(82,166):{'3_1':0.03,'4_1':0.0},(82,165):{'3_1':0.0,'4_1':0.0},(82,164):{'6_1':0.0,'3_1':0.0,'4_1':0.0},(82,163):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(82,162):{'3_1':0.0,'5_2':0.0},(82,160):{'5_1':0.0},(82,159):{'3_1':0.03},(82,158):{'3_1':0.0},(82,157):{'3_1':0.0,'5_1':0.0},(82,155):{'3_1':0.0},(82,154):{'3_1':0.0},(82,153):{'3_1':0.0},(82,152):{'3_1':0.0},(82,151):{'3_1':0.0},(82,150):{'3_1':0.0},(82,149):{'3_1':0.03},(82,148):{'3_1':0.06},(82,147):{'3_1':0.0},(82,146):{'3_1':0.03},(82,145):{'3_1':0.09},(82,144):{'3_1':0.09},(82,143):{'3_1':0.0},(82,142):{'3_1':0.03},(82,141):{'3_1':0.03},(82,140):{'3_1':0.0},(82,139):{'3_1':0.03},(82,138):{'3_1':0.06},(82,137):{'3_1':0.03},(82,136):{'3_1':0.0},(82,135):{'3_1':0.03},(82,134):{'3_1':0.0},(82,133):{'3_1':0.03},(82,132):{'3_1':0.0},(82,131):{'3_1':0.03},(82,130):{'3_1':0.03},(82,128):{'3_1':0.0},(82,127):{'3_1':0.0},(82,126):{'3_1':0.0},(82,123):{'3_1':0.0},(82,120):{'3_1':0.0},(82,117):{'3_1':0.0},(82,116):{'3_1':0.0},(82,115):{'3_1':0.0},(82,112):{'3_1':0.0},(82,110):{'3_1':0.0},(82,107):{'3_1':0.0},(82,103):{'3_1':0.0},(82,102):{'7_2':0.0},(82,101):{'3_1':0.0},(82,93):{'3_1':0.0},(82,92):{'3_1':0.0},(83,236):{'3_1':0.03,'4_1':0.0},(83,235):{'3_1':0.0},(83,234):{'4_1':0.0},(83,233):{'3_1':0.03,'4_1':0.0},(83,232):{'3_1':0.06},(83,231):{'3_1':0.03},(83,230):{'3_1':0.03},(83,229):{'3_1':0.06,'4_1':0.0},(83,228):{'3_1':0.03,'4_1':0.0},(83,227):{'3_1':0.06},(83,226):{'3_1':0.0},(83,225):{'3_1':0.03,'4_1':0.0,'7_7':0.0},(83,224):{'4_1':0.0,'3_1':0.0},(83,223):{'3_1':0.0},(83,222):{'3_1':0.03},(83,221):{'3_1':0.0},(83,220):{'3_1':0.0,'4_1':0.0},(83,219):{'3_1':0.03,'4_1':0.0},(83,218):{'3_1':0.03},(83,217):{'3_1':0.0,'4_1':0.0},(83,216):{'3_1':0.0},(83,215):{'3_1':0.0,'4_1':0.0},(83,214):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(83,213):{'3_1':0.0},(83,212):{'3_1':0.03,'6_2':0.0},(83,211):{'3_1':0.03,'4_1':0.0},(83,210):{'3_1':0.06,'4_1':0.0},(83,209):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(83,208):{'3_1':0.06},(83,207):{'3_1':0.03,'4_1':0.03},(83,206):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(83,205):{'3_1':0.09,'4_1':0.03},(83,204):{'3_1':0.03,'4_1':0.0},(83,203):{'3_1':0.0,'6_1':0.0,'6_2':0.0},(83,202):{'3_1':0.03,'5_1':0.0},(83,201):{'3_1':0.0,'4_1':0.0},(83,200):{'3_1':0.0},(83,199):{'3_1':0.03,'5_1':0.0},(83,198):{'3_1':0.03},(83,197):{'3_1':0.0,'6_2':0.0},(83,196):{'3_1':0.06,'4_1':0.0},(83,195):{'3_1':0.0,'4_1':0.0},(83,194):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,193):{'3_1':0.06,'4_1':0.0},(83,192):{'3_1':0.03,'4_1':0.0},(83,191):{'3_1':0.03,'4_1':0.03},(83,190):{'3_1':0.03,'4_1':0.0},(83,189):{'3_1':0.06},(83,188):{'3_1':0.03,'6_2':0.0},(83,187):{'3_1':0.03,'4_1':0.0},(83,186):{'3_1':0.06,'4_1':0.0},(83,185):{'3_1':0.06,'4_1':0.0},(83,184):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(83,183):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(83,182):{'3_1':0.15,'4_1':0.0,'5_1':0.0},(83,181):{'3_1':0.09,'4_1':0.0},(83,180):{'3_1':0.06,'4_1':0.0},(83,179):{'3_1':0.03,'4_1':0.03},(83,178):{'3_1':0.03,'4_1':0.0},(83,177):{'3_1':0.0},(83,176):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(83,175):{'3_1':0.06,'4_1':0.0},(83,174):{'3_1':0.0},(83,173):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(83,172):{'3_1':0.06,'4_1':0.03},(83,171):{'3_1':0.06,'4_1':0.0},(83,170):{'3_1':0.03,'4_1':0.03},(83,169):{'3_1':0.06},(83,168):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(83,167):{'3_1':0.06,'4_1':0.0},(83,166):{'3_1':0.03,'5_1':0.0},(83,165):{'3_1':0.0},(83,164):{'3_1':0.0,'5_1':0.0,'5_2':0.0},(83,163):{'3_1':0.03,'5_2':0.0,'4_1':0.0},(83,162):{'3_1':0.03},(83,161):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(83,160):{'3_1':0.03},(83,159):{'3_1':0.06},(83,158):{'5_1':0.0},(83,157):{'3_1':0.0},(83,156):{'3_1':0.0},(83,155):{'3_1':0.03},(83,154):{'3_1':0.0},(83,153):{'3_1':0.03},(83,152):{'3_1':0.0,'5_1':0.0},(83,151):{'3_1':0.0},(83,150):{'3_1':0.03},(83,149):{'3_1':0.0,'5_1':0.0},(83,148):{'3_1':0.03},(83,147):{'3_1':0.03},(83,146):{'3_1':0.03},(83,145):{'3_1':0.06},(83,144):{'3_1':0.03},(83,143):{'3_1':0.06},(83,142):{'3_1':0.12},(83,141):{'3_1':0.06,'4_1':0.0},(83,140):{'3_1':0.03},(83,139):{'3_1':0.06},(83,138):{'3_1':0.06},(83,137):{'3_1':0.03},(83,136):{'3_1':0.03},(83,135):{'3_1':0.06},(83,134):{'3_1':0.03},(83,133):{'3_1':0.03},(83,132):{'3_1':0.03},(83,131):{'3_1':0.03},(83,130):{'3_1':0.03},(83,129):{'3_1':0.0},(83,128):{'3_1':0.0},(83,126):{'3_1':0.0},(83,125):{'3_1':0.0},(83,123):{'3_1':0.0},(83,121):{'3_1':0.0},(83,119):{'3_1':0.0},(83,118):{'3_1':0.0},(83,114):{'3_1':0.0},(83,111):{'3_1':0.0},(83,110):{'3_1':0.0},(83,97):{'3_1':0.0},(84,236):{'3_1':0.0},(84,235):{'3_1':0.03},(84,234):{'3_1':0.06},(84,233):{'3_1':0.0},(84,232):{'3_1':0.03,'4_1':0.0,'5_2':0.0},(84,231):{'3_1':0.03,'4_1':0.0},(84,230):{'3_1':0.0,'4_1':0.0},(84,229):{'3_1':0.03,'5_2':0.0},(84,228):{'3_1':0.0,'4_1':0.0},(84,227):{'3_1':0.0,'4_1':0.0},(84,226):{'3_1':0.0,'4_1':0.0},(84,225):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(84,224):{'3_1':0.03},(84,223):{'3_1':0.0,'4_1':0.0},(84,222):{'3_1':0.03},(84,221):{'3_1':0.0,'4_1':0.0},(84,220):{'3_1':0.0,'6_2':0.0},(84,219):{'3_1':0.06,'4_1':0.0},(84,218):{'3_1':0.03,'4_1':0.0},(84,217):{'3_1':0.0,'4_1':0.0},(84,216):{'3_1':0.0,'4_1':0.0},(84,215):{'3_1':0.0,'6_2':0.0},(84,214):{'3_1':0.0,'4_1':0.0},(84,213):{'3_1':0.0,'4_1':0.0},(84,212):{'3_1':0.0},(84,211):{'3_1':0.03,'4_1':0.0},(84,210):{'3_1':0.03,'4_1':0.0},(84,209):{'3_1':0.03,'4_1':0.0},(84,208):{'3_1':0.0},(84,207):{'3_1':0.06},(84,206):{'3_1':0.06,'4_1':0.0},(84,205):{'3_1':0.0},(84,204):{'3_1':0.03,'4_1':0.0,'6_2':0.0},(84,203):{'3_1':0.0},(84,202):{'3_1':0.03},(84,201):{'3_1':0.09,'4_1':0.0},(84,200):{'3_1':0.03},(84,199):{'3_1':0.03},(84,198):{'3_1':0.03},(84,197):{'3_1':0.0},(84,196):{'3_1':0.03,'4_1':0.0},(84,195):{'4_1':0.0,'3_1':0.0},(84,194):{'3_1':0.03,'5_2':0.0},(84,193):{'3_1':0.0},(84,192):{'3_1':0.0},(84,191):{'3_1':0.06,'4_1':0.0},(84,190):{'3_1':0.03,'4_1':0.0},(84,189):{'3_1':0.03,'4_1':0.0},(84,188):{'3_1':0.06,'4_1':0.0},(84,187):{'3_1':0.06},(84,186):{'3_1':0.06,'4_1':0.0},(84,185):{'3_1':0.06,'4_1':0.03},(84,184):{'3_1':0.03},(84,183):{'3_1':0.09,'4_1':0.0,'5_1':0.0},(84,182):{'3_1':0.12,'4_1':0.0,'5_2':0.0},(84,181):{'3_1':0.09,'4_1':0.0},(84,180):{'3_1':0.06},(84,179):{'3_1':0.09,'4_1':0.0},(84,178):{'3_1':0.06,'5_1':0.0},(84,177):{'3_1':0.09,'4_1':0.0},(84,176):{'3_1':0.0},(84,175):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(84,174):{'3_1':0.06},(84,173):{'3_1':0.06},(84,172):{'3_1':0.09,'4_1':0.0},(84,171):{'3_1':0.03},(84,170):{'3_1':0.06,'4_1':0.0},(84,169):{'3_1':0.12,'4_1':0.0},(84,168):{'3_1':0.0,'4_1':0.0},(84,167):{'3_1':0.03},(84,166):{'3_1':0.03,'4_1':0.0},(84,165):{'3_1':0.03},(84,164):{'3_1':0.0,'4_1':0.0},(84,163):{'3_1':0.03,'5_1':0.0},(84,162):{'3_1':0.0},(84,161):{'3_1':0.0},(84,160):{'3_1':0.03},(84,159):{'3_1':0.0},(84,158):{'3_1':0.03,'5_1':0.0},(84,157):{'3_1':0.0},(84,156):{'3_1':0.0},(84,155):{'3_1':0.0},(84,154):{'3_1':0.0,'5_1':0.0},(84,153):{'3_1':0.0},(84,152):{'3_1':0.0},(84,151):{'3_1':0.03,'5_1':0.0},(84,150):{'3_1':0.0},(84,149):{'3_1':0.03},(84,148):{'3_1':0.03},(84,147):{'3_1':0.0},(84,146):{'3_1':0.03},(84,145):{'3_1':0.06},(84,144):{'3_1':0.0},(84,143):{'3_1':0.03},(84,142):{'3_1':0.03},(84,141):{'3_1':0.0},(84,140):{'3_1':0.03},(84,139):{'3_1':0.0},(84,138):{'3_1':0.0},(84,137):{'3_1':0.0},(84,136):{'3_1':0.0},(84,135):{'3_1':0.0},(84,134):{'3_1':0.0},(84,133):{'3_1':0.0,'4_1':0.0},(84,132):{'3_1':0.0},(84,130):{'3_1':0.0},(84,129):{'3_1':0.0},(84,128):{'3_1':0.0},(84,127):{'3_1':0.0},(84,125):{'3_1':0.0},(84,124):{'3_1':0.0},(84,121):{'3_1':0.0},(84,111):{'3_1':0.0},(84,106):{'3_1':0.0},(84,101):{'5_2':0.0},(84,97):{'3_1':0.0},(84,96):{'3_1':0.0},(84,95):{'3_1':0.0},(84,93):{'3_1':0.0},(84,92):{'3_1':0.0},(85,236):{'3_1':0.0,'4_1':0.0},(85,235):{'3_1':0.0,'4_1':0.0},(85,234):{'3_1':0.03,'4_1':0.0},(85,233):{'3_1':0.0},(85,232):{'3_1':0.0},(85,231):{'3_1':0.03},(85,230):{'3_1':0.03,'4_1':0.0},(85,229):{'3_1':0.03,'4_1':0.0},(85,228):{'3_1':0.06,'4_1':0.0},(85,227):{'3_1':0.0,'4_1':0.0},(85,226):{'3_1':0.0,'4_1':0.0},(85,225):{'3_1':0.0},(85,224):{'4_1':0.0,'5_2':0.0},(85,223):{'4_1':0.0,'3_1':0.0},(85,222):{'3_1':0.03,'4_1':0.0},(85,221):{'3_1':0.0},(85,220):{'3_1':0.0,'6_2':0.0},(85,219):{'3_1':0.0,'4_1':0.0,'5_1':0.0,'5_2':0.0},(85,218):{'3_1':0.0,'4_1':0.0},(85,217):{'3_1':0.03},(85,216):{'3_1':0.03},(85,215):{'3_1':0.0,'6_2':0.0},(85,214):{'3_1':0.03},(85,213):{'3_1':0.0,'4_1':0.0},(85,212):{'3_1':0.06,'4_1':0.0},(85,211):{'3_1':0.06,'4_1':0.0,'6_2':0.0},(85,210):{'3_1':0.06,'4_1':0.0},(85,209):{'3_1':0.0},(85,208):{'3_1':0.0},(85,207):{'3_1':0.03},(85,206):{'3_1':0.03,'4_1':0.0},(85,205):{'3_1':0.0,'4_1':0.0},(85,204):{'3_1':0.03},(85,203):{'3_1':0.0,'4_1':0.0},(85,202):{'3_1':0.0},(85,201):{'3_1':0.0,'4_1':0.0},(85,200):{'3_1':0.0},(85,199):{'3_1':0.03,'4_1':0.0},(85,198):{'3_1':0.0,'4_1':0.0},(85,197):{'3_1':0.0},(85,196):{'3_1':0.0},(85,195):{'3_1':0.0,'4_1':0.0},(85,194):{'3_1':0.0,'4_1':0.0},(85,193):{'3_1':0.03},(85,192):{'3_1':0.0,'4_1':0.0},(85,191):{'3_1':0.09,'6_2':0.0},(85,190):{'3_1':0.03,'4_1':0.0},(85,189):{'3_1':0.09,'4_1':0.0},(85,188):{'3_1':0.03,'4_1':0.0},(85,187):{'3_1':0.03,'4_1':0.0},(85,186):{'3_1':0.0},(85,185):{'3_1':0.06,'5_1':0.0},(85,184):{'3_1':0.09,'4_1':0.0},(85,183):{'3_1':0.09,'4_1':0.0},(85,182):{'3_1':0.03,'4_1':0.0},(85,181):{'3_1':0.06,'4_1':0.0},(85,180):{'3_1':0.09,'4_1':0.0},(85,179):{'3_1':0.03,'4_1':0.0},(85,178):{'3_1':0.03,'5_2':0.0},(85,177):{'3_1':0.06},(85,176):{'3_1':0.03,'4_1':0.0},(85,175):{'3_1':0.06,'4_1':0.0},(85,174):{'3_1':0.06,'4_1':0.0,'5_1':0.0},(85,173):{'3_1':0.06,'4_1':0.0},(85,172):{'3_1':0.06},(85,171):{'3_1':0.06,'4_1':0.0},(85,170):{'3_1':0.06,'4_1':0.0},(85,169):{'3_1':0.09,'4_1':0.0},(85,168):{'3_1':0.09},(85,167):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(85,166):{'3_1':0.03},(85,165):{'3_1':0.0,'4_1':0.0},(85,164):{'3_1':0.03,'4_1':0.0},(85,163):{'3_1':0.0},(85,162):{'3_1':0.03,'4_1':0.0},(85,161):{'3_1':0.0},(85,160):{'3_1':0.03},(85,159):{'3_1':0.0},(85,158):{'3_1':0.0},(85,157):{'3_1':0.0,'5_1':0.0},(85,156):{'3_1':0.0},(85,155):{'3_1':0.0},(85,154):{'3_1':0.0},(85,153):{'3_1':0.0},(85,152):{'3_1':0.0},(85,151):{'3_1':0.03,'5_1':0.0},(85,150):{'3_1':0.03,'5_1':0.0},(85,149):{'3_1':0.0},(85,148):{'3_1':0.0,'5_1':0.0},(85,147):{'3_1':0.09},(85,146):{'3_1':0.0,'5_1':0.0},(85,145):{'3_1':0.03},(85,144):{'3_1':0.03},(85,143):{'3_1':0.03,'5_2':0.0},(85,142):{'3_1':0.03},(85,141):{'3_1':0.03},(85,140):{'3_1':0.03},(85,139):{'3_1':0.03},(85,138):{'3_1':0.0},(85,137):{'3_1':0.03},(85,136):{'3_1':0.03},(85,135):{'3_1':0.0},(85,134):{'3_1':0.0},(85,133):{'3_1':0.0},(85,132):{'3_1':0.0},(85,131):{'3_1':0.0},(85,130):{'3_1':0.03},(85,129):{'3_1':0.0},(85,128):{'3_1':0.0},(85,127):{'3_1':0.03},(85,126):{'3_1':0.0},(85,125):{'3_1':0.0},(85,123):{'3_1':0.0},(85,120):{'3_1':0.0},(85,118):{'3_1':0.0},(85,113):{'3_1':0.0},(85,112):{'4_1':0.0},(85,110):{'3_1':0.0},(85,109):{'3_1':0.0},(86,236):{'3_1':0.03},(86,235):{'3_1':0.0},(86,234):{'3_1':0.0},(86,233):{'3_1':0.0},(86,232):{'3_1':0.0},(86,231):{'3_1':0.03},(86,230):{'3_1':0.03},(86,229):{'3_1':0.03},(86,228):{'3_1':0.0},(86,227):{'3_1':0.03,'4_1':0.0},(86,226):{'3_1':0.0},(86,225):{'3_1':0.0},(86,224):{'3_1':0.0},(86,223):{'3_1':0.0},(86,222):{'3_1':0.0,'4_1':0.0},(86,221):{'3_1':0.03,'4_1':0.0},(86,220):{'3_1':0.03},(86,219):{'3_1':0.0},(86,218):{'3_1':0.0},(86,217):{'3_1':0.0},(86,216):{'3_1':0.0,'4_1':0.0},(86,215):{'3_1':0.0,'4_1':0.0},(86,214):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(86,213):{'3_1':0.0,'4_1':0.0},(86,212):{'3_1':0.0},(86,211):{'3_1':0.0,'4_1':0.0},(86,210):{'3_1':0.0},(86,209):{'3_1':0.03,'5_1':0.0,'6_2':0.0},(86,208):{'3_1':0.03},(86,207):{'4_1':0.0,'3_1':0.0},(86,206):{'3_1':0.03,'4_1':0.0},(86,205):{'3_1':0.0,'6_2':0.0},(86,204):{'3_1':0.03,'4_1':0.0},(86,203):{'3_1':0.06},(86,202):{'3_1':0.0},(86,201):{'3_1':0.0},(86,200):{'3_1':0.06},(86,199):{'3_1':0.03},(86,198):{'3_1':0.03},(86,197):{'3_1':0.03,'5_2':0.0},(86,196):{'3_1':0.0},(86,195):{'3_1':0.0,'4_1':0.0},(86,194):{'3_1':0.0},(86,193):{'3_1':0.03,'4_1':0.0},(86,191):{'3_1':0.06},(86,190):{'3_1':0.06},(86,189):{'3_1':0.06},(86,188):{'3_1':0.06,'4_1':0.0},(86,187):{'3_1':0.06,'4_1':0.0},(86,186):{'3_1':0.03,'4_1':0.0},(86,185):{'3_1':0.09,'4_1':0.0},(86,184):{'3_1':0.09},(86,183):{'3_1':0.06,'4_1':0.0},(86,182):{'3_1':0.12},(86,181):{'3_1':0.09,'4_1':0.0},(86,180):{'3_1':0.09,'4_1':0.0},(86,179):{'3_1':0.03},(86,178):{'3_1':0.03},(86,177):{'3_1':0.06},(86,176):{'3_1':0.03,'4_1':0.0},(86,175):{'3_1':0.06,'4_1':0.0},(86,174):{'3_1':0.06,'6_1':0.0},(86,173):{'3_1':0.09,'4_1':0.0},(86,172):{'3_1':0.03},(86,171):{'3_1':0.06},(86,170):{'3_1':0.06,'4_1':0.0},(86,169):{'3_1':0.06,'4_1':0.0},(86,168):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(86,167):{'3_1':0.06,'4_1':0.0},(86,166):{'3_1':0.0},(86,165):{'3_1':0.0,'5_1':0.0},(86,164):{'3_1':0.03,'5_1':0.0},(86,163):{'3_1':0.0},(86,162):{'3_1':0.0},(86,161):{'3_1':0.0},(86,160):{'3_1':0.0},(86,159):{'3_1':0.03},(86,157):{'3_1':0.03},(86,156):{'3_1':0.03,'5_1':0.0},(86,155):{'3_1':0.0,'5_1':0.0},(86,154):{'3_1':0.0,'4_1':0.0},(86,153):{'3_1':0.0},(86,152):{'3_1':0.03},(86,151):{'3_1':0.0,'5_1':0.0},(86,150):{'3_1':0.0},(86,149):{'3_1':0.03},(86,148):{'3_1':0.03,'4_1':0.0},(86,147):{'3_1':0.0},(86,146):{'3_1':0.0},(86,145):{'3_1':0.06},(86,144):{'3_1':0.03},(86,143):{'3_1':0.0,'5_2':0.0},(86,142):{'3_1':0.03},(86,141):{'3_1':0.03},(86,140):{'3_1':0.0},(86,139):{'3_1':0.0},(86,138):{'3_1':0.06},(86,137):{'3_1':0.0},(86,136):{'3_1':0.0},(86,135):{'3_1':0.0},(86,134):{'3_1':0.0},(86,133):{'3_1':0.0},(86,132):{'3_1':0.0},(86,130):{'3_1':0.0},(86,129):{'3_1':0.0},(86,128):{'3_1':0.0},(86,127):{'3_1':0.0},(86,124):{'3_1':0.0},(86,123):{'3_1':0.0},(86,114):{'3_1':0.0},(86,113):{'3_1':0.0},(86,99):{'3_1':0.0},(87,236):{'3_1':0.0,'4_1':0.0},(87,235):{'3_1':0.03},(87,234):{'3_1':0.03},(87,233):{'3_1':0.0,'4_1':0.0},(87,232):{'3_1':0.0},(87,231):{'3_1':0.03,'4_1':0.0},(87,230):{'3_1':0.0},(87,229):{'3_1':0.0},(87,228):{'3_1':0.03,'4_1':0.0},(87,227):{'3_1':0.0,'4_1':0.0},(87,225):{'3_1':0.0},(87,224):{'3_1':0.0},(87,223):{'3_1':0.03},(87,222):{'3_1':0.0,'4_1':0.0},(87,221):{'4_1':0.0},(87,220):{'3_1':0.03},(87,219):{'3_1':0.0},(87,218):{'3_1':0.03,'4_1':0.0},(87,217):{'3_1':0.0},(87,216):{'3_1':0.03,'7_6':0.0},(87,215):{'3_1':0.0},(87,214):{'3_1':0.03,'4_1':0.0},(87,213):{'3_1':0.03,'4_1':0.0},(87,212):{'3_1':0.0,'4_1':0.0},(87,211):{'3_1':0.03},(87,210):{'3_1':0.03},(87,209):{'3_1':0.0},(87,208):{'3_1':0.03},(87,207):{'3_1':0.0},(87,206):{'3_1':0.03},(87,205):{'3_1':0.03},(87,204):{'3_1':0.06,'5_1':0.0},(87,203):{'3_1':0.0},(87,202):{'3_1':0.03},(87,201):{'3_1':0.0,'4_1':0.0},(87,200):{'3_1':0.03},(87,199):{'3_1':0.0},(87,198):{'3_1':0.0},(87,196):{'3_1':0.03,'4_1':0.0},(87,195):{'3_1':0.0,'4_1':0.0},(87,194):{'3_1':0.03},(87,193):{'3_1':0.0,'4_1':0.0},(87,192):{'3_1':0.0},(87,191):{'3_1':0.0},(87,190):{'3_1':0.0},(87,189):{'3_1':0.03},(87,188):{'3_1':0.03,'4_1':0.0},(87,187):{'3_1':0.06,'5_2':0.0},(87,186):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(87,185):{'3_1':0.06,'4_1':0.0},(87,184):{'3_1':0.06,'6_2':0.0},(87,183):{'3_1':0.06,'4_1':0.0},(87,182):{'3_1':0.12,'4_1':0.0},(87,181):{'3_1':0.09,'4_1':0.0},(87,180):{'3_1':0.06},(87,179):{'3_1':0.0},(87,178):{'3_1':0.03},(87,177):{'3_1':0.03},(87,176):{'3_1':0.03},(87,175):{'3_1':0.03},(87,174):{'3_1':0.06,'4_1':0.0},(87,173):{'3_1':0.06,'4_1':0.0},(87,172):{'3_1':0.06},(87,171):{'3_1':0.06},(87,170):{'3_1':0.03},(87,169):{'3_1':0.06},(87,168):{'3_1':0.03,'4_1':0.0},(87,167):{'3_1':0.0},(87,166):{'3_1':0.06},(87,165):{'3_1':0.0,'5_1':0.0},(87,164):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(87,163):{'3_1':0.03,'4_1':0.0},(87,162):{'3_1':0.03,'4_1':0.0},(87,161):{'3_1':0.0,'5_1':0.0},(87,160):{'3_1':0.0,'5_1':0.0},(87,159):{'3_1':0.0},(87,158):{'3_1':0.0,'5_1':0.0},(87,157):{'3_1':0.03,'5_1':0.0},(87,156):{'3_1':0.0},(87,155):{'3_1':0.0},(87,154):{'3_1':0.0},(87,153):{'3_1':0.0,'5_1':0.0},(87,152):{'3_1':0.03},(87,151):{'3_1':0.03},(87,150):{'3_1':0.0,'5_1':0.0},(87,149):{'3_1':0.0},(87,148):{'3_1':0.03},(87,147):{'3_1':0.03},(87,146):{'3_1':0.0},(87,145):{'3_1':0.03},(87,144):{'3_1':0.03},(87,143):{'3_1':0.0},(87,142):{'3_1':0.06},(87,141):{'3_1':0.0},(87,140):{'3_1':0.0},(87,139):{'3_1':0.0},(87,138):{'3_1':0.0},(87,137):{'3_1':0.03},(87,136):{'3_1':0.0},(87,135):{'3_1':0.0},(87,134):{'3_1':0.0},(87,133):{'3_1':0.0},(87,132):{'3_1':0.0},(87,131):{'3_1':0.0},(87,130):{'3_1':0.0},(87,129):{'3_1':0.0},(87,128):{'3_1':0.0},(87,125):{'3_1':0.0},(87,121):{'3_1':0.0},(87,113):{'3_1':0.0},(87,100):{'3_1':0.0},(87,92):{'4_1':0.0},(87,91):{'3_1':0.0},(88,236):{'3_1':0.03,'4_1':0.0},(88,235):{'3_1':0.03,'4_1':0.0},(88,234):{'3_1':0.0},(88,233):{'3_1':0.03},(88,232):{'3_1':0.03},(88,231):{'3_1':0.03},(88,230):{'3_1':0.0},(88,229):{'3_1':0.03,'4_1':0.0},(88,228):{'3_1':0.0,'4_1':0.0},(88,227):{'3_1':0.0},(88,226):{'3_1':0.0,'4_1':0.0},(88,224):{'3_1':0.09},(88,223):{'3_1':0.0,'4_1':0.0},(88,222):{'3_1':0.0},(88,221):{'3_1':0.0},(88,220):{'3_1':0.0,'4_1':0.0},(88,219):{'3_1':0.03,'4_1':0.0},(88,218):{'3_1':0.0},(88,217):{'3_1':0.0},(88,216):{'3_1':0.0},(88,215):{'3_1':0.0},(88,214):{'3_1':0.0},(88,213):{'3_1':0.03},(88,212):{'3_1':0.03},(88,211):{'3_1':0.03},(88,210):{'3_1':0.0},(88,209):{'3_1':0.0},(88,208):{'3_1':0.03},(88,207):{'3_1':0.03},(88,206):{'3_1':0.03,'4_1':0.0},(88,204):{'3_1':0.0},(88,203):{'3_1':0.0},(88,202):{'3_1':0.0},(88,201):{'3_1':0.0},(88,200):{'3_1':0.0},(88,199):{'3_1':0.0,'4_1':0.0},(88,198):{'3_1':0.0},(88,197):{'3_1':0.0},(88,196):{'3_1':0.0},(88,195):{'3_1':0.03},(88,194):{'3_1':0.03},(88,193):{'3_1':0.03,'4_1':0.0},(88,192):{'3_1':0.0},(88,191):{'3_1':0.03},(88,190):{'3_1':0.03},(88,189):{'3_1':0.03},(88,188):{'3_1':0.09,'4_1':0.0},(88,187):{'3_1':0.06},(88,186):{'3_1':0.0,'4_1':0.0},(88,185):{'3_1':0.06,'4_1':0.0},(88,184):{'3_1':0.03,'5_1':0.0},(88,183):{'3_1':0.06},(88,182):{'3_1':0.09},(88,181):{'3_1':0.03,'4_1':0.0},(88,180):{'3_1':0.03},(88,179):{'3_1':0.03},(88,178):{'3_1':0.06,'5_1':0.0},(88,177):{'3_1':0.06},(88,176):{'3_1':0.0},(88,175):{'3_1':0.03},(88,174):{'3_1':0.03,'6_1':0.0},(88,173):{'3_1':0.0},(88,172):{'3_1':0.03},(88,171):{'3_1':0.09,'4_1':0.0,'5_2':0.0},(88,170):{'3_1':0.0},(88,169):{'3_1':0.03,'4_1':0.0},(88,168):{'3_1':0.06},(88,167):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(88,166):{'3_1':0.0,'4_1':0.0},(88,165):{'3_1':0.06},(88,164):{'3_1':0.0,'5_1':0.0},(88,163):{'3_1':0.0,'5_1':0.0},(88,162):{'4_1':0.0},(88,160):{'3_1':0.0},(88,159):{'3_1':0.0,'5_1':0.0},(88,158):{'3_1':0.0},(88,157):{'3_1':0.0},(88,156):{'3_1':0.0},(88,155):{'3_1':0.0},(88,154):{'3_1':0.0},(88,153):{'3_1':0.0},(88,152):{'3_1':0.03},(88,151):{'3_1':0.0},(88,150):{'3_1':0.0},(88,149):{'3_1':0.03},(88,148):{'3_1':0.0},(88,147):{'3_1':0.03},(88,146):{'3_1':0.0},(88,145):{'3_1':0.03},(88,144):{'3_1':0.0},(88,143):{'3_1':0.0},(88,142):{'3_1':0.0},(88,141):{'3_1':0.0},(88,140):{'3_1':0.0},(88,139):{'3_1':0.0},(88,138):{'3_1':0.0},(88,135):{'3_1':0.0},(88,134):{'3_1':0.0},(88,129):{'3_1':0.0},(88,127):{'3_1':0.0},(88,123):{'3_1':0.0},(88,122):{'3_1':0.0},(88,121):{'3_1':0.0},(88,119):{'3_1':0.0},(88,96):{'3_1':0.0},(88,93):{'3_1':0.0},(89,236):{'3_1':0.0},(89,235):{'3_1':0.0,'4_1':0.0},(89,234):{'3_1':0.0},(89,233):{'3_1':0.0},(89,232):{'3_1':0.03},(89,231):{'3_1':0.03},(89,230):{'3_1':0.0},(89,229):{'3_1':0.03},(89,228):{'3_1':0.03,'4_1':0.0},(89,227):{'3_1':0.0},(89,226):{'3_1':0.03},(89,225):{'3_1':0.0},(89,224):{'3_1':0.0},(89,222):{'3_1':0.0,'5_1':0.0},(89,221):{'3_1':0.0},(89,220):{'3_1':0.0},(89,219):{'3_1':0.0},(89,218):{'3_1':0.0},(89,217):{'3_1':0.03,'6_3':0.0},(89,216):{'3_1':0.0,'4_1':0.0},(89,215):{'3_1':0.0},(89,214):{'3_1':0.03,'4_1':0.0},(89,213):{'3_1':0.0,'4_1':0.0},(89,212):{'3_1':0.03},(89,211):{'3_1':0.03},(89,210):{'3_1':0.0,'4_1':0.0},(89,209):{'3_1':0.0},(89,208):{'3_1':0.03},(89,207):{'3_1':0.03},(89,206):{'3_1':0.03},(89,205):{'3_1':0.03},(89,204):{'3_1':0.0},(89,203):{'3_1':0.03,'4_1':0.0},(89,202):{'3_1':0.03},(89,201):{'3_1':0.0},(89,200):{'3_1':0.06},(89,199):{'3_1':0.03,'4_1':0.0},(89,198):{'3_1':0.0},(89,197):{'3_1':0.03},(89,196):{'3_1':0.0},(89,195):{'3_1':0.03,'4_1':0.0},(89,194):{'3_1':0.03},(89,193):{'3_1':0.03},(89,192):{'3_1':0.09},(89,191):{'3_1':0.06},(89,190):{'3_1':0.09},(89,189):{'3_1':0.03},(89,188):{'3_1':0.09},(89,187):{'3_1':0.06},(89,186):{'3_1':0.03},(89,185):{'3_1':0.09},(89,184):{'3_1':0.03},(89,183):{'3_1':0.06},(89,182):{'3_1':0.06,'4_1':0.0},(89,181):{'3_1':0.09},(89,180):{'3_1':0.12,'4_1':0.0},(89,179):{'3_1':0.03,'4_1':0.0},(89,178):{'3_1':0.06},(89,177):{'3_1':0.0},(89,176):{'3_1':0.03,'4_1':0.0},(89,175):{'3_1':0.06},(89,174):{'3_1':0.06,'4_1':0.0},(89,173):{'3_1':0.03},(89,172):{'3_1':0.03},(89,171):{'3_1':0.03,'4_1':0.0},(89,170):{'3_1':0.06},(89,169):{'3_1':0.03},(89,168):{'3_1':0.0},(89,167):{'3_1':0.0},(89,166):{'3_1':0.0},(89,165):{'3_1':0.03,'5_1':0.0},(89,164):{'3_1':0.0,'5_2':0.0},(89,163):{'3_1':0.03,'5_1':0.0},(89,162):{'3_1':0.0},(89,161):{'3_1':0.03},(89,160):{'3_1':0.0},(89,159):{'3_1':0.03},(89,158):{'3_1':0.0},(89,157):{'3_1':0.03},(89,156):{'3_1':0.0},(89,155):{'3_1':0.0},(89,154):{'3_1':0.0},(89,153):{'3_1':0.03},(89,152):{'3_1':0.0},(89,151):{'3_1':0.0},(89,150):{'3_1':0.0},(89,149):{'3_1':0.03},(89,148):{'3_1':0.0},(89,146):{'3_1':0.03},(89,145):{'3_1':0.03},(89,144):{'3_1':0.0},(89,143):{'3_1':0.03},(89,142):{'3_1':0.03},(89,141):{'3_1':0.0},(89,140):{'3_1':0.03},(89,139):{'3_1':0.03},(89,138):{'3_1':0.0},(89,137):{'3_1':0.0},(89,136):{'3_1':0.0},(89,135):{'3_1':0.0},(89,133):{'3_1':0.0},(89,131):{'3_1':0.0},(89,130):{'3_1':0.0},(89,129):{'3_1':0.0},(89,126):{'3_1':0.0,'5_2':0.0},(89,121):{'3_1':0.0},(89,120):{'3_1':0.0},(89,116):{'3_1':0.0},(89,115):{'3_1':0.0},(89,93):{'3_1':0.0},(90,236):{'3_1':0.06},(90,235):{'3_1':0.0},(90,234):{'3_1':0.0},(90,233):{'3_1':0.03,'4_1':0.0},(90,232):{'3_1':0.0,'4_1':0.0},(90,231):{'3_1':0.03},(90,230):{'3_1':0.0,'4_1':0.0},(90,229):{'3_1':0.03,'4_1':0.0},(90,228):{'3_1':0.0,'4_1':0.0},(90,227):{'3_1':0.0,'4_1':0.0},(90,226):{'3_1':0.06,'4_1':0.0},(90,225):{'3_1':0.03,'4_1':0.0},(90,224):{'3_1':0.0},(90,223):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(90,222):{'3_1':0.0,'4_1':0.0},(90,220):{'3_1':0.0},(90,219):{'3_1':0.0,'4_1':0.0},(90,218):{'3_1':0.03,'4_1':0.0},(90,217):{'3_1':0.0},(90,216):{'3_1':0.0},(90,215):{'3_1':0.0},(90,214):{'3_1':0.0},(90,213):{'3_1':0.0,'4_1':0.0},(90,212):{'3_1':0.0},(90,211):{'3_1':0.0},(90,210):{'3_1':0.0},(90,209):{'3_1':0.0},(90,208):{'4_1':0.0},(90,207):{'3_1':0.0,'5_2':0.0},(90,206):{'3_1':0.0},(90,205):{'3_1':0.0},(90,204):{'3_1':0.03,'4_1':0.0},(90,203):{'3_1':0.0,'4_1':0.0},(90,202):{'3_1':0.0},(90,201):{'3_1':0.06},(90,200):{'3_1':0.03},(90,199):{'3_1':0.03},(90,198):{'3_1':0.0},(90,197):{'3_1':0.0,'4_1':0.0},(90,196):{'3_1':0.0},(90,195):{'3_1':0.0,'4_1':0.0},(90,194):{'3_1':0.0,'4_1':0.0},(90,193):{'3_1':0.0,'4_1':0.0},(90,192):{'3_1':0.0},(90,191):{'3_1':0.03,'4_1':0.0},(90,190):{'3_1':0.0},(90,189):{'3_1':0.06},(90,188):{'3_1':0.0,'4_1':0.0},(90,187):{'3_1':0.03,'4_1':0.0},(90,186):{'3_1':0.03},(90,185):{'3_1':0.06},(90,184):{'3_1':0.03,'4_1':0.0},(90,183):{'3_1':0.06},(90,182):{'3_1':0.06},(90,181):{'3_1':0.09},(90,180):{'3_1':0.03,'4_1':0.0},(90,179):{'3_1':0.06},(90,178):{'3_1':0.06},(90,177):{'3_1':0.03},(90,176):{'3_1':0.06},(90,175):{'3_1':0.03,'4_1':0.0},(90,174):{'3_1':0.03,'4_1':0.0},(90,173):{'3_1':0.06},(90,172):{'3_1':0.06,'4_1':0.0},(90,171):{'3_1':0.0},(90,170):{'3_1':0.06},(90,169):{'3_1':0.06},(90,168):{'3_1':0.03},(90,167):{'3_1':0.0},(90,166):{'3_1':0.0},(90,165):{'3_1':0.03},(90,164):{'3_1':0.0},(90,163):{'3_1':0.0},(90,162):{'3_1':0.0},(90,161):{'3_1':0.0,'9_1':0.0},(90,160):{'3_1':0.0},(90,159):{'3_1':0.0},(90,158):{'3_1':0.0,'5_1':0.0},(90,157):{'3_1':0.0},(90,156):{'3_1':0.0},(90,155):{'5_1':0.0},(90,153):{'3_1':0.03},(90,152):{'3_1':0.0},(90,151):{'3_1':0.0},(90,150):{'3_1':0.0},(90,149):{'3_1':0.0},(90,148):{'3_1':0.0},(90,147):{'3_1':0.03},(90,146):{'3_1':0.0,'5_2':0.0},(90,145):{'3_1':0.0},(90,144):{'3_1':0.03},(90,143):{'3_1':0.0},(90,142):{'3_1':0.0},(90,141):{'3_1':0.0},(90,140):{'3_1':0.0},(90,139):{'3_1':0.0,'4_1':0.0},(90,138):{'3_1':0.0},(90,137):{'3_1':0.0},(90,136):{'3_1':0.0},(90,134):{'3_1':0.0},(90,133):{'3_1':0.0},(90,131):{'3_1':0.0},(90,127):{'3_1':0.0},(90,125):{'3_1':0.0},(90,124):{'3_1':0.0},(90,121):{'3_1':0.0},(90,113):{'3_1':0.0},(90,111):{'3_1':0.0},(90,106):{'3_1':0.0},(90,100):{'3_1':0.0},(90,97):{'3_1':0.0},(90,95):{'3_1':0.0},(90,94):{'3_1':0.0},(91,236):{'3_1':0.03},(91,235):{'3_1':0.03},(91,234):{'3_1':0.03,'4_1':0.0},(91,233):{'3_1':0.0},(91,232):{'3_1':0.0,'5_1':0.0},(91,231):{'3_1':0.03},(91,230):{'3_1':0.03},(91,229):{'3_1':0.03},(91,228):{'3_1':0.0},(91,227):{'3_1':0.03},(91,226):{'3_1':0.03},(91,225):{'3_1':0.03},(91,224):{'3_1':0.0},(91,223):{'3_1':0.0},(91,222):{'3_1':0.03,'5_1':0.0},(91,221):{'3_1':0.0},(91,220):{'3_1':0.0,'4_1':0.0},(91,219):{'3_1':0.0},(91,218):{'3_1':0.0},(91,217):{'3_1':0.0},(91,216):{'3_1':0.0},(91,215):{'3_1':0.0,'4_1':0.0},(91,214):{'3_1':0.03,'4_1':0.0},(91,213):{'3_1':0.03,'4_1':0.0},(91,212):{'3_1':0.03},(91,211):{'3_1':0.0},(91,210):{'3_1':0.0},(91,209):{'3_1':0.03,'6_2':0.0},(91,208):{'3_1':0.03},(91,207):{'3_1':0.0},(91,206):{'3_1':0.03},(91,205):{'3_1':0.0,'4_1':0.0},(91,204):{'3_1':0.0,'4_1':0.0},(91,203):{'3_1':0.0},(91,202):{'3_1':0.0},(91,201):{'3_1':0.0},(91,200):{'3_1':0.0},(91,199):{'3_1':0.0},(91,198):{'3_1':0.0},(91,197):{'3_1':0.03},(91,196):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(91,195):{'3_1':0.03},(91,194):{'3_1':0.0},(91,193):{'3_1':0.0},(91,192):{'3_1':0.0},(91,191):{'3_1':0.0},(91,190):{'3_1':0.0},(91,189):{'3_1':0.03},(91,188):{'3_1':0.09},(91,187):{'3_1':0.06,'4_1':0.0},(91,186):{'3_1':0.03,'4_1':0.0},(91,185):{'3_1':0.06,'4_1':0.0},(91,184):{'3_1':0.06},(91,183):{'3_1':0.03},(91,182):{'3_1':0.0,'4_1':0.0},(91,181):{'3_1':0.06},(91,180):{'3_1':0.0,'4_1':0.0},(91,179):{'3_1':0.0},(91,178):{'3_1':0.03},(91,177):{'3_1':0.03},(91,176):{'3_1':0.03,'4_1':0.0},(91,175):{'3_1':0.06,'4_1':0.0},(91,174):{'3_1':0.03,'4_1':0.0},(91,173):{'3_1':0.0},(91,172):{'3_1':0.03,'4_1':0.0},(91,171):{'3_1':0.0,'4_1':0.0},(91,170):{'3_1':0.03},(91,169):{'3_1':0.03},(91,168):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(91,167):{'3_1':0.03},(91,166):{'3_1':0.06,'4_1':0.0},(91,165):{'3_1':0.03,'5_1':0.0},(91,164):{'3_1':0.0},(91,163):{'3_1':0.0},(91,162):{'7_1':0.0},(91,161):{'3_1':0.0},(91,159):{'3_1':0.0,'5_1':0.0},(91,158):{'3_1':0.0},(91,157):{'3_1':0.0},(91,155):{'3_1':0.0},(91,153):{'3_1':0.0},(91,152):{'3_1':0.0},(91,151):{'3_1':0.03},(91,150):{'3_1':0.0},(91,148):{'3_1':0.03},(91,147):{'3_1':0.0,'5_1':0.0},(91,146):{'3_1':0.03,'5_2':0.0},(91,145):{'3_1':0.0},(91,144):{'3_1':0.0},(91,143):{'3_1':0.0},(91,142):{'3_1':0.0},(91,141):{'3_1':0.0},(91,140):{'3_1':0.0},(91,139):{'4_1':0.0},(91,138):{'3_1':0.0},(91,137):{'3_1':0.03},(91,134):{'3_1':0.0},(91,132):{'3_1':0.0},(91,130):{'3_1':0.0},(91,127):{'3_1':0.0},(91,124):{'3_1':0.0},(91,121):{'3_1':0.0},(91,119):{'3_1':0.0},(91,116):{'3_1':0.0},(91,115):{'3_1':0.0},(91,114):{'3_1':0.0},(91,110):{'7_2':0.0},(91,101):{'3_1':0.0},(91,99):{'3_1':0.0},(91,98):{'3_1':0.0},(91,94):{'3_1':0.0},(92,236):{'3_1':0.0,'4_1':0.0},(92,235):{'3_1':0.03},(92,234):{'3_1':0.0},(92,233):{'3_1':0.03},(92,232):{'3_1':0.0},(92,231):{'3_1':0.03,'4_1':0.0,'5_1':0.0},(92,230):{'3_1':0.0},(92,229):{'3_1':0.03},(92,228):{'3_1':0.03},(92,227):{'3_1':0.03},(92,226):{'3_1':0.0,'4_1':0.0},(92,225):{'3_1':0.03},(92,224):{'3_1':0.0},(92,223):{'3_1':0.0},(92,222):{'3_1':0.0},(92,221):{'3_1':0.0},(92,220):{'3_1':0.03},(92,218):{'3_1':0.03,'6_3':0.0},(92,217):{'3_1':0.03,'6_3':0.0},(92,216):{'3_1':0.0},(92,215):{'3_1':0.03},(92,214):{'3_1':0.0},(92,213):{'3_1':0.03,'4_1':0.0},(92,212):{'3_1':0.0},(92,211):{'3_1':0.0},(92,210):{'3_1':0.03},(92,209):{'3_1':0.0},(92,208):{'3_1':0.0},(92,207):{'3_1':0.0,'4_1':0.0},(92,206):{'3_1':0.03},(92,205):{'3_1':0.03},(92,204):{'3_1':0.0},(92,203):{'3_1':0.0},(92,202):{'3_1':0.03},(92,201):{'3_1':0.03},(92,200):{'3_1':0.03},(92,199):{'3_1':0.0},(92,198):{'3_1':0.0},(92,197):{'3_1':0.0},(92,196):{'3_1':0.0},(92,195):{'3_1':0.0},(92,193):{'3_1':0.03},(92,192):{'3_1':0.0},(92,191):{'3_1':0.0},(92,190):{'3_1':0.03},(92,189):{'3_1':0.0},(92,188):{'3_1':0.06},(92,187):{'3_1':0.03},(92,186):{'3_1':0.0},(92,185):{'3_1':0.03},(92,184):{'3_1':0.0},(92,183):{'3_1':0.06},(92,182):{'3_1':0.09},(92,181):{'3_1':0.0},(92,180):{'3_1':0.06},(92,179):{'3_1':0.03},(92,178):{'3_1':0.03},(92,177):{'3_1':0.06,'4_1':0.0},(92,176):{'3_1':0.03},(92,175):{'3_1':0.0,'4_1':0.0},(92,174):{'3_1':0.06,'4_1':0.0},(92,173):{'3_1':0.06,'4_1':0.0},(92,172):{'3_1':0.0},(92,171):{'3_1':0.06,'4_1':0.0},(92,170):{'3_1':0.09},(92,169):{'3_1':0.06},(92,168):{'3_1':0.03,'4_1':0.0},(92,167):{'3_1':0.03,'5_1':0.0},(92,166):{'3_1':0.0},(92,165):{'3_1':0.0,'5_1':0.0,'6_1':0.0},(92,164):{'3_1':0.0},(92,163):{'3_1':0.0},(92,162):{'5_1':0.0},(92,160):{'3_1':0.0},(92,158):{'3_1':0.0,'4_1':0.0},(92,157):{'7_1':0.0},(92,156):{'3_1':0.0},(92,155):{'4_1':0.0},(92,154):{'3_1':0.0},(92,153):{'3_1':0.0},(92,152):{'3_1':0.0},(92,151):{'3_1':0.0},(92,150):{'3_1':0.0},(92,149):{'3_1':0.0},(92,148):{'3_1':0.03},(92,147):{'3_1':0.03},(92,146):{'3_1':0.0},(92,145):{'3_1':0.0},(92,144):{'3_1':0.0,'5_1':0.0},(92,143):{'3_1':0.0},(92,142):{'3_1':0.03},(92,141):{'3_1':0.06},(92,140):{'3_1':0.0},(92,139):{'3_1':0.0},(92,138):{'3_1':0.03},(92,137):{'3_1':0.0},(92,136):{'3_1':0.0},(92,135):{'3_1':0.0},(92,134):{'3_1':0.0},(92,133):{'3_1':0.0},(92,132):{'3_1':0.0},(92,131):{'3_1':0.0},(92,130):{'3_1':0.0},(92,129):{'3_1':0.0},(92,128):{'3_1':0.0},(92,127):{'3_1':0.0},(92,125):{'3_1':0.0},(92,124):{'3_1':0.0},(92,123):{'3_1':0.0},(92,120):{'3_1':0.0},(92,119):{'3_1':0.0},(92,118):{'3_1':0.0},(92,117):{'3_1':0.0},(92,116):{'3_1':0.03},(92,115):{'3_1':0.0},(92,107):{'3_1':0.0},(92,106):{'3_1':0.0},(92,101):{'3_1':0.0},(92,99):{'3_1':0.0},(92,97):{'3_1':0.0},(92,96):{'3_1':0.0},(93,235):{'3_1':0.03,'4_1':0.0},(93,234):{'3_1':0.03},(93,233):{'3_1':0.0},(93,232):{'3_1':0.06,'4_1':0.0},(93,231):{'3_1':0.03,'4_1':0.0},(93,230):{'3_1':0.0},(93,229):{'3_1':0.03},(93,228):{'3_1':0.03,'4_1':0.0},(93,227):{'3_1':0.03,'4_1':0.0},(93,226):{'3_1':0.0},(93,225):{'3_1':0.0},(93,224):{'3_1':0.0},(93,223):{'3_1':0.0,'4_1':0.0},(93,222):{'3_1':0.0,'5_1':0.0},(93,221):{'3_1':0.03,'4_1':0.0},(93,220):{'3_1':0.0},(93,219):{'3_1':0.0},(93,218):{'3_1':0.0},(93,217):{'3_1':0.0},(93,216):{'3_1':0.03,'4_1':0.0},(93,215):{'3_1':0.0},(93,214):{'3_1':0.03,'4_1':0.0},(93,213):{'3_1':0.03},(93,212):{'3_1':0.0},(93,211):{'3_1':0.03},(93,210):{'3_1':0.03},(93,208):{'3_1':0.0},(93,207):{'3_1':0.0},(93,206):{'3_1':0.06},(93,205):{'3_1':0.03},(93,204):{'3_1':0.03},(93,203):{'3_1':0.0},(93,201):{'3_1':0.03},(93,200):{'3_1':0.03,'4_1':0.0},(93,199):{'3_1':0.09},(93,198):{'3_1':0.03},(93,197):{'3_1':0.0},(93,196):{'4_1':0.0},(93,194):{'3_1':0.0},(93,193):{'3_1':0.0,'6_2':0.0},(93,192):{'3_1':0.0},(93,190):{'3_1':0.03},(93,189):{'3_1':0.0},(93,188):{'3_1':0.06},(93,187):{'3_1':0.03},(93,186):{'3_1':0.03},(93,185):{'3_1':0.03,'4_1':0.0},(93,184):{'3_1':0.0,'4_1':0.0},(93,183):{'3_1':0.03},(93,182):{'3_1':0.06,'5_2':0.0},(93,181):{'3_1':0.03},(93,180):{'3_1':0.03},(93,179):{'3_1':0.03},(93,178):{'3_1':0.06},(93,177):{'3_1':0.03},(93,176):{'3_1':0.03},(93,175):{'3_1':0.06},(93,174):{'3_1':0.0},(93,173):{'3_1':0.06},(93,172):{'3_1':0.03},(93,171):{'3_1':0.03},(93,170):{'3_1':0.03},(93,169):{'3_1':0.03,'4_1':0.0},(93,168):{'3_1':0.0},(93,167):{'3_1':0.0},(93,166):{'3_1':0.0},(93,165):{'3_1':0.0,'5_1':0.0},(93,164):{'3_1':0.0},(93,163):{'3_1':0.03},(93,162):{'3_1':0.0},(93,160):{'3_1':0.0,'5_1':0.0},(93,158):{'3_1':0.0},(93,157):{'3_1':0.0},(93,156):{'3_1':0.03},(93,155):{'3_1':0.0},(93,154):{'3_1':0.0},(93,153):{'3_1':0.0},(93,152):{'3_1':0.0},(93,151):{'3_1':0.0},(93,150):{'3_1':0.0},(93,149):{'3_1':0.03},(93,148):{'3_1':0.0},(93,147):{'3_1':0.03},(93,146):{'3_1':0.0},(93,145):{'3_1':0.03},(93,144):{'3_1':0.0},(93,143):{'3_1':0.0},(93,141):{'3_1':0.03},(93,140):{'3_1':0.0},(93,139):{'3_1':0.03},(93,138):{'3_1':0.0},(93,137):{'3_1':0.03},(93,136):{'3_1':0.0},(93,135):{'3_1':0.0},(93,134):{'3_1':0.0},(93,133):{'3_1':0.0},(93,132):{'3_1':0.0},(93,130):{'3_1':0.0},(93,128):{'3_1':0.0},(93,127):{'3_1':0.0},(93,126):{'3_1':0.0},(93,125):{'3_1':0.0},(93,123):{'3_1':0.0},(93,122):{'3_1':0.0},(93,120):{'3_1':0.0},(93,119):{'3_1':0.0},(93,113):{'3_1':0.0},(93,104):{'3_1':0.0},(93,102):{'3_1':0.0},(93,100):{'3_1':0.0},(93,99):{'3_1':0.0},(93,98):{'3_1':0.0},(94,236):{'3_1':0.03},(94,235):{'3_1':0.03,'4_1':0.0},(94,234):{'3_1':0.0,'4_1':0.0},(94,233):{'3_1':0.06},(94,232):{'3_1':0.0},(94,231):{'3_1':0.09,'4_1':0.0},(94,230):{'3_1':0.03},(94,229):{'3_1':0.0},(94,228):{'3_1':0.03},(94,227):{'3_1':0.06},(94,226):{'3_1':0.03},(94,225):{'3_1':0.03,'5_1':0.0},(94,224):{'3_1':0.0},(94,223):{'3_1':0.0,'4_1':0.0},(94,222):{'3_1':0.0,'4_1':0.0},(94,221):{'3_1':0.0},(94,220):{'3_1':0.0},(94,219):{'3_1':0.0,'4_1':0.0},(94,217):{'3_1':0.0},(94,216):{'3_1':0.0},(94,215):{'3_1':0.0},(94,214):{'3_1':0.03},(94,213):{'3_1':0.0},(94,212):{'3_1':0.0},(94,211):{'3_1':0.0},(94,210):{'4_1':0.0},(94,209):{'3_1':0.0},(94,208):{'3_1':0.0,'4_1':0.0},(94,206):{'3_1':0.0},(94,205):{'3_1':0.03,'4_1':0.0},(94,204):{'3_1':0.03},(94,203):{'3_1':0.0},(94,202):{'3_1':0.0},(94,201):{'3_1':0.0},(94,200):{'3_1':0.0},(94,199):{'3_1':0.0},(94,198):{'3_1':0.0},(94,196):{'3_1':0.0},(94,195):{'3_1':0.0},(94,194):{'3_1':0.03,'5_1':0.0},(94,193):{'3_1':0.0},(94,192):{'3_1':0.03},(94,191):{'3_1':0.0,'4_1':0.0},(94,190):{'3_1':0.0,'5_2':0.0},(94,189):{'3_1':0.0},(94,188):{'3_1':0.03,'4_1':0.0},(94,187):{'3_1':0.03,'4_1':0.0},(94,186):{'3_1':0.0,'4_1':0.0},(94,185):{'3_1':0.0,'4_1':0.0},(94,184):{'3_1':0.03,'4_1':0.0},(94,183):{'3_1':0.0},(94,182):{'3_1':0.0},(94,181):{'3_1':0.03,'4_1':0.0},(94,180):{'3_1':0.06},(94,179):{'3_1':0.0},(94,178):{'3_1':0.06},(94,177):{'3_1':0.06},(94,176):{'3_1':0.09},(94,175):{'3_1':0.0},(94,174):{'3_1':0.06,'4_1':0.0},(94,173):{'3_1':0.09},(94,172):{'3_1':0.0},(94,171):{'3_1':0.03},(94,170):{'3_1':0.03},(94,169):{'3_1':0.03},(94,168):{'3_1':0.06},(94,166):{'3_1':0.0},(94,165):{'3_1':0.0},(94,164):{'3_1':0.03,'5_1':0.0},(94,163):{'3_1':0.0,'5_1':0.0},(94,160):{'3_1':0.0},(94,158):{'3_1':0.03},(94,156):{'3_1':0.0},(94,155):{'3_1':0.0},(94,153):{'3_1':0.0},(94,152):{'3_1':0.03,'5_1':0.0},(94,151):{'3_1':0.0},(94,150):{'3_1':0.0},(94,149):{'3_1':0.0},(94,148):{'3_1':0.03},(94,147):{'3_1':0.0},(94,146):{'3_1':0.0},(94,145):{'3_1':0.0,'5_1':0.0},(94,144):{'3_1':0.0},(94,143):{'3_1':0.0},(94,142):{'3_1':0.0},(94,141):{'3_1':0.03},(94,140):{'3_1':0.0},(94,139):{'3_1':0.0},(94,138):{'3_1':0.0},(94,137):{'3_1':0.0},(94,136):{'3_1':0.0},(94,135):{'3_1':0.0},(94,133):{'3_1':0.0},(94,131):{'3_1':0.0},(94,127):{'3_1':0.0},(94,126):{'3_1':0.0},(94,125):{'3_1':0.0},(94,121):{'3_1':0.0},(94,120):{'3_1':0.0},(94,119):{'3_1':0.0},(94,115):{'3_1':0.0},(94,112):{'3_1':0.0},(94,105):{'3_1':0.0},(94,103):{'5_1':0.0},(94,99):{'3_1':0.0},(94,98):{'3_1':0.0},(95,236):{'3_1':0.03},(95,235):{'3_1':0.03,'4_1':0.0},(95,234):{'3_1':0.06},(95,233):{'3_1':0.03,'4_1':0.0},(95,232):{'3_1':0.03},(95,231):{'3_1':0.03},(95,230):{'3_1':0.0,'4_1':0.0},(95,229):{'3_1':0.0,'4_1':0.0},(95,227):{'3_1':0.0},(95,226):{'3_1':0.0},(95,225):{'3_1':0.0},(95,224):{'3_1':0.0},(95,223):{'3_1':0.0},(95,221):{'3_1':0.0},(95,220):{'3_1':0.0},(95,219):{'3_1':0.0,'5_1':0.0},(95,218):{'3_1':0.0},(95,217):{'3_1':0.0},(95,216):{'3_1':0.0},(95,214):{'3_1':0.0},(95,213):{'3_1':0.0,'4_1':0.0},(95,212):{'3_1':0.03},(95,211):{'3_1':0.03},(95,210):{'3_1':0.0},(95,209):{'3_1':0.0,'6_3':0.0},(95,207):{'3_1':0.0},(95,206):{'3_1':0.0},(95,205):{'3_1':0.0},(95,204):{'3_1':0.0,'4_1':0.0},(95,203):{'3_1':0.03},(95,202):{'3_1':0.0},(95,201):{'3_1':0.0,'4_1':0.0},(95,200):{'3_1':0.0,'4_1':0.0},(95,199):{'3_1':0.0},(95,198):{'3_1':0.0,'5_2':0.0},(95,197):{'3_1':0.0,'4_1':0.0},(95,196):{'3_1':0.0},(95,194):{'3_1':0.0,'6_2':0.0},(95,193):{'3_1':0.03},(95,192):{'3_1':0.03},(95,191):{'3_1':0.0},(95,190):{'3_1':0.0},(95,189):{'4_1':0.0},(95,188):{'3_1':0.03},(95,187):{'3_1':0.0,'4_1':0.0},(95,186):{'3_1':0.03},(95,185):{'3_1':0.0},(95,184):{'3_1':0.0,'4_1':0.0},(95,183):{'3_1':0.03},(95,182):{'3_1':0.0},(95,181):{'3_1':0.03,'4_1':0.0},(95,180):{'3_1':0.03},(95,179):{'3_1':0.0,'4_1':0.0},(95,178):{'3_1':0.0},(95,177):{'3_1':0.0},(95,176):{'3_1':0.0},(95,175):{'3_1':0.03},(95,174):{'3_1':0.03},(95,173):{'3_1':0.03},(95,172):{'3_1':0.03},(95,171):{'3_1':0.09},(95,170):{'3_1':0.0},(95,169):{'3_1':0.03,'4_1':0.0,'6_1':0.0},(95,168):{'3_1':0.03},(95,167):{'3_1':0.0},(95,166):{'3_1':0.03,'5_1':0.0},(95,165):{'3_1':0.0},(95,163):{'4_1':0.0},(95,162):{'3_1':0.0},(95,161):{'3_1':0.0,'5_1':0.0},(95,160):{'3_1':0.0,'5_1':0.0},(95,159):{'3_1':0.0,'4_1':0.0},(95,157):{'3_1':0.0},(95,156):{'3_1':0.03},(95,155):{'3_1':0.0},(95,154):{'3_1':0.03},(95,152):{'3_1':0.0},(95,151):{'3_1':0.0},(95,150):{'3_1':0.0},(95,149):{'3_1':0.0},(95,148):{'3_1':0.0},(95,146):{'3_1':0.03},(95,145):{'3_1':0.0},(95,144):{'3_1':0.0},(95,143):{'3_1':0.0},(95,142):{'3_1':0.03},(95,141):{'3_1':0.03},(95,140):{'3_1':0.0},(95,139):{'3_1':0.0},(95,138):{'3_1':0.0},(95,137):{'3_1':0.0},(95,135):{'3_1':0.0},(95,134):{'3_1':0.0},(95,133):{'4_1':0.0},(95,131):{'3_1':0.0},(95,129):{'3_1':0.0},(95,126):{'3_1':0.0},(95,125):{'3_1':0.0},(95,124):{'3_1':0.0},(95,123):{'3_1':0.0},(95,122):{'3_1':0.0},(95,119):{'3_1':0.0},(95,116):{'3_1':0.0},(95,114):{'3_1':0.0},(95,109):{'3_1':0.0},(95,102):{'3_1':0.0},(95,101):{'3_1':0.0},(95,100):{'3_1':0.0},(95,99):{'3_1':0.0},(96,236):{'3_1':0.0},(96,235):{'3_1':0.03},(96,234):{'3_1':0.03},(96,233):{'3_1':0.0},(96,232):{'3_1':0.03},(96,231):{'3_1':0.03},(96,230):{'3_1':0.03},(96,229):{'3_1':0.0},(96,228):{'3_1':0.03},(96,227):{'3_1':0.0},(96,226):{'3_1':0.03},(96,225):{'3_1':0.0},(96,224):{'3_1':0.03,'4_1':0.0},(96,223):{'3_1':0.0},(96,222):{'3_1':0.03},(96,221):{'3_1':0.0},(96,219):{'3_1':0.0},(96,218):{'3_1':0.0},(96,217):{'4_1':0.0},(96,216):{'3_1':0.0},(96,215):{'3_1':0.03},(96,214):{'3_1':0.03,'4_1':0.0},(96,213):{'3_1':0.0},(96,212):{'3_1':0.03,'4_1':0.0},(96,210):{'3_1':0.0},(96,209):{'3_1':0.0},(96,208):{'3_1':0.0},(96,207):{'3_1':0.0},(96,206):{'3_1':0.0},(96,205):{'4_1':0.0},(96,204):{'3_1':0.0},(96,203):{'3_1':0.0,'4_1':0.0},(96,202):{'3_1':0.0},(96,201):{'3_1':0.03,'4_1':0.0},(96,200):{'3_1':0.0},(96,199):{'3_1':0.0},(96,196):{'3_1':0.0},(96,194):{'3_1':0.03},(96,193):{'3_1':0.0},(96,192):{'3_1':0.0},(96,191):{'3_1':0.0},(96,190):{'3_1':0.03},(96,189):{'3_1':0.0},(96,188):{'3_1':0.03},(96,187):{'3_1':0.0},(96,186):{'3_1':0.03},(96,185):{'3_1':0.0,'4_1':0.0},(96,184):{'3_1':0.0},(96,183):{'3_1':0.06},(96,182):{'3_1':0.0},(96,181):{'3_1':0.0},(96,180):{'3_1':0.03},(96,179):{'3_1':0.03},(96,178):{'3_1':0.0},(96,177):{'3_1':0.03},(96,176):{'3_1':0.03},(96,175):{'3_1':0.06,'4_1':0.0},(96,174):{'3_1':0.0},(96,173):{'3_1':0.06},(96,172):{'3_1':0.03},(96,171):{'3_1':0.03,'4_1':0.0},(96,170):{'3_1':0.0},(96,169):{'3_1':0.0},(96,168):{'3_1':0.0},(96,167):{'3_1':0.0,'4_1':0.0},(96,166):{'3_1':0.0},(96,165):{'3_1':0.0},(96,163):{'3_1':0.0,'5_1':0.0},(96,162):{'3_1':0.0},(96,160):{'3_1':0.0,'5_1':0.0},(96,159):{'3_1':0.0},(96,158):{'3_1':0.0},(96,156):{'3_1':0.0},(96,155):{'3_1':0.0},(96,154):{'5_2':0.0},(96,152):{'3_1':0.0},(96,151):{'3_1':0.0},(96,150):{'3_1':0.0},(96,149):{'3_1':0.0},(96,146):{'3_1':0.0},(96,145):{'3_1':0.03},(96,144):{'3_1':0.0},(96,143):{'3_1':0.0},(96,142):{'3_1':0.03},(96,141):{'3_1':0.0},(96,140):{'3_1':0.0},(96,139):{'3_1':0.0},(96,138):{'3_1':0.03},(96,137):{'3_1':0.0},(96,136):{'3_1':0.0},(96,134):{'3_1':0.0},(96,133):{'3_1':0.0},(96,132):{'3_1':0.0},(96,129):{'3_1':0.0},(96,128):{'3_1':0.0},(96,127):{'3_1':0.0},(96,126):{'3_1':0.0},(96,120):{'3_1':0.0},(96,119):{'3_1':0.03},(96,118):{'3_1':0.0},(96,117):{'3_1':0.0},(96,116):{'3_1':0.0,'5_2':0.0},(96,114):{'3_1':0.0},(96,113):{'3_1':0.0},(97,236):{'3_1':0.0},(97,235):{'3_1':0.0,'4_1':0.0},(97,234):{'3_1':0.03},(97,232):{'3_1':0.03,'5_2':0.0},(97,231):{'3_1':0.03,'5_2':0.0},(97,230):{'3_1':0.03,'4_1':0.0},(97,229):{'3_1':0.03,'5_1':0.0},(97,228):{'3_1':0.0},(97,227):{'3_1':0.03},(97,226):{'3_1':0.0,'4_1':0.0},(97,225):{'3_1':0.03},(97,224):{'3_1':0.0,'4_1':0.0},(97,223):{'3_1':0.0,'4_1':0.0},(97,220):{'3_1':0.0,'4_1':0.0},(97,218):{'3_1':0.0},(97,217):{'4_1':0.0},(97,216):{'3_1':0.0},(97,214):{'3_1':0.0,'4_1':0.0},(97,213):{'3_1':0.0,'4_1':0.0},(97,211):{'3_1':0.03},(97,210):{'4_1':0.0},(97,209):{'3_1':0.0},(97,208):{'3_1':0.0},(97,207):{'3_1':0.03},(97,206):{'3_1':0.0},(97,205):{'3_1':0.0},(97,204):{'3_1':0.03},(97,203):{'3_1':0.0},(97,202):{'3_1':0.0},(97,201):{'3_1':0.0},(97,200):{'3_1':0.0},(97,199):{'3_1':0.03},(97,198):{'3_1':0.0},(97,197):{'3_1':0.0},(97,196):{'3_1':0.0,'6_2':0.0},(97,195):{'3_1':0.03},(97,194):{'3_1':0.0},(97,193):{'3_1':0.0},(97,192):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,191):{'3_1':0.0},(97,190):{'3_1':0.0},(97,189):{'3_1':0.03},(97,188):{'3_1':0.03},(97,187):{'3_1':0.0},(97,186):{'3_1':0.03,'4_1':0.0},(97,185):{'3_1':0.0,'4_1':0.0},(97,184):{'3_1':0.03},(97,183):{'3_1':0.0},(97,182):{'3_1':0.06},(97,181):{'3_1':0.0},(97,180):{'3_1':0.03},(97,179):{'3_1':0.0},(97,178):{'3_1':0.03},(97,177):{'3_1':0.06},(97,176):{'3_1':0.0},(97,175):{'3_1':0.03,'4_1':0.0},(97,174):{'3_1':0.03},(97,173):{'3_1':0.06},(97,172):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(97,171):{'3_1':0.03},(97,170):{'3_1':0.03},(97,169):{'3_1':0.03},(97,168):{'3_1':0.0},(97,167):{'3_1':0.03},(97,166):{'3_1':0.0},(97,165):{'3_1':0.0,'4_1':0.0},(97,164):{'3_1':0.0},(97,161):{'3_1':0.0},(97,160):{'3_1':0.0},(97,159):{'3_1':0.0},(97,158):{'3_1':0.0},(97,156):{'3_1':0.0},(97,154):{'3_1':0.0},(97,153):{'5_1':0.0},(97,152):{'3_1':0.0},(97,151):{'3_1':0.0},(97,150):{'3_1':0.0},(97,149):{'3_1':0.03},(97,148):{'3_1':0.03,'5_1':0.0},(97,146):{'3_1':0.0},(97,144):{'3_1':0.03},(97,143):{'3_1':0.0},(97,142):{'3_1':0.0},(97,141):{'3_1':0.0},(97,140):{'3_1':0.0},(97,139):{'3_1':0.0},(97,138):{'3_1':0.0},(97,137):{'3_1':0.0},(97,136):{'3_1':0.0},(97,134):{'3_1':0.0},(97,133):{'3_1':0.03},(97,130):{'3_1':0.0},(97,129):{'3_1':0.0},(97,123):{'3_1':0.0},(97,122):{'3_1':0.0},(97,121):{'7_2':0.0},(97,120):{'3_1':0.0},(97,119):{'3_1':0.0},(97,118):{'3_1':0.0},(97,116):{'3_1':0.0},(97,114):{'3_1':0.0},(97,113):{'3_1':0.0},(97,112):{'3_1':0.0},(97,108):{'3_1':0.0},(97,102):{'3_1':0.0},(97,101):{'3_1':0.0},(98,236):{'3_1':0.03},(98,235):{'3_1':0.0,'5_2':0.0},(98,234):{'3_1':0.03},(98,233):{'3_1':0.03},(98,232):{'3_1':0.03},(98,231):{'3_1':0.06,'4_1':0.0},(98,230):{'3_1':0.0},(98,228):{'3_1':0.0,'4_1':0.0},(98,227):{'3_1':0.03},(98,226):{'3_1':0.0},(98,225):{'3_1':0.0},(98,223):{'3_1':0.0},(98,222):{'3_1':0.0},(98,221):{'3_1':0.0},(98,220):{'3_1':0.0,'4_1':0.0},(98,219):{'3_1':0.0},(98,218):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(98,217):{'3_1':0.0},(98,216):{'3_1':0.0},(98,215):{'3_1':0.0},(98,214):{'3_1':0.0},(98,213):{'4_1':0.0},(98,212):{'3_1':0.0},(98,211):{'3_1':0.0},(98,210):{'3_1':0.0},(98,209):{'3_1':0.03},(98,208):{'3_1':0.0,'4_1':0.0},(98,207):{'3_1':0.0},(98,206):{'3_1':0.0},(98,204):{'3_1':0.0,'6_2':0.0},(98,203):{'3_1':0.0,'4_1':0.0},(98,202):{'3_1':0.0},(98,201):{'3_1':0.0},(98,200):{'3_1':0.03},(98,199):{'3_1':0.03},(98,198):{'3_1':0.0},(98,196):{'3_1':0.0},(98,195):{'3_1':0.03},(98,194):{'3_1':0.03},(98,193):{'3_1':0.0,'4_1':0.0},(98,192):{'3_1':0.0},(98,191):{'3_1':0.0,'4_1':0.0,'6_2':0.0},(98,190):{'3_1':0.03},(98,189):{'3_1':0.03,'4_1':0.0},(98,188):{'3_1':0.0},(98,187):{'3_1':0.03,'4_1':0.0},(98,186):{'3_1':0.0},(98,185):{'3_1':0.0},(98,184):{'3_1':0.03,'4_1':0.0},(98,183):{'3_1':0.03},(98,182):{'3_1':0.03},(98,181):{'3_1':0.03},(98,180):{'3_1':0.06},(98,179):{'3_1':0.03},(98,178):{'3_1':0.03},(98,177):{'3_1':0.03,'4_1':0.0},(98,176):{'3_1':0.03},(98,175):{'3_1':0.0},(98,174):{'3_1':0.0,'4_1':0.0},(98,173):{'3_1':0.03},(98,172):{'3_1':0.0},(98,171):{'3_1':0.0},(98,170):{'3_1':0.0},(98,169):{'3_1':0.0},(98,168):{'3_1':0.0},(98,167):{'3_1':0.0},(98,165):{'3_1':0.0},(98,164):{'3_1':0.0},(98,162):{'3_1':0.0},(98,161):{'3_1':0.0},(98,159):{'3_1':0.0,'5_1':0.0},(98,157):{'3_1':0.0},(98,156):{'3_1':0.0},(98,155):{'3_1':0.0,'5_2':0.0},(98,153):{'3_1':0.0},(98,151):{'3_1':0.0},(98,150):{'3_1':0.0},(98,149):{'3_1':0.0},(98,147):{'3_1':0.0},(98,145):{'3_1':0.0},(98,144):{'3_1':0.03},(98,143):{'3_1':0.0},(98,142):{'3_1':0.0},(98,141):{'3_1':0.0},(98,139):{'3_1':0.0,'5_1':0.0},(98,138):{'3_1':0.0},(98,137):{'3_1':0.03},(98,135):{'3_1':0.0},(98,134):{'3_1':0.0},(98,131):{'3_1':0.0},(98,128):{'4_1':0.0},(98,127):{'3_1':0.0},(98,124):{'3_1':0.0,'4_1':0.0},(98,123):{'3_1':0.0},(98,121):{'3_1':0.0},(98,119):{'3_1':0.0,'5_2':0.0},(98,117):{'3_1':0.0},(98,115):{'4_1':0.0},(98,113):{'3_1':0.0},(98,108):{'3_1':0.0},(98,104):{'3_1':0.0},(98,103):{'3_1':0.0},(98,102):{'3_1':0.0},(98,101):{'3_1':0.0},(99,236):{'3_1':0.0},(99,235):{'3_1':0.03,'4_1':0.0},(99,234):{'3_1':0.03},(99,233):{'3_1':0.0},(99,232):{'3_1':0.03},(99,230):{'3_1':0.0},(99,229):{'3_1':0.03},(99,228):{'3_1':0.0},(99,227):{'3_1':0.03},(99,225):{'3_1':0.03},(99,224):{'3_1':0.0},(99,222):{'3_1':0.0},(99,221):{'3_1':0.0},(99,219):{'3_1':0.0},(99,218):{'3_1':0.0},(99,217):{'3_1':0.0},(99,216):{'3_1':0.0},(99,215):{'3_1':0.0},(99,214):{'3_1':0.0,'4_1':0.0},(99,213):{'3_1':0.0,'4_1':0.0},(99,212):{'3_1':0.0},(99,211):{'3_1':0.0},(99,210):{'3_1':0.0},(99,209):{'3_1':0.0},(99,208):{'3_1':0.0},(99,207):{'3_1':0.0},(99,206):{'3_1':0.0},(99,205):{'3_1':0.0,'4_1':0.0},(99,204):{'3_1':0.0},(99,202):{'3_1':0.0},(99,201):{'3_1':0.03,'4_1':0.0},(99,200):{'3_1':0.0},(99,199):{'3_1':0.03},(99,198):{'4_1':0.0},(99,197):{'3_1':0.0},(99,196):{'3_1':0.0,'4_1':0.0},(99,195):{'3_1':0.0},(99,194):{'3_1':0.0},(99,193):{'3_1':0.0},(99,192):{'3_1':0.0},(99,191):{'3_1':0.0},(99,190):{'3_1':0.0},(99,189):{'3_1':0.03},(99,186):{'3_1':0.0},(99,185):{'3_1':0.0},(99,184):{'3_1':0.0,'4_1':0.0},(99,183):{'3_1':0.0},(99,181):{'3_1':0.0},(99,180):{'3_1':0.03},(99,179):{'3_1':0.03},(99,178):{'3_1':0.0},(99,177):{'3_1':0.0},(99,176):{'3_1':0.03},(99,175):{'3_1':0.0},(99,174):{'3_1':0.03},(99,173):{'3_1':0.0},(99,172):{'3_1':0.0},(99,171):{'3_1':0.03},(99,170):{'3_1':0.0},(99,169):{'3_1':0.0},(99,168):{'3_1':0.0},(99,167):{'3_1':0.0},(99,165):{'3_1':0.0},(99,160):{'3_1':0.0},(99,159):{'3_1':0.0},(99,156):{'3_1':0.0},(99,155):{'3_1':0.0},(99,154):{'3_1':0.0},(99,152):{'3_1':0.0},(99,151):{'3_1':0.0},(99,149):{'3_1':0.0},(99,148):{'3_1':0.0},(99,147):{'3_1':0.0},(99,146):{'3_1':0.0},(99,145):{'3_1':0.0},(99,144):{'3_1':0.0},(99,143):{'3_1':0.0},(99,142):{'3_1':0.0},(99,141):{'3_1':0.0},(99,139):{'3_1':0.0},(99,138):{'3_1':0.0},(99,137):{'3_1':0.0},(99,136):{'3_1':0.0},(99,133):{'3_1':0.0},(99,132):{'3_1':0.0},(99,129):{'3_1':0.0},(99,126):{'3_1':0.0},(99,123):{'3_1':0.0},(99,122):{'3_1':0.0},(99,121):{'3_1':0.0},(99,120):{'3_1':0.0},(99,118):{'3_1':0.03},(99,117):{'3_1':0.0},(99,116):{'3_1':0.0},(99,115):{'3_1':0.0},(99,111):{'3_1':0.0},(99,110):{'3_1':0.0},(99,108):{'3_1':0.0},(99,107):{'3_1':0.0},(100,236):{'3_1':0.0},(100,235):{'3_1':0.0},(100,234):{'3_1':0.03},(100,233):{'3_1':0.0},(100,232):{'3_1':0.03},(100,231):{'3_1':0.0,'4_1':0.0},(100,230):{'3_1':0.0},(100,229):{'3_1':0.03},(100,228):{'3_1':0.0,'5_1':0.0},(100,227):{'3_1':0.0},(100,226):{'3_1':0.0},(100,225):{'3_1':0.0},(100,224):{'3_1':0.0},(100,223):{'3_1':0.0},(100,222):{'3_1':0.0,'4_1':0.0},(100,221):{'3_1':0.0},(100,220):{'3_1':0.0},(100,219):{'3_1':0.0},(100,218):{'3_1':0.0,'4_1':0.0},(100,217):{'3_1':0.0},(100,216):{'3_1':0.03,'4_1':0.0},(100,215):{'3_1':0.0},(100,214):{'3_1':0.0},(100,213):{'3_1':0.0},(100,212):{'3_1':0.0},(100,211):{'3_1':0.0},(100,210):{'3_1':0.0,'4_1':0.0},(100,209):{'3_1':0.0},(100,208):{'3_1':0.0},(100,207):{'3_1':0.0},(100,204):{'3_1':0.0},(100,203):{'3_1':0.0},(100,202):{'3_1':0.0},(100,201):{'3_1':0.0},(100,200):{'3_1':0.0},(100,199):{'3_1':0.0},(100,198):{'3_1':0.0},(100,197):{'3_1':0.0},(100,195):{'3_1':0.0,'4_1':0.0},(100,194):{'6_2':0.0},(100,193):{'3_1':0.0,'4_1':0.0},(100,192):{'3_1':0.0},(100,190):{'3_1':0.03},(100,189):{'3_1':0.0},(100,188):{'3_1':0.0},(100,186):{'3_1':0.0},(100,184):{'3_1':0.0},(100,182):{'3_1':0.0},(100,180):{'3_1':0.0},(100,179):{'3_1':0.0},(100,178):{'3_1':0.0},(100,177):{'3_1':0.0},(100,175):{'3_1':0.0},(100,174):{'3_1':0.0},(100,173):{'3_1':0.03},(100,172):{'3_1':0.0},(100,171):{'3_1':0.0},(100,170):{'3_1':0.0},(100,169):{'3_1':0.0},(100,168):{'3_1':0.03},(100,167):{'3_1':0.0},(100,166):{'3_1':0.0},(100,165):{'3_1':0.0},(100,164):{'3_1':0.0},(100,163):{'3_1':0.0},(100,162):{'3_1':0.0},(100,160):{'3_1':0.0},(100,159):{'3_1':0.0},(100,157):{'3_1':0.0},(100,156):{'3_1':0.0},(100,154):{'3_1':0.0},(100,152):{'3_1':0.0},(100,151):{'3_1':0.03},(100,150):{'3_1':0.03},(100,149):{'3_1':0.0},(100,148):{'3_1':0.0},(100,147):{'3_1':0.0},(100,146):{'3_1':0.0},(100,145):{'5_1':0.0},(100,144):{'3_1':0.0},(100,142):{'3_1':0.0},(100,141):{'3_1':0.0},(100,139):{'3_1':0.0},(100,138):{'3_1':0.03},(100,137):{'3_1':0.0},(100,136):{'3_1':0.0},(100,135):{'3_1':0.0},(100,133):{'3_1':0.0},(100,130):{'3_1':0.0},(100,129):{'3_1':0.0},(100,128):{'3_1':0.0},(100,126):{'3_1':0.0},(100,123):{'3_1':0.0},(100,122):{'3_1':0.0},(100,118):{'3_1':0.0,'4_1':0.0},(100,117):{'3_1':0.0},(100,116):{'3_1':0.0},(100,113):{'3_1':0.0},(100,110):{'3_1':0.0},(100,108):{'3_1':0.0},(101,236):{'3_1':0.03,'4_1':0.0},(101,234):{'3_1':0.0},(101,233):{'3_1':0.03,'4_1':0.0},(101,232):{'3_1':0.0,'5_1':0.0},(101,231):{'3_1':0.03,'4_1':0.0},(101,230):{'3_1':0.03},(101,229):{'3_1':0.0},(101,228):{'3_1':0.0},(101,227):{'3_1':0.0,'4_1':0.0},(101,226):{'3_1':0.0},(101,224):{'4_1':0.0,'3_1':0.0},(101,223):{'3_1':0.0},(101,222):{'3_1':0.03},(101,221):{'3_1':0.0},(101,219):{'4_1':0.0},(101,218):{'3_1':0.0},(101,217):{'3_1':0.0,'4_1':0.0},(101,215):{'3_1':0.0},(101,214):{'3_1':0.0},(101,212):{'3_1':0.0},(101,211):{'3_1':0.0},(101,210):{'3_1':0.03},(101,209):{'3_1':0.0},(101,205):{'3_1':0.03},(101,204):{'3_1':0.0,'4_1':0.0},(101,203):{'3_1':0.0},(101,202):{'3_1':0.0},(101,201):{'3_1':0.0,'4_1':0.0},(101,200):{'3_1':0.03},(101,199):{'3_1':0.0,'4_1':0.0},(101,198):{'3_1':0.0,'6_2':0.0},(101,197):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(101,196):{'4_1':0.0},(101,195):{'3_1':0.03,'4_1':0.0},(101,193):{'4_1':0.0,'3_1':0.0},(101,192):{'3_1':0.0},(101,191):{'3_1':0.0},(101,190):{'3_1':0.0},(101,189):{'3_1':0.0},(101,188):{'3_1':0.03},(101,186):{'3_1':0.0},(101,184):{'3_1':0.0},(101,183):{'3_1':0.0,'4_1':0.0},(101,182):{'3_1':0.0},(101,181):{'3_1':0.0},(101,180):{'3_1':0.0},(101,179):{'3_1':0.03},(101,178):{'3_1':0.0},(101,177):{'3_1':0.0},(101,176):{'3_1':0.0},(101,175):{'3_1':0.03},(101,174):{'3_1':0.0},(101,173):{'3_1':0.0},(101,172):{'3_1':0.03},(101,171):{'3_1':0.0,'4_1':0.0},(101,169):{'3_1':0.0},(101,168):{'3_1':0.0},(101,166):{'3_1':0.0},(101,164):{'3_1':0.0},(101,162):{'3_1':0.0},(101,161):{'3_1':0.0},(101,158):{'3_1':0.0},(101,156):{'3_1':0.0},(101,155):{'3_1':0.0},(101,151):{'3_1':0.0},(101,150):{'3_1':0.0},(101,149):{'3_1':0.0},(101,148):{'3_1':0.0},(101,147):{'3_1':0.0},(101,146):{'3_1':0.0},(101,145):{'3_1':0.0},(101,144):{'3_1':0.0},(101,143):{'3_1':0.0},(101,142):{'3_1':0.03},(101,141):{'3_1':0.0},(101,140):{'3_1':0.0},(101,139):{'3_1':0.0},(101,137):{'3_1':0.0},(101,136):{'3_1':0.0},(101,135):{'3_1':0.0},(101,133):{'3_1':0.0},(101,131):{'3_1':0.0},(101,130):{'3_1':0.0},(101,129):{'3_1':0.0},(101,126):{'3_1':0.0},(101,120):{'3_1':0.0},(101,117):{'3_1':0.0},(101,116):{'3_1':0.0},(101,112):{'3_1':0.0},(102,236):{'3_1':0.0},(102,235):{'3_1':0.0,'5_1':0.0},(102,234):{'3_1':0.0},(102,233):{'3_1':0.0},(102,232):{'3_1':0.0},(102,231):{'3_1':0.03},(102,230):{'3_1':0.0},(102,229):{'3_1':0.0},(102,228):{'3_1':0.0},(102,227):{'3_1':0.0,'4_1':0.0},(102,226):{'3_1':0.0,'4_1':0.0},(102,225):{'3_1':0.0,'4_1':0.0},(102,224):{'3_1':0.03},(102,223):{'3_1':0.03},(102,222):{'3_1':0.0},(102,220):{'4_1':0.0},(102,219):{'3_1':0.0,'7_6':0.0},(102,218):{'3_1':0.0},(102,217):{'3_1':0.0,'4_1':0.0},(102,216):{'4_1':0.0},(102,215):{'3_1':0.0},(102,214):{'3_1':0.0},(102,213):{'4_1':0.0},(102,212):{'3_1':0.0,'4_1':0.0},(102,211):{'3_1':0.03},(102,208):{'3_1':0.0},(102,207):{'3_1':0.0},(102,206):{'3_1':0.0},(102,205):{'3_1':0.0},(102,204):{'3_1':0.0,'4_1':0.0},(102,203):{'3_1':0.0},(102,202):{'3_1':0.0,'4_1':0.0},(102,201):{'3_1':0.03},(102,200):{'3_1':0.0},(102,199):{'3_1':0.0},(102,198):{'3_1':0.0},(102,197):{'3_1':0.0},(102,196):{'3_1':0.03},(102,195):{'3_1':0.0},(102,194):{'3_1':0.0},(102,192):{'3_1':0.0},(102,191):{'3_1':0.0,'4_1':0.0},(102,190):{'3_1':0.0},(102,188):{'3_1':0.03,'4_1':0.0},(102,187):{'3_1':0.0},(102,185):{'4_1':0.0},(102,184):{'3_1':0.0},(102,183):{'3_1':0.0},(102,182):{'3_1':0.03},(102,181):{'3_1':0.03},(102,180):{'3_1':0.03},(102,176):{'3_1':0.0},(102,175):{'3_1':0.06},(102,174):{'3_1':0.0},(102,173):{'3_1':0.0},(102,172):{'3_1':0.0},(102,171):{'3_1':0.0},(102,170):{'3_1':0.0},(102,169):{'3_1':0.0},(102,167):{'3_1':0.0},(102,165):{'3_1':0.0},(102,164):{'3_1':0.0},(102,163):{'3_1':0.0},(102,162):{'3_1':0.0},(102,161):{'3_1':0.0},(102,158):{'3_1':0.0},(102,157):{'3_1':0.0},(102,155):{'3_1':0.0},(102,149):{'3_1':0.0},(102,147):{'3_1':0.0},(102,144):{'3_1':0.0},(102,142):{'3_1':0.03},(102,141):{'3_1':0.0},(102,139):{'3_1':0.0},(102,138):{'3_1':0.0},(102,137):{'3_1':0.0},(102,136):{'3_1':0.0},(102,135):{'3_1':0.0},(102,134):{'3_1':0.0},(102,133):{'3_1':0.0},(102,132):{'3_1':0.0},(102,130):{'4_1':0.0},(102,129):{'3_1':0.0},(102,123):{'3_1':0.0},(102,117):{'3_1':0.0},(102,113):{'3_1':0.0},(102,112):{'3_1':0.0},(103,235):{'3_1':0.0},(103,234):{'3_1':0.0},(103,233):{'3_1':0.03,'4_1':0.0},(103,232):{'3_1':0.03},(103,231):{'3_1':0.0},(103,230):{'3_1':0.0},(103,229):{'3_1':0.0},(103,227):{'3_1':0.0,'4_1':0.0},(103,226):{'3_1':0.0},(103,225):{'3_1':0.0},(103,224):{'3_1':0.0},(103,223):{'3_1':0.0},(103,222):{'3_1':0.0},(103,219):{'3_1':0.0,'6_3':0.0},(103,218):{'3_1':0.0},(103,216):{'3_1':0.0},(103,215):{'3_1':0.0},(103,214):{'4_1':0.0},(103,213):{'3_1':0.0},(103,211):{'3_1':0.0},(103,210):{'3_1':0.0},(103,208):{'3_1':0.0},(103,207):{'3_1':0.0},(103,205):{'3_1':0.0},(103,204):{'3_1':0.0},(103,203):{'3_1':0.0},(103,202):{'3_1':0.0},(103,201):{'3_1':0.0},(103,200):{'3_1':0.03},(103,199):{'3_1':0.0},(103,196):{'3_1':0.0},(103,195):{'3_1':0.0},(103,194):{'3_1':0.0},(103,193):{'3_1':0.0,'4_1':0.0},(103,192):{'3_1':0.0},(103,191):{'4_1':0.0},(103,190):{'3_1':0.0},(103,189):{'3_1':0.0},(103,188):{'3_1':0.03},(103,187):{'3_1':0.0},(103,186):{'3_1':0.0},(103,185):{'3_1':0.0},(103,184):{'3_1':0.0},(103,183):{'3_1':0.0},(103,182):{'3_1':0.0},(103,181):{'3_1':0.0},(103,180):{'3_1':0.03},(103,179):{'3_1':0.0},(103,178):{'3_1':0.0},(103,177):{'3_1':0.0},(103,176):{'3_1':0.03},(103,174):{'3_1':0.0},(103,173):{'3_1':0.03},(103,172):{'3_1':0.03},(103,171):{'3_1':0.03},(103,170):{'3_1':0.0},(103,169):{'3_1':0.03},(103,168):{'3_1':0.0},(103,166):{'3_1':0.0},(103,165):{'3_1':0.0},(103,164):{'3_1':0.0},(103,159):{'3_1':0.0},(103,158):{'3_1':0.0},(103,156):{'3_1':0.0},(103,154):{'3_1':0.0},(103,151):{'3_1':0.0},(103,148):{'3_1':0.0},(103,147):{'3_1':0.0},(103,146):{'3_1':0.0},(103,145):{'3_1':0.0},(103,143):{'3_1':0.0},(103,142):{'3_1':0.0},(103,141):{'3_1':0.0},(103,136):{'3_1':0.0},(103,135):{'3_1':0.0},(103,134):{'3_1':0.0},(103,132):{'3_1':0.0},(103,131):{'3_1':0.0},(103,129):{'3_1':0.0},(103,128):{'3_1':0.0},(103,117):{'3_1':0.0},(103,113):{'3_1':0.0},(103,112):{'3_1':0.0},(103,108):{'3_1':0.0},(104,236):{'3_1':0.0},(104,235):{'4_1':0.0},(104,234):{'3_1':0.03},(104,233):{'3_1':0.03},(104,232):{'3_1':0.06},(104,231):{'3_1':0.0},(104,230):{'3_1':0.03,'4_1':0.0},(104,229):{'3_1':0.03},(104,228):{'3_1':0.0},(104,225):{'3_1':0.0},(104,223):{'3_1':0.0},(104,222):{'3_1':0.0,'4_1':0.0},(104,221):{'3_1':0.0,'4_1':0.0},(104,219):{'3_1':0.0,'4_1':0.0,'7_6':0.0},(104,218):{'3_1':0.0},(104,217):{'4_1':0.0},(104,216):{'3_1':0.0},(104,215):{'3_1':0.0,'4_1':0.0},(104,214):{'3_1':0.0},(104,212):{'3_1':0.0},(104,211):{'4_1':0.0},(104,210):{'3_1':0.0,'4_1':0.0},(104,207):{'3_1':0.0},(104,206):{'3_1':0.0},(104,203):{'3_1':0.0},(104,202):{'3_1':0.0},(104,201):{'3_1':0.0},(104,200):{'3_1':0.03},(104,199):{'3_1':0.0},(104,198):{'3_1':0.0},(104,196):{'3_1':0.0},(104,195):{'3_1':0.0},(104,194):{'3_1':0.0},(104,193):{'3_1':0.0},(104,191):{'3_1':0.0},(104,190):{'3_1':0.0},(104,189):{'3_1':0.0},(104,188):{'3_1':0.03},(104,187):{'3_1':0.0},(104,186):{'3_1':0.0},(104,185):{'3_1':0.03},(104,184):{'3_1':0.0},(104,183):{'3_1':0.03},(104,182):{'3_1':0.0},(104,181):{'3_1':0.0},(104,178):{'3_1':0.0},(104,177):{'3_1':0.0},(104,176):{'3_1':0.0},(104,175):{'3_1':0.0},(104,174):{'3_1':0.0},(104,171):{'3_1':0.0},(104,170):{'3_1':0.0},(104,168):{'3_1':0.0},(104,166):{'3_1':0.0},(104,164):{'3_1':0.0},(104,163):{'3_1':0.0},(104,162):{'3_1':0.0},(104,161):{'3_1':0.0},(104,160):{'3_1':0.0},(104,159):{'3_1':0.0},(104,158):{'3_1':0.0},(104,153):{'3_1':0.0},(104,152):{'3_1':0.0},(104,149):{'3_1':0.0},(104,148):{'3_1':0.0},(104,146):{'3_1':0.0},(104,145):{'3_1':0.0},(104,144):{'3_1':0.0},(104,142):{'3_1':0.0},(104,139):{'3_1':0.0},(104,138):{'3_1':0.0},(104,136):{'3_1':0.0},(104,131):{'4_1':0.0},(104,128):{'3_1':0.0},(104,126):{'3_1':0.0},(104,121):{'3_1':0.0},(104,113):{'3_1':0.0},(104,108):{'3_1':0.0},(105,236):{'3_1':0.03},(105,235):{'3_1':0.03},(105,234):{'3_1':0.0,'4_1':0.0},(105,233):{'3_1':0.0},(105,232):{'3_1':0.0},(105,231):{'3_1':0.06},(105,230):{'3_1':0.0},(105,229):{'3_1':0.0},(105,226):{'3_1':0.0},(105,225):{'3_1':0.0},(105,223):{'3_1':0.0},(105,222):{'3_1':0.0},(105,221):{'3_1':0.0},(105,219):{'3_1':0.0},(105,218):{'3_1':0.0,'4_1':0.0},(105,217):{'4_1':0.0,'3_1':0.0},(105,216):{'4_1':0.0},(105,215):{'3_1':0.0},(105,212):{'3_1':0.0},(105,211):{'3_1':0.0},(105,209):{'3_1':0.0},(105,207):{'3_1':0.0,'4_1':0.0},(105,206):{'3_1':0.0},(105,205):{'3_1':0.0},(105,203):{'3_1':0.0},(105,202):{'3_1':0.0},(105,201):{'3_1':0.0},(105,199):{'3_1':0.0},(105,198):{'3_1':0.0},(105,197):{'3_1':0.0},(105,196):{'3_1':0.0,'4_1':0.0},(105,193):{'4_1':0.0},(105,192):{'3_1':0.0},(105,191):{'3_1':0.03},(105,190):{'3_1':0.0},(105,189):{'4_1':0.0},(105,188):{'3_1':0.0},(105,186):{'3_1':0.0},(105,185):{'3_1':0.0},(105,184):{'3_1':0.0},(105,183):{'3_1':0.0},(105,181):{'3_1':0.0,'4_1':0.0},(105,179):{'3_1':0.0,'4_1':0.0},(105,177):{'3_1':0.0},(105,176):{'3_1':0.0},(105,175):{'3_1':0.0},(105,174):{'3_1':0.06},(105,173):{'3_1':0.0},(105,172):{'3_1':0.0},(105,171):{'3_1':0.0},(105,168):{'3_1':0.0,'4_1':0.0},(105,165):{'3_1':0.0},(105,157):{'3_1':0.0},(105,156):{'3_1':0.0},(105,155):{'5_2':0.0},(105,154):{'3_1':0.0},(105,152):{'3_1':0.0},(105,151):{'3_1':0.0},(105,150):{'3_1':0.0},(105,149):{'3_1':0.0},(105,148):{'3_1':0.0},(105,146):{'3_1':0.0},(105,145):{'5_2':0.0},(105,144):{'3_1':0.0},(105,142):{'3_1':0.0},(105,141):{'3_1':0.0},(105,139):{'3_1':0.0},(105,138):{'4_1':0.0},(105,132):{'3_1':0.0},(105,125):{'4_1':0.0},(105,121):{'3_1':0.0},(105,118):{'3_1':0.0},(106,236):{'3_1':0.03},(106,235):{'3_1':0.0},(106,234):{'3_1':0.0},(106,233):{'3_1':0.0},(106,232):{'3_1':0.03},(106,231):{'3_1':0.0},(106,230):{'3_1':0.0},(106,229):{'3_1':0.0},(106,228):{'3_1':0.0},(106,227):{'3_1':0.0},(106,226):{'3_1':0.0},(106,225):{'3_1':0.0},(106,224):{'3_1':0.0},(106,223):{'3_1':0.0,'4_1':0.0},(106,222):{'4_1':0.0},(106,221):{'3_1':0.0},(106,220):{'3_1':0.0},(106,219):{'3_1':0.0,'4_1':0.0},(106,217):{'3_1':0.0},(106,216):{'3_1':0.0},(106,215):{'3_1':0.0},(106,214):{'3_1':0.0},(106,211):{'3_1':0.0},(106,210):{'3_1':0.0,'4_1':0.0},(106,207):{'3_1':0.0,'6_2':0.0},(106,206):{'3_1':0.0},(106,205):{'4_1':0.0},(106,204):{'3_1':0.0},(106,203):{'3_1':0.0},(106,202):{'3_1':0.0},(106,200):{'3_1':0.03},(106,199):{'3_1':0.0},(106,198):{'3_1':0.0},(106,196):{'3_1':0.0},(106,195):{'3_1':0.0},(106,194):{'3_1':0.0},(106,193):{'3_1':0.0},(106,192):{'3_1':0.0},(106,190):{'3_1':0.0},(106,189):{'3_1':0.03},(106,188):{'3_1':0.0},(106,187):{'3_1':0.0},(106,186):{'3_1':0.0},(106,185):{'3_1':0.03,'4_1':0.0},(106,184):{'3_1':0.0},(106,183):{'3_1':0.0},(106,182):{'3_1':0.03},(106,181):{'3_1':0.0},(106,178):{'3_1':0.0},(106,177):{'3_1':0.03},(106,176):{'3_1':0.0},(106,175):{'3_1':0.03},(106,174):{'3_1':0.0},(106,173):{'3_1':0.0},(106,172):{'3_1':0.0},(106,171):{'3_1':0.0},(106,169):{'3_1':0.0},(106,168):{'3_1':0.0},(106,166):{'3_1':0.0},(106,165):{'3_1':0.0},(106,164):{'3_1':0.0},(106,163):{'3_1':0.0},(106,160):{'3_1':0.0},(106,159):{'3_1':0.0},(106,152):{'3_1':0.0},(106,150):{'3_1':0.0},(106,146):{'3_1':0.0},(106,145):{'3_1':0.0},(106,144):{'3_1':0.0},(106,143):{'3_1':0.0},(106,142):{'3_1':0.0},(106,140):{'3_1':0.0},(106,139):{'3_1':0.0},(106,137):{'3_1':0.0},(106,136):{'3_1':0.0},(106,133):{'4_1':0.0},(106,131):{'3_1':0.0},(106,118):{'3_1':0.0},(106,117):{'3_1':0.0},(106,114):{'3_1':0.0},(106,109):{'3_1':0.0},(107,236):{'3_1':0.0},(107,235):{'3_1':0.0},(107,234):{'3_1':0.0},(107,233):{'3_1':0.03},(107,231):{'3_1':0.0},(107,230):{'3_1':0.0},(107,229):{'3_1':0.0},(107,228):{'3_1':0.0},(107,227):{'3_1':0.0},(107,226):{'3_1':0.0},(107,225):{'3_1':0.0},(107,224):{'3_1':0.0},(107,223):{'3_1':0.0},(107,222):{'3_1':0.0},(107,221):{'3_1':0.0},(107,220):{'3_1':0.0},(107,219):{'3_1':0.0,'4_1':0.0},(107,218):{'3_1':0.0},(107,217):{'3_1':0.0},(107,215):{'3_1':0.0},(107,214):{'4_1':0.0},(107,213):{'3_1':0.0},(107,212):{'4_1':0.0},(107,210):{'3_1':0.0},(107,209):{'3_1':0.03,'4_1':0.0},(107,208):{'3_1':0.0},(107,206):{'3_1':0.0},(107,205):{'3_1':0.0},(107,204):{'3_1':0.0},(107,203):{'3_1':0.0},(107,201):{'3_1':0.03},(107,200):{'3_1':0.0},(107,199):{'3_1':0.0},(107,198):{'3_1':0.0},(107,197):{'3_1':0.0},(107,196):{'3_1':0.0},(107,195):{'3_1':0.0},(107,194):{'3_1':0.03},(107,193):{'3_1':0.0},(107,192):{'3_1':0.0},(107,190):{'3_1':0.0},(107,189):{'3_1':0.0,'4_1':0.0},(107,188):{'3_1':0.0},(107,187):{'3_1':0.0},(107,186):{'3_1':0.0},(107,185):{'3_1':0.0,'4_1':0.0},(107,183):{'3_1':0.0,'4_1':0.0},(107,182):{'3_1':0.0},(107,181):{'3_1':0.0},(107,179):{'4_1':0.0},(107,178):{'3_1':0.0},(107,177):{'4_1':0.0},(107,175):{'3_1':0.0},(107,174):{'3_1':0.03},(107,173):{'3_1':0.0},(107,172):{'3_1':0.0},(107,171):{'3_1':0.0},(107,170):{'3_1':0.0},(107,166):{'3_1':0.0},(107,165):{'3_1':0.0},(107,164):{'3_1':0.0},(107,163):{'3_1':0.0},(107,157):{'3_1':0.0},(107,156):{'3_1':0.0},(107,152):{'3_1':0.0},(107,148):{'3_1':0.0},(107,146):{'3_1':0.0},(107,145):{'3_1':0.0,'4_1':0.0},(107,143):{'3_1':0.0},(107,140):{'3_1':0.0},(107,139):{'3_1':0.0},(107,134):{'3_1':0.0},(107,133):{'3_1':0.0},(107,132):{'3_1':0.0},(107,130):{'3_1':0.0},(107,129):{'4_1':0.0},(107,117):{'3_1':0.0},(107,115):{'3_1':0.0},(107,114):{'3_1':0.0},(107,113):{'3_1':0.0},(108,236):{'3_1':0.0},(108,235):{'3_1':0.0},(108,232):{'3_1':0.0,'5_1':0.0},(108,231):{'3_1':0.0},(108,230):{'3_1':0.0},(108,229):{'3_1':0.0},(108,227):{'3_1':0.0,'5_2':0.0},(108,226):{'3_1':0.0},(108,224):{'3_1':0.0},(108,222):{'3_1':0.0},(108,220):{'4_1':0.0},(108,218):{'3_1':0.0},(108,217):{'4_1':0.0},(108,215):{'3_1':0.0},(108,214):{'3_1':0.0},(108,213):{'3_1':0.0},(108,212):{'3_1':0.0,'4_1':0.0},(108,211):{'4_1':0.0},(108,210):{'3_1':0.0},(108,208):{'3_1':0.0},(108,205):{'6_2':0.0},(108,203):{'3_1':0.0},(108,202):{'3_1':0.0,'4_1':0.0},(108,201):{'3_1':0.0},(108,200):{'3_1':0.0},(108,199):{'3_1':0.0},(108,198):{'3_1':0.0},(108,197):{'3_1':0.0},(108,196):{'3_1':0.0},(108,195):{'3_1':0.0},(108,194):{'6_2':0.0},(108,192):{'3_1':0.0},(108,190):{'3_1':0.0},(108,189):{'3_1':0.03},(108,188):{'4_1':0.0},(108,186):{'3_1':0.0},(108,185):{'4_1':0.0},(108,184):{'3_1':0.0},(108,183):{'3_1':0.0,'4_1':0.0},(108,182):{'3_1':0.0},(108,181):{'3_1':0.0},(108,180):{'3_1':0.0},(108,179):{'3_1':0.0},(108,177):{'3_1':0.0},(108,176):{'3_1':0.0},(108,175):{'3_1':0.0},(108,173):{'3_1':0.0},(108,172):{'3_1':0.03},(108,171):{'3_1':0.0},(108,170):{'3_1':0.0},(108,169):{'3_1':0.0},(108,168):{'3_1':0.0,'4_1':0.0},(108,167):{'3_1':0.0},(108,161):{'3_1':0.0},(108,160):{'3_1':0.0},(108,156):{'5_2':0.0},(108,153):{'3_1':0.0},(108,146):{'7_2':0.0},(108,143):{'3_1':0.0},(108,141):{'3_1':0.0},(108,137):{'3_1':0.0},(108,136):{'3_1':0.0},(108,133):{'3_1':0.0},(108,131):{'3_1':0.0},(108,120):{'3_1':0.0},(108,119):{'5_2':0.0},(108,115):{'3_1':0.0},(108,114):{'3_1':0.0},(109,236):{'3_1':0.0},(109,235):{'3_1':0.0},(109,234):{'3_1':0.0},(109,233):{'3_1':0.0},(109,231):{'3_1':0.0},(109,230):{'3_1':0.0},(109,229):{'3_1':0.0},(109,228):{'3_1':0.0,'4_1':0.0},(109,227):{'3_1':0.0,'4_1':0.0},(109,226):{'3_1':0.0},(109,224):{'7_7':0.0},(109,221):{'3_1':0.0},(109,220):{'3_1':0.0},(109,218):{'3_1':0.0},(109,217):{'3_1':0.0},(109,216):{'3_1':0.0,'4_1':0.0},(109,215):{'3_1':0.0,'4_1':0.0},(109,214):{'3_1':0.0},(109,213):{'3_1':0.0,'4_1':0.0},(109,210):{'3_1':0.0},(109,209):{'3_1':0.0,'4_1':0.0},(109,208):{'3_1':0.0},(109,207):{'3_1':0.0},(109,206):{'3_1':0.0},(109,204):{'3_1':0.0},(109,203):{'3_1':0.0},(109,202):{'3_1':0.0,'4_1':0.0},(109,201):{'3_1':0.03},(109,200):{'3_1':0.0},(109,199):{'3_1':0.0},(109,198):{'3_1':0.0},(109,197):{'3_1':0.0},(109,196):{'3_1':0.0},(109,193):{'3_1':0.0},(109,192):{'3_1':0.0},(109,191):{'3_1':0.0},(109,190):{'4_1':0.0},(109,189):{'4_1':0.0},(109,188):{'3_1':0.0},(109,187):{'3_1':0.0,'4_1':0.0},(109,185):{'3_1':0.0,'4_1':0.0},(109,183):{'3_1':0.0},(109,182):{'3_1':0.0,'4_1':0.0},(109,180):{'3_1':0.0,'4_1':0.0},(109,179):{'3_1':0.0},(109,178):{'3_1':0.0},(109,177):{'3_1':0.0},(109,174):{'3_1':0.0},(109,172):{'3_1':0.0},(109,168):{'3_1':0.0},(109,165):{'3_1':0.0},(109,162):{'3_1':0.0},(109,160):{'3_1':0.0},(109,157):{'3_1':0.0},(109,155):{'3_1':0.0},(109,153):{'3_1':0.0,'4_1':0.0},(109,152):{'3_1':0.0},(109,140):{'3_1':0.0},(109,137):{'3_1':0.0},(109,136):{'3_1':0.0},(109,135):{'3_1':0.0},(109,134):{'3_1':0.0},(109,128):{'3_1':0.0},(110,236):{'3_1':0.03},(110,235):{'3_1':0.0},(110,234):{'3_1':0.0},(110,233):{'3_1':0.0,'4_1':0.0},(110,232):{'3_1':0.0,'4_1':0.0},(110,231):{'3_1':0.03,'4_1':0.0},(110,230):{'3_1':0.0},(110,229):{'3_1':0.0,'4_1':0.0},(110,228):{'3_1':0.0},(110,227):{'5_1':0.0},(110,226):{'3_1':0.0},(110,225):{'4_1':0.0},(110,224):{'3_1':0.0,'4_1':0.0},(110,222):{'3_1':0.0},(110,221):{'3_1':0.0},(110,219):{'4_1':0.0},(110,218):{'3_1':0.0},(110,217):{'4_1':0.0,'3_1':0.0},(110,215):{'3_1':0.0},(110,212):{'3_1':0.0},(110,211):{'4_1':0.0},(110,210):{'4_1':0.0},(110,209):{'3_1':0.0},(110,208):{'3_1':0.0},(110,207):{'3_1':0.0},(110,205):{'4_1':0.0},(110,204):{'3_1':0.0,'6_2':0.0},(110,203):{'3_1':0.0},(110,202):{'3_1':0.0},(110,201):{'3_1':0.0},(110,199):{'3_1':0.0},(110,198):{'3_1':0.0},(110,197):{'3_1':0.0},(110,196):{'4_1':0.0},(110,195):{'3_1':0.0},(110,194):{'3_1':0.03},(110,193):{'3_1':0.0},(110,192):{'3_1':0.0},(110,188):{'3_1':0.0},(110,187):{'3_1':0.0},(110,185):{'4_1':0.0},(110,184):{'3_1':0.0},(110,183):{'3_1':0.0},(110,182):{'3_1':0.0},(110,181):{'3_1':0.0},(110,180):{'3_1':0.0},(110,179):{'3_1':0.0},(110,176):{'3_1':0.0},(110,175):{'3_1':0.0},(110,174):{'3_1':0.0},(110,170):{'3_1':0.0},(110,168):{'3_1':0.0},(110,167):{'3_1':0.0},(110,166):{'3_1':0.0},(110,164):{'3_1':0.0},(110,161):{'3_1':0.0},(110,159):{'3_1':0.0},(110,158):{'3_1':0.0},(110,153):{'3_1':0.0},(110,149):{'3_1':0.0},(110,147):{'7_2':0.0},(110,139):{'4_1':0.0},(110,119):{'3_1':0.0,'5_2':0.0},(110,118):{'3_1':0.0},(110,117):{'3_1':0.0},(110,114):{'3_1':0.0},(111,236):{'3_1':0.0},(111,234):{'3_1':0.0},(111,233):{'3_1':0.03},(111,232):{'3_1':0.0},(111,231):{'3_1':0.03},(111,230):{'3_1':0.0},(111,229):{'3_1':0.0},(111,228):{'3_1':0.0},(111,227):{'3_1':0.0},(111,226):{'3_1':0.0},(111,224):{'3_1':0.0},(111,222):{'3_1':0.0},(111,217):{'3_1':0.0},(111,215):{'3_1':0.0},(111,213):{'6_2':0.0},(111,212):{'3_1':0.0},(111,211):{'3_1':0.0},(111,209):{'3_1':0.0},(111,208):{'3_1':0.0},(111,207):{'3_1':0.0,'6_2':0.0},(111,206):{'3_1':0.0},(111,205):{'3_1':0.03,'6_2':0.0},(111,204):{'3_1':0.0},(111,203):{'3_1':0.0},(111,202):{'3_1':0.0},(111,201):{'3_1':0.0},(111,200):{'3_1':0.03},(111,197):{'3_1':0.0},(111,196):{'4_1':0.0},(111,195):{'3_1':0.0},(111,193):{'3_1':0.0},(111,192):{'3_1':0.0},(111,190):{'3_1':0.0},(111,189):{'3_1':0.0},(111,188):{'3_1':0.0},(111,187):{'3_1':0.0},(111,186):{'3_1':0.0,'4_1':0.0},(111,185):{'3_1':0.0,'4_1':0.0},(111,184):{'3_1':0.0},(111,183):{'3_1':0.0,'4_1':0.0},(111,182):{'3_1':0.0},(111,181):{'3_1':0.0},(111,180):{'3_1':0.0},(111,179):{'3_1':0.0},(111,175):{'3_1':0.0},(111,174):{'3_1':0.0},(111,173):{'3_1':0.0},(111,172):{'3_1':0.0},(111,171):{'3_1':0.0},(111,170):{'3_1':0.0},(111,168):{'3_1':0.0},(111,167):{'3_1':0.0},(111,166):{'3_1':0.0},(111,165):{'3_1':0.0},(111,164):{'3_1':0.0,'4_1':0.0},(111,163):{'3_1':0.0},(111,161):{'3_1':0.0},(111,159):{'3_1':0.0},(111,157):{'3_1':0.0},(111,154):{'3_1':0.0},(111,152):{'3_1':0.0},(111,151):{'3_1':0.0},(111,149):{'3_1':0.0},(111,145):{'3_1':0.0},(111,140):{'3_1':0.0},(111,139):{'3_1':0.0},(111,138):{'3_1':0.0},(111,137):{'3_1':0.0},(111,135):{'3_1':0.0},(111,132):{'3_1':0.0},(111,131):{'3_1':0.0},(111,126):{'3_1':0.0},(111,125):{'5_2':0.0},(111,122):{'3_1':0.0},(111,121):{'3_1':0.0},(111,118):{'3_1':0.0},(111,115):{'3_1':0.0},(112,236):{'3_1':0.0},(112,234):{'3_1':0.03},(112,233):{'3_1':0.06},(112,232):{'3_1':0.0},(112,231):{'3_1':0.0},(112,230):{'3_1':0.0},(112,229):{'3_1':0.0},(112,228):{'3_1':0.0},(112,227):{'3_1':0.0},(112,226):{'3_1':0.03},(112,225):{'3_1':0.0},(112,224):{'3_1':0.0},(112,223):{'3_1':0.0},(112,222):{'4_1':0.0},(112,221):{'3_1':0.0},(112,219):{'3_1':0.0},(112,218):{'4_1':0.0},(112,217):{'3_1':0.0},(112,216):{'3_1':0.0},(112,214):{'3_1':0.0,'4_1':0.0},(112,213):{'3_1':0.0},(112,212):{'3_1':0.0},(112,211):{'4_1':0.0},(112,210):{'4_1':0.0},(112,209):{'3_1':0.0},(112,207):{'3_1':0.0},(112,206):{'3_1':0.0},(112,205):{'3_1':0.0},(112,204):{'3_1':0.0,'6_2':0.0},(112,203):{'3_1':0.0},(112,202):{'4_1':0.0},(112,201):{'4_1':0.0},(112,200):{'3_1':0.0},(112,198):{'3_1':0.0,'4_1':0.0},(112,195):{'3_1':0.0},(112,194):{'3_1':0.0,'4_1':0.0},(112,193):{'3_1':0.0},(112,191):{'3_1':0.0,'4_1':0.0},(112,190):{'4_1':0.0,'3_1':0.0},(112,189):{'4_1':0.0},(112,188):{'3_1':0.0},(112,187):{'3_1':0.0},(112,185):{'3_1':0.0},(112,184):{'3_1':0.0},(112,182):{'3_1':0.0},(112,181):{'3_1':0.0},(112,180):{'3_1':0.0},(112,178):{'3_1':0.0},(112,177):{'3_1':0.0},(112,176):{'3_1':0.0},(112,175):{'3_1':0.0},(112,174):{'3_1':0.0},(112,173):{'3_1':0.03},(112,172):{'3_1':0.0},(112,171):{'3_1':0.0},(112,170):{'3_1':0.0},(112,169):{'3_1':0.0},(112,168):{'3_1':0.0},(112,167):{'4_1':0.0},(112,164):{'3_1':0.0},(112,162):{'3_1':0.0},(112,160):{'3_1':0.0},(112,155):{'3_1':0.0},(112,154):{'3_1':0.0},(112,153):{'3_1':0.0},(112,150):{'3_1':0.0},(112,149):{'3_1':0.0},(112,148):{'3_1':0.0},(112,147):{'3_1':0.0},(112,146):{'3_1':0.0},(112,144):{'5_1':0.0},(112,142):{'3_1':0.0},(112,140):{'3_1':0.0,'6_1':0.0},(112,139):{'3_1':0.0},(112,136):{'3_1':0.0},(112,135):{'3_1':0.0},(112,133):{'3_1':0.0},(112,132):{'3_1':0.03},(112,131):{'3_1':0.0},(112,125):{'3_1':0.0},(113,236):{'3_1':0.0},(113,235):{'3_1':0.0},(113,234):{'3_1':0.0},(113,232):{'3_1':0.0},(113,231):{'3_1':0.0,'4_1':0.0},(113,230):{'3_1':0.0},(113,229):{'3_1':0.0},(113,227):{'3_1':0.0},(113,226):{'4_1':0.0},(113,225):{'3_1':0.0},(113,224):{'3_1':0.0},(113,223):{'3_1':0.0},(113,222):{'3_1':0.0},(113,221):{'5_2':0.0},(113,220):{'3_1':0.0},(113,219):{'3_1':0.0},(113,218):{'3_1':0.0,'4_1':0.0},(113,217):{'3_1':0.0,'4_1':0.0},(113,216):{'3_1':0.0},(113,214):{'4_1':0.0},(113,213):{'3_1':0.0},(113,212):{'3_1':0.0},(113,210):{'3_1':0.0},(113,209):{'3_1':0.0,'4_1':0.0},(113,208):{'3_1':0.0},(113,207):{'3_1':0.0},(113,206):{'3_1':0.0,'4_1':0.0},(113,205):{'3_1':0.0},(113,204):{'3_1':0.0},(113,203):{'3_1':0.0},(113,202):{'3_1':0.0},(113,201):{'3_1':0.0,'4_1':0.0},(113,200):{'4_1':0.0},(113,199):{'3_1':0.0},(113,197):{'3_1':0.0},(113,196):{'3_1':0.0},(113,194):{'3_1':0.0},(113,193):{'3_1':0.0},(113,192):{'3_1':0.0},(113,191):{'3_1':0.0},(113,190):{'3_1':0.0},(113,189):{'3_1':0.0},(113,188):{'3_1':0.0},(113,187):{'3_1':0.03},(113,184):{'3_1':0.0},(113,182):{'3_1':0.0},(113,181):{'3_1':0.0},(113,180):{'3_1':0.0},(113,178):{'3_1':0.0},(113,177):{'3_1':0.0},(113,176):{'3_1':0.0},(113,174):{'3_1':0.0},(113,173):{'3_1':0.0},(113,172):{'3_1':0.0},(113,170):{'3_1':0.0},(113,169):{'3_1':0.0},(113,167):{'3_1':0.0},(113,166):{'3_1':0.0},(113,160):{'3_1':0.0},(113,158):{'3_1':0.0},(113,157):{'3_1':0.0},(113,152):{'3_1':0.0},(113,150):{'3_1':0.0},(113,146):{'3_1':0.0},(113,144):{'3_1':0.0},(113,141):{'3_1':0.0},(113,138):{'3_1':0.0},(113,137):{'3_1':0.0},(113,136):{'3_1':0.0},(113,135):{'3_1':0.0},(113,133):{'3_1':0.0},(113,130):{'3_1':0.0},(113,123):{'3_1':0.0},(113,122):{'3_1':0.0},(113,116):{'3_1':0.0},(114,236):{'3_1':0.0},(114,235):{'3_1':0.03},(114,234):{'3_1':0.0},(114,233):{'3_1':0.0},(114,232):{'3_1':0.0,'4_1':0.0},(114,231):{'3_1':0.0},(114,229):{'3_1':0.0},(114,228):{'3_1':0.0},(114,226):{'3_1':0.0,'4_1':0.0},(114,225):{'3_1':0.0},(114,224):{'3_1':0.0},(114,223):{'3_1':0.0},(114,220):{'4_1':0.0},(114,218):{'4_1':0.0},(114,216):{'3_1':0.0},(114,213):{'3_1':0.0},(114,212):{'4_1':0.0},(114,211):{'4_1':0.0},(114,210):{'3_1':0.0},(114,209):{'3_1':0.0},(114,208):{'4_1':0.0},(114,207):{'3_1':0.0,'4_1':0.0},(114,206):{'3_1':0.0,'4_1':0.0},(114,205):{'3_1':0.0},(114,203):{'3_1':0.0},(114,201):{'3_1':0.0},(114,200):{'3_1':0.0},(114,199):{'3_1':0.0},(114,197):{'3_1':0.0},(114,195):{'3_1':0.0},(114,194):{'3_1':0.0,'6_2':0.0},(114,193):{'4_1':0.0},(114,191):{'3_1':0.0},(114,190):{'3_1':0.0,'4_1':0.0},(114,188):{'3_1':0.0},(114,187):{'3_1':0.0},(114,186):{'3_1':0.0,'4_1':0.0},(114,185):{'3_1':0.03},(114,184):{'3_1':0.0,'4_1':0.0},(114,183):{'3_1':0.0},(114,182):{'3_1':0.0},(114,181):{'3_1':0.0},(114,180):{'3_1':0.03},(114,178):{'3_1':0.0},(114,176):{'3_1':0.0},(114,175):{'3_1':0.0},(114,174):{'3_1':0.0},(114,173):{'3_1':0.0},(114,172):{'3_1':0.0},(114,171):{'3_1':0.03},(114,170):{'3_1':0.0},(114,167):{'3_1':0.0},(114,166):{'3_1':0.0},(114,161):{'3_1':0.0},(114,159):{'3_1':0.0},(114,158):{'3_1':0.0},(114,152):{'3_1':0.0},(114,150):{'3_1':0.0},(114,148):{'3_1':0.0},(114,145):{'3_1':0.0},(114,144):{'3_1':0.0,'5_2':0.0},(114,143):{'3_1':0.0},(114,142):{'3_1':0.0},(114,141):{'3_1':0.0},(114,137):{'3_1':0.0},(114,132):{'3_1':0.0},(114,129):{'3_1':0.0},(114,126):{'3_1':0.0},(114,122):{'3_1':0.0},(114,119):{'3_1':0.0},(115,236):{'3_1':0.0},(115,234):{'3_1':0.0,'4_1':0.0,'5_2':0.0},(115,233):{'3_1':0.0},(115,232):{'3_1':0.0,'4_1':0.0},(115,231):{'3_1':0.0},(115,230):{'3_1':0.0},(115,229):{'3_1':0.06},(115,228):{'3_1':0.0},(115,227):{'3_1':0.0},(115,226):{'3_1':0.0},(115,225):{'3_1':0.0},(115,224):{'3_1':0.0},(115,223):{'3_1':0.0},(115,221):{'3_1':0.0},(115,218):{'3_1':0.0},(115,216):{'3_1':0.0,'4_1':0.0},(115,214):{'3_1':0.0},(115,213):{'3_1':0.0,'4_1':0.0},(115,212):{'3_1':0.0},(115,211):{'3_1':0.0},(115,210):{'3_1':0.0},(115,208):{'3_1':0.0},(115,207):{'3_1':0.0},(115,203):{'3_1':0.0},(115,202):{'3_1':0.0},(115,201):{'3_1':0.0},(115,200):{'3_1':0.0},(115,199):{'3_1':0.0},(115,197):{'3_1':0.0},(115,194):{'3_1':0.0,'4_1':0.0},(115,193):{'3_1':0.0},(115,192):{'3_1':0.0},(115,191):{'3_1':0.0},(115,190):{'3_1':0.0},(115,188):{'3_1':0.0},(115,187):{'3_1':0.0},(115,185):{'3_1':0.0},(115,183):{'3_1':0.03},(115,182):{'3_1':0.0,'4_1':0.0},(115,181):{'3_1':0.0},(115,180):{'3_1':0.0},(115,179):{'3_1':0.0},(115,178):{'3_1':0.03},(115,177):{'3_1':0.0},(115,176):{'3_1':0.0},(115,175):{'3_1':0.0},(115,173):{'3_1':0.0},(115,172):{'3_1':0.0},(115,170):{'3_1':0.0},(115,169):{'3_1':0.0},(115,168):{'3_1':0.0},(115,167):{'3_1':0.0},(115,164):{'3_1':0.0},(115,162):{'3_1':0.0},(115,161):{'3_1':0.0},(115,160):{'3_1':0.0},(115,154):{'5_2':0.0},(115,150):{'3_1':0.0},(115,145):{'3_1':0.0},(115,143):{'3_1':0.0},(115,141):{'3_1':0.0},(115,140):{'3_1':0.0},(115,138):{'3_1':0.0},(115,137):{'3_1':0.0},(115,136):{'3_1':0.0},(115,135):{'3_1':0.0},(115,133):{'3_1':0.0},(115,131):{'3_1':0.0},(115,128):{'3_1':0.0},(115,127):{'3_1':0.0},(115,120):{'3_1':0.0},(116,236):{'3_1':0.0},(116,235):{'3_1':0.0},(116,234):{'3_1':0.0,'5_1':0.0},(116,233):{'3_1':0.0},(116,232):{'3_1':0.0},(116,231):{'3_1':0.0},(116,230):{'3_1':0.0,'4_1':0.0},(116,229):{'3_1':0.0},(116,227):{'3_1':0.0},(116,226):{'3_1':0.0},(116,225):{'3_1':0.0},(116,224):{'4_1':0.0},(116,223):{'3_1':0.0,'4_1':0.0},(116,221):{'3_1':0.0},(116,220):{'3_1':0.0},(116,218):{'3_1':0.0},(116,217):{'3_1':0.0},(116,216):{'4_1':0.0,'6_3':0.0},(116,215):{'4_1':0.0},(116,214):{'3_1':0.0},(116,213):{'3_1':0.0},(116,212):{'3_1':0.0},(116,210):{'3_1':0.0,'4_1':0.0},(116,208):{'3_1':0.0},(116,206):{'4_1':0.0,'6_2':0.0},(116,205):{'3_1':0.0},(116,204):{'3_1':0.0},(116,203):{'3_1':0.0},(116,202):{'3_1':0.0},(116,201):{'4_1':0.0},(116,200):{'3_1':0.0},(116,199):{'3_1':0.0},(116,197):{'3_1':0.0},(116,195):{'3_1':0.0},(116,193):{'3_1':0.0},(116,192):{'3_1':0.0},(116,191):{'4_1':0.0},(116,190):{'3_1':0.0},(116,189):{'4_1':0.0},(116,188):{'3_1':0.0},(116,187):{'3_1':0.0},(116,186):{'3_1':0.0},(116,185):{'3_1':0.0},(116,184):{'3_1':0.0,'4_1':0.0},(116,183):{'3_1':0.0},(116,182):{'3_1':0.0},(116,179):{'3_1':0.0},(116,178):{'3_1':0.0},(116,177):{'3_1':0.0},(116,175):{'3_1':0.0},(116,174):{'3_1':0.0},(116,173):{'3_1':0.0},(116,172):{'3_1':0.0},(116,171):{'3_1':0.0},(116,170):{'3_1':0.0},(116,168):{'3_1':0.0},(116,167):{'3_1':0.0},(116,165):{'3_1':0.0},(116,164):{'3_1':0.0},(116,162):{'3_1':0.0},(116,161):{'3_1':0.0},(116,159):{'3_1':0.0},(116,157):{'3_1':0.0},(116,156):{'3_1':0.0},(116,141):{'3_1':0.0},(116,140):{'3_1':0.0},(116,139):{'3_1':0.0},(116,137):{'3_1':0.0},(116,136):{'3_1':0.0},(116,133):{'3_1':0.0},(116,130):{'3_1':0.0},(116,128):{'3_1':0.0},(116,120):{'3_1':0.0},(117,236):{'3_1':0.0},(117,235):{'3_1':0.0},(117,234):{'3_1':0.0},(117,233):{'3_1':0.0},(117,232):{'3_1':0.0,'4_1':0.0},(117,231):{'3_1':0.0},(117,230):{'3_1':0.0,'4_1':0.0},(117,229):{'3_1':0.03,'4_1':0.0},(117,228):{'3_1':0.0},(117,227):{'3_1':0.0,'5_2':0.0},(117,226):{'4_1':0.0},(117,225):{'3_1':0.0},(117,224):{'3_1':0.0},(117,223):{'3_1':0.0,'5_2':0.0},(117,222):{'3_1':0.0},(117,221):{'3_1':0.0},(117,220):{'3_1':0.0,'4_1':0.0},(117,219):{'3_1':0.0},(117,218):{'3_1':0.0,'4_1':0.0},(117,216):{'3_1':0.0},(117,215):{'3_1':0.0},(117,210):{'3_1':0.0},(117,208):{'4_1':0.0},(117,207):{'6_2':0.0},(117,204):{'3_1':0.0,'4_1':0.0},(117,203):{'3_1':0.0},(117,202):{'3_1':0.0},(117,201):{'3_1':0.0,'4_1':0.0},(117,200):{'3_1':0.0},(117,198):{'3_1':0.0},(117,194):{'4_1':0.0},(117,193):{'3_1':0.0,'4_1':0.0},(117,191):{'3_1':0.0,'4_1':0.0},(117,190):{'3_1':0.0},(117,189):{'3_1':0.0},(117,188):{'3_1':0.0},(117,187):{'3_1':0.0},(117,186):{'4_1':0.0},(117,184):{'3_1':0.0,'4_1':0.0},(117,182):{'3_1':0.0},(117,181):{'3_1':0.0},(117,180):{'3_1':0.0},(117,178):{'3_1':0.0},(117,177):{'3_1':0.0},(117,176):{'3_1':0.0},(117,175):{'3_1':0.0},(117,174):{'3_1':0.0},(117,173):{'3_1':0.0},(117,171):{'3_1':0.0},(117,170):{'3_1':0.0},(117,169):{'3_1':0.0},(117,168):{'3_1':0.0},(117,167):{'3_1':0.0},(117,166):{'3_1':0.0,'5_2':0.0},(117,165):{'3_1':0.0},(117,164):{'4_1':0.0},(117,163):{'3_1':0.0},(117,162):{'4_1':0.0},(117,147):{'3_1':0.0},(117,146):{'3_1':0.0},(117,145):{'3_1':0.0},(117,141):{'3_1':0.0},(117,134):{'3_1':0.0},(117,133):{'3_1':0.0},(117,132):{'3_1':0.0},(117,121):{'3_1':0.0},(118,236):{'3_1':0.0},(118,235):{'3_1':0.03,'4_1':0.0},(118,234):{'3_1':0.03},(118,233):{'3_1':0.0},(118,232):{'3_1':0.0},(118,231):{'3_1':0.0,'4_1':0.0},(118,230):{'3_1':0.0},(118,229):{'3_1':0.03},(118,228):{'3_1':0.0},(118,227):{'3_1':0.0},(118,223):{'3_1':0.0},(118,219):{'3_1':0.0},(118,218):{'3_1':0.0},(118,216):{'3_1':0.0},(118,215):{'4_1':0.0},(118,213):{'3_1':0.0},(118,212):{'4_1':0.0},(118,206):{'4_1':0.0},(118,205):{'3_1':0.0},(118,204):{'3_1':0.0},(118,202):{'3_1':0.0},(118,200):{'3_1':0.0},(118,197):{'3_1':0.0},(118,195):{'3_1':0.03},(118,194):{'3_1':0.0},(118,193):{'3_1':0.0},(118,192):{'3_1':0.0},(118,191):{'3_1':0.0},(118,188):{'3_1':0.0},(118,187):{'3_1':0.0},(118,186):{'3_1':0.0},(118,184):{'3_1':0.0},(118,183):{'3_1':0.0},(118,182):{'3_1':0.0},(118,181):{'3_1':0.0},(118,180):{'3_1':0.0},(118,175):{'3_1':0.0},(118,173):{'3_1':0.0},(118,171):{'3_1':0.0},(118,158):{'3_1':0.0},(118,155):{'3_1':0.0},(118,153):{'3_1':0.0},(118,150):{'5_2':0.0},(118,143):{'3_1':0.0},(118,139):{'3_1':0.0},(118,137):{'3_1':0.0,'5_2':0.0},(118,133):{'3_1':0.0},(118,132):{'3_1':0.0},(118,130):{'3_1':0.0},(118,123):{'3_1':0.0},(118,122):{'3_1':0.0},(119,236):{'3_1':0.0},(119,235):{'3_1':0.0},(119,234):{'3_1':0.0,'4_1':0.0},(119,233):{'3_1':0.0,'5_2':0.0},(119,232):{'3_1':0.0},(119,231):{'3_1':0.0},(119,230):{'3_1':0.0,'4_1':0.0},(119,229):{'3_1':0.0},(119,228):{'3_1':0.0},(119,227):{'3_1':0.0,'4_1':0.0},(119,224):{'3_1':0.0},(119,223):{'3_1':0.0},(119,220):{'3_1':0.0,'4_1':0.0},(119,219):{'3_1':0.0},(119,218):{'4_1':0.0},(119,216):{'4_1':0.0},(119,214):{'4_1':0.0},(119,211):{'3_1':0.0,'4_1':0.0},(119,210):{'3_1':0.0},(119,209):{'3_1':0.0},(119,208):{'4_1':0.0},(119,200):{'3_1':0.0},(119,199):{'4_1':0.0},(119,198):{'4_1':0.0},(119,195):{'3_1':0.0},(119,193):{'3_1':0.0},(119,192):{'3_1':0.0,'4_1':0.0},(119,189):{'3_1':0.0},(119,188):{'3_1':0.0},(119,187):{'3_1':0.0},(119,186):{'4_1':0.0},(119,184):{'3_1':0.0},(119,183):{'3_1':0.0},(119,182):{'3_1':0.0},(119,181):{'3_1':0.0},(119,180):{'3_1':0.0},(119,178):{'3_1':0.0},(119,177):{'3_1':0.0},(119,176):{'3_1':0.0},(119,175):{'3_1':0.0},(119,174):{'3_1':0.0},(119,173):{'3_1':0.03},(119,169):{'3_1':0.0},(119,167):{'3_1':0.0},(119,166):{'3_1':0.0},(119,160):{'3_1':0.0},(119,158):{'3_1':0.0},(119,154):{'3_1':0.0,'5_2':0.0},(119,149):{'5_2':0.0},(119,148):{'3_1':0.0},(119,143):{'3_1':0.0},(119,132):{'3_1':0.0},(119,130):{'3_1':0.0},(119,124):{'3_1':0.0},(120,235):{'4_1':0.0},(120,233):{'3_1':0.0},(120,232):{'3_1':0.0,'4_1':0.0},(120,231):{'3_1':0.0},(120,230):{'3_1':0.0,'4_1':0.0},(120,229):{'3_1':0.0},(120,228):{'3_1':0.0},(120,227):{'3_1':0.0},(120,226):{'3_1':0.0,'4_1':0.0},(120,225):{'4_1':0.0},(120,224):{'3_1':0.0},(120,222):{'3_1':0.0},(120,221):{'3_1':0.0},(120,220):{'4_1':0.0},(120,218):{'3_1':0.0},(120,214):{'4_1':0.0},(120,213):{'3_1':0.0},(120,208):{'4_1':0.0},(120,206):{'3_1':0.0,'4_1':0.0},(120,205):{'3_1':0.0},(120,203):{'4_1':0.0},(120,201):{'3_1':0.0},(120,200):{'3_1':0.0},(120,199):{'3_1':0.0},(120,194):{'3_1':0.0},(120,193):{'3_1':0.0},(120,192):{'3_1':0.0,'4_1':0.0},(120,189):{'3_1':0.0},(120,188):{'3_1':0.0},(120,185):{'3_1':0.0,'4_1':0.0},(120,184):{'3_1':0.0},(120,183):{'3_1':0.0},(120,182):{'3_1':0.03},(120,181):{'3_1':0.03},(120,180):{'3_1':0.0},(120,179):{'3_1':0.0},(120,176):{'3_1':0.0},(120,174):{'3_1':0.0},(120,168):{'3_1':0.0},(120,160):{'3_1':0.0},(120,157):{'3_1':0.0},(120,156):{'3_1':0.0},(120,141):{'3_1':0.0},(120,140):{'3_1':0.0},(120,138):{'3_1':0.0},(120,137):{'3_1':0.0},(120,136):{'3_1':0.0},(120,131):{'3_1':0.0},(120,128):{'3_1':0.0},(120,124):{'3_1':0.0},(121,236):{'4_1':0.0},(121,235):{'3_1':0.0},(121,234):{'3_1':0.03},(121,231):{'4_1':0.0},(121,230):{'3_1':0.0},(121,229):{'3_1':0.0},(121,228):{'3_1':0.0,'4_1':0.0},(121,226):{'3_1':0.0,'4_1':0.0},(121,224):{'3_1':0.0},(121,223):{'3_1':0.0},(121,221):{'3_1':0.0},(121,220):{'3_1':0.0},(121,218):{'4_1':0.0},(121,217):{'4_1':0.0},(121,216):{'4_1':0.0,'3_1':0.0},(121,215):{'4_1':0.0},(121,214):{'3_1':0.0},(121,213):{'4_1':0.0},(121,212):{'3_1':0.0},(121,210):{'3_1':0.0,'4_1':0.0},(121,209):{'4_1':0.0},(121,208):{'3_1':0.0},(121,206):{'3_1':0.0},(121,203):{'3_1':0.0},(121,202):{'3_1':0.0,'4_1':0.0},(121,201):{'3_1':0.0},(121,197):{'3_1':0.0},(121,195):{'3_1':0.0},(121,191):{'3_1':0.0},(121,190):{'3_1':0.0},(121,189):{'3_1':0.0},(121,187):{'3_1':0.0},(121,186):{'3_1':0.0},(121,185):{'3_1':0.0},(121,184):{'3_1':0.0},(121,182):{'3_1':0.0},(121,181):{'3_1':0.0},(121,180):{'3_1':0.0},(121,179):{'3_1':0.0},(121,178):{'3_1':0.0},(121,173):{'3_1':0.0},(121,172):{'3_1':0.0},(121,171):{'3_1':0.0},(121,168):{'3_1':0.0},(121,167):{'3_1':0.0},(121,164):{'3_1':0.0},(121,144):{'3_1':0.0},(121,141):{'3_1':0.0},(121,140):{'3_1':0.0},(121,135):{'3_1':0.0},(121,133):{'3_1':0.0},(121,130):{'3_1':0.0},(121,129):{'3_1':0.0},(122,236):{'4_1':0.0},(122,235):{'3_1':0.0},(122,234):{'3_1':0.0,'4_1':0.0},(122,233):{'3_1':0.0},(122,232):{'3_1':0.0},(122,231):{'3_1':0.0},(122,230):{'3_1':0.0},(122,228):{'3_1':0.0},(122,226):{'4_1':0.0},(122,225):{'3_1':0.0,'4_1':0.0},(122,224):{'3_1':0.0},(122,222):{'4_1':0.0},(122,221):{'3_1':0.0},(122,218):{'3_1':0.0},(122,217):{'3_1':0.0},(122,214):{'4_1':0.0},(122,213):{'3_1':0.0},(122,211):{'4_1':0.0},(122,210):{'3_1':0.0},(122,209):{'3_1':0.0},(122,208):{'3_1':0.0,'4_1':0.0},(122,205):{'3_1':0.0},(122,203):{'3_1':0.0},(122,202):{'3_1':0.0},(122,201):{'3_1':0.0},(122,200):{'3_1':0.0},(122,199):{'3_1':0.0},(122,198):{'3_1':0.0},(122,195):{'3_1':0.0},(122,194):{'3_1':0.0},(122,192):{'3_1':0.0},(122,188):{'3_1':0.0},(122,187):{'3_1':0.0,'4_1':0.0},(122,186):{'3_1':0.0},(122,184):{'3_1':0.0},(122,183):{'3_1':0.0},(122,182):{'3_1':0.0},(122,181):{'3_1':0.0},(122,180):{'3_1':0.0},(122,179):{'3_1':0.0},(122,178):{'3_1':0.0},(122,177):{'3_1':0.0},(122,175):{'3_1':0.0},(122,173):{'3_1':0.0},(122,170):{'3_1':0.0},(122,169):{'3_1':0.0},(122,154):{'3_1':0.0},(122,141):{'3_1':0.0},(122,138):{'3_1':0.0},(122,137):{'3_1':0.0},(122,135):{'3_1':0.0},(122,134):{'3_1':0.0},(122,131):{'3_1':0.0},(122,130):{'3_1':0.0},(122,128):{'3_1':0.0},(123,236):{'3_1':0.0},(123,235):{'3_1':0.0,'4_1':0.0},(123,233):{'3_1':0.0,'4_1':0.0},(123,232):{'3_1':0.0},(123,231):{'3_1':0.0},(123,230):{'3_1':0.0,'4_1':0.0},(123,229):{'3_1':0.0},(123,228):{'3_1':0.0,'4_1':0.0},(123,227):{'3_1':0.0,'4_1':0.0},(123,225):{'4_1':0.0},(123,224):{'3_1':0.0,'4_1':0.0},(123,222):{'3_1':0.0,'4_1':0.0},(123,219):{'3_1':0.0},(123,218):{'3_1':0.0},(123,217):{'3_1':0.0,'4_1':0.0},(123,216):{'4_1':0.0},(123,213):{'3_1':0.0},(123,212):{'5_2':0.0},(123,211):{'3_1':0.0},(123,208):{'3_1':0.0},(123,207):{'3_1':0.0},(123,206):{'4_1':0.0},(123,205):{'3_1':0.0},(123,203):{'3_1':0.0},(123,201):{'3_1':0.0},(123,200):{'3_1':0.0,'4_1':0.0},(123,199):{'3_1':0.0},(123,197):{'4_1':0.0},(123,194):{'4_1':0.0},(123,191):{'3_1':0.0},(123,188):{'3_1':0.0},(123,187):{'3_1':0.0},(123,186):{'4_1':0.0},(123,185):{'3_1':0.0},(123,184):{'4_1':0.0},(123,182):{'3_1':0.0},(123,172):{'3_1':0.0},(123,169):{'3_1':0.0},(123,166):{'3_1':0.0},(123,147):{'3_1':0.0},(123,141):{'5_2':0.0},(123,140):{'3_1':0.0},(123,135):{'3_1':0.0},(123,131):{'3_1':0.0},(124,236):{'3_1':0.0},(124,235):{'3_1':0.0},(124,234):{'3_1':0.0,'4_1':0.0},(124,232):{'3_1':0.0},(124,231):{'3_1':0.0,'4_1':0.0},(124,230):{'3_1':0.0},(124,228):{'3_1':0.0,'4_1':0.0},(124,227):{'4_1':0.0},(124,226):{'3_1':0.0},(124,225):{'4_1':0.0},(124,224):{'3_1':0.0},(124,222):{'4_1':0.0,'3_1':0.0},(124,221):{'3_1':0.0},(124,220):{'4_1':0.0},(124,218):{'4_1':0.0},(124,215):{'4_1':0.0},(124,214):{'3_1':0.0,'4_1':0.0},(124,211):{'3_1':0.0,'4_1':0.0},(124,210):{'4_1':0.0},(124,209):{'4_1':0.0},(124,207):{'3_1':0.0},(124,206):{'3_1':0.0},(124,204):{'3_1':0.0,'4_1':0.0},(124,202):{'3_1':0.0},(124,201):{'3_1':0.0},(124,199):{'3_1':0.0},(124,198):{'3_1':0.0},(124,194):{'3_1':0.0},(124,192):{'3_1':0.0},(124,190):{'3_1':0.0},(124,189):{'3_1':0.0,'4_1':0.0},(124,188):{'3_1':0.0},(124,187):{'3_1':0.0},(124,186):{'3_1':0.03},(124,185):{'3_1':0.0},(124,184):{'3_1':0.0},(124,179):{'3_1':0.0},(124,174):{'3_1':0.0},(124,172):{'3_1':0.0},(124,170):{'3_1':0.0},(124,168):{'3_1':0.0},(124,167):{'3_1':0.0},(124,166):{'3_1':0.0,'5_2':0.0},(124,159):{'3_1':0.0},(124,156):{'3_1':0.0},(124,152):{'3_1':0.0},(124,151):{'3_1':0.0},(124,145):{'3_1':0.0},(124,137):{'3_1':0.0},(124,135):{'3_1':0.0},(124,131):{'3_1':0.0},(125,236):{'3_1':0.0,'4_1':0.0},(125,235):{'3_1':0.0},(125,234):{'3_1':0.0},(125,233):{'4_1':0.0},(125,231):{'3_1':0.0},(125,230):{'3_1':0.0},(125,229):{'4_1':0.0,'3_1':0.0},(125,228):{'3_1':0.0,'4_1':0.0},(125,227):{'3_1':0.0,'4_1':0.0},(125,226):{'3_1':0.0,'4_1':0.0},(125,225):{'3_1':0.0},(125,224):{'4_1':0.0},(125,221):{'4_1':0.0,'3_1':0.0},(125,220):{'3_1':0.0,'4_1':0.0},(125,219):{'4_1':0.0},(125,217):{'3_1':0.0,'4_1':0.0},(125,216):{'3_1':0.0},(125,215):{'3_1':0.0},(125,214):{'3_1':0.0},(125,213):{'3_1':0.0},(125,211):{'3_1':0.0},(125,209):{'4_1':0.0,'3_1':0.0},(125,206):{'4_1':0.0},(125,205):{'3_1':0.0,'4_1':0.0},(125,204):{'3_1':0.0,'4_1':0.0},(125,200):{'3_1':0.0},(125,199):{'4_1':0.0},(125,197):{'3_1':0.0,'4_1':0.0},(125,196):{'3_1':0.0},(125,193):{'3_1':0.0},(125,192):{'4_1':0.0},(125,191):{'3_1':0.0},(125,189):{'3_1':0.0},(125,188):{'3_1':0.0},(125,187):{'3_1':0.03},(125,186):{'3_1':0.0},(125,185):{'3_1':0.0},(125,184):{'3_1':0.0},(125,183):{'3_1':0.0},(125,182):{'3_1':0.0},(125,180):{'3_1':0.0},(125,179):{'3_1':0.0,'4_1':0.0},(125,178):{'3_1':0.0},(125,176):{'3_1':0.0},(125,175):{'3_1':0.0,'5_2':0.0},(125,174):{'3_1':0.0},(125,173):{'3_1':0.0},(125,172):{'3_1':0.0},(125,169):{'3_1':0.0},(125,168):{'3_1':0.0},(125,166):{'3_1':0.0},(125,165):{'3_1':0.0},(125,163):{'4_1':0.0},(125,161):{'3_1':0.0},(125,159):{'3_1':0.0},(125,156):{'3_1':0.0},(125,143):{'5_2':0.0},(125,133):{'3_1':0.0},(126,236):{'4_1':0.0},(126,235):{'3_1':0.0},(126,234):{'3_1':0.0},(126,233):{'3_1':0.03},(126,232):{'3_1':0.0},(126,231):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(126,230):{'3_1':0.0,'5_2':0.0},(126,229):{'3_1':0.0},(126,228):{'3_1':0.03,'4_1':0.0},(126,226):{'3_1':0.0},(126,225):{'3_1':0.0,'4_1':0.0,'5_1':0.0},(126,224):{'4_1':0.0},(126,223):{'4_1':0.0},(126,221):{'3_1':0.0,'4_1':0.0},(126,220):{'3_1':0.0,'4_1':0.0},(126,219):{'4_1':0.0},(126,218):{'3_1':0.0},(126,217):{'3_1':0.0},(126,216):{'4_1':0.0,'3_1':0.0},(126,215):{'3_1':0.0},(126,214):{'3_1':0.0,'4_1':0.0},(126,213):{'3_1':0.0,'4_1':0.0},(126,212):{'4_1':0.0},(126,211):{'3_1':0.0},(126,210):{'3_1':0.0,'4_1':0.0},(126,209):{'3_1':0.0},(126,208):{'3_1':0.03},(126,207):{'3_1':0.0},(126,205):{'4_1':0.0},(126,204):{'4_1':0.0},(126,203):{'3_1':0.0},(126,201):{'3_1':0.0,'4_1':0.0},(126,200):{'4_1':0.0},(126,197):{'4_1':0.0},(126,195):{'3_1':0.0},(126,194):{'3_1':0.0},(126,193):{'4_1':0.0},(126,191):{'4_1':0.0},(126,190):{'3_1':0.0,'4_1':0.0},(126,189):{'3_1':0.0},(126,188):{'3_1':0.0},(126,186):{'3_1':0.0},(126,185):{'3_1':0.03,'4_1':0.0},(126,184):{'3_1':0.0},(126,183):{'3_1':0.0},(126,182):{'3_1':0.03},(126,181):{'3_1':0.0},(126,180):{'3_1':0.0},(126,179):{'3_1':0.0},(126,177):{'3_1':0.0},(126,175):{'3_1':0.0},(126,174):{'3_1':0.0},(126,173):{'3_1':0.0},(126,171):{'3_1':0.0},(126,169):{'3_1':0.0},(126,166):{'3_1':0.0},(126,160):{'3_1':0.0},(126,159):{'3_1':0.0},(126,155):{'3_1':0.0},(126,148):{'3_1':0.0},(127,236):{'3_1':0.0},(127,235):{'3_1':0.0},(127,234):{'3_1':0.03,'4_1':0.0},(127,233):{'3_1':0.0},(127,232):{'3_1':0.0},(127,231):{'3_1':0.0,'4_1':0.0},(127,230):{'3_1':0.03},(127,229):{'3_1':0.0},(127,228):{'3_1':0.0,'4_1':0.0},(127,226):{'3_1':0.0,'4_1':0.0},(127,225):{'3_1':0.0},(127,224):{'4_1':0.0},(127,222):{'3_1':0.0,'4_1':0.0},(127,220):{'3_1':0.0,'4_1':0.0},(127,217):{'3_1':0.0},(127,216):{'3_1':0.0},(127,214):{'3_1':0.0,'4_1':0.0},(127,213):{'3_1':0.0},(127,212):{'4_1':0.0},(127,211):{'3_1':0.0,'4_1':0.0},(127,210):{'4_1':0.0},(127,207):{'3_1':0.0,'4_1':0.0},(127,206):{'3_1':0.0},(127,204):{'4_1':0.0},(127,203):{'3_1':0.0},(127,201):{'3_1':0.0},(127,199):{'4_1':0.0},(127,198):{'3_1':0.0},(127,195):{'3_1':0.0},(127,194):{'3_1':0.0},(127,191):{'3_1':0.0},(127,190):{'3_1':0.0},(127,189):{'3_1':0.0,'4_1':0.0},(127,187):{'3_1':0.0},(127,186):{'3_1':0.0},(127,185):{'3_1':0.03},(127,184):{'3_1':0.0},(127,183):{'3_1':0.0},(127,182):{'3_1':0.0},(127,181):{'3_1':0.0},(127,180):{'3_1':0.0},(127,179):{'3_1':0.0},(127,176):{'3_1':0.0},(127,174):{'3_1':0.0},(127,172):{'3_1':0.0},(127,171):{'3_1':0.0},(127,169):{'3_1':0.0},(127,165):{'3_1':0.0},(127,164):{'3_1':0.0},(127,160):{'3_1':0.0},(127,159):{'3_1':0.0},(127,158):{'3_1':0.0},(127,157):{'3_1':0.0},(127,154):{'3_1':0.0},(127,139):{'3_1':0.0},(127,138):{'3_1':0.0},(128,236):{'3_1':0.0,'4_1':0.0},(128,235):{'3_1':0.0},(128,234):{'3_1':0.0,'4_1':0.0},(128,233):{'3_1':0.0,'4_1':0.0},(128,232):{'3_1':0.03,'4_1':0.0},(128,231):{'3_1':0.06},(128,230):{'4_1':0.0},(128,229):{'3_1':0.0,'4_1':0.0},(128,228):{'3_1':0.0},(128,227):{'3_1':0.0,'4_1':0.0},(128,226):{'3_1':0.0},(128,225):{'3_1':0.0},(128,222):{'3_1':0.0},(128,220):{'4_1':0.0},(128,219):{'4_1':0.0,'3_1':0.0},(128,218):{'4_1':0.0},(128,217):{'3_1':0.0},(128,216):{'4_1':0.0},(128,213):{'3_1':0.0,'4_1':0.0},(128,212):{'3_1':0.03,'4_1':0.0},(128,211):{'4_1':0.0},(128,210):{'3_1':0.0,'6_2':0.0,'6_3':0.0},(128,209):{'3_1':0.0,'4_1':0.0},(128,208):{'3_1':0.0},(128,207):{'4_1':0.0,'3_1':0.0},(128,205):{'3_1':0.0},(128,204):{'3_1':0.0},(128,203):{'3_1':0.0},(128,202):{'3_1':0.0,'4_1':0.0},(128,201):{'3_1':0.0},(128,200):{'3_1':0.0,'4_1':0.0},(128,199):{'4_1':0.0},(128,198):{'3_1':0.0,'4_1':0.0},(128,197):{'3_1':0.0},(128,196):{'3_1':0.0,'4_1':0.0},(128,195):{'3_1':0.0},(128,194):{'4_1':0.0},(128,193):{'4_1':0.0},(128,191):{'3_1':0.0,'4_1':0.0},(128,190):{'3_1':0.0},(128,189):{'3_1':0.0,'4_1':0.0},(128,188):{'3_1':0.0},(128,187):{'3_1':0.0},(128,186):{'3_1':0.0,'4_1':0.0},(128,185):{'3_1':0.0},(128,184):{'4_1':0.0},(128,183):{'3_1':0.0},(128,182):{'3_1':0.0},(128,181):{'3_1':0.0},(128,180):{'3_1':0.0},(128,178):{'3_1':0.0},(128,175):{'3_1':0.0},(128,174):{'3_1':0.0},(128,172):{'3_1':0.0},(128,171):{'3_1':0.0},(128,170):{'3_1':0.0},(128,169):{'3_1':0.0},(128,167):{'3_1':0.0},(128,166):{'3_1':0.0},(128,160):{'3_1':0.0},(128,159):{'3_1':0.0},(128,158):{'3_1':0.0},(128,155):{'3_1':0.0},(128,137):{'3_1':0.0},(128,136):{'3_1':0.0},(128,134):{'3_1':0.0},(129,236):{'3_1':0.03,'4_1':0.0},(129,235):{'3_1':0.0},(129,234):{'3_1':0.03,'4_1':0.0},(129,233):{'3_1':0.0,'4_1':0.0},(129,232):{'3_1':0.0},(129,231):{'3_1':0.0,'4_1':0.0},(129,230):{'3_1':0.06,'4_1':0.0},(129,229):{'4_1':0.0,'3_1':0.0},(129,228):{'3_1':0.0},(129,227):{'3_1':0.0,'4_1':0.0},(129,226):{'3_1':0.0},(129,225):{'3_1':0.0,'4_1':0.0},(129,224):{'3_1':0.03},(129,220):{'3_1':0.0},(129,219):{'4_1':0.0,'3_1':0.0},(129,217):{'3_1':0.0},(129,216):{'3_1':0.0,'4_1':0.0},(129,215):{'3_1':0.0,'4_1':0.0},(129,214):{'4_1':0.0},(129,213):{'4_1':0.0},(129,212):{'3_1':0.0},(129,210):{'3_1':0.0},(129,209):{'3_1':0.0},(129,207):{'3_1':0.0},(129,205):{'4_1':0.0},(129,204):{'3_1':0.03,'4_1':0.0},(129,203):{'3_1':0.0},(129,202):{'3_1':0.0,'4_1':0.0},(129,201):{'4_1':0.0,'3_1':0.0},(129,199):{'3_1':0.0},(129,198):{'3_1':0.0},(129,196):{'3_1':0.0,'4_1':0.0},(129,193):{'3_1':0.0,'4_1':0.0},(129,192):{'3_1':0.0,'4_1':0.0},(129,191):{'3_1':0.0},(129,189):{'3_1':0.0},(129,188):{'3_1':0.0},(129,187):{'3_1':0.0},(129,186):{'3_1':0.0},(129,185):{'3_1':0.0},(129,184):{'4_1':0.0},(129,183):{'3_1':0.0},(129,182):{'3_1':0.03},(129,181):{'3_1':0.0},(129,179):{'3_1':0.0},(129,176):{'3_1':0.0},(129,175):{'3_1':0.0},(129,174):{'3_1':0.0},(129,173):{'3_1':0.0},(129,172):{'3_1':0.0},(129,171):{'3_1':0.0},(129,167):{'3_1':0.0},(129,165):{'3_1':0.0},(129,163):{'3_1':0.0},(129,162):{'3_1':0.0},(129,157):{'3_1':0.0},(129,150):{'3_1':0.0},(129,144):{'3_1':0.0},(129,142):{'3_1':0.0},(129,138):{'3_1':0.0},(129,134):{'3_1':0.0},(130,236):{'3_1':0.0,'4_1':0.0},(130,235):{'3_1':0.03,'4_1':0.0},(130,234):{'3_1':0.03},(130,233):{'3_1':0.0,'4_1':0.0},(130,232):{'3_1':0.0},(130,231):{'3_1':0.0},(130,230):{'3_1':0.03,'4_1':0.0},(130,229):{'3_1':0.03},(130,228):{'3_1':0.0},(130,227):{'3_1':0.0},(130,226):{'3_1':0.0},(130,225):{'4_1':0.0},(130,224):{'3_1':0.0},(130,223):{'3_1':0.0},(130,222):{'3_1':0.0},(130,221):{'4_1':0.0},(130,220):{'3_1':0.0},(130,217):{'3_1':0.0},(130,216):{'3_1':0.0},(130,215):{'4_1':0.0},(130,214):{'4_1':0.0},(130,213):{'4_1':0.0},(130,210):{'3_1':0.0,'4_1':0.0},(130,209):{'3_1':0.0},(130,208):{'4_1':0.0},(130,206):{'4_1':0.0},(130,205):{'3_1':0.0},(130,204):{'4_1':0.0},(130,201):{'3_1':0.0,'4_1':0.0},(130,200):{'3_1':0.0},(130,197):{'3_1':0.0},(130,196):{'3_1':0.0},(130,195):{'4_1':0.0},(130,193):{'3_1':0.0,'4_1':0.0},(130,192):{'3_1':0.0},(130,191):{'4_1':0.0},(130,190):{'3_1':0.0},(130,187):{'3_1':0.0},(130,186):{'3_1':0.0},(130,183):{'3_1':0.0},(130,181):{'3_1':0.0},(130,180):{'3_1':0.0},(130,179):{'3_1':0.0},(130,176):{'3_1':0.03},(130,175):{'3_1':0.0},(130,173):{'3_1':0.0},(130,172):{'3_1':0.0},(130,171):{'3_1':0.03},(130,170):{'3_1':0.0},(130,169):{'3_1':0.03},(130,167):{'3_1':0.0},(130,165):{'3_1':0.0},(130,164):{'3_1':0.0},(130,162):{'3_1':0.0},(130,161):{'3_1':0.0},(130,159):{'3_1':0.0},(130,149):{'3_1':0.0},(130,147):{'3_1':0.0},(130,143):{'3_1':0.0},(130,135):{'3_1':0.0},(131,236):{'3_1':0.0},(131,235):{'3_1':0.0},(131,233):{'3_1':0.0,'4_1':0.0},(131,232):{'3_1':0.0,'4_1':0.0},(131,231):{'4_1':0.0},(131,230):{'3_1':0.03,'4_1':0.0},(131,229):{'3_1':0.03},(131,228):{'3_1':0.0,'4_1':0.0},(131,227):{'3_1':0.0,'4_1':0.0},(131,225):{'3_1':0.0},(131,224):{'3_1':0.0},(131,223):{'3_1':0.0,'4_1':0.0},(131,222):{'4_1':0.0},(131,220):{'4_1':0.0},(131,219):{'3_1':0.0,'4_1':0.0},(131,218):{'4_1':0.0},(131,217):{'3_1':0.0},(131,215):{'3_1':0.0},(131,213):{'3_1':0.0},(131,212):{'3_1':0.0},(131,211):{'3_1':0.0},(131,207):{'3_1':0.0},(131,204):{'3_1':0.0},(131,202):{'4_1':0.0},(131,201):{'3_1':0.0},(131,200):{'3_1':0.0,'4_1':0.0},(131,199):{'4_1':0.0},(131,195):{'3_1':0.0},(131,194):{'4_1':0.0},(131,193):{'4_1':0.0},(131,190):{'3_1':0.0,'4_1':0.0},(131,188):{'3_1':0.0},(131,187):{'3_1':0.0},(131,185):{'3_1':0.0},(131,182):{'3_1':0.0},(131,181):{'3_1':0.0},(131,179):{'3_1':0.0},(131,178):{'3_1':0.0},(131,175):{'3_1':0.0},(131,173):{'3_1':0.0},(131,172):{'3_1':0.0},(131,171):{'3_1':0.0},(131,170):{'3_1':0.0},(131,169):{'3_1':0.0},(131,166):{'3_1':0.0},(131,165):{'3_1':0.0},(131,143):{'3_1':0.0},(131,138):{'3_1':0.0},(131,135):{'3_1':0.0},(132,236):{'3_1':0.0},(132,235):{'3_1':0.0},(132,234):{'3_1':0.0},(132,233):{'3_1':0.0,'4_1':0.0},(132,232):{'3_1':0.0},(132,231):{'3_1':0.0},(132,229):{'3_1':0.0},(132,228):{'3_1':0.03,'4_1':0.0},(132,225):{'3_1':0.0},(132,224):{'4_1':0.0},(132,222):{'4_1':0.0},(132,221):{'4_1':0.0},(132,219):{'3_1':0.0,'4_1':0.0},(132,218):{'4_1':0.0},(132,217):{'3_1':0.0},(132,216):{'3_1':0.0},(132,215):{'3_1':0.0,'4_1':0.0},(132,210):{'3_1':0.0,'4_1':0.0},(132,209):{'3_1':0.0},(132,208):{'3_1':0.0},(132,206):{'4_1':0.0},(132,205):{'4_1':0.0},(132,204):{'4_1':0.0},(132,203):{'3_1':0.0},(132,202):{'3_1':0.0},(132,201):{'3_1':0.03,'4_1':0.0},(132,200):{'3_1':0.0},(132,198):{'3_1':0.0},(132,196):{'3_1':0.03},(132,195):{'3_1':0.0},(132,191):{'4_1':0.0},(132,190):{'3_1':0.0},(132,186):{'3_1':0.0},(132,184):{'3_1':0.0},(132,183):{'3_1':0.0},(132,182):{'3_1':0.03},(132,180):{'3_1':0.0},(132,179):{'3_1':0.0},(132,177):{'3_1':0.0},(132,174):{'3_1':0.0},(132,164):{'3_1':0.0},(132,163):{'3_1':0.0},(132,162):{'3_1':0.0},(132,159):{'3_1':0.0},(132,158):{'3_1':0.0},(132,150):{'3_1':0.0},(132,136):{'3_1':0.0},(133,235):{'3_1':0.03},(133,234):{'3_1':0.03},(133,233):{'3_1':0.0,'4_1':0.0},(133,232):{'3_1':0.0,'4_1':0.0},(133,231):{'4_1':0.0},(133,230):{'4_1':0.0,'3_1':0.0},(133,229):{'3_1':0.0,'4_1':0.0},(133,228):{'3_1':0.03},(133,227):{'3_1':0.0},(133,226):{'3_1':0.0,'4_1':0.0},(133,224):{'3_1':0.0},(133,223):{'3_1':0.0},(133,222):{'4_1':0.0},(133,216):{'3_1':0.0},(133,212):{'3_1':0.0},(133,208):{'4_1':0.0},(133,206):{'3_1':0.0,'4_1':0.0},(133,204):{'3_1':0.0},(133,202):{'3_1':0.0},(133,201):{'3_1':0.0,'4_1':0.0},(133,200):{'3_1':0.0,'4_1':0.0},(133,198):{'3_1':0.0},(133,197):{'3_1':0.0},(133,196):{'3_1':0.0},(133,194):{'5_2':0.0},(133,192):{'4_1':0.0},(133,190):{'3_1':0.0},(133,189):{'3_1':0.0},(133,188):{'3_1':0.0,'4_1':0.0},(133,187):{'3_1':0.0},(133,184):{'3_1':0.0},(133,183):{'3_1':0.0},(133,182):{'3_1':0.0},(133,179):{'3_1':0.0},(133,173):{'3_1':0.0},(133,167):{'3_1':0.0},(133,166):{'3_1':0.0},(133,156):{'3_1':0.0},(133,155):{'3_1':0.0},(133,143):{'3_1':0.0},(133,138):{'3_1':0.0},(134,236):{'3_1':0.0},(134,235):{'3_1':0.0},(134,234):{'3_1':0.0},(134,233):{'3_1':0.03,'6_2':0.0},(134,232):{'3_1':0.0},(134,231):{'3_1':0.0,'4_1':0.0},(134,230):{'3_1':0.0,'4_1':0.0},(134,229):{'3_1':0.0},(134,228):{'3_1':0.03,'4_1':0.0},(134,227):{'4_1':0.0,'3_1':0.0},(134,226):{'3_1':0.0},(134,224):{'3_1':0.0},(134,222):{'3_1':0.0},(134,220):{'4_1':0.0},(134,219):{'4_1':0.0},(134,216):{'3_1':0.0},(134,215):{'3_1':0.0},(134,210):{'3_1':0.0,'4_1':0.0},(134,209):{'3_1':0.0},(134,208):{'3_1':0.0},(134,207):{'4_1':0.0},(134,206):{'3_1':0.0},(134,205):{'3_1':0.0},(134,204):{'3_1':0.0},(134,203):{'3_1':0.0,'4_1':0.0},(134,202):{'3_1':0.0},(134,200):{'3_1':0.0},(134,199):{'3_1':0.0},(134,198):{'3_1':0.0},(134,197):{'3_1':0.0},(134,196):{'3_1':0.0},(134,194):{'3_1':0.0},(134,193):{'3_1':0.0},(134,190):{'3_1':0.0},(134,189):{'3_1':0.0},(134,188):{'3_1':0.0},(134,187):{'3_1':0.0},(134,185):{'3_1':0.0},(134,181):{'3_1':0.0},(134,179):{'3_1':0.0},(134,177):{'3_1':0.0},(134,176):{'3_1':0.0},(134,172):{'3_1':0.0},(134,171):{'3_1':0.0},(134,170):{'3_1':0.0},(134,169):{'3_1':0.0},(134,168):{'3_1':0.0},(134,167):{'3_1':0.0},(134,156):{'5_2':0.0},(134,150):{'3_1':0.0},(134,145):{'3_1':0.0},(134,144):{'3_1':0.0},(134,141):{'3_1':0.0},(134,138):{'3_1':0.0},(135,236):{'3_1':0.0},(135,235):{'3_1':0.03},(135,233):{'3_1':0.0},(135,232):{'4_1':0.0},(135,231):{'3_1':0.0},(135,230):{'3_1':0.0},(135,229):{'3_1':0.0,'4_1':0.0},(135,228):{'3_1':0.0},(135,227):{'3_1':0.0},(135,226):{'3_1':0.0},(135,225):{'3_1':0.0},(135,224):{'3_1':0.0},(135,223):{'3_1':0.0},(135,220):{'4_1':0.0},(135,217):{'3_1':0.0},(135,216):{'3_1':0.0},(135,215):{'3_1':0.0},(135,213):{'3_1':0.0},(135,212):{'3_1':0.0,'4_1':0.0},(135,211):{'3_1':0.0,'4_1':0.0},(135,210):{'3_1':0.0,'4_1':0.0},(135,209):{'3_1':0.0},(135,206):{'3_1':0.0},(135,204):{'4_1':0.0},(135,203):{'4_1':0.0},(135,202):{'3_1':0.0},(135,200):{'3_1':0.0},(135,199):{'3_1':0.0},(135,198):{'3_1':0.0},(135,197):{'3_1':0.0},(135,196):{'3_1':0.0},(135,195):{'3_1':0.0,'4_1':0.0},(135,192):{'3_1':0.0},(135,191):{'4_1':0.0},(135,190):{'3_1':0.0},(135,188):{'3_1':0.0},(135,187):{'3_1':0.0},(135,186):{'3_1':0.0},(135,185):{'3_1':0.0},(135,177):{'3_1':0.0},(135,175):{'3_1':0.0},(135,174):{'3_1':0.0},(135,173):{'3_1':0.0},(135,171):{'3_1':0.03},(135,167):{'3_1':0.0},(135,165):{'3_1':0.0},(135,163):{'3_1':0.0},(135,155):{'3_1':0.0},(135,148):{'4_1':0.0},(135,138):{'3_1':0.0},(136,236):{'3_1':0.0,'4_1':0.0},(136,235):{'3_1':0.0},(136,234):{'3_1':0.03},(136,232):{'3_1':0.0},(136,231):{'3_1':0.0},(136,229):{'3_1':0.0,'4_1':0.0},(136,228):{'3_1':0.0},(136,224):{'3_1':0.0},(136,223):{'4_1':0.0},(136,222):{'3_1':0.0,'4_1':0.0},(136,212):{'3_1':0.0,'4_1':0.0},(136,210):{'3_1':0.0,'4_1':0.0},(136,209):{'3_1':0.0},(136,207):{'4_1':0.0},(136,206):{'3_1':0.0},(136,205):{'3_1':0.0},(136,203):{'4_1':0.0},(136,202):{'4_1':0.0},(136,201):{'3_1':0.0,'4_1':0.0},(136,198):{'3_1':0.0},(136,193):{'3_1':0.0},(136,190):{'3_1':0.0},(136,186):{'3_1':0.0},(136,184):{'3_1':0.03},(136,183):{'3_1':0.0},(136,182):{'3_1':0.0},(136,174):{'3_1':0.0},(136,170):{'3_1':0.0},(136,167):{'3_1':0.0},(136,164):{'3_1':0.0},(136,147):{'3_1':0.0},(136,144):{'3_1':0.0},(136,143):{'3_1':0.0},(136,140):{'3_1':0.0},(137,236):{'3_1':0.0,'4_1':0.0},(137,235):{'3_1':0.0,'4_1':0.0},(137,234):{'3_1':0.03,'4_1':0.0},(137,233):{'3_1':0.0},(137,232):{'3_1':0.0},(137,231):{'3_1':0.0,'4_1':0.0},(137,230):{'3_1':0.0},(137,228):{'4_1':0.0},(137,227):{'3_1':0.0},(137,224):{'3_1':0.0},(137,223):{'3_1':0.0},(137,222):{'3_1':0.0},(137,215):{'3_1':0.0,'4_1':0.0},(137,213):{'3_1':0.0,'4_1':0.0},(137,212):{'3_1':0.0},(137,210):{'3_1':0.0},(137,207):{'4_1':0.0},(137,206):{'3_1':0.0},(137,205):{'3_1':0.0},(137,204):{'3_1':0.0},(137,202):{'4_1':0.0},(137,201):{'3_1':0.0},(137,199):{'3_1':0.0,'4_1':0.0},(137,198):{'3_1':0.0},(137,195):{'3_1':0.0},(137,192):{'3_1':0.0},(137,187):{'3_1':0.0},(137,186):{'3_1':0.0},(137,183):{'3_1':0.0},(137,182):{'3_1':0.0},(137,179):{'3_1':0.0},(137,178):{'3_1':0.0},(137,172):{'3_1':0.0},(137,166):{'3_1':0.0},(137,159):{'3_1':0.0},(137,158):{'3_1':0.0},(137,153):{'3_1':0.0},(137,147):{'3_1':0.0},(137,146):{'3_1':0.0},(137,145):{'3_1':0.0},(137,143):{'3_1':0.0},(137,141):{'3_1':0.0},(138,236):{'3_1':0.03},(138,235):{'3_1':0.03},(138,234):{'3_1':0.0},(138,233):{'3_1':0.0},(138,232):{'3_1':0.0},(138,231):{'4_1':0.0,'3_1':0.0},(138,230):{'3_1':0.0},(138,229):{'3_1':0.03},(138,228):{'3_1':0.0},(138,227):{'3_1':0.0,'4_1':0.0},(138,226):{'3_1':0.0},(138,225):{'3_1':0.0},(138,223):{'3_1':0.0},(138,220):{'3_1':0.0},(138,219):{'3_1':0.0},(138,218):{'4_1':0.0},(138,215):{'3_1':0.0},(138,213):{'3_1':0.0},(138,212):{'3_1':0.0},(138,204):{'3_1':0.0},(138,203):{'3_1':0.0},(138,200):{'4_1':0.0},(138,199):{'3_1':0.0},(138,198):{'3_1':0.0},(138,196):{'3_1':0.0},(138,194):{'3_1':0.0},(138,193):{'3_1':0.0,'4_1':0.0},(138,192):{'3_1':0.0},(138,191):{'3_1':0.0},(138,188):{'3_1':0.0},(138,187):{'3_1':0.0},(138,183):{'3_1':0.0},(138,181):{'3_1':0.0},(138,178):{'3_1':0.0},(138,176):{'3_1':0.0},(138,174):{'3_1':0.0},(138,170):{'3_1':0.0},(138,169):{'3_1':0.0},(138,168):{'3_1':0.0},(138,163):{'3_1':0.0},(138,160):{'3_1':0.0},(138,159):{'3_1':0.0},(138,158):{'3_1':0.0},(138,148):{'3_1':0.0},(138,145):{'3_1':0.0},(138,143):{'3_1':0.0},(138,142):{'3_1':0.0},(139,236):{'3_1':0.0},(139,235):{'3_1':0.0,'5_1':0.0},(139,234):{'3_1':0.0},(139,233):{'3_1':0.0},(139,232):{'3_1':0.0},(139,231):{'3_1':0.0},(139,230):{'3_1':0.0,'4_1':0.0},(139,229):{'3_1':0.0},(139,228):{'3_1':0.0},(139,227):{'3_1':0.03},(139,225):{'3_1':0.0,'4_1':0.0},(139,224):{'4_1':0.0},(139,223):{'3_1':0.0},(139,216):{'3_1':0.0},(139,214):{'3_1':0.0,'4_1':0.0},(139,213):{'3_1':0.0},(139,208):{'3_1':0.0},(139,207):{'3_1':0.0,'4_1':0.0},(139,206):{'3_1':0.0},(139,205):{'3_1':0.0},(139,204):{'4_1':0.0},(139,203):{'3_1':0.0},(139,202):{'3_1':0.0},(139,201):{'3_1':0.0},(139,199):{'4_1':0.0,'3_1':0.0},(139,196):{'4_1':0.0},(139,194):{'4_1':0.0},(139,193):{'3_1':0.0},(139,192):{'4_1':0.0},(139,191):{'3_1':0.0},(139,189):{'3_1':0.0},(139,188):{'3_1':0.0},(139,187):{'3_1':0.0},(139,184):{'3_1':0.0},(139,175):{'3_1':0.0},(139,172):{'3_1':0.0},(139,171):{'3_1':0.0},(139,170):{'3_1':0.0},(139,169):{'3_1':0.0},(139,168):{'3_1':0.0},(139,167):{'3_1':0.0},(139,166):{'3_1':0.0},(139,164):{'3_1':0.0},(139,159):{'3_1':0.0},(139,145):{'3_1':0.0},(140,236):{'3_1':0.0},(140,235):{'3_1':0.0},(140,234):{'3_1':0.0},(140,232):{'3_1':0.0},(140,231):{'3_1':0.0},(140,229):{'3_1':0.0},(140,228):{'3_1':0.0},(140,226):{'3_1':0.0},(140,225):{'3_1':0.03},(140,224):{'3_1':0.0,'4_1':0.0},(140,223):{'3_1':0.0},(140,221):{'3_1':0.0},(140,220):{'3_1':0.0,'4_1':0.0},(140,219):{'3_1':0.0},(140,218):{'3_1':0.0},(140,216):{'3_1':0.0,'4_1':0.0},(140,215):{'3_1':0.0},(140,214):{'3_1':0.0},(140,211):{'3_1':0.0},(140,210):{'3_1':0.0},(140,209):{'3_1':0.0},(140,208):{'4_1':0.0},(140,207):{'3_1':0.0},(140,206):{'3_1':0.0},(140,205):{'4_1':0.0},(140,204):{'3_1':0.0},(140,202):{'3_1':0.0},(140,200):{'3_1':0.0},(140,199):{'3_1':0.0},(140,197):{'3_1':0.0},(140,196):{'4_1':0.0},(140,195):{'4_1':0.0},(140,192):{'3_1':0.0},(140,190):{'4_1':0.0},(140,184):{'3_1':0.0},(140,183):{'3_1':0.0},(140,182):{'3_1':0.0},(140,178):{'3_1':0.0},(140,173):{'3_1':0.0},(140,172):{'3_1':0.0},(140,171):{'3_1':0.0},(140,166):{'3_1':0.0},(140,165):{'3_1':0.0},(140,162):{'3_1':0.0},(140,143):{'3_1':0.0},(141,236):{'3_1':0.0},(141,234):{'3_1':0.0},(141,233):{'3_1':0.0},(141,232):{'3_1':0.0},(141,231):{'3_1':0.0},(141,230):{'3_1':0.0},(141,229):{'3_1':0.0},(141,228):{'3_1':0.03},(141,227):{'3_1':0.0},(141,226):{'4_1':0.0},(141,224):{'3_1':0.0},(141,220):{'3_1':0.0},(141,219):{'3_1':0.0},(141,218):{'3_1':0.0},(141,212):{'3_1':0.0},(141,211):{'3_1':0.0},(141,210):{'3_1':0.0},(141,209):{'3_1':0.0,'4_1':0.0},(141,208):{'3_1':0.0},(141,206):{'3_1':0.0},(141,203):{'3_1':0.0},(141,202):{'3_1':0.0},(141,201):{'3_1':0.0},(141,199):{'3_1':0.0},(141,198):{'3_1':0.0},(141,197):{'4_1':0.0},(141,196):{'3_1':0.0},(141,195):{'3_1':0.0},(141,185):{'3_1':0.0},(141,184):{'3_1':0.0,'4_1':0.0},(141,183):{'3_1':0.0},(141,177):{'3_1':0.0},(141,176):{'3_1':0.0},(141,171):{'3_1':0.0},(141,169):{'3_1':0.0},(141,167):{'3_1':0.0},(141,166):{'3_1':0.0},(141,155):{'3_1':0.0},(141,150):{'3_1':0.0},(141,149):{'3_1':0.0},(141,146):{'3_1':0.0},(142,235):{'3_1':0.0},(142,234):{'3_1':0.0},(142,233):{'3_1':0.0},(142,232):{'3_1':0.0},(142,231):{'3_1':0.0},(142,230):{'3_1':0.03},(142,229):{'3_1':0.0},(142,228):{'3_1':0.0,'4_1':0.0},(142,227):{'3_1':0.0,'4_1':0.0},(142,226):{'3_1':0.0},(142,224):{'3_1':0.0},(142,223):{'3_1':0.0},(142,222):{'5_2':0.0},(142,219):{'3_1':0.0},(142,218):{'3_1':0.0},(142,217):{'4_1':0.0},(142,212):{'3_1':0.0},(142,210):{'3_1':0.0},(142,209):{'3_1':0.0},(142,201):{'3_1':0.0},(142,200):{'3_1':0.0},(142,199):{'3_1':0.0},(142,198):{'4_1':0.0},(142,196):{'3_1':0.0},(142,191):{'3_1':0.0},(142,188):{'3_1':0.0},(142,185):{'3_1':0.0},(142,183):{'3_1':0.0},(142,182):{'3_1':0.0},(142,179):{'3_1':0.0},(142,172):{'3_1':0.0},(142,171):{'3_1':0.0},(142,170):{'3_1':0.0},(142,169):{'3_1':0.0},(142,168):{'3_1':0.0},(142,167):{'3_1':0.0},(142,165):{'3_1':0.0},(142,159):{'3_1':0.0},(142,158):{'3_1':0.0},(142,149):{'3_1':0.0},(142,147):{'3_1':0.0},(142,146):{'3_1':0.0},(143,235):{'3_1':0.0},(143,234):{'3_1':0.0},(143,233):{'3_1':0.03},(143,232):{'3_1':0.03},(143,231):{'3_1':0.0},(143,230):{'3_1':0.0},(143,229):{'3_1':0.0},(143,228):{'3_1':0.0},(143,227):{'3_1':0.0,'4_1':0.0},(143,224):{'3_1':0.0},(143,223):{'3_1':0.0},(143,218):{'3_1':0.0},(143,217):{'3_1':0.0},(143,216):{'3_1':0.0},(143,214):{'3_1':0.0},(143,213):{'4_1':0.0},(143,211):{'3_1':0.0},(143,210):{'3_1':0.0},(143,209):{'3_1':0.0},(143,208):{'3_1':0.0,'4_1':0.0},(143,205):{'3_1':0.0},(143,204):{'3_1':0.0},(143,202):{'3_1':0.0},(143,201):{'3_1':0.0},(143,200):{'3_1':0.0},(143,198):{'3_1':0.0},(143,195):{'3_1':0.0},(143,193):{'3_1':0.0},(143,191):{'3_1':0.0},(143,190):{'3_1':0.0},(143,189):{'3_1':0.0},(143,184):{'3_1':0.0},(143,182):{'3_1':0.0},(143,180):{'3_1':0.0},(143,170):{'3_1':0.0},(143,168):{'3_1':0.0},(143,166):{'3_1':0.0},(143,163):{'3_1':0.0},(144,236):{'4_1':0.0},(144,235):{'3_1':0.0},(144,234):{'3_1':0.0},(144,233):{'3_1':0.0},(144,232):{'3_1':0.0},(144,230):{'3_1':0.0},(144,229):{'3_1':0.0},(144,225):{'3_1':0.0},(144,224):{'3_1':0.0,'4_1':0.0},(144,216):{'3_1':0.0,'4_1':0.0},(144,214):{'4_1':0.0},(144,211):{'3_1':0.0},(144,207):{'3_1':0.0,'4_1':0.0},(144,203):{'3_1':0.0},(144,201):{'3_1':0.0},(144,191):{'4_1':0.0},(144,184):{'3_1':0.0},(144,182):{'3_1':0.0},(144,180):{'3_1':0.0},(144,173):{'3_1':0.0},(144,171):{'3_1':0.0},(144,169):{'3_1':0.0},(144,167):{'3_1':0.0},(144,166):{'3_1':0.0},(144,163):{'3_1':0.0},(144,157):{'4_1':0.0},(145,236):{'3_1':0.0},(145,234):{'3_1':0.0},(145,233):{'3_1':0.03},(145,232):{'3_1':0.0},(145,231):{'3_1':0.0},(145,230):{'5_1':0.0},(145,228):{'3_1':0.03},(145,223):{'3_1':0.0},(145,219):{'3_1':0.0},(145,217):{'3_1':0.0},(145,215):{'3_1':0.0},(145,214):{'3_1':0.0},(145,213):{'3_1':0.0},(145,211):{'3_1':0.0},(145,204):{'3_1':0.0},(145,203):{'3_1':0.0},(145,201):{'3_1':0.0},(145,200):{'3_1':0.03},(145,199):{'4_1':0.0},(145,195):{'3_1':0.0},(145,191):{'3_1':0.0},(145,188):{'3_1':0.0},(145,187):{'4_1':0.0},(145,184):{'3_1':0.0},(145,183):{'3_1':0.0},(145,182):{'3_1':0.0},(145,176):{'3_1':0.0},(145,166):{'3_1':0.0},(145,165):{'3_1':0.0},(145,156):{'5_2':0.0},(145,155):{'5_2':0.0},(145,150):{'3_1':0.0},(145,149):{'3_1':0.0},(145,148):{'3_1':0.0},(146,236):{'3_1':0.0},(146,235):{'3_1':0.0},(146,234):{'3_1':0.0},(146,232):{'3_1':0.0},(146,231):{'3_1':0.0},(146,230):{'3_1':0.03},(146,229):{'3_1':0.0},(146,227):{'3_1':0.0},(146,225):{'3_1':0.0},(146,223):{'3_1':0.0},(146,221):{'3_1':0.0},(146,216):{'3_1':0.0},(146,215):{'4_1':0.0},(146,214):{'4_1':0.0},(146,208):{'3_1':0.0},(146,202):{'3_1':0.0},(146,200):{'3_1':0.0},(146,196):{'4_1':0.0},(146,188):{'3_1':0.0},(146,186):{'3_1':0.0},(146,185):{'3_1':0.03},(146,182):{'3_1':0.0},(146,179):{'3_1':0.0},(146,177):{'3_1':0.0},(146,172):{'3_1':0.0},(146,170):{'3_1':0.0},(146,169):{'3_1':0.0},(146,168):{'3_1':0.0},(146,166):{'3_1':0.0},(147,236):{'3_1':0.0},(147,235):{'3_1':0.0},(147,232):{'3_1':0.0},(147,230):{'3_1':0.0},(147,229):{'3_1':0.0},(147,225):{'3_1':0.0},(147,224):{'3_1':0.0},(147,223):{'3_1':0.0},(147,222):{'3_1':0.0},(147,220):{'3_1':0.0},(147,213):{'3_1':0.0},(147,212):{'3_1':0.0,'4_1':0.0},(147,211):{'3_1':0.0},(147,208):{'3_1':0.0},(147,207):{'3_1':0.0},(147,206):{'3_1':0.0},(147,202):{'3_1':0.0},(147,196):{'4_1':0.0},(147,194):{'3_1':0.0},(147,192):{'3_1':0.0},(147,188):{'3_1':0.0},(147,187):{'3_1':0.0},(147,185):{'3_1':0.0},(147,182):{'3_1':0.0},(147,179):{'3_1':0.0},(147,175):{'3_1':0.0},(147,174):{'3_1':0.0},(147,173):{'3_1':0.0},(147,171):{'3_1':0.0},(147,169):{'3_1':0.0},(147,167):{'3_1':0.0},(147,163):{'3_1':0.0},(147,160):{'3_1':0.0},(147,152):{'3_1':0.0},(147,151):{'3_1':0.0},(148,236):{'3_1':0.0},(148,235):{'3_1':0.0},(148,234):{'3_1':0.0},(148,233):{'4_1':0.0},(148,231):{'3_1':0.0},(148,229):{'3_1':0.03},(148,228):{'3_1':0.0},(148,227):{'3_1':0.0},(148,224):{'3_1':0.0},(148,223):{'3_1':0.0},(148,222):{'3_1':0.0},(148,221):{'3_1':0.0},(148,220):{'4_1':0.0},(148,219):{'3_1':0.0},(148,218):{'3_1':0.0},(148,217):{'3_1':0.0},(148,216):{'3_1':0.0},(148,215):{'3_1':0.0},(148,214):{'3_1':0.0},(148,212):{'3_1':0.0},(148,211):{'3_1':0.0},(148,206):{'3_1':0.0},(148,201):{'3_1':0.0},(148,199):{'3_1':0.0},(148,198):{'3_1':0.0},(148,193):{'3_1':0.0},(148,192):{'3_1':0.0},(148,189):{'3_1':0.0},(148,184):{'3_1':0.0},(148,180):{'3_1':0.0},(148,176):{'3_1':0.0},(148,173):{'3_1':0.0},(148,170):{'3_1':0.0},(148,166):{'3_1':0.0},(148,165):{'3_1':0.0},(148,161):{'3_1':0.0},(148,155):{'3_1':0.0},(149,235):{'3_1':0.0},(149,234):{'3_1':0.0},(149,233):{'3_1':0.0},(149,232):{'3_1':0.0},(149,231):{'3_1':0.0},(149,230):{'3_1':0.0,'5_1':0.0},(149,229):{'3_1':0.0},(149,228):{'3_1':0.03},(149,225):{'3_1':0.0},(149,223):{'3_1':0.0,'4_1':0.0},(149,222):{'3_1':0.0},(149,220):{'3_1':0.0},(149,215):{'3_1':0.0},(149,214):{'3_1':0.0},(149,213):{'3_1':0.0},(149,212):{'3_1':0.0},(149,209):{'3_1':0.0},(149,206):{'3_1':0.0},(149,202):{'3_1':0.0},(149,201):{'3_1':0.0},(149,200):{'3_1':0.0,'4_1':0.0},(149,199):{'3_1':0.0},(149,198):{'3_1':0.0},(149,194):{'3_1':0.0},(149,192):{'3_1':0.0},(149,191):{'4_1':0.0},(149,190):{'3_1':0.0},(149,184):{'3_1':0.0},(149,183):{'3_1':0.0},(149,182):{'3_1':0.0},(149,181):{'3_1':0.0},(149,180):{'3_1':0.0},(149,175):{'3_1':0.0},(149,173):{'3_1':0.0},(149,171):{'3_1':0.0},(149,170):{'3_1':0.0},(149,168):{'3_1':0.0},(149,167):{'3_1':0.0},(149,163):{'3_1':0.0},(149,161):{'3_1':0.0},(149,156):{'3_1':0.0},(149,155):{'3_1':0.0},(150,235):{'3_1':0.0},(150,234):{'3_1':0.0},(150,233):{'3_1':0.0},(150,232):{'3_1':0.0},(150,231):{'3_1':0.03},(150,230):{'3_1':0.0},(150,229):{'3_1':0.0},(150,228):{'3_1':0.03},(150,227):{'3_1':0.0},(150,226):{'3_1':0.0},(150,225):{'3_1':0.0},(150,222):{'3_1':0.0},(150,216):{'3_1':0.0},(150,214):{'3_1':0.0},(150,213):{'3_1':0.0},(150,209):{'3_1':0.0},(150,207):{'3_1':0.0},(150,206):{'3_1':0.0},(150,199):{'3_1':0.0},(150,198):{'4_1':0.0},(150,197):{'3_1':0.0},(150,196):{'3_1':0.0},(150,193):{'3_1':0.0},(150,192):{'3_1':0.0},(150,191):{'3_1':0.0},(150,182):{'3_1':0.0},(150,177):{'3_1':0.0},(150,171):{'3_1':0.0},(150,167):{'3_1':0.0},(150,165):{'3_1':0.0},(150,164):{'3_1':0.0},(150,163):{'3_1':0.0},(151,236):{'3_1':0.0},(151,235):{'4_1':0.0},(151,232):{'3_1':0.0},(151,231):{'3_1':0.0},(151,230):{'3_1':0.0},(151,229):{'3_1':0.0},(151,228):{'3_1':0.0},(151,227):{'3_1':0.0},(151,226):{'3_1':0.0},(151,225):{'3_1':0.0},(151,224):{'3_1':0.0},(151,221):{'3_1':0.0},(151,216):{'3_1':0.0},(151,215):{'3_1':0.0},(151,212):{'3_1':0.0},(151,207):{'3_1':0.0},(151,204):{'3_1':0.0},(151,203):{'3_1':0.0},(151,202):{'3_1':0.0},(151,199):{'3_1':0.0},(151,190):{'3_1':0.0},(151,187):{'3_1':0.0},(151,179):{'3_1':0.0},(151,178):{'3_1':0.0},(151,177):{'3_1':0.0},(151,174):{'3_1':0.0},(151,171):{'3_1':0.0},(151,167):{'3_1':0.0},(151,160):{'3_1':0.0},(152,236):{'3_1':0.0,'4_1':0.0},(152,235):{'3_1':0.0},(152,234):{'3_1':0.0},(152,230):{'3_1':0.0},(152,229):{'3_1':0.0},(152,227):{'3_1':0.0},(152,225):{'3_1':0.0},(152,223):{'3_1':0.0},(152,222):{'3_1':0.0},(152,218):{'3_1':0.0},(152,215):{'3_1':0.0},(152,214):{'3_1':0.0},(152,213):{'3_1':0.0},(152,211):{'3_1':0.0},(152,209):{'3_1':0.0},(152,202):{'3_1':0.0},(152,198):{'3_1':0.0},(152,194):{'3_1':0.0},(152,190):{'3_1':0.0},(152,186):{'3_1':0.0},(152,182):{'3_1':0.0},(152,174):{'3_1':0.0},(152,169):{'3_1':0.0},(152,167):{'3_1':0.0},(152,161):{'3_1':0.0},(153,235):{'3_1':0.0},(153,234):{'3_1':0.0},(153,233):{'3_1':0.0},(153,232):{'3_1':0.0},(153,231):{'3_1':0.0},(153,230):{'3_1':0.0},(153,229):{'3_1':0.0},(153,228):{'3_1':0.0},(153,226):{'3_1':0.0},(153,225):{'3_1':0.03},(153,224):{'3_1':0.0},(153,223):{'3_1':0.0},(153,222):{'3_1':0.0},(153,221):{'3_1':0.0},(153,220):{'3_1':0.0},(153,219):{'3_1':0.0},(153,217):{'3_1':0.0},(153,215):{'3_1':0.0},(153,213):{'3_1':0.0},(153,212):{'3_1':0.0},(153,207):{'3_1':0.03},(153,206):{'3_1':0.0},(153,200):{'3_1':0.0},(153,196):{'3_1':0.0,'4_1':0.0},(153,183):{'3_1':0.0},(153,182):{'3_1':0.0},(153,169):{'3_1':0.0},(154,235):{'3_1':0.0},(154,233):{'3_1':0.0},(154,232):{'3_1':0.0},(154,231):{'3_1':0.0},(154,230):{'3_1':0.0},(154,229):{'3_1':0.03},(154,228):{'3_1':0.0},(154,226):{'3_1':0.0},(154,225):{'3_1':0.0},(154,224):{'3_1':0.0},(154,223):{'3_1':0.0},(154,221):{'3_1':0.0},(154,220):{'3_1':0.0},(154,219):{'3_1':0.0},(154,218):{'3_1':0.0},(154,216):{'3_1':0.0},(154,215):{'3_1':0.0},(154,214):{'3_1':0.0},(154,213):{'3_1':0.0},(154,211):{'3_1':0.0},(154,209):{'3_1':0.0},(154,205):{'3_1':0.0},(154,197):{'3_1':0.0},(154,191):{'3_1':0.0},(154,183):{'3_1':0.0},(154,180):{'3_1':0.0},(154,172):{'3_1':0.0},(154,162):{'4_1':0.0},(155,236):{'4_1':0.0},(155,232):{'3_1':0.0},(155,230):{'3_1':0.0},(155,229):{'3_1':0.0},(155,227):{'3_1':0.0},(155,225):{'3_1':0.0},(155,223):{'3_1':0.0},(155,222):{'3_1':0.0},(155,221):{'3_1':0.0},(155,220):{'3_1':0.0},(155,214):{'3_1':0.0},(155,213):{'3_1':0.0},(155,211):{'3_1':0.0},(155,210):{'3_1':0.0},(155,208):{'3_1':0.0},(155,204):{'3_1':0.0},(155,200):{'3_1':0.0},(155,194):{'3_1':0.0},(155,190):{'3_1':0.0},(155,185):{'3_1':0.0},(155,182):{'3_1':0.0},(155,170):{'3_1':0.0},(155,167):{'3_1':0.0},(155,160):{'3_1':0.0},(156,236):{'3_1':0.0,'4_1':0.0},(156,233):{'3_1':0.0},(156,229):{'3_1':0.0},(156,228):{'3_1':0.0},(156,227):{'3_1':0.0,'4_1':0.0},(156,226):{'3_1':0.0},(156,225):{'3_1':0.0},(156,222):{'3_1':0.0},(156,221):{'3_1':0.0},(156,218):{'3_1':0.0},(156,216):{'3_1':0.0},(156,210):{'3_1':0.0},(156,202):{'3_1':0.0},(156,200):{'3_1':0.0,'4_1':0.0},(156,199):{'3_1':0.0},(156,177):{'3_1':0.0},(156,172):{'3_1':0.0},(156,168):{'3_1':0.0},(156,163):{'3_1':0.0},(157,236):{'3_1':0.0},(157,235):{'3_1':0.0},(157,234):{'3_1':0.0},(157,233):{'3_1':0.0},(157,232):{'3_1':0.0},(157,230):{'3_1':0.0},(157,228):{'3_1':0.0},(157,227):{'3_1':0.0},(157,226):{'3_1':0.0},(157,224):{'4_1':0.0},(157,223):{'3_1':0.0},(157,221):{'3_1':0.0},(157,217):{'3_1':0.0},(157,214):{'3_1':0.0},(157,210):{'3_1':0.0},(157,206):{'3_1':0.0},(157,202):{'3_1':0.0},(157,198):{'3_1':0.0},(157,191):{'3_1':0.0},(157,190):{'4_1':0.0},(157,180):{'3_1':0.0},(158,235):{'4_1':0.0},(158,234):{'3_1':0.0},(158,233):{'3_1':0.0,'4_1':0.0},(158,231):{'3_1':0.0},(158,230):{'3_1':0.0,'4_1':0.0},(158,229):{'3_1':0.0},(158,228):{'3_1':0.0},(158,227):{'3_1':0.0},(158,226):{'3_1':0.0},(158,225):{'3_1':0.0},(158,220):{'3_1':0.0},(158,217):{'3_1':0.0},(158,214):{'3_1':0.0},(158,206):{'3_1':0.0},(158,200):{'3_1':0.0},(158,197):{'3_1':0.0},(158,187):{'3_1':0.0},(158,186):{'3_1':0.0},(158,182):{'3_1':0.0},(159,235):{'3_1':0.0},(159,234):{'3_1':0.0},(159,233):{'3_1':0.0},(159,232):{'3_1':0.03},(159,231):{'3_1':0.0},(159,230):{'3_1':0.0},(159,229):{'3_1':0.0},(159,228):{'3_1':0.0},(159,227):{'3_1':0.0},(159,219):{'3_1':0.0},(159,217):{'3_1':0.0},(159,216):{'3_1':0.0},(159,212):{'3_1':0.0},(159,211):{'3_1':0.0},(159,208):{'4_1':0.0},(159,206):{'3_1':0.0},(159,201):{'3_1':0.0},(159,199):{'3_1':0.0},(159,195):{'3_1':0.0},(159,191):{'3_1':0.0},(159,184):{'3_1':0.0},(159,182):{'3_1':0.0},(160,235):{'3_1':0.0,'4_1':0.0},(160,231):{'3_1':0.0},(160,230):{'3_1':0.0},(160,229):{'3_1':0.0,'4_1':0.0},(160,228):{'3_1':0.0},(160,226):{'3_1':0.0},(160,224):{'3_1':0.0},(160,223):{'3_1':0.0},(160,220):{'3_1':0.0},(160,217):{'3_1':0.0},(160,216):{'3_1':0.0},(160,215):{'3_1':0.0},(160,213):{'3_1':0.0},(160,211):{'3_1':0.0},(160,210):{'3_1':0.0},(160,201):{'3_1':0.0},(160,200):{'3_1':0.0},(160,199):{'3_1':0.0},(160,198):{'4_1':0.0},(160,195):{'4_1':0.0},(160,186):{'3_1':0.0},(161,235):{'3_1':0.0},(161,234):{'3_1':0.0},(161,233):{'3_1':0.0},(161,232):{'3_1':0.0},(161,231):{'3_1':0.0,'4_1':0.0},(161,230):{'3_1':0.0},(161,228):{'3_1':0.0},(161,227):{'3_1':0.0,'4_1':0.0},(161,226):{'4_1':0.0},(161,224):{'3_1':0.0},(161,223):{'3_1':0.0},(161,217):{'3_1':0.0},(161,216):{'3_1':0.0},(161,213):{'3_1':0.0},(161,212):{'3_1':0.0},(161,209):{'3_1':0.0},(161,204):{'3_1':0.0},(161,197):{'4_1':0.0},(162,236):{'3_1':0.0,'4_1':0.0},(162,235):{'3_1':0.0},(162,234):{'3_1':0.0},(162,233):{'3_1':0.0},(162,231):{'3_1':0.0},(162,230):{'3_1':0.0},(162,228):{'3_1':0.0},(162,227):{'3_1':0.0},(162,226):{'3_1':0.0},(162,225):{'3_1':0.0},(162,224):{'3_1':0.0},(162,223):{'3_1':0.0},(162,221):{'3_1':0.0},(162,220):{'3_1':0.0},(162,219):{'3_1':0.0},(162,218):{'3_1':0.0},(162,217):{'3_1':0.0},(162,216):{'3_1':0.0},(162,215):{'3_1':0.0,'4_1':0.0},(162,214):{'3_1':0.0},(162,213):{'3_1':0.0},(162,211):{'3_1':0.0},(162,208):{'3_1':0.0},(162,207):{'3_1':0.0},(162,199):{'3_1':0.0},(162,185):{'3_1':0.0},(163,236):{'3_1':0.0},(163,234):{'3_1':0.0},(163,233):{'3_1':0.0},(163,232):{'3_1':0.0},(163,231):{'3_1':0.03},(163,230):{'3_1':0.0},(163,229):{'3_1':0.0},(163,228):{'3_1':0.0},(163,227):{'3_1':0.0},(163,226):{'3_1':0.0},(163,225):{'3_1':0.0},(163,224):{'3_1':0.0},(163,223):{'3_1':0.0},(163,222):{'3_1':0.0},(163,218):{'3_1':0.03},(163,217):{'3_1':0.0},(163,216):{'3_1':0.0},(163,214):{'3_1':0.0},(163,210):{'3_1':0.0},(163,208):{'3_1':0.0},(163,207):{'3_1':0.0},(163,202):{'3_1':0.0},(163,199):{'3_1':0.0},(163,193):{'4_1':0.0},(163,182):{'3_1':0.0},(164,236):{'3_1':0.0},(164,235):{'3_1':0.0},(164,233):{'3_1':0.0},(164,232):{'3_1':0.0},(164,230):{'3_1':0.0},(164,229):{'3_1':0.0},(164,228):{'3_1':0.03},(164,227):{'3_1':0.0},(164,226):{'3_1':0.0},(164,225):{'3_1':0.0},(164,223):{'3_1':0.0},(164,222):{'3_1':0.0},(164,221):{'3_1':0.0},(164,220):{'3_1':0.0},(164,219):{'3_1':0.0,'5_1':0.0},(164,217):{'3_1':0.0},(164,216):{'3_1':0.0},(164,213):{'3_1':0.03},(164,211):{'3_1':0.0},(164,210):{'3_1':0.0},(164,201):{'3_1':0.0},(164,200):{'3_1':0.03},(164,194):{'3_1':0.0},(164,183):{'3_1':0.0},(165,236):{'3_1':0.0},(165,235):{'3_1':0.0},(165,233):{'3_1':0.0},(165,232):{'3_1':0.03},(165,231):{'3_1':0.0,'4_1':0.0},(165,230):{'3_1':0.03},(165,229):{'3_1':0.06},(165,228):{'3_1':0.03,'4_1':0.0},(165,227):{'3_1':0.0},(165,226):{'3_1':0.0},(165,225):{'3_1':0.0},(165,223):{'3_1':0.0},(165,222):{'3_1':0.0},(165,221):{'3_1':0.0},(165,215):{'3_1':0.0},(165,214):{'3_1':0.0},(165,212):{'3_1':0.0},(165,210):{'3_1':0.0,'5_1':0.0},(165,208):{'3_1':0.0},(165,206):{'3_1':0.0},(165,204):{'3_1':0.0},(165,203):{'3_1':0.0},(165,202):{'3_1':0.0},(165,201):{'3_1':0.0},(165,200):{'3_1':0.0},(165,199):{'3_1':0.0},(165,194):{'3_1':0.0},(166,235):{'3_1':0.0},(166,234):{'3_1':0.0},(166,233):{'3_1':0.0},(166,232):{'3_1':0.0},(166,231):{'3_1':0.0},(166,230):{'3_1':0.03},(166,229):{'3_1':0.0},(166,228):{'3_1':0.03},(166,227):{'3_1':0.03},(166,226):{'3_1':0.0},(166,225):{'3_1':0.0},(166,224):{'3_1':0.0},(166,223):{'3_1':0.0},(166,222):{'3_1':0.0},(166,221):{'3_1':0.0},(166,220):{'3_1':0.0},(166,219):{'3_1':0.0},(166,218):{'3_1':0.0},(166,217):{'3_1':0.0},(166,216):{'3_1':0.0},(166,215):{'3_1':0.0},(166,214):{'3_1':0.0},(166,213):{'3_1':0.0},(166,212):{'3_1':0.0,'5_1':0.0},(166,211):{'3_1':0.0},(166,210):{'3_1':0.0},(166,209):{'3_1':0.0},(166,201):{'3_1':0.0},(166,200):{'3_1':0.0},(166,196):{'3_1':0.0},(166,195):{'3_1':0.0},(166,191):{'3_1':0.0,'4_1':0.0},(166,190):{'4_1':0.0},(166,186):{'4_1':0.0},(166,184):{'3_1':0.0},(167,235):{'3_1':0.0},(167,234):{'3_1':0.0},(167,233):{'3_1':0.0},(167,232):{'3_1':0.06},(167,231):{'3_1':0.03},(167,230):{'3_1':0.0},(167,229):{'3_1':0.03},(167,228):{'3_1':0.03},(167,226):{'3_1':0.0},(167,225):{'3_1':0.0},(167,220):{'3_1':0.0},(167,219):{'3_1':0.0},(167,218):{'3_1':0.0},(167,217):{'3_1':0.0},(167,216):{'3_1':0.0,'4_1':0.0},(167,215):{'3_1':0.0},(167,213):{'3_1':0.0},(167,212):{'3_1':0.0},(167,208):{'3_1':0.0},(167,206):{'3_1':0.0},(167,205):{'3_1':0.0},(167,201):{'3_1':0.0},(167,199):{'3_1':0.0},(167,197):{'3_1':0.0},(167,194):{'3_1':0.0},(167,190):{'4_1':0.0},(167,186):{'4_1':0.0},(168,236):{'3_1':0.0},(168,235):{'3_1':0.0},(168,234):{'3_1':0.0},(168,233):{'3_1':0.0},(168,232):{'3_1':0.0},(168,231):{'3_1':0.03},(168,230):{'3_1':0.03},(168,229):{'3_1':0.0},(168,228):{'3_1':0.0},(168,227):{'3_1':0.0},(168,225):{'3_1':0.0,'5_2':0.0},(168,224):{'3_1':0.0},(168,219):{'3_1':0.0},(168,218):{'3_1':0.0},(168,217):{'3_1':0.0},(168,215):{'3_1':0.0},(168,214):{'3_1':0.0},(168,213):{'3_1':0.0},(168,211):{'3_1':0.0},(168,210):{'3_1':0.0},(168,209):{'3_1':0.0},(168,208):{'3_1':0.03},(168,207):{'3_1':0.0},(168,206):{'3_1':0.03},(168,204):{'3_1':0.0},(168,201):{'3_1':0.0},(168,195):{'3_1':0.0},(168,194):{'3_1':0.0},(168,193):{'3_1':0.0},(168,191):{'3_1':0.0},(168,189):{'4_1':0.0},(168,186):{'3_1':0.0},(168,181):{'3_1':0.0},(169,236):{'3_1':0.0},(169,234):{'3_1':0.0},(169,233):{'3_1':0.0},(169,232):{'3_1':0.0},(169,231):{'3_1':0.03},(169,230):{'3_1':0.06},(169,229):{'3_1':0.03},(169,228):{'3_1':0.0},(169,227):{'3_1':0.0},(169,226):{'3_1':0.0},(169,221):{'3_1':0.0},(169,219):{'3_1':0.0},(169,217):{'3_1':0.0},(169,216):{'3_1':0.0},(169,215):{'3_1':0.0,'4_1':0.0},(169,214):{'3_1':0.0},(169,213):{'3_1':0.0},(169,211):{'3_1':0.0},(169,208):{'3_1':0.0},(169,206):{'3_1':0.0},(169,205):{'3_1':0.0},(169,202):{'3_1':0.0},(169,201):{'3_1':0.0},(169,200):{'3_1':0.0},(169,199):{'3_1':0.0},(169,198):{'4_1':0.0},(169,197):{'3_1':0.0},(169,194):{'3_1':0.0},(169,193):{'4_1':0.0},(169,185):{'3_1':0.0},(169,180):{'3_1':0.0},(170,236):{'3_1':0.0,'5_2':0.0},(170,235):{'3_1':0.0},(170,234):{'3_1':0.0},(170,233):{'3_1':0.0},(170,232):{'3_1':0.03},(170,231):{'3_1':0.03},(170,230):{'3_1':0.03},(170,229):{'3_1':0.0},(170,228):{'3_1':0.0},(170,225):{'3_1':0.0},(170,222):{'3_1':0.0},(170,221):{'3_1':0.0},(170,217):{'3_1':0.0},(170,215):{'3_1':0.0},(170,214):{'3_1':0.0},(170,213):{'3_1':0.0},(170,211):{'3_1':0.0},(170,210):{'3_1':0.0,'4_1':0.0},(170,209):{'3_1':0.0},(170,208):{'3_1':0.0,'4_1':0.0},(170,207):{'3_1':0.0},(170,206):{'3_1':0.0},(170,204):{'3_1':0.0},(170,202):{'3_1':0.0},(170,200):{'3_1':0.0},(170,196):{'3_1':0.0},(170,192):{'3_1':0.0,'4_1':0.0},(170,189):{'3_1':0.0},(170,182):{'3_1':0.0},(170,181):{'3_1':0.0},(170,179):{'3_1':0.0},(171,236):{'3_1':0.0},(171,235):{'3_1':0.0},(171,232):{'3_1':0.0},(171,231):{'3_1':0.0},(171,229):{'3_1':0.0,'4_1':0.0},(171,228):{'3_1':0.0},(171,227):{'3_1':0.0},(171,226):{'5_2':0.0},(171,225):{'3_1':0.0},(171,223):{'3_1':0.0},(171,222):{'3_1':0.0},(171,220):{'3_1':0.0},(171,218):{'3_1':0.0},(171,217):{'3_1':0.0},(171,216):{'3_1':0.0},(171,215):{'3_1':0.0},(171,214):{'3_1':0.0},(171,213):{'3_1':0.0},(171,211):{'3_1':0.0},(171,210):{'3_1':0.0},(171,209):{'3_1':0.0},(171,208):{'3_1':0.0},(171,207):{'3_1':0.0},(171,206):{'3_1':0.0},(171,205):{'3_1':0.0},(171,204):{'3_1':0.0},(171,202):{'3_1':0.0},(171,201):{'3_1':0.0},(171,200):{'3_1':0.0},(171,199):{'3_1':0.0},(171,197):{'3_1':0.0},(171,196):{'3_1':0.0},(171,195):{'4_1':0.0},(171,194):{'3_1':0.0},(171,187):{'3_1':0.0},(171,178):{'3_1':0.0},(172,236):{'3_1':0.0},(172,235):{'3_1':0.0},(172,234):{'3_1':0.0},(172,233):{'3_1':0.03},(172,232):{'3_1':0.03},(172,231):{'3_1':0.0},(172,230):{'3_1':0.0},(172,229):{'3_1':0.0},(172,228):{'3_1':0.0},(172,226):{'5_2':0.0},(172,225):{'3_1':0.0},(172,224):{'3_1':0.0},(172,222):{'3_1':0.0},(172,221):{'3_1':0.0},(172,218):{'3_1':0.03,'4_1':0.0},(172,217):{'3_1':0.0},(172,216):{'3_1':0.0},(172,215):{'3_1':0.0},(172,213):{'3_1':0.0},(172,212):{'3_1':0.0},(172,210):{'3_1':0.0},(172,209):{'3_1':0.0},(172,208):{'3_1':0.03},(172,207):{'3_1':0.0,'4_1':0.0},(172,204):{'3_1':0.0,'4_1':0.0},(172,202):{'3_1':0.0},(172,201):{'3_1':0.0},(172,199):{'3_1':0.0},(172,198):{'3_1':0.0},(172,196):{'3_1':0.0},(172,195):{'4_1':0.0},(172,180):{'3_1':0.0},(172,179):{'3_1':0.0},(172,178):{'3_1':0.0},(172,177):{'3_1':0.0},(173,235):{'3_1':0.0},(173,234):{'3_1':0.0,'4_1':0.0},(173,233):{'3_1':0.0},(173,232):{'3_1':0.0},(173,231):{'3_1':0.0,'4_1':0.0},(173,230):{'3_1':0.0},(173,228):{'3_1':0.0},(173,216):{'3_1':0.0},(173,215):{'3_1':0.0},(173,212):{'3_1':0.0},(173,211):{'3_1':0.0},(173,210):{'3_1':0.0},(173,209):{'3_1':0.0},(173,208):{'3_1':0.0},(173,207):{'3_1':0.0},(173,203):{'3_1':0.0},(173,202):{'3_1':0.0,'4_1':0.0},(173,201):{'3_1':0.0},(173,199):{'4_1':0.0},(173,196):{'4_1':0.0},(173,194):{'3_1':0.0,'4_1':0.0},(173,189):{'3_1':0.0},(173,188):{'3_1':0.0},(173,176):{'3_1':0.0},(174,235):{'3_1':0.0},(174,234):{'3_1':0.0},(174,232):{'3_1':0.0},(174,231):{'3_1':0.0,'5_2':0.0},(174,230):{'3_1':0.0},(174,229):{'3_1':0.0},(174,227):{'3_1':0.0},(174,226):{'3_1':0.0},(174,223):{'3_1':0.0},(174,218):{'3_1':0.0},(174,217):{'3_1':0.03},(174,216):{'3_1':0.0},(174,211):{'3_1':0.0},(174,210):{'3_1':0.0},(174,208):{'3_1':0.0},(174,207):{'3_1':0.0},(174,206):{'3_1':0.0},(174,205):{'3_1':0.0},(174,204):{'3_1':0.0},(174,203):{'3_1':0.0},(174,201):{'3_1':0.0},(174,199):{'4_1':0.0},(174,198):{'3_1':0.0},(174,194):{'3_1':0.0},(174,193):{'3_1':0.0},(174,192):{'3_1':0.0},(174,191):{'3_1':0.0},(174,188):{'3_1':0.0},(175,236):{'3_1':0.0},(175,234):{'3_1':0.0},(175,233):{'3_1':0.0},(175,232):{'3_1':0.03},(175,231):{'3_1':0.0},(175,228):{'3_1':0.0},(175,227):{'3_1':0.0},(175,226):{'3_1':0.0},(175,225):{'3_1':0.0},(175,224):{'3_1':0.0},(175,222):{'3_1':0.0},(175,219):{'3_1':0.0},(175,218):{'4_1':0.0},(175,217):{'3_1':0.0},(175,216):{'3_1':0.0},(175,215):{'3_1':0.0},(175,214):{'3_1':0.0},(175,213):{'3_1':0.0},(175,212):{'3_1':0.0},(175,211):{'3_1':0.0},(175,210):{'3_1':0.0},(175,209):{'3_1':0.0},(175,208):{'3_1':0.0},(175,206):{'3_1':0.0},(175,204):{'3_1':0.0},(175,202):{'3_1':0.0},(175,201):{'4_1':0.0},(175,200):{'3_1':0.0},(175,199):{'3_1':0.0},(175,197):{'3_1':0.0},(175,196):{'3_1':0.0},(175,195):{'4_1':0.0},(175,190):{'3_1':0.0},(175,188):{'3_1':0.0},(176,236):{'3_1':0.0},(176,234):{'3_1':0.0},(176,233):{'3_1':0.0},(176,232):{'3_1':0.0},(176,231):{'3_1':0.06},(176,230):{'3_1':0.0},(176,229):{'3_1':0.0,'4_1':0.0},(176,228):{'3_1':0.0},(176,227):{'3_1':0.0},(176,226):{'3_1':0.0},(176,224):{'3_1':0.0},(176,222):{'3_1':0.0},(176,219):{'3_1':0.0},(176,216):{'3_1':0.0},(176,215):{'3_1':0.0},(176,214):{'3_1':0.0},(176,213):{'3_1':0.0},(176,212):{'3_1':0.0},(176,211):{'3_1':0.0},(176,210):{'3_1':0.03},(176,209):{'3_1':0.0},(176,208):{'3_1':0.0},(176,207):{'3_1':0.0},(176,206):{'3_1':0.03},(176,205):{'3_1':0.0},(176,203):{'3_1':0.0},(176,202):{'3_1':0.0},(176,201):{'3_1':0.0},(176,199):{'3_1':0.0},(176,198):{'3_1':0.0},(176,197):{'3_1':0.0},(176,196):{'3_1':0.0},(176,194):{'3_1':0.0},(176,193):{'3_1':0.03},(176,191):{'3_1':0.0},(176,187):{'3_1':0.0},(177,234):{'3_1':0.0},(177,232):{'3_1':0.03},(177,231):{'3_1':0.0},(177,230):{'4_1':0.0},(177,229):{'3_1':0.0},(177,227):{'3_1':0.03},(177,226):{'3_1':0.0},(177,225):{'3_1':0.0},(177,224):{'3_1':0.0},(177,222):{'3_1':0.03},(177,221):{'3_1':0.0},(177,219):{'3_1':0.0,'4_1':0.0},(177,217):{'3_1':0.0},(177,215):{'3_1':0.0},(177,214):{'3_1':0.0},(177,213):{'3_1':0.0},(177,212):{'3_1':0.0},(177,211):{'3_1':0.03},(177,210):{'3_1':0.0},(177,209):{'3_1':0.0},(177,208):{'3_1':0.0},(177,207):{'3_1':0.0},(177,206):{'3_1':0.0},(177,205):{'3_1':0.0},(177,204):{'3_1':0.03},(177,203):{'3_1':0.0},(177,201):{'3_1':0.0},(177,198):{'3_1':0.0},(177,196):{'3_1':0.0},(177,193):{'3_1':0.0},(177,191):{'3_1':0.03},(177,189):{'3_1':0.0},(177,185):{'3_1':0.0},(178,236):{'3_1':0.0},(178,234):{'3_1':0.0},(178,231):{'3_1':0.0},(178,230):{'3_1':0.0},(178,229):{'3_1':0.0},(178,228):{'3_1':0.0},(178,227):{'3_1':0.0},(178,226):{'3_1':0.0},(178,225):{'3_1':0.0},(178,223):{'3_1':0.0},(178,221):{'3_1':0.0},(178,218):{'3_1':0.0},(178,217):{'3_1':0.0},(178,216):{'3_1':0.0},(178,215):{'3_1':0.0},(178,214):{'3_1':0.0},(178,213):{'3_1':0.0,'4_1':0.0},(178,211):{'3_1':0.0},(178,209):{'3_1':0.0},(178,208):{'3_1':0.0},(178,207):{'3_1':0.0},(178,206):{'3_1':0.0},(178,205):{'3_1':0.0},(178,203):{'3_1':0.0},(178,202):{'3_1':0.0},(178,200):{'3_1':0.0},(178,198):{'3_1':0.0},(178,197):{'3_1':0.0},(178,188):{'3_1':0.0},(179,236):{'3_1':0.0},(179,234):{'3_1':0.0},(179,233):{'3_1':0.03},(179,231):{'3_1':0.0},(179,230):{'3_1':0.0},(179,229):{'3_1':0.0},(179,228):{'3_1':0.0},(179,226):{'3_1':0.0},(179,224):{'3_1':0.0},(179,223):{'3_1':0.0},(179,222):{'3_1':0.0},(179,220):{'3_1':0.0},(179,219):{'3_1':0.0},(179,218):{'3_1':0.0},(179,217):{'3_1':0.0},(179,216):{'3_1':0.0},(179,214):{'3_1':0.0},(179,213):{'3_1':0.0},(179,212):{'3_1':0.0},(179,211):{'3_1':0.0},(179,210):{'3_1':0.0},(179,209):{'3_1':0.0},(179,208):{'3_1':0.0},(179,207):{'3_1':0.0},(179,205):{'3_1':0.0},(179,204):{'3_1':0.0},(179,199):{'3_1':0.0},(180,236):{'3_1':0.0},(180,235):{'3_1':0.0},(180,234):{'3_1':0.0},(180,233):{'3_1':0.0},(180,231):{'3_1':0.0},(180,230):{'3_1':0.0},(180,227):{'3_1':0.0},(180,226):{'3_1':0.0},(180,225):{'3_1':0.0},(180,224):{'3_1':0.0},(180,223):{'3_1':0.0},(180,222):{'3_1':0.0},(180,221):{'3_1':0.0},(180,220):{'3_1':0.0},(180,219):{'3_1':0.0},(180,218):{'3_1':0.0},(180,217):{'3_1':0.0},(180,216):{'3_1':0.0},(180,215):{'3_1':0.0},(180,213):{'3_1':0.0},(180,209):{'3_1':0.0},(180,206):{'3_1':0.0},(180,205):{'3_1':0.0},(180,204):{'3_1':0.0},(180,203):{'3_1':0.0},(180,198):{'3_1':0.0},(180,190):{'3_1':0.0},(180,189):{'3_1':0.0},(180,187):{'3_1':0.0},(181,236):{'3_1':0.0},(181,235):{'3_1':0.0},(181,234):{'3_1':0.03},(181,233):{'3_1':0.0},(181,232):{'3_1':0.0},(181,231):{'3_1':0.03},(181,230):{'3_1':0.0},(181,229):{'3_1':0.0},(181,225):{'3_1':0.0},(181,222):{'3_1':0.0},(181,221):{'3_1':0.0},(181,219):{'3_1':0.0},(181,215):{'3_1':0.0},(181,209):{'3_1':0.0},(181,207):{'3_1':0.03},(181,205):{'3_1':0.0},(181,204):{'3_1':0.0},(181,186):{'3_1':0.0},(181,184):{'3_1':0.0},(182,235):{'3_1':0.0},(182,234):{'3_1':0.0},(182,233):{'3_1':0.0},(182,232):{'3_1':0.0},(182,231):{'3_1':0.0},(182,230):{'3_1':0.03},(182,229):{'3_1':0.0},(182,228):{'3_1':0.0},(182,227):{'3_1':0.0},(182,224):{'3_1':0.0},(182,222):{'3_1':0.0},(182,218):{'3_1':0.0},(182,217):{'3_1':0.0},(182,215):{'3_1':0.0},(182,213):{'3_1':0.0},(182,212):{'3_1':0.0},(182,211):{'3_1':0.0},(182,210):{'3_1':0.0},(182,208):{'3_1':0.0},(182,189):{'3_1':0.0},(183,236):{'3_1':0.0},(183,233):{'3_1':0.0},(183,232):{'3_1':0.0},(183,231):{'3_1':0.0},(183,230):{'3_1':0.0},(183,229):{'3_1':0.0},(183,228):{'3_1':0.03},(183,225):{'3_1':0.0},(183,219):{'3_1':0.0},(183,218):{'3_1':0.0},(183,217):{'3_1':0.0},(183,214):{'3_1':0.0},(183,213):{'3_1':0.0},(183,212):{'3_1':0.0},(183,209):{'3_1':0.0},(183,205):{'3_1':0.0},(183,189):{'3_1':0.0},(184,235):{'3_1':0.0},(184,233):{'3_1':0.0},(184,232):{'3_1':0.0},(184,231):{'3_1':0.0},(184,230):{'3_1':0.0},(184,229):{'3_1':0.0},(184,225):{'3_1':0.0},(184,223):{'5_2':0.0},(184,214):{'3_1':0.0},(184,213):{'3_1':0.0},(184,207):{'3_1':0.0},(184,203):{'3_1':0.0},(184,187):{'3_1':0.0},(185,234):{'3_1':0.0},(185,233):{'3_1':0.0},(185,232):{'3_1':0.0},(185,231):{'3_1':0.0},(185,230):{'3_1':0.0},(185,229):{'3_1':0.0},(185,228):{'3_1':0.0},(185,227):{'3_1':0.0},(185,224):{'3_1':0.0},(185,222):{'3_1':0.0},(185,215):{'3_1':0.0},(185,213):{'3_1':0.0},(185,210):{'3_1':0.0},(185,209):{'3_1':0.0},(185,208):{'3_1':0.0},(185,205):{'3_1':0.0},(186,234):{'3_1':0.0},(186,232):{'3_1':0.0},(186,231):{'3_1':0.0},(186,230):{'3_1':0.0},(186,229):{'3_1':0.0,'4_1':0.0},(186,228):{'3_1':0.0},(186,226):{'3_1':0.0,'5_2':0.0},(186,213):{'4_1':0.0},(186,209):{'3_1':0.0},(186,205):{'3_1':0.0},(186,204):{'3_1':0.0},(187,235):{'3_1':0.0},(187,234):{'3_1':0.0},(187,233):{'3_1':0.0},(187,232):{'3_1':0.0},(187,231):{'3_1':0.0},(187,230):{'3_1':0.03},(187,222):{'3_1':0.0},(187,220):{'3_1':0.0},(187,217):{'3_1':0.0},(187,216):{'3_1':0.0},(187,214):{'3_1':0.0},(188,235):{'3_1':0.0},(188,234):{'3_1':0.0},(188,233):{'3_1':0.0},(188,232):{'3_1':0.03},(188,230):{'3_1':0.0},(188,228):{'3_1':0.0},(188,227):{'3_1':0.0},(188,217):{'3_1':0.0},(188,212):{'3_1':0.0},(188,211):{'3_1':0.0},(188,210):{'3_1':0.0},(188,209):{'3_1':0.0},(188,208):{'3_1':0.0},(188,207):{'3_1':0.0},(188,206):{'3_1':0.0},(188,204):{'3_1':0.0},(188,203):{'3_1':0.0},(188,202):{'3_1':0.0},(188,200):{'3_1':0.0},(189,236):{'3_1':0.0},(189,233):{'3_1':0.0},(189,231):{'3_1':0.0},(189,230):{'3_1':0.0},(189,225):{'3_1':0.0},(189,212):{'3_1':0.0},(189,210):{'3_1':0.0},(189,209):{'3_1':0.0},(189,207):{'3_1':0.0},(189,206):{'3_1':0.0},(189,205):{'3_1':0.0},(189,204):{'3_1':0.0},(189,202):{'3_1':0.0},(190,236):{'3_1':0.0},(190,235):{'3_1':0.0},(190,234):{'3_1':0.0},(190,231):{'3_1':0.0},(190,230):{'3_1':0.0},(190,228):{'3_1':0.0},(190,219):{'3_1':0.0},(190,216):{'3_1':0.0},(190,210):{'3_1':0.0},(190,208):{'3_1':0.0},(190,206):{'3_1':0.0},(190,205):{'3_1':0.0},(190,203):{'3_1':0.0},(191,235):{'3_1':0.0},(191,233):{'3_1':0.0},(191,232):{'3_1':0.0},(191,231):{'3_1':0.0},(191,228):{'3_1':0.0},(191,216):{'3_1':0.0},(191,214):{'3_1':0.0},(191,209):{'3_1':0.0},(192,235):{'3_1':0.0},(192,231):{'3_1':0.0},(192,230):{'3_1':0.0},(192,211):{'3_1':0.0},(192,210):{'3_1':0.0},(192,209):{'3_1':0.0},(192,207):{'4_1':0.0},(192,206):{'3_1':0.0},(192,203):{'3_1':0.0},(193,229):{'3_1':0.0,'4_1':0.0},(193,223):{'5_2':0.0},(193,207):{'3_1':0.0},(193,206):{'3_1':0.0},(193,204):{'3_1':0.0},(193,203):{'3_1':0.0},(194,233):{'3_1':0.0},(194,232):{'3_1':0.0},(194,231):{'3_1':0.0},(194,220):{'3_1':0.0},(194,215):{'5_1':0.0},(194,212):{'3_1':0.0},(194,209):{'3_1':0.0},(194,208):{'3_1':0.0},(194,207):{'3_1':0.0},(194,206):{'3_1':0.0},(194,205):{'3_1':0.0},(194,203):{'3_1':0.0},(195,233):{'3_1':0.0},(195,209):{'3_1':0.0},(195,205):{'3_1':0.0},(195,203):{'3_1':0.0},(195,202):{'3_1':0.0},(195,201):{'3_1':0.0},(195,200):{'3_1':0.0},(195,198):{'3_1':0.0},(196,234):{'3_1':0.0},(196,211):{'3_1':0.0},(196,205):{'3_1':0.0},(196,204):{'3_1':0.0},(197,232):{'3_1':0.0},(197,228):{'3_1':0.0},(197,223):{'3_1':0.0},(197,211):{'3_1':0.0},(197,206):{'3_1':0.0},(198,235):{'3_1':0.0},(198,234):{'3_1':0.0},(198,233):{'3_1':0.0},(198,230):{'3_1':0.0},(198,204):{'3_1':0.0},(200,233):{'3_1':0.0},(200,228):{'3_1':0.0},(200,204):{'3_1':0.0},(201,219):{'3_1':0.0},(201,218):{'3_1':0.0},(201,211):{'3_1':0.0},(202,235):{'3_1':0.0},(202,233):{'3_1':0.0},(202,232):{'3_1':0.0},(202,231):{'3_1':0.0},(202,226):{'3_1':0.0},(202,215):{'3_1':0.0},(202,214):{'3_1':0.0},(202,212):{'3_1':0.0},(203,231):{'3_1':0.0},(203,230):{'3_1':0.0},(203,219):{'3_1':0.0},(203,210):{'3_1':0.0},(204,235):{'5_2':0.0},(204,213):{'3_1':0.0},(204,211):{'3_1':0.0},(204,209):{'3_1':0.0},(204,208):{'3_1':0.0},(204,207):{'3_1':0.0},(205,217):{'3_1':0.0},(205,210):{'3_1':0.0},(205,209):{'3_1':0.0},(206,231):{'3_1':0.0},(206,214):{'3_1':0.0},(206,212):{'3_1':0.0},(206,210):{'3_1':0.0},(207,234):{'3_1':0.0},(207,231):{'5_2':0.0},(207,230):{'3_1':0.0},(207,228):{'3_1':0.0},(207,215):{'3_1':0.0},(207,213):{'3_1':0.0},(207,212):{'3_1':0.0},(207,211):{'3_1':0.0},(208,228):{'3_1':0.0},(209,217):{'3_1':0.0},(209,216):{'3_1':0.0},(209,214):{'3_1':0.0},(209,213):{'3_1':0.0},(210,236):{'3_1':0.0},(210,233):{'3_1':0.0},(210,222):{'3_1':0.0},(210,220):{'3_1':0.0},(210,214):{'3_1':0.0},(211,215):{'3_1':0.0},(212,224):{'3_1':0.0},(212,223):{'3_1':0.0},(212,222):{'3_1':0.0},(212,220):{'3_1':0.0},(212,216):{'3_1':0.0},(212,215):{'3_1':0.0},(213,233):{'3_1':0.0},(213,231):{'3_1':0.0},(213,218):{'3_1':0.0},(213,217):{'3_1':0.0},(214,225):{'3_1':0.0},(214,224):{'3_1':0.0},(215,232):{'3_1':0.0},(215,230):{'3_1':0.0},(215,225):{'3_1':0.0},(215,222):{'3_1':0.0},(216,235):{'3_1':0.0},(216,227):{'3_1':0.0},(216,225):{'3_1':0.0},(218,228):{'3_1':0.0},(218,223):{'3_1':0.0},(218,221):{'3_1':0.0},(219,230):{'3_1':0.0},(219,228):{'3_1':0.0},(221,226):{'3_1':0.0},(221,225):{'3_1':0.0},}